repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
amerryfellow/dlx | rwcache/rwmem.vhd | 1 | 3,174 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
entity RWMEM is
generic(
file_path: string(1 to 87):= "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rwcache/hex.txt";
file_path_init: string(1 to 92):= "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rwcache/hex_init.txt";
Data_size : natural := 64;
Instr_size: natural := 32;
RAM_DEPTH: natural := 128;
data_delay: natural := 2
);
port (
CLK : in std_logic;
RST : in std_logic;
ADDR : in std_logic_vector(Instr_size - 1 downto 0);
ENABLE : in std_logic;
READNOTWRITE : in std_logic;
DATA_READY : out std_logic;
INOUT_DATA : inout std_logic_vector(Data_size-1 downto 0)
);
end RWMEM;
architecture beh of RWMEM is
type DRAMtype is array (0 to RAM_DEPTH - 1) of std_logic_vector(instr_size - 1 downto 0);
signal DRAM_mem : DRAMtype;
signal tmp_data: std_logic_vector(Data_size - 1 downto 0);
signal int_data_ready,mem_ready: std_logic;
signal counter: natural:=0;
procedure rewrite_contenent(data: in DRAMtype; path_file: string) is
variable index: natural range 0 to RAM_DEPTH;
file wr_file: text;
variable line_in: line;
begin
index:=0;
file_open(wr_file,path_file,WRITE_MODE);
while index < RAM_DEPTH loop
hwrite(line_in,data(index));
writeline(wr_file,line_in);
index := index + 1;
end loop;
end rewrite_contenent;
begin -- beh
--write_process
WR_PROCESS:
process (CLK, RST,READNOTWRITE)
file mem_fp: text;
variable index: integer range 0 to RAM_DEPTH;
variable file_line : line;
variable tmp_data_u : std_logic_vector(INSTR_SIZE-1 downto 0);
begin -- process
if RST = '1' then -- asynchronous reset (active low)
-- while index < RAM_DEPTH loop
-- DRAM_mem(index) <= std_logic_vector(to_unsigned(index,instr_size));
-- index := index + 1;
-- end loop;
file_open(
mem_fp,
file_path_init,
READ_MODE
);
while (not endfile(mem_fp)) loop
readline(mem_fp,file_line);
hread(file_line,tmp_data_u);
DRAM_mem(index) <= tmp_data_u;
index := index + 1;
end loop;
file_close(mem_fp);
int_data_ready <= '0';
mem_ready <= '0';
elsif CLK'event and CLK = '1' then -- rising clock edge
if(ENABLE = '1') then
counter <= counter + 1;
if (counter = data_delay) then
counter <= 0;
if (READNOTWRITE = '0') then
DRAM_Mem(to_integer(unsigned(ADDR))+1) <= INOUT_DATA(Instr_size - 1 downto 0);
DRAM_Mem(to_integer(unsigned(ADDR))) <= INOUT_DATA(Data_size - 1 downto Instr_size);
mem_ready <= '1';
else
tmp_data <=DRAM_mem(to_integer(unsigned(ADDR))+1) & DRAM_mem(to_integer(unsigned(ADDR)));
int_data_ready <= '1';
end if;
else
mem_ready <= '0';
int_data_ready <= '0';
end if;
else
counter <= 0;
end if;
end if;
end process;
rewrite_contenent(DRAM_mem,file_path); -- refresh the file
INOUT_DATA <= tmp_data when int_data_ready='1' else (others=>'Z'); -- to cache
data_ready <= int_data_ready or mem_ready;--delay add
end beh;
| gpl-3.0 | e357c5253711ea316c2a865ac558c0e4 | 0.646503 | 2.796476 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/components.vhd | 2 | 77,934 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kC3khZLAWOMzhvZMLI03LCTFMilcoJsKd/fw8dF/pCroXy6RXK7ByvT1oMPOekPKvxtEzXRyz1gl
d0BBahWPGA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RgC1NLBXBadtuJwxYg1sIboc4QYQrnmnlZeA0Kx2D3zyKgHOGdHxGRxubFg+cvYzWJ3m1trH1pAd
iIXlN2oRvWQyOW0qhQw6t6+nmQuOr7p3e+oFcPX0zJmO7rrhYbNwaGuDOyw+gE3eg1aZ0zftpXZk
VghF2YWhUxGWKPju8X0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DZ8azOUkAcZlTHceUVV5vtfpl3XN9SgXwBP4++rAb04q691nq/Vw1hbxNjfQMFUC5gt4Z0OQgz2s
Ylf1TJxf2JLyCxZ8tzXk8awKfKvTIl11lwg4wXaCpnNYn2d0f9pzCCcvgms53pJw2ojunnRRy7rm
P1TdssNxHYKTK6tvJMEvshU6vVh1otRGiHd0g+9VaZl8VyMB2aLooQfOWb6epuHfUSUfjgdKS7fO
Te981rL98x5IanAHgnCSNds7nbzUii0Vx6aJaDPRh9Bnt7oK6KpxljhA8DImLqmuJ3s9nsYBb1nv
j+YWDsY0LX1GzMva6XAzeuJMYDd/iF27WJYc7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
vJxDMSukQXcecVbMhXeDPPgdnPZkeJAfXrMeyIHDLgyNHJtevxNKxuGD54Ny3h+Ke8vgrAZ3efUx
uhQex9Uc7EO5We6gUVCnyy7/PUeoUZJemONjkb024t5xC9UV+72MpcDibbVoUeTDXFBLrC435wu4
bAyYuqQx54J+BNtSanw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AUJSHPgsOC7kxPEPhk+ImDkYuHEdBFAH1TIkT0lmVVKLtR0unEj6rvIKc4nFRihfOkr9xb9Ic+WL
eCVqwWEV7QnvaFlQZRdTjoQVbjSDZfJ3H/XmPGRb7n7qX6wItvlnaJ42rKB/8qMdbUPwi6posPdR
jHPeFfmY5LvO3DjV6xzD5CgcPL7Odtp6snr6j8UCRjkJfw4oXC0p4FXLo6I13XifYo1CcpKs9ND1
XsuWS9Kyu1qHYXRq6j72Av2PQwZn9LvPffyKnufrW/8FodkmIdtEMpABXkFsUfeCBMr6YZ5LHfW7
Ki5yGRIqU2TzGBmTJK2nY22Vjnoecj6fB3UJ/g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 55952)
`protect data_block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`protect end_protected
| gpl-2.0 | 3ef897edb19d4ac06787592874e07bc2 | 0.952973 | 1.81474 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/so_control.vhd | 3 | 44,301 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HeIsM0Q39TuTKJBJEXq92vMS3dM6A7M9Y0tkqho6Dw/1vx6UJJGnoEvBMaq0uSMX/N/yliubo2MN
FElViyKOfg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YL4LnynrdEPrUpN9nIG60xHvAUDfWmekvHAXa0EDSzOGYJI2X5zVfXdglOok0JRbeljReV9sidRW
586tmn9n5rDr5W5RMlg1i0BTJX8yoeMUobhhkPkUEiA4dv93y5alISufHe4fEI0++dyalJ92zoG5
7WtMDjITZ20G1qEnedE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GPeDzOYmSKz7qPKTxBW4H1X7pxJ7TkwfwbKgoEcFuVpY0mc94wixBganJd3lqBWwqOaCggcQvJD/
vdlZTwwyLEQH+NRf/Pga1GlI5Pz9MycJqR6/ybkRH9zHUuU8XKr3UWF3SNEyii5mBWDVokBKz3Sm
VmYxcsnsjTzCQhMN9KI+h19rOFL4lgGNlhUT4caaCnPvhUljCCRkOgZPwvNy89nX20uGy0kPKI/M
6IhQva5hsi5vp1jFZJh3Dew3X1FLXTie5qINUW4u37Sf4OtONg+mYwNCWrbiC810xU/wDzzgSGmv
l/Vz+R4xxINEsoYU/rmx9V0+q1P7RcUVwQXSQg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NiA7qSln43TBQBRVTzWQKTOF0+q1LTY252/ckh2tjB194Ew1nbH3Qd8FTB8coL0ciYw2lZkJmExr
6H1OpTpDbgb526CR5JzbX8/2IRcGinPFgRJbubv05eV9wufddKGgvzVIuCemEieuSPR2Cm5S+MXA
w6vKe3sqEDnoJbSn/dY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
faIGJb9IRcQ1lbxyhAZeUIcbRn569CblRsKRvY/H0YDl097BBEHHHqTPi+vjLgMTvOvEW29F6wam
JtNUJcHzlaXKff23V/+hWl2ngj4AWkIkwhO78NK9dN9jQVpm7pXGo+E14TJShgWmNrtAGOdfk2xa
EC0Bk3DvmfwvqgiKo5Q2dY/Dpd37EP5V4KbGCFSzKLZlEKJHtPYC7ATp5GUebF5O6YigujjeXEWB
KHu0UkdqHIxOkgUTm0o8AF7qwZm2GZizr6wddp55ExIaveudiV6Zs44FKjm8RqqH6wn1T06lT06d
FzgdMh7C0BPJPFMWHa9maldhRgPMiNocpF3eTw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31056)
`protect data_block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`protect end_protected
| gpl-2.0 | 41b3efa896fdd2148329c9e18eac0d13 | 0.95043 | 1.830015 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/arith_unit.vhd | 7 | 1,925 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:20:02 03/28/2016
-- Design Name:
-- Module Name: arith_unit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity arith_unit is
Port ( RA : in STD_LOGIC_VECTOR (15 downto 0);
RB : in STD_LOGIC_VECTOR (15 downto 0);
OP : in STD_LOGIC_VECTOR (2 downto 0);
AR_OUT : out STD_LOGIC_VECTOR (15 downto 0);
SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end arith_unit;
architecture Combinational of arith_unit is
signal a,b : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0');
signal RESULT : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0');
signal SREG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
begin
a <= '0' & RA;
b <= '0' & RB;
with OP select
RESULT <=
signed(a) + signed(b) when "000" | "101", -- ADD
signed(a) - signed(b) when "001", -- SUB
--a + b when "101", -- ADDI
'0' & X"0000" when OTHERS;
SREG(3) <= RESULT(15); -- Negative with signed logic
SREG(2) <= '1' when RESULT(15 downto 0) = x"00000000" else '0'; -- Zero
SREG(1) <= RESULT(16) xor RESULT(15); -- Overflow with signed logic
SREG(0) <= RESULT(16); -- Carry
SREG_OUT <= SREG;
AR_OUT <= RESULT(15 downto 0);
end Combinational;
| gpl-3.0 | 10c0e029b978448b33f4c6a53ce16ab1 | 0.587013 | 3.219064 | false | false | false | false |
r2t2sdr/r2t2 | fpga/modules/adi_hdl/library/common/axi_ctrlif.vhd | 2 | 5,613 | -- ***************************************************************************
-- ***************************************************************************
-- Copyright 2013(c) Analog Devices, Inc.
-- Author: Lars-Peter Clausen <[email protected]>
--
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
-- - Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- - Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in
-- the documentation and/or other materials provided with the
-- distribution.
-- - Neither the name of Analog Devices, Inc. nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
-- - The use of this software may or may not infringe the patent rights
-- of one or more patent holders. This license does not release you
-- from the requirement that you obtain separate licenses from these
-- patent holders to use this software.
-- - Use of the software either in source or binary form, must be run
-- on or directly connected to an Analog Devices Inc. component.
--
-- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
-- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
-- PARTICULAR PURPOSE ARE DISCLAIMED.
--
-- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
-- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
-- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
-- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ***************************************************************************
-- ***************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity axi_ctrlif is
generic
(
C_NUM_REG : integer := 32;
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_FAMILY : string := "virtex6"
);
port
(
-- AXI bus interface
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic;
rd_addr : out integer range 0 to C_NUM_REG - 1;
rd_data : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
rd_ack : out std_logic;
rd_stb : in std_logic;
wr_addr : out integer range 0 to C_NUM_REG - 1;
wr_data : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
wr_ack : in std_logic;
wr_stb : out std_logic
);
end entity axi_ctrlif;
architecture Behavioral of axi_ctrlif is
type state_type is (IDLE, RESP, ACK);
signal rd_state : state_type;
signal wr_state : state_type;
begin
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
rd_state <= IDLE;
else
case rd_state is
when IDLE =>
if S_AXI_ARVALID = '1' then
rd_state <= RESP;
rd_addr <= to_integer(unsigned(S_AXI_ARADDR((C_S_AXI_ADDR_WIDTH-1) downto 2)));
end if;
when RESP =>
if rd_stb = '1' and S_AXI_RREADY = '1' then
rd_state <= IDLE;
end if;
when others => null;
end case;
end if;
end if;
end process;
S_AXI_ARREADY <= '1' when rd_state = IDLE else '0';
S_AXI_RVALID <= '1' when rd_state = RESP and rd_stb = '1' else '0';
S_AXI_RRESP <= "00";
rd_ack <= '1' when rd_state = RESP and S_AXI_RREADY = '1' else '0';
S_AXI_RDATA <= rd_data;
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
wr_state <= IDLE;
else
case wr_state is
when IDLE =>
if S_AXI_AWVALID = '1' and S_AXI_WVALID = '1' and wr_ack = '1' then
wr_state <= ACK;
end if;
when ACK =>
wr_state <= RESP;
when RESP =>
if S_AXI_BREADY = '1' then
wr_state <= IDLE;
end if;
end case;
end if;
end if;
end process;
wr_stb <= '1' when S_AXI_AWVALID = '1' and S_AXI_WVALID = '1' and wr_state = IDLE else '0';
wr_data <= S_AXI_WDATA;
wr_addr <= to_integer(unsigned(S_AXI_AWADDR((C_S_AXI_ADDR_WIDTH-1) downto 2)));
S_AXI_AWREADY <= '1' when wr_state = ACK else '0';
S_AXI_WREADY <= '1' when wr_state = ACK else '0';
S_AXI_BRESP <= "00";
S_AXI_BVALID <= '1' when wr_state = RESP else '0';
end;
| gpl-3.0 | 8fbbe323bacf5a0e37723b8bc1296610 | 0.622484 | 3.130508 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_axi/src/axi_sb_pkg.vhd | 1 | 3,671 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Local package
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
library bitvis_vip_axi;
use bitvis_vip_axi.vvc_cmd_pkg.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library work;
use work.axi_bfm_pkg.all;
package local_pkg is
function result_to_string(
constant value : in t_vvc_result
) return string;
end package local_pkg;
package body local_pkg is
function result_to_string(
constant value : in t_vvc_result
) return string is
variable v_line : line;
variable v_return_string : string(1 to 1000);
variable v_string_length : integer;
begin
-- Limiting output to the first four elements in the result queue
write(v_line, LF & "RID: " & to_string(value.rid, HEX, SKIP_LEADING_0, INCL_RADIX));
for i in 0 to minimum(value.len, 3) loop
write(v_line, LF &
"RDATA(" & to_string(i) & "): " & to_string(value.rdata(i), HEX, SKIP_LEADING_0, INCL_RADIX) &
", RRESP(" & to_string(i) & "): " & t_xresp'image(value.rresp(i)) &
", RUSER(" & to_string(i) & "): " & to_string(value.ruser(i), HEX, SKIP_LEADING_0, INCL_RADIX));
end loop;
write(v_line, LF);
if value.len > 3 then
write(v_line, LF & "Truncated remaining result..");
end if;
v_string_length := v_line.all'length;
v_return_string(1 to v_string_length) := v_line.all;
deallocate(v_line);
return v_return_string(1 to v_string_length);
end function;
end package body local_pkg;
------------------------------------------------------------------------------------------
-- axi_sb_pkg
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library bitvis_vip_axi;
use bitvis_vip_axi.vvc_cmd_pkg.all;
library work;
use work.local_pkg.all;
library bitvis_vip_scoreboard;
package axi_sb_pkg is new bitvis_vip_scoreboard.generic_sb_pkg
generic map (t_element => t_vvc_result,
element_match => "=",
to_string_element => result_to_string);
| mit | 70caeb901579637226c889c62eefbdc8 | 0.511305 | 4.417569 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/global_util_pkg.vhd | 10 | 87,227 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EJ750vgR+Xwh+WgXy5K24VfZbG+gqA3GrqM+MAQg56FTPFNdUMkOxD6Pg4dbTX9PCs3L5ZpZQFjM
X+aHlkGCqQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NAIJOrDOxPmnySKU1cjTiJM+KTDDuc2P5lsw0tUQQpK9ouB8I3ISsmi4IWtb6m5a5LtbHSEze5tP
Fed2DCg7LuqoFzcBfiuiCfJQS+YSkpsjG+1XLe9YuH0p1UnBWFHjKneuWU76xQejv0NLfN+tKaOf
0o+Aohk6G+pMSODO+G0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eL3yuinVBwvCg8nCCXOoU+nTB0OPHLLH5EVXPf/cCdtSxrNX+ID9GfJXH2iYiBGKkeqVfNoNkmOA
RelbTqXxiweXQB5UR/IdSwPDoUT1frdnwKqTWn7f9I8RefKDhQLueCvNO2sTb3R4CGyDgtqo2f6I
ejTCxR4NiCo9HyGA6xnVSjFMVB1sDkjS/JkngjybEhfPt+2ni6yiGChYkYNl2U57jQTw8qo56SpG
Rnvwpgu34KOnVdGJFcw5/PFwhU0dR/Ff1rOYALS+yfIdbAu/K/9iIBT+U1KxRWiiAFDquhwT00d9
iwpdizgi01pMlI+9sSJqW6VmFMly7FtUQGTHew==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A1JbrPZ8hKzlKYNHo9L6+ccKeHk87ZqfxOfRS0AcqRDwMh57eXEUD2m5hb8LDEolvvPo/i67iJPD
sSQ/S+uqp2ZHs008rHSeIgSmy2jufYZdaqy4uIhkF3w9tIFVJ6VodSG1npJ+N52pY8u0xvkckLkF
RN/+TKGD/m1BqRoqpn8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
B8pV/mTKZtYd9M943EXPtAqbTDKfEpgFrcYTfyE1jrH2+TkNftRz8FBUYOsHiQ0U7B0t6l43hxGf
7aA8APtBc9AHPzp6KLCdqQPYTkotJznRbsc7aTDDyOJKfG4T2he7kr+DAm+tOtytAI2tNka0WXep
xdXQtWYv6H9YTtGQdnDXfJcSkiOvwlub8uP3B1zD85zXv3kfK03DyK5IxHwTGsXNiawuAYWsIldy
fQKcn0CH1pEKAFJwib+VqYK449nRud9alcZgU1txiiqK+mOh8TBqvpGgBSrrmMPyoUG8JMOHXFQv
er9BVocWwryEwtZ+yt7WIpHgGpzuUrIF4+jJGQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62832)
`protect data_block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`protect end_protected
| gpl-2.0 | e74d142c577ab27d490de20942ed6c92 | 0.952583 | 1.815678 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/axi_slave_2to1.vhd | 10 | 31,357 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MzBRxxjxOmOqCwSKhyFsrt/rJoX7OJRPizG3gmCji/9e0MB3clSwBXZpIaNp69QCiBkNqiuY4jYd
rEK3GZMUJw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FXW96YWMMbCmyHne6hJPEY4a31pHlnobURmO0zmQ5BwcJxtPheI7EyO6p4ujTvvZZoAT1pOP+ZXm
Usl/735s0Xs6Xh9HyZXfnr5hxYJYMTBEyaqhFcD3PHhSY4Wq/y+Z+upImyZXS5hCbWi7Dki5ZnsX
uLmf2eNjWh2VE0+5p34=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Altf5KYBZCIR3XrrXvzg7Ma0eNyl3PKXnL/Ap/rQqNJDdh16vvNZb7K9vmD2xjw/2PMaCJtOMaGZ
4f3sBmTzbdrtjYIaB/no+H5Vga0Ds0yeI9F5LhpIXE9MJklUHWQlSOZNtbA8IFSj8zenD0hDX2QK
uvkGayJV5BR2W9W149t4dp9hmeqtg9taH9muF9a8mkS4CFAgpd79Vuqm7xC2Kr6GCNV6vU3hi+y/
JpP2XycILK/7g3S2lJSa+RhBLtT/BjfEu3+n+QTZykVewcGrsycQ1zwm7bskMiX6PubV5HXeG98x
+NBswU4TCCjyUtlscaHMeMBh7XhYBXhxdKcvxw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0IAzXS2+mar+thFf3pQzVZiprQYxAqrkTnz4qsxrRG5HX6RiDhAW0Twa2iSNxg2Qv14xwq+aI6OQ
3dr5KljvRyq79XATSolJHR9XWxuKFYkCz7dhgetLn4wf3FDJLCOssGyZOSbIopB8aCG0/a6RuXh1
kDz6VBUXd8rAkoBsalU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pMf5FU9FFQ+cedSfEtEBJS0+tU2+yQulglhEWj/0cDt9I4I/ZWtb+j8iQvVnneadgTYTCd3EWppa
HWC+yc3qAZw9ZIxgf/SNXDfMLC8QuaGlYcXGa/OQTUgBaDo3M4R/hBR7x/gZEH93F687lFdTCioj
awMI6UU/uUhVODB5yuDPmb8PdFMcmf3hmHRuyf7gvr4iYf9pMhuMB0bof2JiCoj2Lrt4NfPgqhBE
3aA3PRb/xSVSwRfLW/3qjp1IDZZJW8d00wGKpmPF7tncsAC3kGBXAnP9FreTwycnjIqO5H2JjDPw
4TJJx+B5QL+snqTMdN9fTi1L8B6fUQ6f0FWXSw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472)
`protect data_block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`protect end_protected
| gpl-2.0 | 16dc2b3fcfca4c143fbeff5b57c17acc | 0.944414 | 1.826692 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_approx.vhd | 2 | 16,419 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AzUFcL7A9LuPJxsot18F511jdr/YJDJPzhdVhiDmrAWgkYdUf2LroP+WMKdmnFfAsnUnGxGz5nd0
fzIAeIkoLQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xu4Od/hD3zQIzBx5dQhb/24DXYlfTN3I/P3ZbkhwxYQ+w9l6WY3ljoVK60O3r98uku4ebhOyqo72
AkKqkzdZESS9kd2JaSRKQonGC85R63RwJ8Ts8O/yKIxAhmMrWYwkdNlDZdsY+LcUSY7TUbpMi2jr
5qsjxSaDEg+j489YHF4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gffqAEcn24Xup4bdD8ItJCKhtZTf5iSAO8qtkOwUGVAsLMV2VXIlhSkL5VJ8eHsbU6PZbIGZDYct
oSXYkn8V8mG9hch8P3ceOAuhCjz7may4KSdT9h0TQ5X97mgyK3v0qgjmHq9yXr9MeyPGxhAzCRLk
EPnyXE6HRPcuylYlv4GRNGsmBl97G27KmdCmJkNeAwD38+BqkqNQanb6ON6FXen/C5ys3/su105M
BCVJDvlPPEk/HwTwGNF3hTV7q1pm4mICit1d++Pv4TxBkAWVCqv023YRibdacT0Jfg5/Grm4Sc8X
oRGH73RhuFPFz+T9pOacRzwQdu4bu3BzpJ3Ftw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mGYlDLys1FX2Dia8LJV8+H945uFbdPoMOl4c5i7okMH08UrmKbfSHOUiuUYccDgYU/dMrgzqOOZD
Q1J1FA7+DPW6ZvBsAS3t/pSExc6IN/ig4uHuITBtvqQndawUhkQyHrLWJTB7nmx08Mv/hAIzQDnb
yGwtAojY+UdIhIPHdQg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hFPfcSXnd04BA0YzPQRHv/YApBqkitsW/GIVfumi/qvzpCV0JbKzJt4TU2YyobU2hPyeKRJEVdqG
lYn82HZGk9oTcbzGrEoo+40VdzPBgZ0jIdLtIAMmVkld7XundxY0CaH0hKeUzJKWGGBV9ii3fMAn
n8ZnCnKFW2DV+1+qKM6lxruAqoCxdCxikaKPIflk1LjQVo9zSC4xSw7I66OxVSE0rlpbq64Jbb56
d2AH0CeNkxc9JE4SLiqzigs9LbFE7Mvt652AYwJBaMsrARYBh9qc+yiCR1slh9vSyc54TMhVFbu5
y+UQruStxh2pIivngcZwO6hP4zOjpXBL30TJjA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10416)
`protect data_block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`protect end_protected
| gpl-2.0 | ebb44cf9e528479b3f108f540d43be2b | 0.939034 | 1.877745 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_3/part_2/trigger.vhdl | 1 | 1,716 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: cro - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity trigger is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
value: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
valid: out STD_LOGIC;
output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
time_val: in STD_LOGIC_VECTOR(6 downto 0)
);
end trigger;
architecture Behavioral of trigger is
signal last : std_logic_vector(log2(vga_height)-1 downto 0);
signal x : std_logic_vector(log2(vga_width)-1 downto 0);
signal active : std_logic;
signal timer : std_logic_vector(3 downto 0);
begin
valid <= active;
output <= x;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
last <= input;
--if(timer > time_val)then
if(x < vga_width)then
x <= x+1;
active <='1';
end if;
-- timer <= (others=>'0');
--end if;
--timer <= timer + 1;
if(x >= vga_width and ((signed(input) >= signed(value) and signed(last) <= signed(value)) ) )then
x <= (others=>'0');
active <='0';
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 79e3e1415121cab41dd63380dac33fb0 | 0.57634 | 3.037168 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/trigger.vhdl | 1 | 1,947 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: cro - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity trigger is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
enable: in STD_LOGIC;
input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
value: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
valid: out STD_LOGIC;
output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
time_val: in STD_LOGIC_VECTOR(6 downto 0)
);
end trigger;
architecture Behavioral of trigger is
signal last : std_logic_vector(log2(vga_height)-1 downto 0);
signal x : std_logic_vector(log2(vga_width)-1 downto 0);
signal active : std_logic;
signal timer : std_logic_vector(3 downto 0);
begin
valid <= active;
output <= x;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
if(enable = '1')then
last <= input;
--if(timer > time_val)then
if(x < vga_width)then
x <= x+1;
active <='1';
end if;
-- timer <= (others=>'0');
--end if;
--timer <= timer + 1;
if(x >= vga_width and ((signed(input) >= signed(value) and signed(last) <= signed(value)) ) )then
x <= (others=>'0');
active <='0';
end if;
else
if(x < vga_width)then
x <= x+1;
else
x <= (others=>'0');
end if;
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | 44fef2447b229003c639099c492ea119 | 0.545455 | 3.110224 | false | false | false | false |
amerryfellow/dlx | testbench.vhd | 1 | 2,898 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.ROCACHE_PKG.all;
use work.RWCACHE_PKG.all;
entity DLX_TB is
end DLX_TB;
architecture TEST of DLX_TB is
component ROMEM is
generic (
file_path : string(1 to 87) := "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rocache/hex.txt";
ENTRIES : integer := 256;
WORD_SIZE : integer := 32;
data_delay : natural := 2
);
port (
CLK : in std_logic;
RST : in std_logic;
ADDRESS : in std_logic_vector(WORD_SIZE - 1 downto 0);
ENABLE : in std_logic;
DATA_READY : out std_logic;
DATA : out std_logic_vector(2*WORD_SIZE - 1 downto 0)
);
end component;
component RWMEM is
generic (
file_path: string(1 to 87):= "/home/gandalf/Documents/Universita/Postgrad/Modules/Microelectronic/dlx/rwcache/hex.txt";
Data_size : natural := 64;
Instr_size: natural := 32;
RAM_DEPTH: natural := 128;
data_delay: natural := 2
);
port (
CLK : in std_logic;
RST : in std_logic;
ADDR : in std_logic_vector(Instr_size - 1 downto 0);
ENABLE : in std_logic;
READNOTWRITE : in std_logic;
DATA_READY : out std_logic;
INOUT_DATA : inout std_logic_vector(Data_size-1 downto 0)
);
end component;
component DLX is
port (
-- Inputs
CLK : in std_logic; -- Clock
RST : in std_logic; -- Reset:Active-High
IRAM_ADDRESS : out std_logic_vector(Instr_size - 1 downto 0);
IRAM_ISSUE : out std_logic;
IRAM_READY : in std_logic;
IRAM_DATA : in std_logic_vector(2*Data_size-1 downto 0);
DRAM_ADDRESS : out std_logic_vector(Instr_size-1 downto 0);
DRAM_ISSUE : out std_logic;
DRAM_READNOTWRITE : out std_logic;
DRAM_READY : in std_logic;
DRAM_DATA : inout std_logic_vector(2*Data_size-1 downto 0)
);
end component;
signal CLK : std_logic := '0'; -- Clock
signal RST : std_logic; -- Reset:Active-Low
signal IRAM_ADDRESS : std_logic_vector(Instr_size - 1 downto 0);
signal IRAM_ENABLE : std_logic;
signal IRAM_READY : std_logic;
signal IRAM_DATA : std_logic_vector(2*Data_size-1 downto 0);
signal DRAM_ADDRESS : std_logic_vector(Instr_size-1 downto 0);
signal DRAM_ENABLE : std_logic;
signal DRAM_READNOTWRITE : std_logic;
signal DRAM_READY : std_logic;
signal DRAM_DATA : std_logic_vector(2*Data_size-1 downto 0);
begin
-- IRAM
IRAM : ROMEM
port map (CLK, RST, IRAM_ADDRESS, IRAM_ENABLE, IRAM_READY, IRAM_DATA);
-- DRAM
DRAM : RWMEM
port map ( CLK, RST, DRAM_ADDRESS, DRAM_ENABLE, DRAM_READNOTWRITE, DRAM_READY, DRAM_DATA );
-- DLX
GIANLUCA : DLX
port map ( CLK, RST, IRAM_ADDRESS, IRAM_ENABLE, IRAM_READY, IRAM_DATA, DRAM_ADDRESS, DRAM_ENABLE, DRAM_READNOTWRITE, DRAM_READY, DRAM_DATA );
Clk <= not Clk after 10 ns;
Rst <= '1', '0' after 5 ns;
end test;
| gpl-3.0 | 747905af3f460b42b09bc2643516cd89 | 0.653209 | 2.703358 | false | false | false | false |
keith-epidev/VHDL-lib | src/components/pulser/pulser.vhd | 1 | 825 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity pulser is
generic(
delay:integer := 500000
);
port(
clk: in std_logic;
enable: in std_logic;
output: out std_logic
);
end pulser;
architecture Behavioral of pulser is
signal timer: std_logic_vector(log2(delay)-1 downto 0);
signal pulse: std_logic;
begin
output <= pulse;
pulser_signal:process(clk)
begin
if(clk'event and clk = '1')then
if(pulse = '1')then
pulse <= '0';
end if;
if(enable = '1') then
if(timer < delay -1)then
timer <= timer + 1;
else
pulse <= '1';
timer <= (others=>'0');
end if;
else
timer <= (others=>'0');
end if;
end if;
end process;
end Behavioral;
| gpl-2.0 | a7b6c86dd4e1e9bf1d1d9f97a86bf213 | 0.595152 | 2.894737 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_pipe_v3_0/hdl/xbip_pipe_v3_0_viv_comp.vhd | 12 | 7,405 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TCf5JGgj/7ugzS/sKy0pUT5UHsQVCij5kMFYoFTJ8tss1iq5w0ZsMUYUr3jpzKxBpD03WfXcwZSA
wetHjviYAQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UCJ06o+/kNN4HFRiq8296i5eutve9tCcrt5e2TfD/ql1IGfnmHwDww7XpwWxR95wLZ0hiU9nZmfj
Lw3s0XwfHU2NgrlFg0g32Kl0szP+Kdxx/k1o5CNONeIoyI9qzrNvwVUcai8HTb2d0mMFW5jqblFt
lCzqoWjM7rVPr35MTi4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LEotkU+GtjlZNF3pNiQmTk7PYmxXham/0WU4S36F1R9sCsEeEKVZXi743WQ0P0GmzMoUulsv8krk
WVdt+58hcEp8TU+GzmWp16zuY+PSFGDJNbFXHyxv4RdjvUreDjvBn9I6ZxMoZOsZolEJUph5KMDL
YwB3DDF4fxPWGN1ZQtxP6hBRKtJK2HMCeA2jW1l4EizvArXE3WTMI8FtiFNufmRZrXapSnnzzTWr
AsaXt/ydEUJMd94wTb/lpbwR8o5vY+RIvoZWTULJo8bednl2A82O/igcAv+YQpt92NCRiLzvTCc0
mEBDSjEY6WrQM2ePDm5q2EQ0v4khEuZvQ4XvEg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AlLH/YgHj6mCBY3xSgnGDnQthI+brmGsURwYmiEQOK2t2bIUGrPEGqF4YLjOuzqMxH9wtbTXkH0t
4FrZS8vRJyL84tvltEegmAM1YWNuU2HKMUcl/r1E67WcEhZULYJkTt17CDGMnNnafpjmJNIgfvsP
RSUpXAbqimD9ZS1O+QM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mPhPeZbc7L4U7Cq2HPffhm6Uvs7gz7fvzp6+KTcIjExMeR13Iqd8726U0Y9I9t/WGlzGcfti6G7N
KJv7iiK42A5gaFMX4M739rF6FQIKnzLWNkRfLGyazDmpufyaw28bK5sLrHYb0zkKcZgIpFCNXDB2
+YObeA6WZXZbkxcQiYPYb+YfoGi6XgdCGTU8qh4v6JiRF+mshmKjRc8hvpIKi75csaLsU+/z1q/d
LWI0wg05IFu5WHOCd4B2g5MY4eFjpPywXsPr4H1echWFvqSHM+XniLfD3pwQFljHXjOK2EnMYbux
5cn+wIrk1WZF76IlHNa6fUvO/qFzgsHHk9im1g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 3744)
`protect data_block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`protect end_protected
| gpl-2.0 | 45117e99fd3aa81c08af2e7ea8151e7c | 0.912627 | 1.952795 | false | false | false | false |
amerryfellow/dlx | basics/comp_struct.vhd | 1 | 861 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use WORK.alu_types.all;
entity COMPARATOR is
generic(N:integer:=NSUMG);
port(
SUM: in std_logic_vector(N-1 downto 0);
Cout: in std_logic;
ALEB: out std_logic;
ALB: out std_logic;
AGB: out std_logic;
AGEB: out std_logic;
ANEB: out std_logic;
AEB: out std_logic
);
end COMPARATOR;
architecture struct of COMPARATOR is
signal Z: std_logic:='0';
component nor32to1
port (
A: in std_logic_vector(N-1 downto 0);
Z: out std_logic
);
end component;
begin
NOR_OUT: nor32to1 port map(SUM,Z);
-- A LOWER THAN B
ALB <= (not Cout);
-- A LOWER OR EQUAL TO B
ALEB <= ((not Cout) or Z);
-- A GREATER B
AGB <= ((not Z) and Cout);
-- A GREATER OR EQUAL B
AGEB <= Cout;
-- A EQUAL B
AEB <= Z;
-- A NOT EQUAL B
ANEB <= not Z;
end struct; | gpl-3.0 | b79b328e1aed2145ed316e4dae78d65c | 0.634146 | 2.495652 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/simulation/bmg_stim_gen.vhd | 2 | 12,278 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_stim_gen.vhd
--
-- Description:
-- Stimulus Generation For SDP Configuration
-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the
-- simulation ends
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY REGISTER_LOGIC IS
PORT(
Q : OUT STD_LOGIC;
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
D : IN STD_LOGIC
);
END REGISTER_LOGIC;
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS
SIGNAL Q_O : STD_LOGIC :='0';
BEGIN
Q <= Q_O;
FF_BEH: PROCESS(CLK)
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST ='1') THEN
Q_O <= '0';
ELSE
Q_O <= D;
END IF;
END IF;
END PROCESS;
END REGISTER_ARCH;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY BMG_STIM_GEN IS
PORT (
CLKA : IN STD_LOGIC;
CLKB : IN STD_LOGIC;
TB_RST : IN STD_LOGIC;
ADDRA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
DINA : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0');
ADDRB: OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
CHECK_DATA: OUT STD_LOGIC:='0'
);
END BMG_STIM_GEN;
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_INT : STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
SIGNAL DO_WRITE : STD_LOGIC := '0';
SIGNAL DO_READ : STD_LOGIC := '0';
SIGNAL DO_READ_R : STD_LOGIC := '0';
SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0');
SIGNAL PORTA_WR : STD_LOGIC:='0';
SIGNAL COUNT : INTEGER :=0;
SIGNAL INCR_WR_CNT : STD_LOGIC:='0';
SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD : STD_LOGIC:='0';
SIGNAL COUNT_RD : INTEGER :=0;
SIGNAL INCR_RD_CNT : STD_LOGIC:='0';
SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTA_WR_L1 :STD_LOGIC := '0';
SIGNAL PORTA_WR_L2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R2 :STD_LOGIC := '0';
SIGNAL PORTB_RD_R1 :STD_LOGIC := '0';
SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0';
SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0';
SIGNAL PORTB_RD_L1 : STD_LOGIC := '0';
SIGNAL PORTB_RD_L2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R2 : STD_LOGIC := '0';
SIGNAL PORTA_WR_R1 : STD_LOGIC := '0';
CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8;
CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((4 <= 8),WR_RD_DEEP_COUNT,
((4/64)*WR_RD_DEEP_COUNT));
CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((8 <= 4),WR_RD_DEEP_COUNT,
((64/4)*WR_RD_DEEP_COUNT));
BEGIN
ADDRA <= WRITE_ADDR(3 DOWNTO 0) ;
DINA <= DINA_INT ;
ADDRB <= READ_ADDR(7 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0');
CHECK_DATA <= DO_READ;
RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 256 ,
RST_INC => 16 )
PORT MAP(
CLK => CLKB,
RST => TB_RST,
EN => DO_READ,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => READ_ADDR
);
WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN
GENERIC MAP(
C_MAX_DEPTH => 16,
RST_INC => 1 )
PORT MAP(
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
LOAD => '0',
LOAD_VALUE => ZERO,
ADDR_OUT => WRITE_ADDR
);
WR_DATA_GEN_INST:ENTITY work.DATA_GEN
GENERIC MAP (
DATA_GEN_WIDTH => 64,
DOUT_WIDTH => 64 ,
DATA_PART_CNT => 0,
SEED => 2)
PORT MAP (
CLK => CLKA,
RST => TB_RST,
EN => DO_WRITE,
DATA_OUT => DINA_INT
);
PORTA_WR_PROCESS: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTA_WR<='1';
ELSE
PORTA_WR<=PORTB_RD_COMPLETE;
END IF;
END IF;
END PROCESS;
PORTB_RD_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTB_RD<='0';
ELSE
PORTB_RD<=PORTA_WR_L2;
END IF;
END IF;
END PROCESS;
PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
ELSIF(PORTB_RD_COMPLETE='1') THEN
LATCH_PORTB_RD_COMPLETE <='1';
ELSIF(PORTA_WR_HAPPENED='1') THEN
LATCH_PORTB_RD_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_L1 <='0';
PORTB_RD_L2 <='0';
ELSE
PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE;
PORTB_RD_L2 <= PORTB_RD_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_R1 <='0';
PORTA_WR_R2 <='0';
ELSE
PORTA_WR_R1 <= PORTA_WR;
PORTA_WR_R2 <= PORTA_WR_R1;
END IF;
END IF;
END PROCESS;
PORTA_WR_HAPPENED <= PORTA_WR_R2;
PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
LATCH_PORTA_WR_COMPLETE<='0';
ELSIF(PORTA_WR_COMPLETE='1') THEN
LATCH_PORTA_WR_COMPLETE <='1';
--ELSIF(PORTB_RD_HAPPENED='1') THEN
ELSE
LATCH_PORTA_WR_COMPLETE<='0';
END IF;
END IF;
END PROCESS;
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
PORTA_WR_L1 <='0';
PORTA_WR_L2 <='0';
ELSE
PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE;
PORTA_WR_L2 <= PORTA_WR_L1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(TB_RST='1') THEN
PORTB_RD_R1 <='0';
PORTB_RD_R2 <='0';
ELSE
PORTB_RD_R1 <= PORTB_RD;
PORTB_RD_R2 <= PORTB_RD_R1;
END IF;
END IF;
END PROCESS;
PORTB_RD_HAPPENED <= PORTB_RD_R2;
PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0';
start_rd_counter: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
incr_rd_cnt <= '0';
elsif(portb_rd ='1') then
incr_rd_cnt <='1';
elsif(portb_rd_complete='1') then
incr_rd_cnt <='0';
end if;
end if;
end process;
RD_COUNTER: process(clkb)
begin
if(rising_edge(clkb)) then
if(tb_rst='1') then
count_rd <= 0;
elsif(incr_rd_cnt='1') then
count_rd<=count_rd+1;
end if;
--if(count_rd=(wr_rd_deep_count)) then
if(count_rd=(RD_DEEP_COUNT)) then
count_rd<=0;
end if;
end if;
end process;
DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0';
PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0';
start_counter: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
incr_wr_cnt <= '0';
elsif(porta_wr ='1') then
incr_wr_cnt <='1';
elsif(porta_wr_complete='1') then
incr_wr_cnt <='0';
end if;
end if;
end process;
COUNTER: process(clka)
begin
if(rising_edge(clka)) then
if(tb_rst='1') then
count <= 0;
elsif(incr_wr_cnt='1') then
count<=count+1;
end if;
if(count=(WR_DEEP_COUNT)) then
count<=0;
end if;
end if;
end process;
DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0';
BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE
BEGIN
DFF_RIGHT: IF I=0 GENERATE
BEGIN
SHIFT_INST_0: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(0),
CLK => CLKB,
RST => TB_RST,
D => DO_READ
);
END GENERATE DFF_RIGHT;
DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE
BEGIN
SHIFT_INST: ENTITY work.REGISTER_LOGIC
PORT MAP(
Q => DO_READ_REG(I),
CLK =>CLKB,
RST =>TB_RST,
D =>DO_READ_REG(I-1)
);
END GENERATE DFF_OTHERS;
END GENERATE BEGIN_SHIFT_REG;
REGCE_PROCESS: PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(TB_RST='1') THEN
DO_READ_R <= '0';
ELSE
DO_READ_R <= DO_READ;
END IF;
END IF;
END PROCESS;
WEA(0) <= DO_WRITE ;
END ARCHITECTURE;
| gpl-3.0 | b29e4530970d69c60bead6135bda82c7 | 0.542515 | 3.544457 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/dds/sim/dds.vhd | 1 | 8,445 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:dds_compiler:6.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY dds_compiler_v6_0;
USE dds_compiler_v6_0.dds_compiler_v6_0;
ENTITY dds IS
PORT (
aclk : IN STD_LOGIC;
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END dds;
ARCHITECTURE dds_arch OF dds IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF dds_arch: ARCHITECTURE IS "yes";
COMPONENT dds_compiler_v6_0 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_MODE_OF_OPERATION : INTEGER;
C_MODULUS : INTEGER;
C_ACCUMULATOR_WIDTH : INTEGER;
C_CHANNELS : INTEGER;
C_HAS_PHASE_OUT : INTEGER;
C_HAS_PHASEGEN : INTEGER;
C_HAS_SINCOS : INTEGER;
C_LATENCY : INTEGER;
C_MEM_TYPE : INTEGER;
C_NEGATIVE_COSINE : INTEGER;
C_NEGATIVE_SINE : INTEGER;
C_NOISE_SHAPING : INTEGER;
C_OUTPUTS_REQUIRED : INTEGER;
C_OUTPUT_FORM : INTEGER;
C_OUTPUT_WIDTH : INTEGER;
C_PHASE_ANGLE_WIDTH : INTEGER;
C_PHASE_INCREMENT : INTEGER;
C_PHASE_INCREMENT_VALUE : STRING;
C_RESYNC : INTEGER;
C_PHASE_OFFSET : INTEGER;
C_PHASE_OFFSET_VALUE : STRING;
C_OPTIMISE_GOAL : INTEGER;
C_USE_DSP48 : INTEGER;
C_POR_MODE : INTEGER;
C_AMPLITUDE : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_HAS_TLAST : INTEGER;
C_HAS_TREADY : INTEGER;
C_HAS_S_PHASE : INTEGER;
C_S_PHASE_TDATA_WIDTH : INTEGER;
C_S_PHASE_HAS_TUSER : INTEGER;
C_S_PHASE_TUSER_WIDTH : INTEGER;
C_HAS_S_CONFIG : INTEGER;
C_S_CONFIG_SYNC_MODE : INTEGER;
C_S_CONFIG_TDATA_WIDTH : INTEGER;
C_HAS_M_DATA : INTEGER;
C_M_DATA_TDATA_WIDTH : INTEGER;
C_M_DATA_HAS_TUSER : INTEGER;
C_M_DATA_TUSER_WIDTH : INTEGER;
C_HAS_M_PHASE : INTEGER;
C_M_PHASE_TDATA_WIDTH : INTEGER;
C_M_PHASE_HAS_TUSER : INTEGER;
C_M_PHASE_TUSER_WIDTH : INTEGER;
C_DEBUG_INTERFACE : INTEGER;
C_CHAN_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_phase_tvalid : IN STD_LOGIC;
s_axis_phase_tready : OUT STD_LOGIC;
s_axis_phase_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_phase_tlast : IN STD_LOGIC;
s_axis_phase_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_config_tlast : IN STD_LOGIC;
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_data_tlast : OUT STD_LOGIC;
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_phase_tvalid : OUT STD_LOGIC;
m_axis_phase_tready : IN STD_LOGIC;
m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_phase_tlast : OUT STD_LOGIC;
m_axis_phase_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
event_pinc_invalid : OUT STD_LOGIC;
event_poff_invalid : OUT STD_LOGIC;
event_phase_in_invalid : OUT STD_LOGIC;
event_s_phase_tlast_missing : OUT STD_LOGIC;
event_s_phase_tlast_unexpected : OUT STD_LOGIC;
event_s_phase_chanid_incorrect : OUT STD_LOGIC;
event_s_config_tlast_missing : OUT STD_LOGIC;
event_s_config_tlast_unexpected : OUT STD_LOGIC
);
END COMPONENT dds_compiler_v6_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA";
BEGIN
U0 : dds_compiler_v6_0
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_MODE_OF_OPERATION => 0,
C_MODULUS => 9,
C_ACCUMULATOR_WIDTH => 46,
C_CHANNELS => 5,
C_HAS_PHASE_OUT => 0,
C_HAS_PHASEGEN => 1,
C_HAS_SINCOS => 1,
C_LATENCY => 3,
C_MEM_TYPE => 1,
C_NEGATIVE_COSINE => 0,
C_NEGATIVE_SINE => 0,
C_NOISE_SHAPING => 0,
C_OUTPUTS_REQUIRED => 2,
C_OUTPUT_FORM => 0,
C_OUTPUT_WIDTH => 8,
C_PHASE_ANGLE_WIDTH => 8,
C_PHASE_INCREMENT => 2,
C_PHASE_INCREMENT_VALUE => "11001100110011001100110011001100110,110011001100110011001100110011001100,1100110011001100110011001100110011001,11001100110011001100110011001100110011,110011001100110011001100110011001100110,0,0,0,0,0,0,0,0,0,0,0",
C_RESYNC => 0,
C_PHASE_OFFSET => 0,
C_PHASE_OFFSET_VALUE => "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0",
C_OPTIMISE_GOAL => 0,
C_USE_DSP48 => 0,
C_POR_MODE => 0,
C_AMPLITUDE => 0,
C_HAS_ACLKEN => 0,
C_HAS_ARESETN => 0,
C_HAS_TLAST => 0,
C_HAS_TREADY => 0,
C_HAS_S_PHASE => 0,
C_S_PHASE_TDATA_WIDTH => 1,
C_S_PHASE_HAS_TUSER => 0,
C_S_PHASE_TUSER_WIDTH => 1,
C_HAS_S_CONFIG => 0,
C_S_CONFIG_SYNC_MODE => 0,
C_S_CONFIG_TDATA_WIDTH => 1,
C_HAS_M_DATA => 1,
C_M_DATA_TDATA_WIDTH => 16,
C_M_DATA_HAS_TUSER => 0,
C_M_DATA_TUSER_WIDTH => 1,
C_HAS_M_PHASE => 0,
C_M_PHASE_TDATA_WIDTH => 1,
C_M_PHASE_HAS_TUSER => 0,
C_M_PHASE_TUSER_WIDTH => 1,
C_DEBUG_INTERFACE => 0,
C_CHAN_WIDTH => 3
)
PORT MAP (
aclk => aclk,
aclken => '1',
aresetn => '1',
s_axis_phase_tvalid => '0',
s_axis_phase_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_phase_tlast => '0',
s_axis_phase_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_config_tvalid => '0',
s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_config_tlast => '0',
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '0',
m_axis_data_tdata => m_axis_data_tdata,
m_axis_phase_tready => '0'
);
END dds_arch;
| gpl-2.0 | fe0280f208e7bf04b912e7454ee2208f | 0.648668 | 3.380705 | false | true | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/floating_point_v7_0_viv.vhd | 2 | 132,446 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nKGYWXM8ORBKRfTq5uCD/4jI1z3echiRvNZGMjP9e8Wws9vOAZbzA//BgKFs2RsolSN0RNIfferw
YPGcY4cBjw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NsdNOB8RAaAaDe6qzZzEoZla8ptjtlSxOI/ylWBNndS2hDL6IuMnYg1grBm4GXmiFk75ZSRfRAMC
DJcQTMQFD9NQhLa9uu2yXRaxQVe/xDW4zC/qgxvtTc9tuwVWF0x5b3HFChQXuj+n1sRT9THTKHdB
03E0wPhnLI7ys/0VS8Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YrfEV2TAu9CqKavPC+UrIdYNyJmhH3W5AvyzlqqSsGbkKGiyIKGx6n+3rMOQQR4z7KCVVqkDGDSW
zFBvVdgbQKRkToFTC3VAVKNf116T2YG2DIzkw8bMDHRDANwMKARpRmuhnE9dlvluI8FP6g9aTuG7
xoysNw+P/zmOB/Ajr+l/VBxF0ST2yWuEZC9ZVMDTEmkhixIXu8yKJBKmMQ3+wZbZ6enbZCOAq/Ng
rH4g0Ez7IRDXRYqjebkraQIS/HZuYQ+h69PVtcDKvhbt2vwHMVvHnrkD9tVHeUX40QMefj2Qrvgl
cbtRXoZVk6ECwXGJXvw6fRt1WWb4mEDCyF9mwA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jwAJi4xDWZt9c93u5FenYDieNvJWRwZwPwIvW4y6z0qGsGmRxGvC6x4l3hC4ixRm5fCyfHAZG5Lu
HWCJ5fGX1eZKaZyJJwG9F7VL0NCWYuGTIaVBTBi8eNZjrVhagMvqXKA4gD1BAlisXhtA13t0qaCW
IlwyxxA9qVeur+WhKz8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XumEMy5fwF58La4biDe/mvF36LtamvqrTSLbqXJeZS0lAibwG6rPuKaV0pcd7JuApE78F+ymvTmz
VM5L/zvnwL6GjADuPpcAUJbGSX4JvblLxuINXWdrBJr59+b99pfd7xnkjBjwEUkOXCbxDjiUkFCC
+36z+iPpRFG/tHAM1BinFSwx58KSuI8CFwxIis7Gc2qqpf4EmHgJPzrLcNqLKnar4qYJCd8+L99U
xyntvGecX5AfVm3iAf+bUT995H1bhqu2m0qcblldDCANaP9PAspcCRstYkYTSviefUm+bvoKfQfH
74z+O7CS3sqGRQ9hIh4+8WkiHipMIwJ3/BF/YA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 96304)
`protect data_block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`protect end_protected
| gpl-2.0 | 7a077a17232f24bc4b4a53226f499c51 | 0.953128 | 1.811203 | false | false | false | false |
UVVM/uvvm_vvc_framework | uvvm_util/src/uvvm_util_context.vhd | 2 | 1,656 | --========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context uvvm_util_context is
library uvvm_util;
use uvvm_util.types_pkg.all;
use uvvm_util.global_signals_and_shared_variables_pkg.all;
use uvvm_util.hierarchy_linked_list_pkg.all;
use uvvm_util.string_methods_pkg.all;
use uvvm_util.adaptations_pkg.all;
use uvvm_util.methods_pkg.all;
use uvvm_util.bfm_common_pkg.all;
use uvvm_util.alert_hierarchy_pkg.all;
use uvvm_util.license_pkg.all;
use uvvm_util.protected_types_pkg.all;
end context;
| mit | 6b70dfd36bd3e8e35f860fb3a97a6b37 | 0.56099 | 4.856305 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_axi/src/transaction_pkg.vhd | 1 | 9,380 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library work;
use work.axi_bfm_pkg.all;
--=================================================================================================
--=================================================================================================
--=================================================================================================
package transaction_pkg is
--===============================================================================================
-- t_operation
-- - Bitvis defined BFM operations
--===============================================================================================
type t_operation is (
-- UVVM common
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
-- VVC local
WRITE, READ, CHECK);
constant C_VVC_CMD_MAX_BURST_WORDS : natural := 256;
constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 256;
constant C_VVC_CMD_ADDR_MAX_LENGTH : natural := 32;
constant C_VVC_CMD_ID_MAX_LENGTH : natural := 32;
constant C_VVC_CMD_USER_MAX_LENGTH : natural := 128;
constant C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH : natural := C_VVC_CMD_DATA_MAX_LENGTH/8;
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--==========================================================================================
--
-- Transaction info types, constants and global signal
--
--==========================================================================================
-- Transaction status
type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED);
constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE;
-- VVC Meta
type t_vvc_meta is record
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
cmd_idx : integer;
end record;
constant C_VVC_META_DEFAULT : t_vvc_meta := (
msg => (others => ' '),
cmd_idx => -1
);
-- Base transaction
type t_base_transaction is record
operation : t_operation;
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
end record;
constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := (
operation => NO_OPERATION,
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT
);
type t_arw_transaction is record
operation : t_operation;
arwid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0);
arwaddr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0);
arwlen : unsigned(7 downto 0);
arwsize : integer range 1 to 128;
arwburst : t_axburst;
arwlock : t_axlock;
arwcache : std_logic_vector(3 downto 0);
arwprot : t_axprot;
arwqos : std_logic_vector(3 downto 0);
arwregion : std_logic_vector(3 downto 0);
arwuser : std_logic_vector(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0);
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
end record t_arw_transaction;
constant C_ARW_TRANSACTION_DEFAULT : t_arw_transaction := (
operation => NO_OPERATION,
arwid => (others=>'0'),
arwaddr => (others=>'0'),
arwlen => (others=>'0'),
arwsize => 4,
arwburst => INCR,
arwlock => NORMAL,
arwcache => (others=>'0'),
arwprot => UNPRIVILEGED_NONSECURE_DATA,
arwqos => (others=>'0'),
arwregion => (others=>'0'),
arwuser => (others=>'0'),
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT
);
type t_w_transaction is record
operation : t_operation;
wdata : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
wstrb : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0);
wuser : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0);
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
end record t_w_transaction;
constant C_W_TRANSACTION_DEFAULT : t_w_transaction := (
operation => NO_OPERATION,
wdata => (others=>(others=>'0')),
wstrb => (others=>(others=>'0')),
wuser => (others=>(others=>'0')),
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT
);
type t_b_transaction is record
operation : t_operation;
bid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0);
bresp : t_xresp;
buser : std_logic_vector(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0);
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
end record t_b_transaction;
constant C_B_TRANSACTION_DEFAULT : t_b_transaction := (
operation => NO_OPERATION,
bid => (others=>'0'),
bresp => OKAY,
buser => (others=>'0'),
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT
);
type t_r_transaction is record
operation : t_operation;
rid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0);
rdata : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
rresp : t_xresp_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1);
ruser : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0);
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
end record t_r_transaction;
constant C_R_TRANSACTION_DEFAULT : t_r_transaction := (
operation => NO_OPERATION,
rid => (others=>'0'),
rdata => (others=>(others=>'0')),
rresp => (others=>OKAY),
ruser => (others=>(others=>'0')),
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT
);
-- Transaction group
type t_transaction_group is record
bt_wr : t_base_transaction;
bt_rd : t_base_transaction;
st_aw : t_arw_transaction;
st_w : t_w_transaction;
st_b : t_b_transaction;
st_ar : t_arw_transaction;
st_r : t_r_transaction;
end record;
constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := (
bt_wr => C_BASE_TRANSACTION_SET_DEFAULT,
bt_rd => C_BASE_TRANSACTION_SET_DEFAULT,
st_aw => C_ARW_TRANSACTION_DEFAULT,
st_w => C_W_TRANSACTION_DEFAULT,
st_b => C_B_TRANSACTION_DEFAULT,
st_ar => C_ARW_TRANSACTION_DEFAULT,
st_r => C_R_TRANSACTION_DEFAULT
);
-- Global transaction info trigger signal
type t_axi_transaction_trigger_array is array (natural range <>) of std_logic;
signal global_axi_vvc_transaction_trigger : t_axi_transaction_trigger_array(0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => '0');
-- Type is defined as array to coincide with channel based VVCs
type t_axi_transaction_group_array is array (natural range <>) of t_transaction_group;
-- Shared transaction info variable
shared variable shared_axi_vvc_transaction_info : t_axi_transaction_group_array(0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => C_TRANSACTION_GROUP_DEFAULT);
end package transaction_pkg; | mit | ddc1bdd4cc85194c42445112d6b0e591 | 0.513966 | 4.154119 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/ProjLab01.vhd | 1 | 16,247 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Rob Mushrall
-- Timothy Doucette Jr
-- Christopher Parks
--
-- Create Date: 15:43:26 03/25/2016
-- Design Name:
-- Module Name: ProjLab01 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
entity ProjLab01 is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
--instruction : in STD_LOGIC_VECTOR (15 downto 0);
ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0);
DST_ADR : out STD_LOGIC_VECTOR (15 downto 0);
STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0);
CCR : out STD_LOGIC_VECTOR (3 downto 0);
DEBUG_OUT : out STD_LOGIC_VECTOR (15 downto 0));
end ProjLab01;
architecture Structural of ProjLab01 is
signal OP1, OP2, OP3, OP4, OP_DC1, OP_DC2 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RA1, RA2, RA3 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RA4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1');
signal RB1, RB2, RB3, RB4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal PC0, PC1, PC2, PC3, PC4 : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0');
signal IMM1, IMM2, IMM3 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal GLOBAL_EN : STD_LOGIC := '1'; -- Determines whether things are enabled (allowed to operate)
signal IMM_SEL : STD_LOGIC := '0'; -- Determines selection between immediate data and RB
signal PC_EN, PC_INC : STD_LOGIC := '1'; -- Program counter enable
signal PC_RST : STD_LOGIC := '0';
signal INST_EN : STD_LOGIC := '1'; -- Enables instruction memory
signal RD_EN, WR_EN : STD_LOGIC := '0'; -- Enables the register bank to read, write
signal OPR1, OPR2, OPRB :STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- From reg bank to RA and RB data registers
signal OPIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RAIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RBIN : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal IMMIN : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal IMSEL : STD_LOGIC := '0';
signal OP1_SEL : STD_LOGIC_VECTOR (2 downto 0) := (OTHERS => '0');
signal OP2_SEL : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); -- Selector for data contention
signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Latched Result of ALU
signal ALU_VAL : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Result direct from ALU
signal ALU_OUT_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- flags output from ALU
signal ALU_FLAGS : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); -- latched flags from ALU
signal RA_IN, RB_IN : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values to go to DC Muxes
signal RA_OUT, RB_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Values from DC muxes to ALU
signal ALU_DC1, ALU_DC2: STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); -- Data contention ALU values
signal RA_DC1, RA_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RA values
signal RB_DC1, RB_DC2: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '1'); -- Data contention RB values
signal DATARD_EN, DATAWR_EN: STD_LOGIC := '0'; -- Enable reading or writing to/from Data Memory
----> Project Lab 2 <----
signal SH_DATA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal EXMEM_ADR, EXMEM_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal EXMEM_WREN, EXMEM_RDEN : STD_LOGIC_VECTOR (0 downto 0) := "0";
signal ALUMUX_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0');
signal ALU_BRANCH : STD_LOGIC := '0';
signal BRANCH_SIG : STD_LOGIC := '0';
signal STALL_SIG : STD_LOGIC := '0';
signal CORRECT_SIG : STD_LOGIC := '0';
signal OFF1, OFF2 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal FETCH_BRANCH : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0');
begin
ALU_OUT <= ALU_RESULT;
CCR <= ALU_FLAGS;
DST_ADR <= "00000000" & PC4(3 downto 0) & RA4;
DEBUG_OUT <= OPIN & RAIN & IMMIN;
-------- Debugging I/O --------
---------------------------------
--ALU_OUT <= "000" & RA4 & RB4 & PC4; --ALU_RESULT;
--STORE_DATA <= "000" & IMSEL & OP4 & IMM3;
--OPIN <= instruction(15 downto 12);
--RAIN <= instruction(11 downto 8);
--RBIN <= instruction(7 downto 4);
--IMMIN <= instruction (7 downto 0);
-------- ALU --------
-----------------------
ALU_UNIT : entity work.ALU_Toplevel
port map(RA => RA_OUT,
RB => RB_OUT,
OP => OP3,
RST => RST,
CLK => CLK,
ALU_OUT => ALU_VAL,
SREG => ALU_OUT_FLAGS,
LDST_DAT => STORE_DATA,
BRANCH => ALU_BRANCH);
--LDST_ADR => DST_ADR);
-------- Fetch --------
-------------------------
Fetch_UNIT : entity work.Instruction_Memory_TL
port map( CLK => CLK,
RST => RST,
BRANCH => '0',
BRNCH_ADR => FETCH_BRANCH,
RA => RAIN,
RB => RBIN,
OP => OPIN,
IMM => IMMIN,
PC => PC0);
-------- Control Units --------
---------------------------------
-- DISPTCH : entity work.Dispatch port map(CLK => CLK, -- (in)
-- OPC => OP2, -- (in)
-- RA => RA2, -- (in)
-- RB => RB2, -- (in)
-- RA4 => RA4, -- (in)
-- IMM_SEL => IMM_SEL, -- (out)
-- DC1 => DC2_1, -- (out)
-- DC2 => DC2_2); -- Dispatch control unit (out)
-- FETCH : entity work.Fetch_CTL port map(CLK => CLK, -- (in)
-- EN => GLOBAL_EN, -- (in)
-- RST => PC_RST, -- (out)
-- INC => PC_INC, -- (out)
-- PC_EN => PC_EN, -- (out)
-- INST_EN => INST_EN); -- Fetch control unit (out)
REGCTL : entity work.REG_CTL port map(CLK => CLK, -- (in)
OPC => OP1, -- (in)
OPC4 => OP4, -- (in)
RD_EN => RD_EN, -- (out)
WR_EN => WR_EN); -- Register control unit (out)
DCCTL : entity work.DC_CTL port map(CLK => CLK, -- (in)
RA => RA3, -- (in)
RB => RB3,
RA0 => RA4,
RA1 => RA_DC1,
RA2 => RA_DC2,
OPC0 => OP4,
OPC1 => OP_DC1,
OPC2 => OP_DC2,
OPC => OP3, -- (in)
OP1_SEL => OP1_SEL, -- (out)
OP2_SEL => OP2_SEL); -- Data contention (out)
DATA_CTL : entity work.DATA_CTL
port map(CLK => CLK,
EN => NOT STALL_SIG,
OP => OP3,
RD_EN => DATARD_EN,
WR_EN => DATAWR_EN);
IMSELECT : entity work.IMSEL
port map(OP => OP2,
SEL_IM => IMSEL);
EXMEM_CTL : entity work.EX_MEM_CTL
Port map(CLK => CLK,
EN => NOT STALL_SIG,
OP => OP3,
RD_EN => EXMEM_RDEN,
WR_EN => EXMEM_WREN);
-------- Project Lab 2 --------
---------------------------------
SHREG: entity work.Shadow_Reg
port map(RAddr => RB1(3 downto 2),
CLK => CLK,
RST => RST,
R => GLOBAL_EN,
W => '0',
RAout => SH_DATA);
EXMEM_ADDER: entity work.Shadow_IMM_Add
port map(SHADOW => SH_DATA,
IMM => IMM2(3 downto 0),
EX_ADDR => EXMEM_ADR);
EX_MEM: entity work.EXTERNAL_MEMORY
port map(clka => not CLK,
wea => EXMEM_WREN,
addra => EXMEM_ADR(13 downto 0),
dina => RA_OUT,
douta => EXMEM_OUT);
with OP3 select ALUMUX_OUT <=
EXMEM_OUT when "1011",
ALU_VAL when OTHERS;
-- Added OP Code pipeline registers so DC CTL
-- doesn't compare non address addresses
BranchPredict: entity work.BranchPredictor
port map(CLK => CLK,
ALUBranch => ALU_BRANCH,
OPC1 => OP1,
OPC3 => OP3,
OFFSET => OFF2,
PC4_DATIN => PC4,
PC4_DATOUT => FETCH_BRANCH,
VALID => CORRECT_SIG,
Branch => BRANCH_SIG);
-------- Pipeline Registers --------
--------------------------------------
----> Stage One <----
OP1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OPIN,
Dout => OP1);
RA1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RAIN,
Dout => RA1);
RB1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RBIN,
Dout => RB1);
IMM1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 8)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => IMMIN,
Dout => IMM1);
PC1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC0,
Dout => PC1);
----> Stage Two <----
OP2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OP1,
Dout => OP2);
RA2ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA1,
Dout => RA2);
RB2ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB1,
Dout => RB2);
OPR0_Reg: entity work.PipelineRegisters
generic map( dataWidth => 8)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => IMM1,
Dout => IMM2);
-- OPR1_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 16)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => F2OPR1,
-- Dout => S3OPR1);
-- OPR2_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 16)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => F2OPR2,
-- Dout => S3OPR2);
PC2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC1,
Dout => PC2);
----> Stage Three <----
RA3ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RA2,
Dout => RA3);
RB3ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => RB2,
Dout => RB3);
PC3_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => PC2,
Dout => PC3);
OP3_Reg: entity work.PipelineRegisters
generic map( datawidth => 4)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OP2,
Dout => OP3);
RA_DATA: entity work.PipelineRegisters
generic map( datawidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OPR1,
Dout => RA_IN);
RB_DATA: entity work.PipelineRegisters
generic map( datawidth => 16)
port map( Clk => CLK,
Ena => GLOBAL_EN,
Rst => RST,
Din => OPRB,
Dout => RB_IN);
OFFSET_DATA1: entity work.PipelineRegisters
generic map( datawidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => IMM2(3 downto 0),
Dout => OFF1);
----> Stage Four <----
RA4ADR_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => RA3,
Dout => RA4);
-- RB4ADR_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 4)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => RB3,
-- Dout => RB4);
PC4_Reg: entity work.PipelineRegisters
generic map( dataWidth => 5)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => PC3,
Dout => PC4);
ALU_OUT_Reg: entity work.PipelineRegisters
generic map( dataWidth => 16)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => ALUMUX_OUT,
Dout => ALU_RESULT);
ALU_FLAGS_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => ALU_OUT_FLAGS,
Dout => ALU_FLAGS);
OP4_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => OP3,
Dout => OP4);
OFFSET_DATA2: entity work.PipelineRegisters
generic map( datawidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => OFF1,
Dout => OFF2);
----> DC Stage 1 <----
ALU_OUT1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 16)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => ALU_RESULT,
Dout => ALU_DC1);
RA_DC1_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => RA4,
Dout => RA_DC1);
-- RB_DC1_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 4)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => RB4,
-- Dout => RB_DC1);
OP_DC1_Reg: entitY work.PipelineRegisters
generic map(dataWidth => 4)
port map(Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => OP4,
Dout => OP_DC1);
----> DC Stage 2 <----
ALU_OUT2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 16)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => ALU_DC1,
Dout => ALU_DC2);
RA_DC2_Reg: entity work.PipelineRegisters
generic map( dataWidth => 4)
port map( Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => RA_DC1,
Dout => RA_DC2);
-- RB_DC2_Reg: entity work.PipelineRegisters
-- generic map( dataWidth => 4)
-- port map( Clk => CLK,
-- Ena => GLOBAL_EN,
-- Rst => RST,
-- Din => RB_DC1,
-- Dout => RB_DC2);
OP_DC2_Reg: entity work.PipelineRegisters
generic map(dataWidth => 4)
port map(Clk => CLK,
Ena => NOT STALL_SIG,
Rst => RST,
Din => OP_DC1,
Dout => OP_DC2);
-------- Immediate Select Mux --------
----------------------------------------
with IMSEL select OPRB <=
x"00" & IMM2 when '1',
OPR2 when OTHERS;
-------- Memory Entities --------
-----------------------------------
-- ProgCounter: entity work.programCounter
-- generic map(PCWIDTH => 5)
-- port map( CLK => CLK,
-- EN => PC_EN,
-- RST => RST,
-- INSADR => PC0);
RegisterBank_Unit: entity work.RegisterBank
port map( RST => RST,
RAddr => RA1,
RBddr => RB1,
RWddr => RA4,
DATAIN => ALU_RESULT,
clk => CLK,
R => RD_EN,
W => WR_EN,
RAout => OPR1,
RBout => OPR2);
-------- Branching Entities --------
-----------------------------------
StallModuleControl: entity work.StallModuleControl
port map( CLK => CLK,
BRANCH => BRANCH_SIG,
CORRECTION => CORRECT_SIG,
STALL => STALL_SIG);
-------- Data Contention Handler --------
-------------------------------------------
with OP1_SEL select RA_OUT <=
ALU_RESULT when "001",
ALU_DC1 when "010",
ALU_DC2 when "011",
X"000" & RA3 when "100",
RA_IN when OTHERS;
with OP2_SEL select RB_OUT <=
ALU_RESUlt when "01",
ALU_DC1 when "10",
ALU_DC2 when "11",
RB_IN when OTHERS;
end Structural;
| gpl-3.0 | 4c906b89de27c0074213c85507e24966 | 0.529144 | 2.829009 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/top.vhd | 1 | 26,873 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 06.03.2014 15:08:57
-- Design Name:
-- Module Name: top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top is
Port ( clk_raw : in STD_LOGIC;
sw : in STD_LOGIC_VECTOR (7 downto 0);
btn : in STD_LOGIC_VECTOR (4 downto 0);
led : out STD_LOGIC_VECTOR (7 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end top;
architecture Behavioral of top is
constant vga_width : integer := 1920;
constant vga_height : integer := 1200;
constant dds_mag : integer := 16;
constant delay_length : integer := 14;
constant xwidth : integer := log2(vga_width);
constant ywidth : integer := log2(vga_height);
constant str_chars: integer := 50;
signal dbtn : std_logic_vector(4 downto 0);
signal clk_100MHz: std_logic;
signal clk_250MHz: std_logic;
signal ch1_x: std_logic_vector(xwidth-1 downto 0);
signal ch1_y: std_logic_vector(ywidth-1 downto 0);
signal ch1_trigger: std_logic_vector(ywidth-1 downto 0);
signal ch1_update: std_logic;
signal ch2_x: std_logic_vector(xwidth-1 downto 0);
signal ch2_y: std_logic_vector(ywidth-1 downto 0);
signal ch2_trigger: std_logic_vector(ywidth-1 downto 0);
signal ch2_update: std_logic;
signal mag: std_logic_vector(ywidth-1 downto 0);
signal mostsig: std_logic_vector(5 downto 0);
signal offset: std_logic_vector(ywidth-1 downto 0);
signal trigger1_enable: std_logic;
signal str : String(1 to str_chars);
signal str_std : std_logic_vector(8*str_chars-1 downto 0);
signal vline: std_logic_vector(ywidth-1 downto 0);
signal vline_clear: std_logic;
signal vline_enb: std_logic;
signal vline_enb_buf: std_logic;
signal delay_index: integer range 0 to 13 ;
signal amplitude : std_logic_vector(1 downto 0);
signal phase : std_logic_vector(15 downto 0);
signal dds_out: std_logic_vector(31 downto 0);
alias sine_raw: std_logic_vector(15 downto 0) is dds_out(15 downto 0);
alias cosine_raw: std_logic_vector(15 downto 0) is dds_out(31 downto 16);
signal sine_out: std_logic_vector(dds_mag-1 downto 0);
signal cosine_out: std_logic_vector(dds_mag-1 downto 0);
signal signed_ch1 :std_logic_vector(dds_mag-1 downto 0);
signal signed_ch2 :std_logic_vector(ywidth-1 downto 0);
signal scaled_ch1 :std_logic_vector(dds_mag-1 downto 0);
signal scaled_ch2 :std_logic_vector(ywidth-1 downto 0);
signal sw_buffer : std_logic_vector(7 downto 0);
signal valid: std_logic;
signal nums_of_zeros: integer;
signal w: integer;
signal fe: integer;
signal fir_input: STD_LOGIC_VECTOR(15 DOWNTO 0);
signal fir_output: STD_LOGIC_VECTOR(39 DOWNTO 0);
signal fir_valid: std_logic;
signal fir_ready: std_logic;
signal fir_extracted: std_logic_vector(15 downto 0);
signal time_val: std_logic_vector(6 downto 0);
signal s_axis_active: std_logic;
-----------------------------------------------------------------------
-- DUT signals
----------------------------------------------------------------------
-- Config slave channel signals
signal s_axis_config_tvalid : std_logic := '0'; -- payload is valid
signal s_axis_config_tready : std_logic := '1'; -- slave is ready
signal s_axis_config_tdata : std_logic_vector(7 downto 0) := (others => '0'); -- data payload
-- Data slave channel signals
signal s_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal s_axis_data_tready : std_logic := '1'; -- slave is ready
signal s_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload
signal s_axis_data_tlast : std_logic := '0'; -- indicates end of packet
-- Data master channel signals
signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid
signal m_axis_data_tready : std_logic := '1'; -- slave is ready
signal m_axis_data_tdata : std_logic_vector(63 downto 0) := (others => '0'); -- data payload
signal m_axis_data_tuser : std_logic_vector(15 downto 0) := (others => '0'); -- user-defined payload
signal m_axis_data_tlast : std_logic := '0'; -- indicates end of packet
-- Event signals
signal event_frame_started : std_logic := '0';
signal event_tlast_unexpected : std_logic := '0';
signal event_tlast_missing : std_logic := '0';
signal event_status_channel_halt : std_logic := '0';
signal event_data_in_channel_halt : std_logic := '0';
signal event_data_out_channel_halt : std_logic := '0';
alias fft_out_re : std_logic_vector(28 downto 0) is m_axis_data_tdata(28 downto 0);
alias fft_out_im : std_logic_vector(28 downto 0) is m_axis_data_tdata(60 downto 32);
alias fft_out_index:std_logic_vector(11 downto 0) is m_axis_data_tuser(11 downto 0);
signal fft_out_index_buf:std_logic_vector(11*delay_length-1 downto 0);
signal ch1_y_fft_in: std_logic_vector(15 downto 0);
signal sqr_re_i, sqr_im_i : std_logic_vector(28 downto 0);
signal sqr_re_o, sqr_im_o : std_logic_vector(57 downto 0);
signal sqr_summed: std_logic_vector(57 downto 0);
signal scale_sig: std_logic_vector(ywidth-1 downto 0);
signal top_6: std_logic_vector(5 downto 0);
signal mem_out_data,mem_out_data_buf : std_logic_vector(11 downto 0);
signal mem_out_address: std_logic_vector(11 downto 0);
signal white_noise: std_logic_vector(15 downto 0);
component clk_base is
port (
clk_raw : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
clk_100MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end component;
COMPONENT fir
PORT (
aclk : IN STD_LOGIC;
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0)
);
END COMPONENT;
COMPONENT fft
PORT (
aclk : IN STD_LOGIC;
s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_config_tvalid : IN STD_LOGIC;
s_axis_config_tready : OUT STD_LOGIC;
s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_data_tvalid : IN STD_LOGIC;
s_axis_data_tready : OUT STD_LOGIC;
s_axis_data_tlast : IN STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tready : IN STD_LOGIC;
m_axis_data_tlast : OUT STD_LOGIC;
event_frame_started : OUT STD_LOGIC;
event_tlast_unexpected:OUT STD_LOGIC;
event_tlast_missing : OUT STD_LOGIC;
event_status_channel_halt : OUT STD_LOGIC;
event_data_in_channel_halt : OUT STD_LOGIC;
event_data_out_channel_halt : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT multi_fft PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(28 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(57 DOWNTO 0)
);
END COMPONENT;
COMPONENT blk_mem_gen_0
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0)
);
END COMPONENT;
component trigger is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
enable: in STD_LOGIC;
input: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
value: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
valid: out STD_LOGIC;
output: out STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
time_val: in STD_LOGIC_VECTOR(6 downto 0)
);
end component;
component cro is
generic(
vga_width:integer := 1280;
vga_height:integer := 1024
);
Port ( clk_100MHz : in STD_LOGIC;
ch1_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch1_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch1_update: in STD_LOGIC;
ch2_x: in STD_LOGIC_VECTOR(log2(vga_width)-1 downto 0);
ch2_y: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
ch2_update: in STD_LOGIC;
vline: in STD_LOGIC_VECTOR(log2(vga_height)-1 downto 0);
vline_enb: in std_logic;
str: in std_logic_vector(8*50-1 downto 0);
VGA_DATA : out STD_LOGIC_VECTOR (11 downto 0);
VGA_HSYNC : out STD_LOGIC;
VGA_VSYNC : out STD_LOGIC
);
end component;
COMPONENT dds
PORT (
aclk : IN STD_LOGIC;
s_axis_phase_tvalid : IN STD_LOGIC;
s_axis_phase_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_data_tvalid : OUT STD_LOGIC;
m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
type modstate is (set_amplitude,set_phase,set_ch1_trigger,set_bits,set_fe,set_delay);
signal state : modstate;
begin
clk_base1: clk_base port map(clk_raw, clk_250MHz, clk_100MHz, open);
cro1: cro generic map(vga_width,vga_height) port map(clk_100MHz,ch1_x,ch1_y,ch1_update,ch2_x,ch2_y,ch2_update,vline,vline_enb_buf,str_std,VGA_DATA,VGA_HSYNC,VGA_VSYNC);
trigger1: trigger generic map(vga_width,vga_height) port map(clk_100MHz,trigger1_enable,ch1_y,ch1_trigger,ch1_update,ch1_x,(others=>'0'));
--trigger2: trigger generic map(vga_width,vga_height) port map(clk_100MHz,ch2_y,ch2_trigger,ch2_update,ch2_x,(others=>'0'));
dbounce1: debounce port map(clk_100MHz, btn(0), dbtn(0));
dbounce2: debounce port map(clk_100MHz, btn(4), dbtn(4));
dbounce3: debounce port map(clk_100MHz, btn(1), dbtn(1));
dbounce4: debounce port map(clk_100MHz, btn(3), dbtn(3));
--dbounce5: debounce port map(clk_100MHz, btn(2), dbtn(2));
prn1: prn32 generic map(n=>16) port map(clk_100MHz,white_noise);
fir1: fir
PORT MAP (
aclk => clk_100MHz,
s_axis_data_tvalid => '1',
s_axis_data_tready => fir_ready,
s_axis_data_tdata => fir_input,
m_axis_data_tvalid => fir_valid,
m_axis_data_tdata => fir_output
);
bitshift_div1: bitshift_div generic map(size=>dds_mag) port map(amplitude,signed_ch1,scaled_ch1);
sig_gen: dds
PORT MAP (
aclk => clk_100MHz,
s_axis_phase_tvalid => '1',
s_axis_phase_tdata => phase,
m_axis_data_tvalid => valid,
m_axis_data_tdata => dds_out
);
re_sqr: multi_fft
PORT MAP (
CLK => clk_100MHz,
A => sqr_re_i,
B => sqr_re_i,
P => sqr_re_o
);
im_sqr: multi_fft
PORT MAP (
CLK => clk_100MHz,
A => sqr_im_i,
B => sqr_im_i,
P => sqr_im_o
);
fft1: fft
PORT MAP (
aclk => clk_100MHz,
s_axis_config_tdata => X"01", -- fwd_inv
s_axis_config_tvalid => '1',
s_axis_config_tready => s_axis_config_tready,
s_axis_data_tdata => s_axis_data_tdata,
s_axis_data_tvalid => s_axis_data_tvalid,
s_axis_data_tready => s_axis_data_tready,
s_axis_data_tlast => s_axis_data_tlast,
m_axis_data_tdata => m_axis_data_tdata,
m_axis_data_tuser => m_axis_data_tuser,
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_data_tready => '1',
m_axis_data_tlast => m_axis_data_tlast,
event_frame_started => event_frame_started,
event_tlast_unexpected => event_tlast_unexpected,
event_tlast_missing => event_tlast_missing,
event_status_channel_halt => event_status_channel_halt,
event_data_in_channel_halt => event_data_in_channel_halt,
event_data_out_channel_halt => event_data_out_channel_halt
);
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
end if;
end process;
process(clk_100MHz)
variable TMP : std_logic;
begin
if(clk_100MHz'event and clk_100MHz='1')then
TMP := '0';
for I in 57 downto 0 loop
if (TMP = '0' and sqr_summed(I) = '1') then
nums_of_zeros <= I;
TMP :='1';
mag <= std_logic_vector( to_unsigned( (nums_of_zeros)*20, ywidth));
case I IS
when 6 to 57 => mostsig <= sqr_summed(I downto I-5);
when 5 => mostsig <= "0"&sqr_summed(I downto I-4);
when 4 => mostsig <= "00"&sqr_summed(I downto I-3);
when 3 => mostsig <= "000"&sqr_summed(I downto I-2);
when 2 => mostsig <= "0000"&sqr_summed(I downto I-1);
when 1 => mostsig <= "00000"&sqr_summed(I downto I-0);
when 0 => mostsig <= (others=>'0');
end case;
end if;
end loop;
case mostsig is
when std_logic_vector(to_unsigned(0,6)) => scale_sig <= std_logic_vector(to_unsigned(0,ywidth)) ;
when std_logic_vector(to_unsigned(1,6)) => scale_sig <= std_logic_vector(to_unsigned(0,ywidth)) ;
when std_logic_vector(to_unsigned(2,6)) => scale_sig <= std_logic_vector(to_unsigned(1,ywidth)) ;
when std_logic_vector(to_unsigned(3,6)) => scale_sig <= std_logic_vector(to_unsigned(1,ywidth)) ;
when std_logic_vector(to_unsigned(4,6)) => scale_sig <= std_logic_vector(to_unsigned(1,ywidth)) ;
when std_logic_vector(to_unsigned(5,6)) => scale_sig <= std_logic_vector(to_unsigned(2,ywidth)) ;
when std_logic_vector(to_unsigned(6,6)) => scale_sig <= std_logic_vector(to_unsigned(2,ywidth)) ;
when std_logic_vector(to_unsigned(7,6)) => scale_sig <= std_logic_vector(to_unsigned(2,ywidth)) ;
when std_logic_vector(to_unsigned(8,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ;
when std_logic_vector(to_unsigned(9,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ;
when std_logic_vector(to_unsigned(10,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ;
when std_logic_vector(to_unsigned(11,6)) => scale_sig <= std_logic_vector(to_unsigned(3,ywidth)) ;
when std_logic_vector(to_unsigned(12,6)) => scale_sig <= std_logic_vector(to_unsigned(4,ywidth)) ;
when std_logic_vector(to_unsigned(13,6)) => scale_sig <= std_logic_vector(to_unsigned(4,ywidth)) ;
when std_logic_vector(to_unsigned(14,6)) => scale_sig <= std_logic_vector(to_unsigned(4,ywidth)) ;
when std_logic_vector(to_unsigned(15,6)) => scale_sig <= std_logic_vector(to_unsigned(5,ywidth)) ;
when std_logic_vector(to_unsigned(16,6)) => scale_sig <= std_logic_vector(to_unsigned(5,ywidth)) ;
when std_logic_vector(to_unsigned(17,6)) => scale_sig <= std_logic_vector(to_unsigned(5,ywidth)) ;
when std_logic_vector(to_unsigned(18,6)) => scale_sig <= std_logic_vector(to_unsigned(6,ywidth)) ;
when std_logic_vector(to_unsigned(19,6)) => scale_sig <= std_logic_vector(to_unsigned(6,ywidth)) ;
when std_logic_vector(to_unsigned(20,6)) => scale_sig <= std_logic_vector(to_unsigned(6,ywidth)) ;
when std_logic_vector(to_unsigned(21,6)) => scale_sig <= std_logic_vector(to_unsigned(7,ywidth)) ;
when std_logic_vector(to_unsigned(22,6)) => scale_sig <= std_logic_vector(to_unsigned(7,ywidth)) ;
when std_logic_vector(to_unsigned(23,6)) => scale_sig <= std_logic_vector(to_unsigned(7,ywidth)) ;
when std_logic_vector(to_unsigned(24,6)) => scale_sig <= std_logic_vector(to_unsigned(8,ywidth)) ;
when std_logic_vector(to_unsigned(25,6)) => scale_sig <= std_logic_vector(to_unsigned(8,ywidth)) ;
when std_logic_vector(to_unsigned(26,6)) => scale_sig <= std_logic_vector(to_unsigned(8,ywidth)) ;
when std_logic_vector(to_unsigned(27,6)) => scale_sig <= std_logic_vector(to_unsigned(9,ywidth)) ;
when std_logic_vector(to_unsigned(28,6)) => scale_sig <= std_logic_vector(to_unsigned(9,ywidth)) ;
when std_logic_vector(to_unsigned(29,6)) => scale_sig <= std_logic_vector(to_unsigned(9,ywidth)) ;
when std_logic_vector(to_unsigned(30,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ;
when std_logic_vector(to_unsigned(31,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ;
when std_logic_vector(to_unsigned(32,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ;
when std_logic_vector(to_unsigned(33,6)) => scale_sig <= std_logic_vector(to_unsigned(10,ywidth)) ;
when std_logic_vector(to_unsigned(34,6)) => scale_sig <= std_logic_vector(to_unsigned(11,ywidth)) ;
when std_logic_vector(to_unsigned(35,6)) => scale_sig <= std_logic_vector(to_unsigned(11,ywidth)) ;
when std_logic_vector(to_unsigned(36,6)) => scale_sig <= std_logic_vector(to_unsigned(11,ywidth)) ;
when std_logic_vector(to_unsigned(37,6)) => scale_sig <= std_logic_vector(to_unsigned(12,ywidth)) ;
when std_logic_vector(to_unsigned(38,6)) => scale_sig <= std_logic_vector(to_unsigned(12,ywidth)) ;
when std_logic_vector(to_unsigned(39,6)) => scale_sig <= std_logic_vector(to_unsigned(12,ywidth)) ;
when std_logic_vector(to_unsigned(40,6)) => scale_sig <= std_logic_vector(to_unsigned(13,ywidth)) ;
when std_logic_vector(to_unsigned(41,6)) => scale_sig <= std_logic_vector(to_unsigned(13,ywidth)) ;
when std_logic_vector(to_unsigned(42,6)) => scale_sig <= std_logic_vector(to_unsigned(13,ywidth)) ;
when std_logic_vector(to_unsigned(43,6)) => scale_sig <= std_logic_vector(to_unsigned(14,ywidth)) ;
when std_logic_vector(to_unsigned(44,6)) => scale_sig <= std_logic_vector(to_unsigned(14,ywidth)) ;
when std_logic_vector(to_unsigned(45,6)) => scale_sig <= std_logic_vector(to_unsigned(14,ywidth)) ;
when std_logic_vector(to_unsigned(46,6)) => scale_sig <= std_logic_vector(to_unsigned(15,ywidth)) ;
when std_logic_vector(to_unsigned(47,6)) => scale_sig <= std_logic_vector(to_unsigned(15,ywidth)) ;
when std_logic_vector(to_unsigned(48,6)) => scale_sig <= std_logic_vector(to_unsigned(15,ywidth)) ;
when std_logic_vector(to_unsigned(49,6)) => scale_sig <= std_logic_vector(to_unsigned(16,ywidth)) ;
when std_logic_vector(to_unsigned(50,6)) => scale_sig <= std_logic_vector(to_unsigned(16,ywidth)) ;
when std_logic_vector(to_unsigned(51,6)) => scale_sig <= std_logic_vector(to_unsigned(16,ywidth)) ;
when std_logic_vector(to_unsigned(52,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ;
when std_logic_vector(to_unsigned(53,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ;
when std_logic_vector(to_unsigned(54,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ;
when std_logic_vector(to_unsigned(55,6)) => scale_sig <= std_logic_vector(to_unsigned(17,ywidth)) ;
when std_logic_vector(to_unsigned(56,6)) => scale_sig <= std_logic_vector(to_unsigned(18,ywidth)) ;
when std_logic_vector(to_unsigned(57,6)) => scale_sig <= std_logic_vector(to_unsigned(18,ywidth)) ;
when std_logic_vector(to_unsigned(58,6)) => scale_sig <= std_logic_vector(to_unsigned(18,ywidth)) ;
when std_logic_vector(to_unsigned(59,6)) => scale_sig <= std_logic_vector(to_unsigned(19,ywidth)) ;
when std_logic_vector(to_unsigned(60,6)) => scale_sig <= std_logic_vector(to_unsigned(19,ywidth)) ;
when std_logic_vector(to_unsigned(61,6)) => scale_sig <= std_logic_vector(to_unsigned(19,ywidth)) ;
when std_logic_vector(to_unsigned(62,6)) => scale_sig <= std_logic_vector(to_unsigned(20,ywidth)) ;
when std_logic_vector(to_unsigned(63,6)) => scale_sig <= std_logic_vector(to_unsigned(20,ywidth)) ;
end case;
end if;
end process;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
fir_input <= white_noise;
fir_extracted <= fir_output(fe + 15 downto fe);
end if;
end process;
-- signal str : String(1 to 50) := "hold time violation";
-- signal str_std : std_logic_vector(8*50-1 downto 0);
GEN_str_buf: for I in 0 to 49 generate
str_std(I*8+7 downto I*8) <= char2std(str(I+1));
end generate;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
--led <= str_test;
ch2_update <= '1';
--ch2_y <= vga_height/2;
ch2_y <= mag + scale_sig;--(sqr_summed((ywidth-1)+w downto w));
--ch2_x <= fft_out_index(10 downto 0);
ch1_y_fft_in <= scaled_ch1;
ch1_y <= scaled_ch1(scaled_ch1'length-1 downto (scaled_ch1'length-1)-(ch1_y'length)+1);
--ch2_y <= signed_ch2;
if(sw(0) = '0')then
signed_ch1 <= std_logic_vector(signed(sine_raw));
trigger1_enable <= '1';
else
trigger1_enable <= '0';
if(sw(1) = '0')then
signed_ch1 <= std_logic_vector(signed(white_noise));
else
signed_ch1 <= std_logic_vector(signed(fir_extracted));
end if;
end if;
--signed_ch2 <= std_logic_vector(resize(signed(cosine_raw),ywidth));
end if;
end process;
-- input
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
-- led(0) <= s_axis_active;
-- led(1) <= s_axis_data_tvalid;
-- led(2) <= m_axis_data_tvalid;
-- led(3) <= m_axis_data_tready;
-- led(4) <= s_axis_data_tready;
-- led(5) <= event_status_channel_halt;
-- led(6) <= event_data_in_channel_halt;
--led(7) <= event_data_out_channel_halt;
sqr_summed <= sqr_re_o + sqr_im_o;
if(s_axis_active = '1')then
s_axis_data_tlast <= '0';
end if;
if( s_axis_data_tready = '1' and s_axis_active = '0' and ch1_x = "000000000000")then
s_axis_data_tvalid <= '1';
s_axis_active <= '1';
s_axis_data_tdata(15 downto 0) <= ch1_y_fft_in;
end if;
if(s_axis_active = '1' and ch1_x /= "000000000000")then
s_axis_data_tdata(15 downto 0) <= ch1_y_fft_in;
elsif(s_axis_active = '1' and ch1_x > 4096)then
s_axis_data_tvalid <= '0';
s_axis_active <= '0';
elsif(s_axis_active = '1' and ch1_x = 4096)then
s_axis_data_tlast <= '1';
end if;
end if;
end process;-- output fft
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
ch2_x <= fft_out_index_buf(11*delay_length-1 downto 11*(delay_length-1)); -- pop
--ch2_y <= sqr_summed(57 downto 47);
if( m_axis_data_tvalid = '1' )then
sqr_re_i <= fft_out_re;
sqr_im_i <= fft_out_im;
if(fft_out_index < 4096/2)then
fft_out_index_buf <= fft_out_index_buf(11*(delay_length-1)-1 downto 0) & (fft_out_index(10 downto 0)); --push
else
fft_out_index_buf <= (others=>'1'); -- off screen
end if;
-- if(m_axis_data_tlast = '1')then
-- end if;
end if;
end if;
end process;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
vline_enb_buf <= vline_enb;
end if;
end process;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
--set values
case state is
when set_amplitude =>
str <= "set amplitude ";
vline_enb <= '0';
if(dbtn(0) = '1')then
amplitude <= amplitude + 1;
elsif(dbtn(4) = '1')then
amplitude <= amplitude - 1;
end if;
when set_phase =>
str <= "set phase ";
vline_enb <= '0';
if(dbtn(0) = '1')then
phase <= phase + 1;
elsif(dbtn(4) = '1')then
phase <= phase - 1;
end if;
when set_ch1_trigger =>
str <= "set ch1 trigger ";
vline_enb <= '1';
vline <= ch1_trigger;
if(dbtn(0) = '1')then
ch1_trigger <= ch1_trigger + 1;
elsif(dbtn(4) = '1')then
ch1_trigger <= ch1_trigger - 1;
end if;
when set_bits =>
str <= "set fft index ";
vline_enb <= '0';
if(dbtn(0) = '1')then
w <= w + 1;
elsif(dbtn(4) = '1')then
w <= w - 1;
end if;
when set_fe =>
str <= "set filter index ";
vline_enb <= '0';
if(dbtn(0) = '1')then
fe <= fe + 1;
elsif(dbtn(4) = '1')then
fe <= fe - 1;
end if;
when set_delay =>
str <= "set delay index ";
vline_enb <= '0';
if(dbtn(0) = '1')then
delay_index <= delay_index + 1;
elsif(dbtn(4) = '1')then
delay_index <= delay_index - 1;
end if;
end case;
end if;
end process;
process(clk_100MHz) begin
if(clk_100MHz'event and clk_100MHz='1')then
--change mode
if(dbtn(1) = '1')then
case state is
when set_amplitude =>
state <= set_phase;
when set_phase =>
state <= set_ch1_trigger;
when set_ch1_trigger =>
state <= set_bits;
when set_bits =>
state <= set_fe;
when set_fe =>
state <= set_delay;
when set_delay =>
state <= set_amplitude;
end case;
elsif(dbtn(3) = '1')then
case state is
when set_amplitude =>
state <= set_delay;
when set_phase =>
state <= set_amplitude;
when set_ch1_trigger =>
state <= set_phase;
when set_bits =>
state <= set_ch1_trigger;
when set_fe =>
state <= set_bits;
when set_delay =>
state <= set_fe;
end case;
end if;
sw_buffer <= sw;
end if;
end process;
end Behavioral;
| gpl-2.0 | 3fa6821850c99567cf69d2fdd91cc5e1 | 0.59156 | 3.099539 | false | false | false | false |
notti/dis_se | testbench/tb_mp_writeback.vhd | 1 | 7,509 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library std;
use std.textio.all;
library work;
use work.all;
use work.procedures.all;
entity tb_mp_writeback is
end tb_mp_writeback;
architecture behav of tb_mp_writeback is
signal rst : std_logic := '1';
signal clk : std_logic := '0';
signal cmd_in : t_vliw := empty_vliw;
signal arg_in : t_data_array(4 downto 0) := (others => (others => '0'));
signal val_in : t_data_array(4 downto 0) := (others => (others => '0'));
signal mem_wr : std_logic := '0';
signal mem_data : t_data := (others => '0');
signal mem_addr : std_logic_vector(9 downto 0) := (others => '0');
signal busy : std_logic := '0';
begin
clock: process
begin
clk <= '0', '1' after 10 ns;
wait for 20 ns;
end process clock;
process
variable l : line;
begin
wait for 10 ns;
wait for 40 ns;
rst <= '0';
wait for 80 ns;
cmd_in.wb <= (0 => '1', others => '0');
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
cmd_in.wb_memchunk <= (others => "00");
arg_in <= (0=> X"01", 1=> X"02",2 => X"03", 3 => X"04", 4 => X"05");
val_in <= (0=> X"11", 1=> X"12",2 => X"13", 3 => X"14", 4 => X"15");
wait for 20 ns;
cmd_in.wb_assign <= (0 => "001", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb_assign <= (0 => "010", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb_assign <= (0 => "011", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb_assign <= (0 => "100", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 40 ns;
cmd_in.wb <= (0 => '1', 1 => '1', others => '0');
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 20 ns;
cmd_in.wb <= (0 => '1', 1 => '1', others => '0');
cmd_in.wb_assign <= (0 => "001", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 20 ns;
cmd_in.wb <= (0 => '1', 1 => '1', others => '0');
cmd_in.wb_assign <= (0 => "010", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 20 ns;
cmd_in.wb <= (0 => '1', 1 => '1', others => '0');
cmd_in.wb_assign <= (0 => "011", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 20 ns;
cmd_in.wb <= (0 => '1', 1 => '1', others => '0');
cmd_in.wb_assign <= (0 => "100", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 40 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 120 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "001", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 120 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "010", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 120 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "011", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 120 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "100", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 80 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "001", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 80 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "010", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 80 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "011", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 80 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_assign <= (0 => "100", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "001");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "010");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "011");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "100");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "101");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "110");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
cmd_in.wb <= (others => '1');
cmd_in.wb_bitrev <= (others => "111");
cmd_in.wb_assign <= (0 => "000", 1 => "001", 2 => "010", 3 => "011", 4 => "100");
wait for 20 ns;
cmd_in.wb <= (others => '0');
wait for 140 ns;
wait for 160 ns;
assert false report "stop" severity failure;
end process;
mp_writeback_i: entity work.mp_writeback
port map(
rst => rst,
clk => clk,
cmd_in => cmd_in,
arg_in => arg_in,
val_in => val_in,
mem_wr => mem_wr,
mem_data => mem_data,
mem_addr => mem_addr,
busy => busy
);
end behav;
| bsd-2-clause | dfb036008c496f9fbc6f92052004b97d | 0.413637 | 2.976219 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab1/Poject_Lab01/RegisterBank2[old]/RegisterBank_tb.vhd | 1 | 3,629 | --------------------------------------------------------------------------------
-- Company: UMASS DARTMOUTH
-- Engineer: Christopher Parks
--
-- Create Date: 13:20:29 03/25/2016
-- Design Name:
-- Module Name: Z:/Xilinx/RegisterBank2/RegisterBank_tb.vhd
-- Project Name: RegisterBank
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: RegisterBank
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
ENTITY RegisterBank_tb IS
END RegisterBank_tb;
ARCHITECTURE behavior OF RegisterBank_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT RegisterBank
PORT(
RAddr : IN std_logic_vector(3 downto 0);
RBddr : IN std_logic_vector(3 downto 0);
RWddr : IN std_logic_vector(3 downto 0);
DATAIN : IN std_logic_vector(15 downto 0);
clk : IN std_logic;
R : IN std_logic;
W : IN std_logic;
RAout : OUT std_logic_vector(15 downto 0);
RBout : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
--Inputs
signal RAddr : std_logic_vector(3 downto 0) := (others => '0');
signal RBddr : std_logic_vector(3 downto 0) := (others => '0');
signal RWddr : std_logic_vector(3 downto 0) := (others => '0');
signal DATAIN : std_logic_vector(15 downto 0) := (others => '0');
signal clk : std_logic := '0';
signal R : std_logic := '0';
signal W : std_logic := '0';
--Outputs
signal RAout : std_logic_vector(15 downto 0);
signal RBout : std_logic_vector(15 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: RegisterBank PORT MAP (
RAddr => RAddr,
RBddr => RBddr,
RWddr => RWddr,
DATAIN => DATAIN,
clk => clk,
R => R,
W => W,
RAout => RAout,
RBout => RBout
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
W <= '1'; -- Enable write
wait for clk_period;
for i in 0 to 15 loop
RWddr <= std_logic_vector(to_unsigned(i, RWddr'length));
wait for clk_period;
DATAIN <= std_logic_vector(to_unsigned(i,DATAIN'length));
wait for clk_period;
end loop;
W <= '0';
R <= '1';
wait for clk_period;
for i in 0 to 14 loop
RAddr <= std_logic_vector(to_unsigned(i,RAddr'length));
RBddr <= std_logic_vector(to_unsigned(i+1,RBddr'length));
wait for clk_period;
end loop;
wait;
end process;
END;
| gpl-3.0 | 64f2602162807011a008642e4e3dcc68 | 0.569854 | 3.654582 | false | false | false | false |
UVVM/UVVM_All | uvvm_util/src/license_pkg.vhd | 1 | 5,476 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.types_pkg.all;
use work.string_methods_pkg.all;
use work.adaptations_pkg.all;
package license_pkg is
impure function show_license(
constant dummy : in t_void
) return boolean;
impure function show_uvvm_utility_library_info(
constant dummy : in t_void
) return boolean;
impure function show_uvvm_utility_library_release_info(
constant dummy : in t_void
) return boolean;
end package license_pkg;
package body license_pkg is
impure function show_license(
constant dummy : in t_void
) return boolean is
constant C_SEPARATOR : string :=
"*****************************************************************************************************";
constant C_LICENSE_STR : string :=
LF & LF & LF &
C_SEPARATOR & LF &
" This is a *** LICENSED PRODUCT *** as given in the LICENSE.TXT in the root directory." & LF &
C_SEPARATOR & LF & LF;
begin
report (C_LICENSE_STR);
return true;
end;
impure function show_uvvm_utility_library_info(
constant dummy : in t_void
) return boolean is
constant C_SEPARATOR : string :=
"=====================================================================================================";
constant C_LICENSE_STR : string :=
LF & LF &
C_SEPARATOR & LF &
C_SEPARATOR & LF &
"This info section may be turned off via C_SHOW_UVVM_UTILITY_LIBRARY_INFO in adaptations_pkg.vhd" & LF & LF &
"Important Simulator setup: " & LF &
"- Set simulator to break on severity 'FAILURE' " & LF &
"- Set simulator transcript to a monospace font (e.g. Courier new)" & LF & LF &
"UVVM Utility Library setup:" & LF &
"- It is recommended to go through the two powerpoint presentations provided with the download" & LF &
"- There is a Quick-Reference in the doc-directory" & LF &
"- In order to change layout or behaviour - please check the src*/adaptations_pkg.vhd" & LF &
" This is intended for personal or company customization" & LF & LF &
"License conditions are given in LICENSE.TXT" & LF &
C_SEPARATOR & LF &
C_SEPARATOR & LF & LF;
begin
if C_SHOW_UVVM_UTILITY_LIBRARY_INFO then
report (C_LICENSE_STR);
end if;
return true;
end;
impure function show_uvvm_utility_library_release_info(
constant dummy : in t_void
) return boolean is
constant C_IMPORTANT_UPDATE_FOR_THIS_VERSION : boolean := false; -- ***** NOTE: Evaluate a change here
constant C_SEPARATOR : string :=
"=====================================================================================================";
constant C_LICENSE_STR : string :=
LF & LF &
C_SEPARATOR & LF &
C_SEPARATOR & LF &
"This release info may be turned off via C_SHOW_UVVM_UTILITY_LIBRARY_INFO in adaptations_pkg.vhd" & LF & LF &
"Important Issues for this version update: " & LF &
"- First release" & LF & LF & LF &
C_SEPARATOR & LF &
C_SEPARATOR & LF & LF;
begin
if C_SHOW_UVVM_UTILITY_LIBRARY_INFO and C_IMPORTANT_UPDATE_FOR_THIS_VERSION then
report (C_LICENSE_STR);
end if;
return true;
end;
end package body license_pkg;
| mit | 0523ea822df45773e6e92b2f4bd0f7f7 | 0.462381 | 5.347656 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/EX_MEM_CTL.vhd | 2 | 1,442 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:29:49 03/30/2016
-- Design Name:
-- Module Name: DATA_CTL - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity EX_MEM_CTL is
Port ( CLK : in STD_LOGIC;
EN : in STD_LOGIC;
OP : in STD_LOGIC_VECTOR (3 downto 0);
RD_EN : out STD_LOGIC;
WR_EN : out STD_LOGIC);
end EX_MEM_CTL;
architecture Behavioral of EX_MEM_CTL is
signal RD, WR : STD_LOGIC := '0';
begin
RD_EN <= RD;
WR_EN <= WR;
process(CLK)
begin
if(EN = '0') then
RD <= '0';
WR <= '0';
elsif(rising_edge(CLK) and EN ='1') then
case OP is
when "1011" => RD <= '1';
WR <= '0';
when "1100" => RD <= '0';
WR <= '1';
when OTHERS => RD <= '0';
WR <= '0';
end case;
end if;
end process;
end Behavioral;
| gpl-3.0 | 9455153bfaf7c34544e4e97e83894470 | 0.536061 | 3.262443 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/ipshared/xilinx.com/HLS_accel_v1_0/dbdcd11c/hdl/ip/HLS_accel_ap_fptrunc_0_no_dsp_64.vhd | 2 | 12,395 | -- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_0;
USE floating_point_v7_0.floating_point_v7_0;
ENTITY HLS_accel_ap_fptrunc_0_no_dsp_64 IS
PORT (
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END HLS_accel_ap_fptrunc_0_no_dsp_64;
ARCHITECTURE HLS_accel_ap_fptrunc_0_no_dsp_64_arch OF HLS_accel_ap_fptrunc_0_no_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF HLS_accel_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_0 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF HLS_accel_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_0,Vivado 2014.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF HLS_accel_ap_fptrunc_0_no_dsp_64_arch : ARCHITECTURE IS "HLS_accel_ap_fptrunc_0_no_dsp_64,floating_point_v7_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF HLS_accel_ap_fptrunc_0_no_dsp_64_arch: ARCHITECTURE IS "HLS_accel_ap_fptrunc_0_no_dsp_64,floating_point_v7_0,{x_ipProduct=Vivado 2014.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.0,x_ipCoreRevision=7,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=virtex7,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=1,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=0,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_0
GENERIC MAP (
C_XDEVICEFAMILY => "virtex7",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 1,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 0,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 0,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 0,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1
)
PORT MAP (
aclk => '0',
aclken => '1',
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => '0',
s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END HLS_accel_ap_fptrunc_0_no_dsp_64_arch;
| mit | dab6fba1688f7d814dbea44fce8f0447 | 0.632836 | 3.048451 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_cnt_ctrl.vhd | 3 | 13,545 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QUW+ypAWcwUa3eoBO9FzADkjMp95x23G3qw+JuK43+Ud1g72s1o84cmzbmNJsPEDJhXMzRI1gq+H
1QiCvDr3Qw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gXd9vUfRQ8s8XgIPWYRaOm6uixPn6WkSx10HdWup87ymET9KU4tWjYU43QralAk1HEk1Y62X/04f
EcFofVuHanLmFpMBVkmW2wdLw2M3CyMz4tYy2mJxatZPGm0yPDB842Qj1HTuqKY/Ho01GkyivLdR
Ol8AyCZm9vDAs4UQ83o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cGXo3xhm69YKn+3thin92hpvXvvfRRTlDZ01mx3Kg35yUvPYmv/XwxmwOCXN6fCBNNbg+GWsGPDb
rOaJmrowJUI0YZmdHLfpd2OaSZpf+SBsFd1arigRY+lwwY0pNnGZ4R+WEZxYBkLUBAEEfXZtfGZE
yE/bTtuioiXCeyj2nKHrkdHfMye/t9LzMmJXcsb+MKBwJJQ5hlUh4ZyEpgVueTRH1npJ5vxvWPZR
gZvEcj3rZ8q/P0t90XhS6qTAE+DeyRuIjNYSQff+r9pA8xygqeNYf8Evc0cCFGtGQ4U0rJBshLEW
YdJQ3KCGWOCMcVjzITqTXjM1ShwSmN7AkVP9JA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NJUNYs+vnASONOX+UbloCyNY5SLFZ/88okn2uztLUlsfGRtXw6VxGPcH/lTxZoxArmLA5YTbiNZH
hll/8UKBtjv0t6ltFiKO0HhEi170HDALAFEOijl3VYdogNTvlY9L4KTlrldg+zk1x92b4ZRMS0fR
X1/kbI9Wyf/jTroO6OM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BpI4Q6A2ktY+4saXReYPvTrKms3+ZNQgiTUsFYhmczBb0rNwa7sL1B65f+YDCr/RHXsh5HTYL6/B
ecxfc2DClUfB+hCwAxh2mtKlvYkClIlcoYTjT2Fh+rOxyj7NDJCwoKG6CgTbSOCq/t0n+jMjHP4S
LzYwsA7Pmjv6Az6xWY6FoXCWe9cZ/p7nc+GGWfaffXonxM8Qigufe/tM9IKums4PfQwtTs64hbbj
ovcMfHhzMbUbcI6d3YHRmZySdR7pqlySDWo3lvHDlmj3F3E2DAx0zi+Qs7wqs6bV/wBgzG0DqQFU
JJFJBgHz02mo4hvM5utkOLeMMBsIlA3hpyD6pA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8288)
`protect data_block
1fr8ZMFnEQopQ03ackHlb8zMsskvdlBKOrExneyUYtyzkyDy9kUtcxAvN8YgjoPx1d3Okz4qXbpr
gVSDxOAGgNkyI2UWy0Z0KAfPrw6d3rWSl24Y3/QRSgRD76m5JX2eN6kvmhB1+Fj6C2eguK+s22q8
wzwm6CseHO7i7Cwqp/OR39qvgHxlNFF6/nndyNdkN+Yht6FpI0MlrubMhCzxuon5GkYvSA5HYQn1
gGa2UO2AqWiKkMZGi5x50+DjdPIdYNnCL1hHE3EYbaze+yA+d3H8yEN2jtV1i8xIQHLw5IMKWK7i
NGLmSyvw5JnxJD74jzVsokGvYXjDMIpQxK8TMkG3eUjNsYhyvyknmweUVTxZrs2uFsdoFbVY8wjR
CGkpwi7mGZbQsBi9q8uwbBN9CBlUkCw671LhXwTFAC71mzvUPXa2sbIctKttaeD+tcpu7yUlLuiK
yJ6W8ji4GPe0ooWKJOj8Y6jbICi37tJOg7cNmZII2qOYV/gaba0/ahimZ0vSHeiKjqsqGjdFB5uY
nSxUlJrXRTkvvtY82TIH8EXBMCR7apfy+CYJz6x7eE2zFNoeQ8ct1RR3IQul6MFH8IQysMup5DwX
N/RftpKXRtXQ0iBYxN/3/ssxLE72f0QxeyMCRjbDL9OHljALrXrUAO+oGJ0O0pp/dDwO0UjCVXvY
xe6tm4ZxsDWHdVougIO8LUgSS/axMrjGL9Lv/DhTBjUBXAxKbGs8GlAfPfJ7Gx6fVDykSGov4kil
y02isfGIubPhXb43SYPuRnjXhxEA7yUcOhb0PKnzgwU5khn/lq4gLSGhyo+P3VHUH42vw/ipQc1h
ysgLHzpijBMGA7DFY7Q2U1rnayWnujS4uZdsqpgOcfihVtfM13Q6AT9FT/41CtQvC9FB7HIKxB3n
wC4QeuFC6f9Ii4QMhX0Bq8eYuED3p/+IhzMp6+4h0hw/uYH14gdKU7uLU6Kluh+OJcA1BdFLioew
cYAuR30JBzE2je1snSgRURh4EOYwq2cyQtzjkj2GhhZ6auzdTs4vdSNTbCYT6ex1vySolG/2Kfoo
qViWNDjctAIoKcgNGybUNH2+eNGCHCLno8wLAwRpbuDLL1LAPGhNoOVTzEUwzuX6XgwYrzrkSR2L
68mXSb5coRby5Wi/OqboldvQhf49YtcRMZK97MJg9s9GuGDrvgQlUGp6uRd+DBDoncgdmWuO42gD
1mqdd9wOzD9EnFubLY5GxZJdaDOulQ0gggLjBByfhiNLPzA46agr6plpwboUXmwUJ9kotYACOyfD
gR3uz5zgxr7zMEJuJHmLzxc7QwQ0zCgsaFiA18xTjAun0hvCE+h4DAiJteXfZwjEHvQ//RrOAv7Y
N0KZRx0OFnOb216jpWI/nhsUHSJ5crPQyVZTRusN9lVb6a0ZI7FPT6O3y4lFjA3tZRpxMPNPF37z
DG+9fWehf+MXstA221vLi/kgE212IZ28jCUzgoUGiX/lXoz0ubPueHtKw4u5pv7L70EAY4mJDzoT
tpn2sWyq/rdX5PPN9zIAFOyIugz1u1dL1BUsPvDXFN7xcXt4g9nvevmZe6yqVyRvWwfngdlZyWsq
uO1pCI4hVvvB24pOJH6uhDjTujLL+Bir6AF+okFnXWKPUz8flZCZ3S17sevJV0SMxRrYT4S6KxMK
nxfEitj5U4nu03kxl5VCkDS2ejFMxUNUx3Jq7xDX786yzNSGCi4zDuQXn+P19UMjVFnmA8e+Sn41
wn1wvks6GMcuwb3BZ7J2WBCPaIDaAGg8jAKmigkF9X+YHRUzz5iNiz56PGk1giR6fu/mdtpc6Wkg
wDW6RphtSuBd1RBYSWroWOe5dzN2M+JGfkvJLExzs4Mfd5hYtu9P2xq1XaGAHR1V4do0SLsCctWd
IecESuZfisSFlpNrX0GCFisJh/BrAr9iApaK8n99fmlpp5hgDEzwbC8qoqD+B4ujHIlcHfkPmKmn
6bp1cZc5wRA3kIPtzR5+riQ61wC2YniqXgF7LyR0cC5hWIUcTxKU+XvzxAC8O5q0+l21ZQ1PgpO9
qlO1df7LiHsJAogQLAb42hxUjUjYtC9XVtFJm9vMN7h5WVEjS4bH/JeuIo32Ugg92uecKqS/yr6+
mBdif4gT2NzpuEsnempOA0x7t51FenQeX8ttg+fPJ0I+64c0WPweo9IT26YL9K2LM4QbzFS6CF7c
/EWY7gp8nAzUxhEG3/q0BTnisYJxKjU16ZUylXhL/6/+SFBLh6xdvNBGm8CJDUN93q2hBre1wr3c
iE0kEWzkVCtS39KljrXXC+mntm7+bLljQ9/Bfy8+SZj+xSz8Xk+Xgd6piMAwdsmAYT8SC0pccwaA
ewe+X5A2dIcn5EEkKeA597cqId69Kr35+FO7TyXqfZsj9CAcjXO2NKKa/mO97Eht/0v34vNzmIyj
2Y6DYKoS1Vm4X5zYpi3JuHHhwmN4xZuXghwT4++qlUcafjnMZmd7wX5PP+X680DeBgH+9j6rTCWE
vnYn2vP46SqPLcNnovEozhMFSM0i5V4FqkeDtSTs3/SSC2oxHpHp+8YFJZSK4E09gBjE5AU2lqS0
k0nNNdp16xFLRdbLs0jPLJNcZGS6IyGafQi1EHP3ygUesBzIFKPSKQIpABW98D3wuHBSyCoWdy/H
soUmKfjPNPb00pC8Ec+I2seneYWsTObvQ00abEnVfNDu7dv3ucySbEqAIwZ8RRs0p8JTVcmCYVjq
pZ86qc+9D15LQBQEZOZ7xgVhKQ10zBCsNzsNwej/E9J0ejN3OJ/hOXHsPS9RTOP/dn4ipFs1IXOe
pAHQNyLt3a4zclo/LEK0eceIeiYXpBxzwpVSGiK1uI/ASobRuJ7E7bovXZ6olleUHRWqcsHcq8TI
VeTHXodHwpMWLSN0XOvRxrwURh145S0PFaYjtjuOPd5eky67dqPgXuyE/PfJi9opXs4shAoJ2GZu
O2SkJbqFIsQWVpUN1Ao3CBX7BPhX2BnG7dkwjOAXyJlMUfqL1yFkf7TPepSgjk1s8UvfervESOyY
rMnEcarK7oUQJGb5Oz2Efvw0tT9pzTiIYWSX/NvKHJMyIhvwMsxRP4XO+ItNnbSWl/UN5gZiRYk0
cQ+l7nNWgPlIAewAm+DVmkQbjoJZgdSmnwKluDAGpGYNR+kvNTSImzFnWxw7CYXMbMFQ4nsE6RnJ
/UwH1T/7AIMVTdEd3gwfodG+lAh4l+GAk3q6k+SggpNYX9vn13xKydCK1upp815PW/Xm0sztQHOe
k7cYx/2ddB3a8ZNUF6QV+fw5Z0tyvZ0pLNaJnfLR2nVjb+TYuQ4+QfJ6lcEo0fc4bHeyk3mjBO2i
wZCDh8f5ZGPhZZAgXgTQ/icQtM07sVKmrb8rSRxQNzcZBG75y756Ym2rPgZ+ku12gP7h8C8tzpaf
STEDq56zTXok+5LlwNx1hsPV8OYYkQRHjjSEfDJiI7dCRcbnvd64TgTObso/kdDT3p2EzBMopILx
qB4f4Mbgv2stuXr18pgMAwRYlyg69xPyZhxWgfBA3MrkP3b6hyT3G3YjHqsjH1AgiOIrtECn5wOL
is/l7ObIjZaLSa0p1qclTJQxgjuBUzd/SZXRJiTM2ZXjndQSt1q4OdUODXyy5/isNU9s3zGscobI
MfuFHgmq45IIJIAlFQNo7gFL+UgpbHn8GGYYPUE5q1cG9GSYji+6+kN/JnFp4O2lRelRI37/N9j6
WiQ33RcBm5FdFRuWSZ3J6rxRbx24NUuvZXyXqfsc9l5HFkZoTgu3E/PRxEGbwHO9Ckv7RbrRq4KX
0Ac0G2EIJ4onl3giBB807xugoYvJ43HNoZdq4wplVPsCzuRiz6NqEQA2kK4BlA+nwrkikYvqO9WE
txEJwEk99DCJVMm7dZzauNRaPZyAL6P0LGPxBNGIfr6xQ5v4dzhi9NalqjXUwEk3Ri06g3z66OCy
9PYohDnNzX58iAPwRKZgzswV2hw/gWwS00obMULKXstynQaSNUroQipixjekaxIDMzhEy69HielL
EWPdH1yu8PA5bxrp+kjrdTJtUc9kOV7yv1zi0Ue1wUzkZT3cMrhv3JlrJM6iKD6hydX2jIaQk8ca
R3l3lVlb6QiI0Zru5yEK/NcDHg3sS8Y0c7G2x0KlhKzd6gN09m2dBLv++z9sUmuhgauZlJGf9Yy5
tFZXl7N6tTU8rl2haLUleeDT9G0JZHQmKNUP8agXCMJPWmib28/k35wbd5/QnCKO7PAOk1plqBVP
ecsjthzOgEiK0JN5hpckC5MQ9zdzHDHAOTIvD9PowDAehhvB2cSfECT3zmBu7easTtr1SzWEb/pv
vVp9eyeLagfW4Y+J6ZzCoVLQIPs6TX2cOyN46oFKnkzDTWRhJSL4xV9vQdBkTAmvgmnt46+evXmW
OyntPBhhZRfaWwTWD6NsBQd93dhfwyVJ2n1EvlDjuExgZeAysdfBLilV+IDpBcahXfkUWagXpiJU
WhZ2Ff3M//7BOeOUAUVm+w8uM/lvl8R++gKTxNnyjVKaZsNIazwP1UXjyQbDXYBWbFQ+g9/Sf/1R
ntgCB6UpUc2jWsFu1lG1si5rhv9RM6radFiYE5gDGM1jpljOKhGgjbkKehHW1zRMuuSILp4ALXg4
qsxb9vAO7/OjuLFN2JM66h5soZN5ZUJediAl7hlmmzXZlhktiG+VbMFS8tgWl+/bKEuAToP0099V
C6E+zTrTZocJ6nmnh00PeykRmW/WEqndIsMWF8pHG64bgsJDC68414mawB/NWGVoT5xkgs8CEKkJ
kbwaIUD0S8RM8Zv9amgaykRbBLZaQQG1lc1mnaPxzKcI501FgtdgAqMJDak+yeGsJB6hyy97p9cc
hRtShpMquK5tR2/0krqBvmODXSxIYiolOjpEO73WLcPjiLijrjUg5RGbTiPrEtoh9NUzE/87KdFg
m/vB0B6TjoQVWRk8/3vwPRsE3nTe8bmsKH7vDaAlJkLpogjZ/TIDEjW9KAMua8se0gIZzLJHo5BM
HIbklHKebZlhgTngkg5JFaXCvVlWd4Ni/9dhyAD1+PKjWKi+Ez78t+YrSokhMvrfdvua3/HSLI18
COJ6AenIDjRPlWleb9GknolWVgT60HJKwy1c8KvJuwSFG+pzNwgY5Ov/V1d+ci81lqLLfTLhKkZi
Yq5yrBt6gcxSgOGkVMuTkC6nhiZy2MOftjLt9cUAZhOl8YQL3hKyAbxerrHSuoSQRfMisEc5ZXOI
5U5sabrPBeJhxcmmmNDS/SOziQETz8TBx4gtzwtPmk0myJHQddbPGRzONAk7J5rtCQSanv/5d0GS
FjAcAmwvGkFZxZ4fl1YYS8yW6PizPMxY/Vcski9XFr2nLHnDLS1lnbl3ZmuD1puZhn/xR+/p1Dk4
a4Zw0Qad/OqcQvY95qUdqYRG5Y6qQA9SLGCa45tzd5nO0gqkRSLvEmn8GTP1nujA/dwVWZu7EZkC
KO+LKJH+2RwBDwFhfBiozsU05PKVpKaNddEuE9gvtIkXLc1B4moGCwpd7oxBZ5LGeMhQCbajuagd
BaNLJnHPi0q1XP9XUirSA4gZ+CDhiiX5HvunjRrj1UGqhS4KUlHD+rsyJVWCIBWT7hXfrCSrPVBP
TN/sFP5a23qVyt/2mG8GZiRquH+ljTRra+8er80l5TvaZGfrRyQIThSRL7F2na/4aZaRatqNvy9M
of38jsphs0iXtxT2DJyhLLLHdCzT1Pv1lXFByPDBCbaf5yPyxfNMgCcjUHDmqK51EkFaH/xdX6jC
CNYlrJEFnNUbwXOorytTwZ1iAbgt0WQGYG0PBCTIfYVyorOrbfk6ym+qwQ/zIxRpl6qzWdG4ppZt
/TYs20tUeCUFrbx2apMggCtFlFXWmyBS85JRVwHtjZBD59empdo0d3/s1QnE/y4vWay7MKqa8+jL
DjMDSmpixkcSZUEnZOyaMJ2n9ACC1SWhhZrbHzN+bTyphK4rutOudvuvWOCwVxNaeUpAKoT5KZsh
PoVuebcy0gf8ytun4jCEQjiID/CC+GtCxCoclnZ12e2xBwrwjAkI8fOZhHhV/J1xWpdUX8Ed1Sxm
u5PdD7K8aqBoGjPDc7tToSET01hzYFt5j+eblneEYs7RlfxRs9OF4iNJiON9FTvDWYcISmjfb3mT
VRqq+ctYmOnLYInQyf3or9iNXXM5z5elyZDnIsqT5EGc2lZFAICsmX7drbpkRsjQPX2yWzG8NJBr
qw3KAQ0fe10d61pxWB9Msec3hxtUliBgakuwOodVtzvJcJJbD1Q1ikG0mD2O/pqkG8VcgY7K5Y10
LLWSo66avMCPQhFBN2YSQBxSMyzj2K6KJzYTm803Hrq8Z6mXgGmyO7yxkXYhRBjU7SKS3+gNJuJE
2HYqvv0MHBMZAZtKeRNXgyx1dXncQmu8PWiSzZ2G1f8Kd+2tpjpGU9IvxJOQiaa0Qa7gAe2nwvKJ
ufRDVts+nd8lPMwluZlNk6OPTmExTV1BIaNxw+JRZFSOl/UgI5ez724gmjuTHWS6VdIx4hz15hLq
Ek8U1xJnWE/W/2eHBikeIBJLSVvIOJrMFcUeQGB0y7HGiWerm7L8LWOSXdGhnGD6/jgIFeqo6OSH
ipHn1fda2/S5RQ5VaHzNm+M/uvfD7MkU5+VzkJ62tKVxoW6qvU5kOaE1WUoVhol8nKuVGNCvYvum
YjpZvCjG9R85oEfmoPBzStj4HZa+twSYUMxdWbKEvhE3SaFlLuqeLPRhyF1zqn3jdSSZUUtLa//h
ZpljAqNg2Kt2xAkcfy9CjODvA+Kxoheq5+9HMMqo5+qdjeqoq+qVowxeXN3MNe3TtV46lYvvrTJs
0IY4vrWihz6Z3pCJsTNZ3W1YYXWrJEiBkbtQhgd/Bz7Tksh64X/0RS8yGVe1DoxSaTH0swEBh8MD
yjyfRBCePMXiVhkB7UuunZtU6j5BZOHWJagxunWtPsUPHR11GG2jBJGPrn8alyrU69e1p0T+GNy5
sQzgQIQ3ZkGROIiABEgiAg+ubAYCYuT3n1PcfBQbmJbDZxlkkhm/5gz2pSUkBbGc6g6zMp9WBGlj
DxJHNM+Z8QnNvAOkZygGtyQedRC/Esb2HxJDezYg6JnjPV5MiuxXm7K64QfPIzYSz6INb72sejuH
rnXd7wMrDvexGdX9v5yK+F8vmanKUbflyZjusRDj+4VhsLR6Qgs3FDyANUPAu89NSMMfkaOFl7XY
VOJ6Q9+KYu6wVLqhFitmnUkpagNx8NolSktIhphF6aB0KA9jnUBuimbUDJHtbrWfDVvL6PPPVANM
hOtFvkLcw9dv1tU2nFbumJEBuoEGrBqj5cBznHL6DeXDiV2sx73rMz9ahiDWg3K5S1eRX95kpZqA
XNOg6mbXvvRDMKacwNmv2GdO2BiusZewYf0ks9vnm0oE/eoVatL4WJolC4PU9J20F0vqW+JvPXHG
TC/EkcVyLMfD22nIbYQiUgV/HKOfT1/VS/QzYlsVfbSrFCkG5Yui70lWN+MablNmHyibCQrV1j6f
66vzho9NNeL5VDAwI8UKD+JWzWGJENODI6jm/FqR+fGIjK0R1St4U9RZpKJ/UvO+8C9VyDlE10Yh
Chcc+n2nEsiFkfZv0qGXhBh0a2+NwH9oZU/MpkpRbpi+oR8v+P6pye22/HCdDzfLfpJZ3jsHSilI
7Hty6jSfi08b48vx9OG/oU8fGcTa/rGLRxCAgV+09lCjjxQSlLYpLxP6TEhK5ZgG0QZMcjLQ6JtA
RTtESS2DYJyjLizCvkQAqStUfeI4TNzbfLWLCRGV+NPKsNpDfla1yDGlK7tXbk0IqYvqkPflHpvR
PodOk0TYoLEQ7513OC1WAAVbd7ubx8pe5F1DWezxhqooWN+9fZS4m9V4UMs7K6KNxrYpNFYVBjiO
oH8Odm0m8YIJsYYtucL5uodjBPz+OWRBUMnKbX+1WgizVGsZmT76xnxLgtMSdT85cDSS37VGmNMZ
TKbOQ2v3NVWuRxLE7b14WEcIPLPyuPlO09YzE10zdHF7Di3SjFip6aGf1O0yHJY23ytiCCZqlpX9
qqHgv5WAMfqwbI2p2IvlwH7lSGqmcYPXP6UIfbh2crqO9Qa2nT51KTs44qrxaZw9ODvGLmaIIkbf
eaDpIIEIoOeAPW0Hx6x5FVwWIrn+BCuTMkGg+dHZgpVdfZQlgOtK+jhpc4idIFsYtDBaaj1Y54uY
BbYfNRqEqUHn1hLeBsS63xIFWuuHz2Upjxbb/MsEuokcfmbCOTU9JFyIXWduuuQDu+kkTsz4n2NO
3aPhKIU+JUi6O6TT/5YAvmssjN5pP0lMyE1xtUXSWVm6jIKxyzdQhgBHIZnoSHKCOgC1KQVQ5Clt
jxrYNDwvB1+d88Q/ubyU7QeOOR4YYfl4aDFrQtk1j0avJMhBAVG7/tKVqJdxAmxMadMZ+GDRpU6c
VlqVByGNaeZJXvDSGj2w3RCkY+mTMQ+VDrQck2WMHCZeSFtsDG9v9Zv8JTSu2y1i8rl4CJUvvJJV
b2Jj8NbPCapxdaEZwbBGLLjWiG7kYFwIjd3sxUufjAbdqF3whnLux2CxcZfjst7rN9U7Tj/3Brvz
dzQVtgVGbsiPcLCYTB9MKLgJ6f3NWTKhRGO5Mi9y/cIuemRsFFQgfmcGUv/kHG/UJW877oAX9V5Z
sBKaVUVq66Wa7QcuJSOJFLOJG54VZEggXpFbHsxefIw6NUHHOtD4UdtIQU2LOktj1/o8RGP2IKla
10ssiW8Vrfdildaw/vzQjr97pbxfFGcunaVdyodD23B8U7VbeaoXqOpikEyX6Nx2JWhBLvnEYVt7
neJrZEXmnIJWAjv8ksGK5pDbT8tUjPqmB/pkKcl3gvQCizm5Tk/qM93ishKUCpHmxYoZjGajn4NT
oPkntrNcWLGjmHRNQLSGCRbHuyxED60n2v6otyrMorVfA6K1MCsWiqcZk9SDPqGyLiZuYgpJcIpF
tnqDu8nWAYp+RW7Q5R8+Z+kU9joHGZdXxiFvHD5gegs9PJ/TvV0lXZIGvffEbhZlKj4N6mtcS9qd
slCOAUem++R5Xj82bBvOnyc8YEQeHB0pcsVwQ6Gr6LExk1xnv+iKdTtJfcVkyXe5IelBDHQe7vc5
qecJ0ivcp3flYuUVy6BQLmJl4tW6Ggb5RgkelrNtunU9/2B5shb4GgBymfNRi4h53ug4QMOlzfBE
i5QKjtnx3d3pSmO5osDGftUOdUZMoRFuCZQTEpOSV6beiTb3eBEM1F17bmeOZxCpiTVYZGqLoHGC
o9CfllLXIYFWbAQKx5xOXGS07wANHBREXaGruPDRp13twMDdR+SOTf42TMU/BCqSj1gyvC7UXNsV
b5BfPbLQZnRIZxLabPZHPegq1ZF1d5WOY57qAkh+3m8xUys4/4/F5H+RBONKz2jEfB76w1E1qoHe
iRp5Qykqi4MZC0RA8EI/aK7T3y/SBG9LlX7s839/s7PZ9QlkEu3d/iwT87TXBzm+g7S2/7ROAO+e
IEb5Npf+sVv6kEYiR2wbJpO2hpCr85kliGvcgKP53H4alZA0X3nUzSU2MwH49TacPtJetjzrkBs9
G+yhLYWvNCFrayCe9ZeapbFoFZQm/W+xmPe3A1hiLCZBYNheCH1dibc8hBK/6mHA7WGlaSNx8NH0
tX+9aWEp7hUoT/t0zJtqhcNigjnON8oO50e7ee5JO94BypZqwu0vHVuPggnorxryTKfjE4kOjh2t
F6V5CJZQCy6M+Gj+TNa+/bY7J2xTnetzdtoL//5N01AOIUBVZ0Ezf4X6eKfEQH7RVpE6M+vIm72A
nSoUFQXq+0vWDhoUAZrJMIlS7cpIUm3FxE+ESfuD8IQdW9bhVck/uo4TV5+LCLyvtd2/EYGi8dYb
QeZNYtfO1IevuACu3j1n5cqflfF1ZOH6q5CW36BNhYETY5dbwWj1lgpuBwJYs1SbaPBQPSkPp1tY
pGgCOOErC0y/dGYjWoztjUxFNBnJuexgTU1ZLfl2q6LB/298i1ifUv4rLCoITEGgBSMeCbyDiRVz
6ch7deh1uGFfoODxBgpoipMLnD9FTEI0518SWepk39lTcUSFBzEP4K+vsdw+SS5Oe9zDUa9YwOBs
i3zofEJoXm1qeppfYZZ5d+Gw2p1mPZL+8RSCyjux7sTDOB8Orbn8zQlaUz4p/aM4UcZRuThDU+RA
rLD+ODsuutC62F30QpeW/t3gFAuEZRQCxmW6cIBKnNsBOXIrYuOb+srh5ld5RQSYJUmrT11qVtDH
+7TZFEDa6hxRUsZ5xugVJLQxGamePHdfEmBGF6HtIdsb5ggdOQbTxwD3T7Q26UDtfCCihCfFvxsw
hGOhU2AjY6NTodKrYkOIktKezGwV9YOFVddDF4OjnkalInKitJf3/uT/DNIQrkAC2xfhratkM0iM
w9Jxv8tJDoKHMxHH1HYPCLAaGn61/vuyjNcOkdb0Z0GomJ1byFjaX1F/LMNZwXWU/qTpCe7thd/X
gZVraExPmLpJ86hB9ijv6rnpxkLSFHYyKjeGETzYeoOoHKXleTvCFeroPv5lPDLKLqXOJgMek/rL
ovmcqpoYcH0OCvxDAE7BO5Vg1tFA3koas3+UbsEOUzkBYrVXdfe+Lvi4BXkzqIK9SU+Lq7X4O4I3
ZJaeozzPBoKife7n2jbbO+xfRkb8dL0IWP5znPzq19d6M382AqHl64NQ26PWuODiQNMAxgffqDH6
MgoBJVyeD9CL68Hn5OnSMNGZEj1LbNYO5h+lMoNeCf3cGJhBNeE+p8CvMElvQ9MmfytQo6PhbIyt
yNbNlnvmJ90R1efJ6mv3Yhce32QQPRXTVbi8KmEmo7xXYBH1CXgpb4sd6w0g9KSGsDT0wIfimHNe
sCv7WxvlnwEq43BU2u4HYA/e6Z80bKxPVi4VYuJo0MpSke3oDpvTRuKMgWX4R0ypFgdnai7ruA/H
0X68UTSbscThJ4Yttf6Ih1sCKrj9eK/i3LekN6S48h7OCt6GvkyR9Jr15VtWaIUHImwwVBAjCHBF
TCz4KPc1H5R9NAm5n86Zl/nmRuvgKcQ=
`protect end_protected
| gpl-2.0 | e44eee225769ff8d34c639c411a80f4c | 0.93326 | 1.880466 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab1/Intruction_Memory/Instruction_Memory/example_design/Instruction_Memory_exdes.vhd | 1 | 4,834 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: Instruction_Memory_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY Instruction_Memory_exdes IS
PORT (
--Inputs - Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END Instruction_Memory_exdes;
ARCHITECTURE xilinx OF Instruction_Memory_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT Instruction_Memory IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bmg0 : Instruction_Memory
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
DOUTA => DOUTA,
CLKA => CLKA_buf
);
END xilinx;
| gpl-3.0 | b5615318d543249228f0726c0797d717 | 0.553165 | 4.617001 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/floating_point_v7_0.vhd | 2 | 27,961 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GrBM7cqfLfnBrQvMSOirAGn5+iE6XnaHX9dBAcDltFoCcv3DqUqo7I9/ioq2rfftwi/CstM4J/Ig
SSReko14Mw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RcWMcA9iM6Nyr1gIx98Nn2joFKiEVg8OAQZkUrGUp0mqj0BGo0qmuMcI76r6BhA57y2Bolgw+71I
OD6dAKQcskYrjVQ681R6V0SLoP+WRVRH3r8BalzmSi57xZ9nF11ckjWhHQWsw/iEPlM7Cir11Jr5
se4ELYMrqszbpVQ3w9Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Q7zj3MmJn6uHaAAd9oEb91rJiIyapV83wzOU2+ay0rUoU3TUWNRQPGFZtM5mcA+okLVN5NnBz0Uy
cApUiflnViPwPDc4p4DLH6NF6PrpHMLwzGuBluzLLyLky9KOOdnQyDdkVDRn7dArx3GwfScJ9x5g
krWf4lg4p7+GpVZ/6IHsTttZGrCcDHM5fJqZMmynnjWzR3F3xiaZkHgcu2UE2yk3FuDrElTJpEDX
a1nXzW/4/JHyN8rgK28R4W7DGuVz4v9GGPaHWINhsEKeqnsOnq4Sijyd9TL9IHif6KP/uaNsfH1a
HZVGpL2xVEMAtCbVAxz1hYaQ7hNg7oRQGumgOw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
f3L8tX+Km9nKEteZIFvsc6puI3dEFZbklQ5/dXXqj9yzNvDwij8mjR6g0IXQZG8zRq4+BFwbT3ol
T5yhzMUUHyQU5MHuFc4/XU10o2gdCKLXkBRK40wIIlFEcQKuEeT204ZFhu3xrrBeqW3Nz/nXw0xo
M27k+tFMTJZRfrvQBJU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KE669zDpEEa0H7Me3Vv4iVFJm6OkSBUhcf4ZIqaSnno3lDeNV4Jerft28PkGDH55QFwIP/yLe1V5
WWBlI86pphRNDt2D31zd5KxCDYteFag88COLyQpkGFAcLcGMPElCAwjz0hqpyA/nQyyb1i6RuwYt
WQKKolodQ8F260P/QITR/zLaRi4JoWUGtC0bd6D+XFTfqkGBwd5ZQJZTKGs7Kyu8M21XPQt0P+II
maCwBebwCw261wpuN9G8icug76mQjDYITkwcp8wzdAhw1yLrKHdQpJfgTXWxW1kFSThv0bea7JGd
6FRmO7irg8MbR+I9Lxj0heBCmmxR5xwq41OGTA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18960)
`protect data_block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`protect end_protected
| gpl-2.0 | 591b1063332775c4a8a394a14cffbe3a | 0.945245 | 1.847074 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_uart/src/transaction_pkg.vhd | 1 | 5,971 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
--=================================================================================================
--=================================================================================================
--=================================================================================================
package transaction_pkg is
--===============================================================================================
-- t_operation
-- - Bitvis defined operations
--===============================================================================================
type t_operation is (
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
-- Transaction
TRANSMIT, RECEIVE, EXPECT);
alias C_VVC_CMD_DATA_MAX_LENGTH is work.uart_bfm_pkg.C_DATA_MAX_LENGTH;
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--==========================================================================================
--
-- Transaction Info types, constants and global signal
--
--==========================================================================================
-- Transaction status
type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED);
constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE;
-- VVC Meta
type t_vvc_meta is record
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
cmd_idx : integer;
end record;
constant C_VVC_META_DEFAULT : t_vvc_meta := (
msg => (others => ' '),
cmd_idx => -1
);
-- Error info
type t_error_info is record
parity_bit_error : boolean;
stop_bit_error : boolean;
end record;
constant C_ERROR_INFO_DEFAULT : t_error_info := (
parity_bit_error => false,
stop_bit_error => false
);
-- Base transaction
type t_base_transaction is record
operation : t_operation;
data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0);
vvc_meta : t_vvc_meta;
transaction_status : t_transaction_status;
error_info : t_error_info;
end record;
constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := (
operation => NO_OPERATION,
data => (others => '0'),
vvc_meta => C_VVC_META_DEFAULT,
transaction_status => C_TRANSACTION_STATUS_DEFAULT,
error_info => C_ERROR_INFO_DEFAULT
);
-- Transaction info group
type t_transaction_group is record
bt : t_base_transaction;
end record;
constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := (
bt => C_BASE_TRANSACTION_SET_DEFAULT
);
subtype t_sub_channel is t_channel range RX to TX;
-- Global transaction info trigger signal
type t_uart_transaction_trigger_array is array (t_sub_channel range <>, natural range <>) of std_logic;
signal global_uart_vvc_transaction_trigger : t_uart_transaction_trigger_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => (others => '0'));
-- Shared transaction info variable
type t_uart_transaction_group_array is array (t_sub_channel range <>, natural range <>) of t_transaction_group;
shared variable shared_uart_vvc_transaction_info : t_uart_transaction_group_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => (others => C_TRANSACTION_GROUP_DEFAULT));
-- Global monitor transaction info trigger signal
signal global_uart_monitor_transaction_trigger : t_uart_transaction_trigger_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => (others => '0'));
-- Shared monitor transaction info variable
shared variable shared_uart_monitor_transaction_info : t_uart_transaction_group_array(t_sub_channel'left to t_sub_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) :=
(others => (others => C_TRANSACTION_GROUP_DEFAULT));
alias t_uart_operation is t_operation;
alias t_uart_transaction is t_base_transaction;
alias C_UART_TRANSACTION_INFO_SET_DEFAULT is C_BASE_TRANSACTION_SET_DEFAULT;
end package transaction_pkg;
| mit | 1fa42319671e984f19f8ede2a385476f | 0.517334 | 4.780624 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_1/part_5/ip/clk_base/clk_base_funcsim.vhdl | 2 | 8,283 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Sat Mar 15 16:19:54 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/lab_1/part_2/ip/clk_base/clk_base_funcsim.vhdl
-- Design : clk_base
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_baseclk_base_clk_wiz is
port (
clk_100MHz : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
end clk_baseclk_base_clk_wiz;
architecture STRUCTURE of clk_baseclk_base_clk_wiz is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal clk_100MHz_clk_base : STD_LOGIC;
signal clk_250MHz_clk_base : STD_LOGIC;
signal clkfbout_buf_clk_base : STD_LOGIC;
signal clkfbout_clk_base : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute box_type : string;
attribute box_type of clkf_buf : label is "PRIMITIVE";
attribute CAPACITANCE : string;
attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE";
attribute IBUF_DELAY_VALUE : string;
attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0";
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO";
attribute box_type of clkin1_ibufg : label is "PRIMITIVE";
attribute box_type of clkout1_buf : label is "PRIMITIVE";
attribute box_type of mmcm_adv_inst : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_clk_base,
O => clkfbout_buf_clk_base
);
clkin1_ibufg: unisim.vcomponents.IBUF
generic map(
IOSTANDARD => "DEFAULT"
)
port map (
I => clk_100MHz,
O => clk_100MHz_clk_base
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk_250MHz_clk_base,
O => clk_250MHz
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 10.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 4.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 1,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "ZHOLD",
DIVCLK_DIVIDE => 1,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.000000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_clk_base,
CLKFBOUT => clkfbout_clk_base,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clk_100MHz_clk_base,
CLKIN2 => \<const0>\,
CLKINSEL => \<const1>\,
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk_250MHz_clk_base,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6) => \<const0>\,
DADDR(5) => \<const0>\,
DADDR(4) => \<const0>\,
DADDR(3) => \<const0>\,
DADDR(2) => \<const0>\,
DADDR(1) => \<const0>\,
DADDR(0) => \<const0>\,
DCLK => \<const0>\,
DEN => \<const0>\,
DI(15) => \<const0>\,
DI(14) => \<const0>\,
DI(13) => \<const0>\,
DI(12) => \<const0>\,
DI(11) => \<const0>\,
DI(10) => \<const0>\,
DI(9) => \<const0>\,
DI(8) => \<const0>\,
DI(7) => \<const0>\,
DI(6) => \<const0>\,
DI(5) => \<const0>\,
DI(4) => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1) => \<const0>\,
DI(0) => \<const0>\,
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => \<const0>\,
LOCKED => locked,
PSCLK => \<const0>\,
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => \<const0>\,
PSINCDEC => \<const0>\,
PWRDWN => \<const0>\,
RST => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity clk_base is
port (
clk_100MHz : in STD_LOGIC;
clk_250MHz : out STD_LOGIC;
locked : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of clk_base : entity is true;
attribute core_generation_info : string;
attribute core_generation_info of clk_base : entity is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end clk_base;
architecture STRUCTURE of clk_base is
begin
U0: entity work.clk_baseclk_base_clk_wiz
port map (
clk_100MHz => clk_100MHz,
clk_250MHz => clk_250MHz,
locked => locked
);
end STRUCTURE;
| gpl-2.0 | be71cbbdeed2db41b04691bfe7ec405b | 0.62586 | 3.306587 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_defaults.vhd | 11 | 32,589 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WWtJI+hY51PBIHEJuMmabMx/exsWif4/+eIlqg1wHwt0LXqCBCF/9KMUuh0c0q1Aim1AelneHBQ1
OAUIypxCcw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LHWPiFER+ylhKASKVb/M+rPTiZEUFsSoYr0JzoMMS3GVOGL2OLFeqOogSRZKIElrPvU6koRPo+Es
YaV4XvTWQrPYaKRuwsx4NBG8Kxda4juxxP/rp9bkfo/lyl5vcqup7qHmHWRkyViNZaKDThZeE3RS
GK4cirCmiwfxEo4Mq3g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AYgjStfBUMWeU3jKprSUnciTIMZZPy5txygzDux4UChegSEbbUtF3rfC3SvidfLEgr0Xx5YrKuqi
8UeNbGyLgV2Fztb5G016ybd926sP72A+bpKraAlbcvGRolK2h36OEHIbd1QCQ1CK2LHFu4yijIpu
ZCVGSRMDfbCIVdoIEwi/d3uG3uxZJ/MwcPNEV+U67K5AP1cQj/MZSgAHfDEJN3ny/eyKwQLT0b8X
VrJgv+gHNlk5pWmHGp1jOFZV21FW31bI2ureAimxycfLq41ciw7AJu7ntE0aA5znCk0zlIOF29Wv
FVMB4Roq/qxMuTuLdNHOyDamSc7+1ymlG9PNwg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IqXkpA7tC+yxdagm2gZbfE8KY/sLSwkzWYcxkLkeovhP6k5hwXB7qgBFgwiDFM/bCJ5ScHqOq+cZ
9SW3fwNmkWjldStW5jyx3Kj7D4V+TZG/OyzKcMcs0tleStvsDR1qcGkMdGgwTBnHkIPkC3uG5oo6
ZM4eXtEq4AXscq0m4s0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QQXOFVhuXbc80+zZ2htCYHYcCp3E9O59+Yl7ypoRWeIoCrrKiW+q/IshosljPYv9Rnj61yuPSL68
usz2Y0hE3ZS3oeK3PPxnd7ql38jWr1G6pJ7M5hierEefIrxu37p0e1dp9jw5djTq//qZTj1uTQCY
59J/r5hUUP+AP25sKdsRw6TJVm9uga7G9srmPkRIdoLPPfYk0yF5ajnsD7NGAlmU80CKSwU00Ofo
u97sna6z0bNS18cL5p3mUTSRYh3EhR8yWYQDBDVfjOUeffgGq5Pb9Fz1RotN+JuUStC+3C8AW9BL
pf/Y9/KyYenDu5RF8gCAras14scTUMduPi8Rvw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384)
`protect data_block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`protect end_protected
| gpl-2.0 | e2a62acf37557d37f53e916b4cc59e68 | 0.946424 | 1.821327 | false | false | false | false |
notti/dis_se | vhdl/rs232.vhd | 1 | 5,194 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.all;
use work.procedures.all;
entity rs232 is
generic(
BAUD_RATE : integer := 115200
);
port(
rst : in std_logic;
clk : in std_logic;
rx : in std_logic;
tx : out std_logic;
tx_start: in std_logic;
tx_d : in std_logic_vector(7 downto 0);
tx_busy : out std_logic;
rx_valid: out std_logic;
rx_d : out std_logic_vector(7 downto 0)
);
end rs232;
architecture Structural of rs232 is
constant cnt_max : integer := 50000000/BAUD_RATE/16 - 1;
signal cnt : integer;
signal clk16 : std_logic;
signal tx_reg : std_logic_vector(9 downto 0);
signal rx_reg : std_logic_vector(7 downto 0);
signal tx_cnt : unsigned(3 downto 0);
signal tx_bit : unsigned(3 downto 0);
type tx_t is (TX_IDLE, TX_SYNC, TX_WRITE);
signal tx_state : tx_t;
signal rx_cnt : unsigned(3 downto 0);
signal rx_bit : unsigned(2 downto 0);
type rx_t is (RX_IDLE, RX_START, RX_READ, RX_CHECK);
signal rx_state : rx_t;
begin
clk16 <= '1' when cnt = cnt_max else
'0';
prescale: process(clk)
begin
if rising_edge(clk) then
if rst = '1' or clk16 = '1' then
cnt <= 0;
else
cnt <= cnt + 1;
end if;
end if;
end process prescale;
transmitter: process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
tx_state <= TX_IDLE;
else
case tx_state is
when TX_IDLE =>
if tx_start = '1' then
tx_reg <= "1" & tx_d & "0";
tx_state <= TX_SYNC;
tx_cnt <= (others => '0');
tx_bit <= (others => '0');
end if;
when TX_SYNC =>
if clk16 = '1' then
tx_state <= TX_WRITE;
end if;
when TX_WRITE =>
if clk16 = '1' then
if tx_cnt = 15 then
tx_cnt <= (others => '0');
if tx_bit = 9 then
tx_state <= TX_IDLE;
else
tx_reg <= "0" & tx_reg(9 downto 1);
tx_bit <= tx_bit + 1;
end if;
else
tx_cnt <= tx_cnt + 1;
end if;
end if;
end case;
end if;
end if;
end process transmitter;
tx <= tx_reg(0) when tx_state = TX_WRITE else
'1';
tx_busy <= '0' when tx_state = TX_IDLE else
'1';
receiver: process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
rx_state <= RX_IDLE;
rx_valid <= '0';
else
case rx_state is
when RX_IDLE =>
rx_valid <= '0';
if rx = '0' then
rx_state <= RX_START;
rx_cnt <= (others => '0');
end if;
when RX_START =>
if clk16 = '1' then
if rx = '1' then
rx_state <= RX_IDLE;
else
if rx_cnt = 7 then
rx_cnt <= (others => '0');
rx_bit <= (others => '0');
rx_state <= RX_READ;
else
rx_cnt <= rx_cnt + 1;
end if;
end if;
end if;
when RX_READ =>
if clk16 = '1' then
if rx_cnt = 15 then
rx_cnt <= (others => '0');
if rx_bit = 7 then
rx_cnt <= (others => '0');
rx_reg <= rx & rx_reg(7 downto 1);
rx_state <= RX_CHECK;
else
rx_bit <= rx_bit + 1;
rx_reg <= rx & rx_reg(7 downto 1);
end if;
else
rx_cnt <= rx_cnt + 1;
end if;
end if;
when RX_CHECK =>
if clk16 = '1' then
if rx_cnt = 15 then
rx_cnt <= (others => '0');
rx_state <= RX_IDLE;
if rx = '1' then
rx_d <= rx_reg;
rx_valid <= '1';
end if;
else
rx_cnt <= rx_cnt + 1;
end if;
end if;
end case;
end if;
end if;
end process receiver;
end Structural;
| bsd-2-clause | 6a9230f8f26532035f3dfaff4c356035 | 0.357913 | 4.35373 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_v6_0_pkg.vhd | 3 | 87,057 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NQ8k3pQPdrL34yCx1pQFOj8MfHnlaLZ01eTNIBm4knSZLNRKO6sRoF+f2TlhM+NvOCohHZBnJjLK
CoFYCPtE9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xzm/ozszinTnotbsHUn7Vb7Wa9/n0g82YawDx4YZ+ab7lvJ4fof1tyBLVKQZS+TC+7cOb8CaTtPS
zcB2OcR6MwhPdrCCzwte9O5CyRw9WgKlmfwx2dEmpHspZLRH6vgi7pqhVYsBtOQIlCcgQyDff4kS
szgyc9WXweb09dyyjY0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P1Lxdh48bPQVYnNKmtDrK/XelBif43Xb8W9ZNrS6NzTVr53Y+tdPbJQIjizXz203eV7gcALvHmtv
1uaPHz/Xx+unQ0Vs3MgnGL7+IiCx2e+WJQaQdFuCNo39We2jL+9lZVRdmdYBpRU+MDBhsSUQDXIz
9oS6jyCh5t06UbdMVFvjfhG/z+JA/gmryanpIoJCF1eZ/9Cqv999b7uO/aXhKSL8ft8bzWB8hnkX
ceI3izGs1hRGTJ6zMq7RULpucbhnwZ/gOv1WwAITSa6rcs9ii44jSFCN9ht8h1NFXQgAMf2UwGbV
1vNAg+zYUFi8D9y5F/jRfknAOubWOiep8v/3yw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
baCvQCNTfffzlhdM50YYn8mJWRlDGrJzpq8ZRXgXZI3fnadvcx+KwfmDs5jYl84kJrmfLpcfDzPO
FnmswnuW6wtlMH7Gf09PmCH++If5tWgkroig3nGdkMDcp0hDu5iN3hm4E8nFt9i7cJAG9Txcb/a7
Qs0CJ5VkBBT16inI8xs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b4MQnQgj+osDHlLhBigVVe2mALAMZaRVoDR7C8OveRt7TOnsYtrZSMClaI4viwMSw44GqjsdMDCG
THY9DxAIdwF+edUUo90W+t0m1qO8V5wDM8Mup/c8R/MePk2f7uiKDPODoc6zg8kEpLLtc/jyjOVY
hNNpxtEPsBvWjpM+sA1lG/HGOamfolk7fJVGw/LWkpfDF79qlCIPEHAxk3wZwcJFtc0jfGTRfkux
vd1qRpdKwiV0ESZdf3hCDVg0z5vKrjlhklqY5YUTcVST59Y9J5ZxasD1lnvoi3vd8D68J46rxPJb
H6SJHWFT3xlp4lJjEpQNTiHiopJwrx1Wrz8x1A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 62704)
`protect data_block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`protect end_protected
| gpl-2.0 | a5ff9d78d4896847b3aa6db84ff16320 | 0.952916 | 1.813045 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/halfband_interpolation.vhd | 8 | 301,251 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeS7dFIvGlehSSAx5RtZDowgilZll/48bzlgDRdlQ7gQYL6eISUpPgitS7gKM52pRZmc0dZ3g44R
6Ituh1jT+g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FPn58LoewP5ihcI+4GCcLk1+ylXvi8t5fnPzMOAsuPYVkiQNd+WoGtz1az5ksn/n1kU/Ey9wLHzp
RkwoKIOsM2c1Ga9OZc4ZXhpwPxA0ILhJZAkpC2yzifqIPax1YodkzrgZvewbsrR8kqyo6xahiIZh
Oy3YRjG5M3WmIiwQTdA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IqS25C0xPG4lukNndU6r8PcXHSzQQxwIxXiwcXMCSIb2GhGtdysKZqP/YuIzqHS65q0z3GHtCzjD
qcZKkfTZNF9DPF9RuL2BBN+1Ydj+OYU9mitBMaLv0l1L501AsT2AOe04bcvOiUCEirJ6c+eM64So
5MnlrFFRpQywlManW8fBmQlFDMI6r3tkABnY+duahRcD3KHAdLKs+tpOuddUQgRR+hVZiv8dmW2t
4/x7DHcU7git86bJe8LPb9JUr1t5mk/7rgJuoid3VFdHAfVxomlO2h9QwgJmAl2V1boGMV5b1ul7
NTVW6i/PPCr/n5zVp5hdYhtW/+tvRhMwsNWKhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FLwxIkrF1utyZAEiefOyEDSFSeue9bNIYIfF89rAY9z1QcOSHyJxRPJZRQg2wI+af2tkKZLnIzsn
RyzJwEHwio0iJ7ch4qqQUSiAHTB7u6+cCl03UVgoWq7eNtmoGaoTpEoe6sHFK8BELWp2FOLaP+sY
JmZw8hyPjRRk6ad3Xs4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OH8fUK+N3PZ5wP6GStG0LMvaV5AnE6fuzIQwmKoYJDwJTp06n0LNvbtV04uDCBR7BGdgy/IyKNOB
gHLVxQhLudh0dw2QlJg7Vo3FNYtys32F1gf1ygqBOBtkRJZT0l3c3agnMT13WKyIWygxEmlvtMX1
53q8DMf6+PQa8/H2ZK2OBp+D7XObCPrDxYHCh9ZCjO9fR7clYXQZXHoGStlujV0jX8MHlybRMmua
/Obyx0zLBYKxxYDE/sEBumxb/S4uiQcfQqE1j6SaSCY5+hexkiTMXis8oIg1Y2NyVStNoEXOZUSh
YG5ZVmpUESbOIVcSg6qwJslPDo4AHG5c6032BA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 221264)
`protect data_block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=
`protect end_protected
| gpl-2.0 | 13636c776847c028e3cb3d0b6f12a3dc | 0.954775 | 1.811273 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/in_switch4.vhd | 2 | 9,914 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
byglSYyzzDQ/0rH2Y7ZOI/kIIsGAqMDsY5UBJGDE8qoO4NGdOf3Ckaaeicbs+Ujb2d4xjSd2M24u
tcg+NoKWhg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a7GfsRZkJIxuBNDnvCw/r39b06Ytn75U9Sb8+EwpW/DDU9U+CBpiqx162kjOMTeOeV1KVlpFJ5dR
zcIyipkJwi+AssdMSm2HyNUZAWlfnR+UaKbgZeG72gpMWljqbWmyOvuoqyeH/TyVxqQ6uAQ2oaYf
1q0fdOBGqHELCpaC2iU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SUQ9hpF87RXDc7WetkzbBekOJ87G7uKWHFxeo7C2MzsGISxVhNXiNMSzMXWJifIGEZVwNLUCGfxu
eeXqjNUDAoW7QjJxqDOGtvVEdV1tOQQZ4iXMZHXkUu3Ujz5Qhadwg93HkJOm20HdT7jQCj7njEb8
YZNC+fBb3wsnF7+kt8qwugH5NwonqoaI8T7rtx7bO8r5cQ4k1P7qSaD2ozSzCcu6Tflt6r/BuVzp
AvEBQGG2YdA02PlUFiy7oH5OqKix1msHqn80amtiC/FxqyobLcJJWEJJJVb8cADMyPtcuw6msfzg
/EUAZEG0lQP66H1SMGt3uKSkwM6khpf8tPuZyA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2oDiuG7gdGWfSjSu8/gvdX7lM97goLWFahiQEkXXSKFJwOIZl+IWOzwQrxXn+bQT8hN60rJn+H68
q6LL1wPucpZLyywCpVf6+TH4jPaNn7W2laRvLUbYH9IrpBH0A8bizpuWCs17BhR4MF8Qyd19U0T4
FhRdVmoi6+dHWLH9TAA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rrybqyySxS4zXQwBE99yUJ6gkvS2bwqghx2y9iNyDzE5vZSLymNmO68qCuXhg1IDrjMMftufdasq
ogmT93FXzeJ/h2b+O42Uf1W5r2YGPjgg6Lxhx4y1qVPRGVaSMoibBxjcxChEAq08oIbmUgk2SSLl
YjcFjZM7G5qMNPXBb1M7i0k28jgZE/IQx13vOryCgj0Ssz6p2g5D5l8zm52VqYwQ6OXmZ2p3ThED
4qduKvIj+irBrqWPCz1khVB+NvvluWdGaRlfPqOCqBdJKLTeV3axRRvhM4qz0/z7KKmIw1jaxocB
kW2RsztN5Kv+rYeA0HBADpFlGm2sjv2YLKPHTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
| gpl-2.0 | 7e488c99253df4a6f0914b3e5b14103e | 0.923442 | 1.889821 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/clk_193MHz/clk_193MHz_clk_wiz.vhd | 4 | 7,397 | -- file: clk_193MHz_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___193.158______0.000______50.0______236.796____297.965
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_193MHz_clk_wiz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_193MHz_clk_wiz;
architecture xilinx of clk_193MHz_clk_wiz is
-- Input clock buffering / unused connectors
signal clk_100MHz_clk_193MHz : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clk_193MHz : std_logic;
signal clkfbout_buf_clk_193MHz : std_logic;
signal clkfboutb_unused : std_logic;
signal clk_193MHz_clk_193MHz : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_bufg : BUFG
port map
(O => clk_100MHz_clk_193MHz,
I => clk_100MHz);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 5,
CLKFBOUT_MULT_F => 45.875,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 4.750,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 10.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clk_193MHz,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clk_193MHz_clk_193MHz,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clk_193MHz,
CLKIN1 => clk_100MHz_clk_193MHz,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_int,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clk_193MHz,
I => clkfbout_clk_193MHz);
clkout1_buf : BUFG
port map
(O => clk_193MHz,
I => clk_193MHz_clk_193MHz);
end xilinx;
| gpl-2.0 | bf427e082971bf52cddf6f3224227fca | 0.573746 | 4.234116 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/clk_182/clk_182_clk_wiz.vhd | 1 | 7,370 | -- file: clk_182_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___182.500______0.000______50.0______121.506____104.359
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_182_clk_wiz is
port
(-- Clock in ports
clk_200MHz : in std_logic;
-- Clock out ports
clk_182MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_182_clk_wiz;
architecture xilinx of clk_182_clk_wiz is
-- Input clock buffering / unused connectors
signal clk_200MHz_clk_182 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clk_182 : std_logic;
signal clkfbout_buf_clk_182 : std_logic;
signal clkfboutb_unused : std_logic;
signal clk_182MHz_clk_182 : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_ibufg : IBUF
port map
(O => clk_200MHz_clk_182,
I => clk_200MHz);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT_F => 9.125,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 5.000,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 10.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clk_182,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clk_182MHz_clk_182,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clk_182,
CLKIN1 => clk_200MHz_clk_182,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_int,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clk_182,
I => clkfbout_clk_182);
clkout1_buf : BUFG
port map
(O => clk_182MHz,
I => clk_182MHz_clk_182);
end xilinx;
| gpl-2.0 | eb707801c2e18bb3b6b155e46d348d9e | 0.569335 | 4.257655 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/wrap_buff.vhd | 8 | 50,960 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ahCIoZo9w/qKqcYoA40q8sx35qJnpjf1sraDs7GyIP1EUALLuRnmP1IsjJj7+G3ky1HrW+B4Chsm
AvoYETTv0g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oj8ta3YlPwZoHg7eZA38dRSLr8fOMzFoaSpdgLXmhqeKm0Jq2vL94wpZTP4LCfoc27QQT9n5EfcE
gDlHzvQI8pPJtjZpkBeA/rBskM3/Y5mzcociCXvddhg72vWPW8mc4Z9ArinyNnEESoPUZangHxrf
LET1GFucFAzCp09k1C8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
glTf3+hiD5YYVMI1cFZvW3DcTSY06XIDNCTaDqmZmiE3NpTJP4mWS1aMeqUHPkis9/kfjxYxxJeO
zEDmTfJY2F4P0DgT5MsSQzdeiGK1yZs2AThD3zEVdNQnO1CsbBzujBO7KqEJvwITnOhyGd00V6HZ
oobaBqBL2rGbVrBPG1nDt/Wj2N6jOogFtv8XE8qU1ufUNmuYn0oZcwa9NItVSqlQawJBm4cO63IB
OPwrGOpVh3fhzQ2kgjLe4l9WJZNk8BTMZe4O+bBs8RM5Bi3oJu2EKihwiQ2wop3FRDdrKT3peJPd
tlbLqy6syu/IV6LCN6JsPH7KMmorqSk9XDdmLA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JHAKeloGpehpy5IQ8knQmdR2tccT9M+Py1fBIcv5W4Lbe5979xtwfdU+K+eUU2JVegR4E+R3Qj74
NORlD/2SM/gfu6x3lvv89YIhX1+k0i5ZJ1I2nXWrceV4Ziju5LCrea1NsZk+D5lRd3EyWb9DUg/d
XG9P9qrrSPawPtKkYk4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pycalBr/kiZ4TD0AWzMLkC7DkA+0R8hOYrBYD7qID7+zOlBGnXDuqiTvnWb/luJ48darx4HVb1XJ
EpWsIr+w+6+IZcWcE1U9ryiukDwJDa4g0L+Dwph21PNmjzkdEbYUNLRpvF/2NG05fiSZDYcGj7p7
YBZ1TGaxsiGB2VEjEXsrHU7LVj+9up/WpHhflEyv+3Ivieh3su1zFRNBz78INwL4NOxIiQ39dxiB
SR3gPqMVFyAiMAKdLfXmL5lrtSl/BiqXDh0TRKwAoc/ULwEpKk1GTpIJVAbZ2vlvADcyoj3DTVkf
+MDTbqLk4QjsA0p/wv99q/keH+0my/yk2e/whw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35984)
`protect data_block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`protect end_protected
| gpl-2.0 | e84dccc5ca0320fafaa7824064bdbb80 | 0.95051 | 1.825607 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/vga_controller.vhd | 12 | 4,006 | ---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: VGA Controller
-- Project Name: VGA
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Driver a VGA display
-- Display out an resolution of 640x480@60Hz
-- Notes:
-- For more information on a VGA display:
-- https://eewiki.net/pages/viewpage.action?pageId=15925278
-- http://digilentinc.com/Data/Documents/Reference%20Designs/VGA%20RefComp.zip
-- Always read the spec sheets
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity vga_controller is
Port ( RST : in std_logic;
PIXEL_CLK : inout std_logic;
HS : out std_logic;
VS : out std_logic;
HCOUNT : out std_logic_vector(9 downto 0);
VCOUNT : out std_logic_vector(9 downto 0);
BLANK : out std_logic);
end vga_controller;
architecture Behavioral of vga_controller is
-- maximum value - horizontal pixel counter
constant HMAX : std_logic_vector(9 downto 0) := "1100100000"; -- 800
-- maximum value - vertical pixel counter
constant VMAX : std_logic_vector(9 downto 0) := "1000001101"; -- 525
-- total visible columns
constant HLINES: std_logic_vector(9 downto 0) := "1010000000"; -- 640
-- horizontal counter - front porch ends
constant HFP : std_logic_vector(9 downto 0) := "1010001000"; -- 648
-- horizontal counter - synch pulse ends
constant HSP : std_logic_vector(9 downto 0) := "1011101000"; -- 744
-- total visible lines
constant VLINES: std_logic_vector(9 downto 0) := "0111100000"; -- 480
-- vertical counter - front porch ends
constant VFP : std_logic_vector(9 downto 0) := "0111100010"; -- 482
-- vertical counter - synch pulse ends
constant VSP : std_logic_vector(9 downto 0) := "0111100100"; -- 484
-- polarity of the horizontal and vertical synch pulse
constant SPP : std_logic := '0';
signal hcounter : std_logic_vector(9 downto 0) := (others => '0');
signal vcounter : std_logic_vector(9 downto 0) := (others => '0');
signal video_enable: std_logic;
begin
hcount <= hcounter;
vcount <= vcounter;
blank <= not video_enable when rising_edge(PIXEL_CLK);
video_enable <= '1' when (hcounter < HLINES and vcounter < VLINES) else '0';
-- horizontal counter
h_count: process(PIXEL_CLK)
begin
if(rising_edge(PIXEL_CLK)) then
if(rst = '1') then
hcounter <= (others => '0');
elsif(hcounter = HMAX) then
hcounter <= (others => '0');
else
hcounter <= hcounter + 1;
end if;
end if;
end process h_count;
-- vertical counter
v_count: process(PIXEL_CLK)
begin
if(rising_edge(PIXEL_CLK)) then
if(rst = '1') then
vcounter <= (others => '0');
elsif(hcounter = HMAX) then
if(vcounter = VMAX) then
vcounter <= (others => '0');
else
vcounter <= vcounter + 1;
end if;
end if;
end if;
end process v_count;
-- horizontal synch pulse
do_hs: process(PIXEL_CLK)
begin
if(rising_edge(PIXEL_CLK)) then
if(hcounter >= HFP and hcounter < HSP) then
HS <= SPP;
else
HS <= not SPP;
end if;
end if;
end process do_hs;
-- generate vertical synch pulse
do_vs: process(PIXEL_CLK)
begin
if(rising_edge(PIXEL_CLK)) then
if(vcounter >= VFP and vcounter < VSP) then
VS <= SPP;
else
VS <= not SPP;
end if;
end if;
end process do_vs;
end Behavioral;
| gpl-3.0 | b450c8ccc143279dde374dc24720df29 | 0.575637 | 3.982107 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_mux.vhd | 11 | 97,172 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CQirmkq/BKqR+F2Yg4UkWTIdFrTRgyk4k2iLzfwHOmDbkUM55Mewqizh4+Lf+dmwwhALeC71UJDA
8mCAPTmMHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BYObDmDa8ddFsyZLooUzpHL8ns08oRugCcZI2k8wJ7bPNu9wkzUe6gLxEl5Rus5mNXhYLj63VAJ7
Iv4x/x3ytUfhu3Rr/6uxmrwyULLvv11XEvyVGCHx4t+Dw8cVgkM3usRkRQjUSA971GtmeHD/8MvS
cZY9jYskPE1Jpp2ln4o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Kh2guWzq3vblhcxdfJUid0wZnG6MC3o3a3YO1P6t3Zu5fbaVoJKIAXW4U451VmelQdzOKVHousSk
45IlwBxf/RYbtIg9YdXFrqworoOKKYA8Ps20E3y76/ejy57L44f0vm/NoFaa8+RGMVOrMaXWkAX/
5m2QReWMg9vAFlHZfrIsQnJM7q9vDbH/9XlzT7azdJd5gljApTrMFtiNcALEiKPoDWNj9DKTR/5M
z6fXEbBnQi7svJI++6ajKLfscdCdmkML0xv5aJaja/A6sBU3ZyweO65mSDcAEiF4/LGSrOI+kROs
k5jflROeFMl/1IvGNyU4OxK3jsBOPTmAsiyzeQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ybTxbKW8ZHek5yeUP9rGjX5z9pX54PPpKlEu/sk4QGiMGrMi9n6exyltQw3382l1i1u3uPUdj71P
S8JZfrL7/T6Wx0syH1SqEmm7l5ELtT4AmtRRmr7PjBfr1/vMkuv09pkrXQw9kL/r54fCF2RBbGri
s7+5RYH/ioAS6hXm/iY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Lzavdu/+bN8Q3cXw7+sJQn/P0yOfwv/fFtLcbHiKvjYFgahsXpekRbm3lL0aoljDYfGXg0j67Y/J
CJh77b2zEDew+52ugEfOsJOLiiRpJwaOJF4CPdnGFr+y2s/iVHaTGQEUZijIRl8qTdOe32Iiq02f
mP6aA/zLN+yrK+T1T2VdR0v0N4rZ0JrKgq8LJ8s0nyhEoYbHdLwsd/ZM0u7jNcGRN3tz50VSRBLJ
ZurOPxU3vkWwEns7DOtGOqOqjnvGsm8xpTXRyN4dwUahlB3pl6We1goIcvF2Q5RgulgpDiLlxbfg
MhfQJZhd0vcYcyGscC4+SmhXhqUJfuRf0w9ZTw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 70192)
`protect data_block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`protect end_protected
| gpl-2.0 | f25de791535dd146868f9d9b27c6e814 | 0.952857 | 1.814807 | false | false | false | false |
skordal/potato | src/pp_writeback.vhd | 1 | 1,900 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 - 2015 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pp_types.all;
use work.pp_csr.all;
entity pp_writeback is
port(
clk : in std_logic;
reset : in std_logic;
-- Count instruction:
count_instr_in : in std_logic;
count_instr_out : out std_logic;
-- Exception signals:
exception_ctx_in : in csr_exception_context;
exception_in : in std_logic;
exception_ctx_out : out csr_exception_context;
exception_out : out std_logic;
-- CSR signals:
csr_write_in : in csr_write_mode;
csr_write_out : out csr_write_mode;
csr_data_in : in std_logic_vector(31 downto 0);
csr_data_out : out std_logic_vector(31 downto 0);
csr_addr_in : in csr_address;
csr_addr_out : out csr_address;
-- Destination register interface:
rd_addr_in : in register_address;
rd_addr_out : out register_address;
rd_write_in : in std_logic;
rd_write_out : out std_logic;
rd_data_in : in std_logic_vector(31 downto 0);
rd_data_out : out std_logic_vector(31 downto 0)
);
end entity pp_writeback;
architecture behaviour of pp_writeback is
begin
pipeline_register: process(clk)
begin
if rising_edge(clk) then
if reset = '1' then
rd_write_out <= '0';
exception_out <= '0';
count_instr_out <= '0';
else
count_instr_out <= count_instr_in;
rd_data_out <= rd_data_in;
rd_write_out <= rd_write_in;
rd_addr_out <= rd_addr_in;
exception_out <= exception_in;
exception_ctx_out <= exception_ctx_in;
csr_write_out <= csr_write_in;
csr_data_out <= csr_data_in;
csr_addr_out <= csr_addr_in;
end if;
end if;
end process pipeline_register;
end architecture behaviour;
| bsd-3-clause | 5a1186f6f282178ffde94438495d4dc4 | 0.664211 | 2.80236 | false | false | false | false |
fafaldo/ethernet | ethernet4b/new_tx_fifo_control_unit.vhd | 1 | 10,922 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity new_tx_fifo_control_unit is
port (
clkA : out std_logic;
clkB : out std_logic;
enA : out std_logic;
enB : out std_logic;
--weA : out std_logic := '1';
weB : out std_logic;
addrA : out std_logic_vector(11 downto 0);
addrB : out std_logic_vector(10 downto 0);
--diA : out std_logic_vector(3 downto 0);
diB : out std_logic_vector(7 downto 0);
doA : in std_logic_vector(3 downto 0);
--doB : in std_logic_vector(7 downto 0);
empty : out std_logic;
full : out std_logic;
data_in : in std_logic_vector(7 downto 0);
EOF : in std_logic;
clk : in std_logic;
Tx_Clk : in std_logic;
Tx_En : out std_logic;
Tx_D : out std_logic_vector(3 downto 0);
PUSH : in std_logic;
busy : out std_logic := '0';
start : in std_logic;
test : out std_logic_vector(7 downto 0)
);
end new_tx_fifo_control_unit;
architecture behavioral of new_tx_fifo_control_unit is
type state_type is (IDLE, TRANSMITTING, IDLE_GAP);
signal state, next_state : state_type;
signal write_address_counter : std_logic_vector(10 downto 0) := "00001101100";
signal read_address_counter : std_logic_vector(11 downto 0) := (others=>'0');
signal empty_i : std_logic := '0';
signal full_i : std_logic := '0';
signal write_add_simple : std_logic := '1';
signal write_add_temp : std_logic_vector(10 downto 0) := (others=>'0');
signal read_add_simple : std_logic := '1';
signal read_add_temp : std_logic_vector(11 downto 0) := (others=>'0');
signal read_address_counter_minus_two : std_logic_vector(11 downto 0) := "100000000001";
signal read_address_counter_minus_two_temp : std_logic_vector(11 downto 0) := (others=>'0');
signal Tx_En_i : std_logic := '0';
signal gap_counter : std_logic_vector(7 downto 0) := (others=>'0');
signal reset : std_logic := '0';
signal state_transmitting_reached : std_logic := '0';
signal cycles_in_transmitting_state : std_logic_vector(7 downto 0) := (others=>'0');
begin
-- test <= state_transmitting_reached & full_i & empty_i & "00000";
test <= "0000" & read_address_counter(3 downto 0);
--
-- PRZYPISANIA WYJÆ DO FRAME BUFFER'A
--
addrA <= read_address_counter;
addrB <= write_address_counter;
clkA <= Tx_Clk; --??????
clkB <= clk;
enB <= PUSH;
enA <= '1';
diB <= data_in;
Tx_D <= doA;
process (clk)
begin
if rising_edge(clk) then
if state = TRANSMITTING then
state_transmitting_reached <= '1';
end if;
end if;
end process;
-- process (Tx_Clk)
-- begin
-- if rising_edge(Tx_Clk) then
-- if state = TRANSMITTING then
-- cycles_in_transmitting_state <= cycles_in_transmitting_state+1;
-- end if;
-- end if;
-- end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
state <= IDLE;
else
state <= next_state;
end if;
end if;
end process;
process (state)
begin
next_state <= state;
case (state) is
when IDLE =>
if start = '1' then
next_state <= TRANSMITTING;
end if;
when TRANSMITTING =>
if empty_i = '1' then
next_state <= IDLE_GAP;
end if;
when IDLE_GAP =>
if(gap_counter > 128) then
next_state <= IDLE;
end if;
when others =>
next_state <= IDLE;
end case;
end process;
process (clk)
begin
if state = IDLE then
busy <= '0';
else
busy <= '1';
end if;
end process;
process (clk)
begin
if state = TRANSMITTING then
Tx_En <= '1';
Tx_En_i <= '1';
else
Tx_En <= '0';
Tx_En_i <= '0';
end if;
end process;
process (Tx_Clk)
begin
if state = IDLE_GAP then
gap_counter <= gap_counter+1;
else
gap_counter <= (others=>'0');
end if;
end process;
--
-- USTAWIANIE WRITE ENABLE A
--
-- tutaj nastêpuje w³aciwe zabezpieczenie przed nadpisaniem starych danych w kolejce
--
process (clk)
begin
if rising_edge(clk) then
if (write_address_counter = 0 and read_address_counter(11 downto 1) = 0) or write_address_counter /= read_address_counter_minus_two(11 downto 1) then
weB <= '1';
-- wea_i <= '1';
else
weB <= '0';
-- wea_i <= '0';
end if;
end if;
end process;
--
-- USTAWIANIE FLAG EMPTY I FULL
--
process (clk)
begin
if rising_edge(clk) then
if write_address_counter = read_address_counter_minus_two(11 downto 1) then
full <= '1';
full_i <= '1';
else
full <= '0';
full_i <= '0';
end if;
end if;
end process;
process (Tx_Clk)
begin
if rising_edge(Tx_Clk) then
if read_address_counter(11 downto 1) = write_address_counter then
empty <= '1';
empty_i <= '1';
else
empty <= '0';
empty_i <= '0';
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if PUSH = '1' and state = IDLE then
if (write_address_counter = 0 and read_address_counter(11 downto 1) = 0) or write_address_counter /= read_address_counter_minus_two(11 downto 1) then
write_add_simple <= not write_add_simple;
end if;
end if;
end if;
end process;
write_add_temp <= "10000000000" when (write_address_counter(9) = '1' and write_address_counter(8 downto 0) = "000000000") or (write_address_counter = "10000000000") else
"01000000000" when write_address_counter(8) = '1' and write_address_counter(7 downto 0) = "00000000" else
"00100000000" when write_address_counter(7) = '1' and write_address_counter(6 downto 0) = "0000000" else
"00010000000" when write_address_counter(6) = '1' and write_address_counter(5 downto 0) = "000000" else
"00001000000" when write_address_counter(5) = '1' and write_address_counter(4 downto 0) = "00000" else
"00000100000" when write_address_counter(4) = '1' and write_address_counter(3 downto 0) = "0000" else
"00000010000" when write_address_counter(3) = '1' and write_address_counter(2 downto 0) = "000" else
"00000001000" when write_address_counter(2) = '1' and write_address_counter(1 downto 0) = "00" else
"00000000100" when write_address_counter(1) = '1' and write_address_counter(0 downto 0) = "0" else
"00000000010" when write_address_counter(0) = '1' else
"00000000000";
process(clk)
begin
if rising_edge(clk) then
if PUSH = '1' and state = IDLE then
if (write_address_counter = 0 and read_address_counter(11 downto 1) = 0) or write_address_counter /= read_address_counter_minus_two(11 downto 1) then
if write_add_simple = '1' then
write_address_counter <= write_address_counter xor "00000000001";
else
write_address_counter <= write_address_counter xor write_add_temp;
end if;
end if;
end if;
end if;
end process;
process (Tx_Clk)
begin
if rising_edge(Tx_Clk) then
if (state = TRANSMITTING) then
if read_address_counter(11 downto 1) /= write_address_counter then
read_add_simple <= not read_add_simple;
end if;
end if;
end if;
end process;
read_add_temp <= "100000000000" when (read_address_counter(10) = '1' and read_address_counter(9 downto 0) = "0000000000") or (read_address_counter = "100000000000") else
"010000000000" when read_address_counter(9) = '1' and read_address_counter(8 downto 0) = "000000000" else
"001000000000" when read_address_counter(8) = '1' and read_address_counter(7 downto 0) = "00000000" else
"000100000000" when read_address_counter(7) = '1' and read_address_counter(6 downto 0) = "0000000" else
"000010000000" when read_address_counter(6) = '1' and read_address_counter(5 downto 0) = "000000" else
"000001000000" when read_address_counter(5) = '1' and read_address_counter(4 downto 0) = "00000" else
"000000100000" when read_address_counter(4) = '1' and read_address_counter(3 downto 0) = "0000" else
"000000010000" when read_address_counter(3) = '1' and read_address_counter(2 downto 0) = "000" else
"000000001000" when read_address_counter(2) = '1' and read_address_counter(1 downto 0) = "00" else
"000000000100" when read_address_counter(1) = '1' and read_address_counter(0 downto 0) = "0" else
"000000000010" when read_address_counter(0) = '1' else
"000000000000";
process(Tx_Clk)
begin
if rising_edge(Tx_Clk) then
if (Tx_En_i = '1') then
if read_address_counter(11 downto 1) /= write_address_counter then
cycles_in_transmitting_state <= cycles_in_transmitting_state+1;
if read_add_simple = '1' then
read_address_counter <= read_address_counter xor "000000000001";
else
read_address_counter <= read_address_counter xor read_add_temp;
end if;
end if;
end if;
end if;
end process;
read_address_counter_minus_two_temp <= "100000000000" when (read_address_counter_minus_two(10) = '1' and read_address_counter_minus_two(9 downto 0) = "0000000000") or (read_address_counter_minus_two = "100000000000") else
"010000000000" when read_address_counter_minus_two(9) = '1' and read_address_counter_minus_two(8 downto 0) = "000000000" else
"001000000000" when read_address_counter_minus_two(8) = '1' and read_address_counter_minus_two(7 downto 0) = "00000000" else
"000100000000" when read_address_counter_minus_two(7) = '1' and read_address_counter_minus_two(6 downto 0) = "0000000" else
"000010000000" when read_address_counter_minus_two(6) = '1' and read_address_counter_minus_two(5 downto 0) = "000000" else
"000001000000" when read_address_counter_minus_two(5) = '1' and read_address_counter_minus_two(4 downto 0) = "00000" else
"000000100000" when read_address_counter_minus_two(4) = '1' and read_address_counter_minus_two(3 downto 0) = "0000" else
"000000010000" when read_address_counter_minus_two(3) = '1' and read_address_counter_minus_two(2 downto 0) = "000" else
"000000001000" when read_address_counter_minus_two(2) = '1' and read_address_counter_minus_two(1 downto 0) = "00" else
"000000000100" when read_address_counter_minus_two(1) = '1' and read_address_counter_minus_two(0 downto 0) = "0" else
"000000000010" when read_address_counter_minus_two(0) = '1' else
"000000000000";
process(Tx_Clk)
begin
if rising_edge(Tx_Clk) then
if (state = TRANSMITTING) then
if read_address_counter(11 downto 1) /= write_address_counter then
if read_add_simple = '1' then
read_address_counter_minus_two <= read_address_counter_minus_two xor "000000000001";
else
read_address_counter_minus_two <= read_address_counter_minus_two xor read_address_counter_minus_two_temp;
end if;
end if;
end if;
end if;
end process;
end behavioral; | apache-2.0 | febed7788fd0124ff3aadf0eb1c38ec6 | 0.634682 | 3.026323 | false | false | false | false |
UVVM/UVVM_All | uvvm_util/src/hierarchy_linked_list_pkg.vhd | 1 | 46,548 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use work.types_pkg.all;
use work.string_methods_pkg.all;
use work.adaptations_pkg.all;
use work.global_signals_and_shared_variables_pkg.all;
package hierarchy_linked_list_pkg is
type t_hierarchy_linked_list is protected
procedure initialize_hierarchy(
base_scope : string := "";
stop_limit : t_alert_counters);
procedure insert_in_tree(
hierarchy_node : t_hierarchy_node;
parent_scope : string);
impure function is_empty
return boolean;
impure function is_not_empty
return boolean;
impure function get_size
return natural;
procedure clear;
impure function contains_scope(
scope : string
) return boolean;
procedure contains_scope_return_data(
scope : string;
variable result : out boolean;
variable hierarchy_node : out t_hierarchy_node);
procedure alert (
constant scope : string;
constant alert_level : t_alert_level;
constant attention : t_attention := REGARD;
constant msg : string := "");
procedure increment_expected_alerts(
scope : string;
alert_level: t_alert_level;
amount : natural := 1);
procedure set_expected_alerts(
scope : string;
alert_level: t_alert_level;
expected_alerts : natural);
impure function get_expected_alerts(
scope : string;
alert_level : t_alert_level
) return natural;
procedure increment_stop_limit(
scope : string;
alert_level: t_alert_level;
amount : natural := 1);
procedure set_stop_limit(
scope : string;
alert_level: t_alert_level;
stop_limit : natural);
impure function get_stop_limit(
scope : string;
alert_level : t_alert_level
) return natural;
procedure print_hierarchical_log(
order : t_order := FINAL);
impure function get_parent_scope(
scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH))
return string;
procedure change_parent(
scope : string;
parent_scope : string
);
procedure set_top_level_stop_limit(
alert_level : t_alert_level;
value : natural
);
impure function get_top_level_stop_limit(
alert_level : t_alert_level
) return natural;
procedure enable_alert_level(
scope : string;
alert_level : t_alert_level
);
procedure disable_alert_level(
scope : string;
alert_level : t_alert_level
);
procedure enable_all_alert_levels(
scope : string
);
procedure disable_all_alert_levels(
scope : string
);
end protected;
end package hierarchy_linked_list_pkg;
package body hierarchy_linked_list_pkg is
type t_hierarchy_linked_list is protected body
-- Types and control variables for the linked list implementation
type t_element;
type t_element_ptr is access t_element;
type t_element is record
first_child : t_element_ptr; -- Pointer to the first element in a linked list of children
next_sibling : t_element_ptr; -- Pointer to the next element in a linked list of siblings
prev_sibling : t_element_ptr; -- Pointer to the previous element in a linked list of siblings
parent : t_element_ptr;
element_data : t_hierarchy_node;
hierarchy_level : natural; -- How far down the tree this node is. Used when printing summary.
end record;
variable vr_top_element_ptr : t_element_ptr;
variable vr_num_elements_in_tree : natural := 0;
variable vr_max_hierarchy_level : natural := 0;
-- Initialization variables
variable vr_has_been_initialized : boolean := false;
variable vr_base_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH);
procedure initialize_hierarchy(
base_scope : string := "";
stop_limit : t_alert_counters) is
variable v_base_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(base_scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH);
variable base_node : t_hierarchy_node(name(1 to C_HIERARCHY_NODE_NAME_LENGTH)) := (
v_base_scope,
(others => (others => 0)),
stop_limit,
(others => true));
begin
if not vr_has_been_initialized then
-- Generate a base node.
insert_in_tree(base_node, "");
vr_base_scope := v_base_scope;
vr_has_been_initialized := true;
end if;
end procedure;
procedure search_for_scope(
variable starting_node : in t_element_ptr;
scope : string;
variable result_node : out t_element_ptr;
variable found : out boolean
) is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH);
begin
found := false;
-- is this the correct scope?
if starting_node.element_data.name = v_scope then
result_node := starting_node;
found := true;
return;
end if;
-- Go downwards in the tree.
if starting_node.first_child /= null then
search_for_scope(starting_node.first_child, v_scope, v_current_ptr, v_found);
if v_found then
result_node := v_current_ptr;
found := true;
return;
end if;
end if;
-- Go sideways in the tree
if starting_node.next_sibling /= null then
search_for_scope(starting_node.next_sibling, v_scope, v_current_ptr, v_found);
if v_found then
result_node := v_current_ptr;
found := true;
return;
end if;
end if;
-- No candidate found
end procedure;
procedure search_for_scope(
variable starting_node : in t_element_ptr;
hierarchy_node : t_hierarchy_node;
variable result_node : out t_element_ptr;
variable found : out boolean
) is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
found := false;
-- is this the correct node?
if starting_node.element_data = hierarchy_node then
result_node := starting_node;
found := true;
return;
end if;
-- Go downwards in the tree.
if starting_node.first_child /= null then
search_for_scope(starting_node.first_child, hierarchy_node, v_current_ptr, v_found);
if v_found then
result_node := v_current_ptr;
found := true;
return;
end if;
end if;
-- Go sideways in the tree
if starting_node.next_sibling /= null then
search_for_scope(starting_node.next_sibling, hierarchy_node, v_current_ptr, v_found);
if v_found then
result_node := v_current_ptr;
found := true;
return;
end if;
end if;
-- No candidate found
end procedure;
procedure update_uvvm_sim_status is
type alert_array is array (1 to 6) of t_alert_level;
constant alert_check_array : alert_array := (WARNING, TB_WARNING, ERROR, TB_ERROR, FAILURE, TB_FAILURE);
variable v_traverse_children_ptr : t_element_ptr;
variable v_traverse_siblings_ptr : t_element_ptr;
-- uvvm simulation status
alias found_unexpected_simulation_warnings_or_worse is shared_uvvm_status.found_unexpected_simulation_warnings_or_worse;
alias found_unexpected_simulation_errors_or_worse is shared_uvvm_status.found_unexpected_simulation_errors_or_worse;
alias mismatch_on_expected_simulation_warnings_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_warnings_or_worse;
alias mismatch_on_expected_simulation_errors_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_errors_or_worse;
begin
-- set default values for uvvm simulation status
found_unexpected_simulation_warnings_or_worse := 0;
found_unexpected_simulation_errors_or_worse := 0;
mismatch_on_expected_simulation_warnings_or_worse := 0;
mismatch_on_expected_simulation_errors_or_worse := 0;
v_traverse_children_ptr := vr_top_element_ptr;
-- Update uvvm simulation status
while v_traverse_children_ptr /= null loop -- loop through children
v_traverse_siblings_ptr := v_traverse_children_ptr;
while v_traverse_siblings_ptr /= null loop -- loop through siblings
-- Compare expected and current allerts
for i in 1 to alert_check_array'high loop
if (v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(REGARD) /= v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(EXPECT)) then
-- MISMATCH
-- warning or worse
mismatch_on_expected_simulation_warnings_or_worse := 1;
-- error or worse
if not(alert_check_array(i) = WARNING) and not(alert_check_array(i) = TB_WARNING) then
mismatch_on_expected_simulation_errors_or_worse := 1;
end if;
-- FOUND UNEXPECTED ALERT
if (v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(REGARD) > v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(EXPECT)) then
-- warning and worse
found_unexpected_simulation_warnings_or_worse := 1;
-- error and worse
if not(alert_check_array(i) = WARNING) and not(alert_check_array(i) = TB_WARNING) then
found_unexpected_simulation_errors_or_worse := 1;
end if;
end if;
end if;
end loop;
if (mismatch_on_expected_simulation_warnings_or_worse = 1) and
(mismatch_on_expected_simulation_errors_or_worse = 1) and
(found_unexpected_simulation_warnings_or_worse = 1) and
(found_unexpected_simulation_errors_or_worse = 1) then
exit;
end if;
v_traverse_siblings_ptr := v_traverse_siblings_ptr.next_sibling;
end loop;
if (mismatch_on_expected_simulation_warnings_or_worse = 1) and
(mismatch_on_expected_simulation_errors_or_worse = 1) and
(found_unexpected_simulation_warnings_or_worse = 1) and
(found_unexpected_simulation_errors_or_worse = 1) then
exit;
end if;
v_traverse_children_ptr := v_traverse_children_ptr.first_child;
end loop;
end procedure update_uvvm_sim_status;
--
-- insert_in_tree
--
-- Insert a new element in the tree.
--
--
procedure insert_in_tree(
hierarchy_node : t_hierarchy_node;
parent_scope : string
) is
variable v_parent_ptr : t_element_ptr;
variable v_child_ptr : t_element_ptr;
variable v_found : boolean := false;
variable v_parent_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(parent_scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH);
variable v_hierarchy_node : t_hierarchy_node(name(1 to C_HIERARCHY_NODE_NAME_LENGTH));
begin
v_hierarchy_node := hierarchy_node;
v_hierarchy_node.name := justify(hierarchy_node.name, LEFT, C_HIERARCHY_NODE_NAME_LENGTH);
-- Set read and write pointers when appending element to existing list
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
-- Search for the parent.
search_for_scope(vr_top_element_ptr, v_parent_scope, v_parent_ptr, v_found);
if v_found then
-- Parent found.
if v_parent_ptr.first_child = null then
-- Parent has no children. This node shall be the first child.
v_parent_ptr.first_child := new t_element'(first_child => null, next_sibling => null, prev_sibling => null, parent => v_parent_ptr, element_data => v_hierarchy_node, hierarchy_level => v_parent_ptr.hierarchy_level + 1);
else
-- Parent has at least one child. This node shall be a sibling of the other child(ren).
v_child_ptr := v_parent_ptr.first_child;
-- Find last current sibling
while v_child_ptr.next_sibling /= null loop
v_child_ptr := v_child_ptr.next_sibling;
end loop;
-- Insert this node as a new sibling
v_child_ptr.next_sibling := new t_element'(first_child => null, next_sibling => null, prev_sibling => v_child_ptr, parent => v_parent_ptr, element_data => v_hierarchy_node, hierarchy_level => v_parent_ptr.hierarchy_level + 1);
end if;
-- Update max hierarchy level
if vr_max_hierarchy_level < v_parent_ptr.hierarchy_level + 1 then
vr_max_hierarchy_level := v_parent_ptr.hierarchy_level + 1;
end if;
else
-- parent not in tree
-- Register to top level
insert_in_tree(v_hierarchy_node, C_BASE_HIERARCHY_LEVEL);
end if;
else
-- tree is empty, create top element in tree
vr_top_element_ptr := new t_element'(first_child => null, next_sibling => null, prev_sibling => null, parent => null, element_data => v_hierarchy_node, hierarchy_level => 0);
end if;
-- Increment number of elements
vr_num_elements_in_tree := vr_num_elements_in_tree + 1;
end procedure;
procedure clear_recursively(variable element_ptr : inout t_element_ptr) is
begin
assert element_ptr /= null report "Attempting to clear null pointer!" severity error ;
if element_ptr.first_child /= null then
clear_recursively(element_ptr.first_child);
end if;
if element_ptr.next_sibling /= null then
clear_recursively(element_ptr.next_sibling);
end if;
DEALLOCATE(element_ptr);
end procedure;
procedure clear is
variable v_to_be_deallocated_ptr : t_element_ptr;
begin
-- Deallocate all nodes in the tree
if vr_top_element_ptr /= null then
clear_recursively(vr_top_element_ptr);
end if;
-- Reset the linked_list counter
vr_num_elements_in_tree := 0;
-- Reset the hierarchy variables
vr_max_hierarchy_level := 0;
vr_has_been_initialized := false;
update_uvvm_sim_status;
end procedure;
impure function is_empty
return boolean is
begin
if vr_num_elements_in_tree = 0 then
return true;
else
return false;
end if;
end function;
impure function is_not_empty
return boolean is
begin
return not is_empty;
end function;
impure function get_size
return natural is
begin
return vr_num_elements_in_tree;
end function;
impure function contains_scope(
scope : string
) return boolean is
variable v_candidate_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_candidate_ptr, v_found);
return v_found;
end function;
procedure contains_scope_return_data(
scope : string;
variable result : out boolean;
variable hierarchy_node : out t_hierarchy_node
) is
variable v_candidate_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_candidate_ptr, v_found);
result := v_found;
if v_found then
hierarchy_node := v_candidate_ptr.element_data;
end if;
end procedure;
procedure tee (
file file_handle : text;
variable my_line : inout line
) is
variable v_line : line;
begin
write (v_line, my_line.all);
writeline(file_handle, v_line);
end procedure tee;
procedure alert (
constant scope : string;
constant alert_level : t_alert_level;
constant attention : t_attention := REGARD;
constant msg : string := ""
) is
variable v_starting_node_ptr : t_element_ptr;
variable v_current_ptr : t_element_ptr;
variable v_found : boolean := false;
variable v_is_in_tree : boolean := false;
variable v_msg : line; -- msg after pot. replacement of \n
variable v_info : line;
variable v_hierarchy : line; -- stores the hierarchy propagation
variable v_parent_node : t_hierarchy_node(name(1 to C_HIERARCHY_NODE_NAME_LENGTH));
variable v_do_print : boolean := false; -- Enable/disable print of alert message
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
-- search for tree node that contains scope
search_for_scope(vr_top_element_ptr, scope, v_starting_node_ptr, v_found);
if not v_found then
-- If the scope was not found, register automatically
-- with the default base level scope as parent.
-- Stop limit set to default.
insert_in_tree((scope, (others => (others => 0)), (others => 0), (others => true)), justify(C_BASE_HIERARCHY_LEVEL, LEFT, C_HIERARCHY_NODE_NAME_LENGTH));
-- Search again to get ptr
search_for_scope(vr_top_element_ptr, scope, v_starting_node_ptr, v_found);
end if;
v_current_ptr := v_starting_node_ptr;
assert v_found
report "Node not found!"
severity failure;
write(v_msg, replace_backslash_n_with_lf(msg));
-- Only print of alert level print is enabled for this alert level
-- for the node where the alert is called.
if attention /= IGNORE then
if v_current_ptr.element_data.alert_level_print(alert_level) = true then
v_do_print := true;
end if;
-- Write first part of alert message
-- Serious alerts need more attention - thus more space and lines
if (alert_level > MANUAL_CHECK) then
write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH));
end if;
write(v_info, LF & "*** ");
end if;
-- 4. Propagate alert and build alert message
while v_current_ptr /= null loop
if attention = IGNORE then
-- Increment alert counter for this node at alert attention IGNORE
v_current_ptr.element_data.alert_attention_counters(alert_level)(IGNORE) := v_current_ptr.element_data.alert_attention_counters(alert_level)(IGNORE)+ 1;
else
-- Increment alert counter for this node at alert attention REGARD
v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) := v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)+ 1;
write(v_hierarchy, v_current_ptr.element_data.name(1 to pos_of_rightmost_non_whitespace(v_current_ptr.element_data.name)));
if v_current_ptr.parent /= null then
write(v_hierarchy, string'(" -> "));
end if;
-- Exit loop if stop-limit is reached for number of this alert
if (v_current_ptr.element_data.alert_stop_limit(alert_level) /= 0) and
(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= v_current_ptr.element_data.alert_stop_limit(alert_level)) then
exit;
end if;
end if;
v_current_ptr := v_current_ptr.parent;
end loop;
if v_current_ptr = null then -- Nothing went wrong in the previous loop
v_current_ptr := v_starting_node_ptr;
end if;
if attention /= IGNORE then
-- 3. Write body of alert message
-- Remove line feed character (LF)
-- if single line alert enabled.
if not C_SINGLE_LINE_ALERT then
write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & " ***" & LF &
justify( to_string(now, C_LOG_TIME_BASE), RIGHT, C_LOG_TIME_WIDTH) & " " & v_hierarchy.all & LF &
wrap_lines(v_msg.all, C_LOG_TIME_WIDTH + 4, C_LOG_TIME_WIDTH + 4, C_LOG_INFO_WIDTH));
else
replace(v_msg, LF, ' ');
write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & " ***" &
justify( to_string(now, C_LOG_TIME_BASE), RIGHT, C_LOG_TIME_WIDTH) & " " & v_hierarchy.all &
" " & v_msg.all);
end if;
end if;
if v_msg /= null then
deallocate(v_msg);
end if;
-- Write stop message if stop-limit is reached for number of this alert
if (v_current_ptr.element_data.alert_stop_limit(alert_level) /= 0) and
(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= v_current_ptr.element_data.alert_stop_limit(alert_level)) then
v_do_print := true; -- If the alert limit has been reached, print alert message anyway.
write(v_info, LF & LF & "Simulator has been paused as requested after " &
to_string(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & " " &
to_upper(to_string(alert_level)) & LF);
if (alert_level = MANUAL_CHECK) then
write(v_info, "Carry out above check." & LF &
"Then continue simulation from within simulator." & LF);
else
write(v_info, string'("*** To find the root cause of this alert, " &
"step out the HDL calling stack in your simulator. ***" & LF &
"*** For example, step out until you reach the call from the test sequencer. ***"));
end if;
end if;
if v_hierarchy /= null then
deallocate(v_hierarchy);
end if;
-- 5. Write last part of alert message
if (alert_level > MANUAL_CHECK) then
write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH) & LF & LF);
else
write(v_info, LF);
end if;
prefix_lines(v_info);
if v_do_print then -- Only print if alert level print enabled for this alert level.
tee(OUTPUT, v_info);
tee(ALERT_FILE, v_info);
writeline(LOG_FILE, v_info);
else
if v_info /= null then
deallocate(v_info);
end if;
end if;
if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then
update_uvvm_sim_status;
end if;
-- Stop simulation if stop-limit is reached for number of this alert
if v_current_ptr /= null then
if (v_current_ptr.element_data.alert_stop_limit(alert_level) /= 0) then
if (v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= v_current_ptr.element_data.alert_stop_limit(alert_level)) then
assert false
report "This single Failure line has been provoked to stop the simulation. See alert-message above"
severity failure;
end if;
end if;
end if;
end if;
end procedure;
procedure increment_expected_alerts(
scope : string;
alert_level: t_alert_level;
amount : natural := 1
) is
variable v_current_ptr : t_element_ptr;
variable v_new_expected_alerts : natural;
variable v_found : boolean := false;
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
-- search for tree node that contains scope
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
assert v_found report "Scope not found!" severity warning;
if v_found then
-- Increment expected alerts for this node.
v_new_expected_alerts := v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) + amount;
v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := v_new_expected_alerts;
-- Change pointer to parent element
v_current_ptr := v_current_ptr.parent;
-- Propagate expected alerts
while v_current_ptr /= null loop
if v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) < v_new_expected_alerts then
v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := v_new_expected_alerts;
end if;
v_current_ptr := v_current_ptr.parent;
end loop;
end if;
if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then
update_uvvm_sim_status;
end if;
end if;
end procedure;
procedure set_expected_alerts(
scope : string;
alert_level: t_alert_level;
expected_alerts : natural
) is
variable v_current_ptr : t_element_ptr;
variable v_found : boolean := false;
variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH);
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
-- search for tree node that contains scope
search_for_scope(vr_top_element_ptr, v_scope, v_current_ptr, v_found);
assert v_found report "Scope not found!" severity warning;
if v_found then
-- Set stop limit for this node
v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := expected_alerts;
-- Change pointer to parent element
v_current_ptr := v_current_ptr.parent;
-- Propagate stop limit
while v_current_ptr /= null loop
if v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) < expected_alerts then
v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := expected_alerts;
end if;
v_current_ptr := v_current_ptr.parent;
end loop;
end if;
if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then
update_uvvm_sim_status;
end if;
end if;
end procedure;
impure function get_expected_alerts(
scope : string;
alert_level : t_alert_level
) return natural is
variable v_current_ptr : t_element_ptr;
variable v_found : boolean := false;
variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH);
begin
search_for_scope(vr_top_element_ptr, v_scope, v_current_ptr, v_found);
if v_found then
return v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT);
else
return 0;
end if;
end function;
procedure increment_stop_limit(
scope : string;
alert_level: t_alert_level;
amount : natural := 1
) is
variable v_current_ptr : t_element_ptr;
variable v_new_stop_limit : natural;
variable v_found : boolean := false;
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
-- search for tree node that contains scope
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
assert v_found report "Scope not found!" severity warning;
if v_found then
-- Increment stop limit for this node.
v_new_stop_limit := v_current_ptr.element_data.alert_stop_limit(alert_level) + amount;
v_current_ptr.element_data.alert_stop_limit(alert_level) := v_new_stop_limit;
-- Change pointer to parent element
v_current_ptr := v_current_ptr.parent;
-- Propagate stop limit
while v_current_ptr /= null loop
if v_current_ptr.element_data.alert_stop_limit(alert_level) < v_new_stop_limit then
v_current_ptr.element_data.alert_stop_limit(alert_level) := v_new_stop_limit;
end if;
v_current_ptr := v_current_ptr.parent;
end loop;
end if;
end if;
end procedure;
procedure set_stop_limit(
scope : string;
alert_level: t_alert_level;
stop_limit : natural
) is
variable v_current_ptr : t_element_ptr;
variable v_found : boolean := false;
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
-- search for tree node that contains scope
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
assert v_found report "Scope not found!" severity warning;
if v_found then
-- Set stop limit for this node
v_current_ptr.element_data.alert_stop_limit(alert_level) := stop_limit;
v_current_ptr := v_current_ptr.parent;
-- Propagate stop limit
while v_current_ptr /= null loop
if v_current_ptr.element_data.alert_stop_limit(alert_level) < stop_limit then
v_current_ptr.element_data.alert_stop_limit(alert_level) := stop_limit;
end if;
v_current_ptr := v_current_ptr.parent;
end loop;
end if;
end if;
end procedure;
impure function get_stop_limit(
scope : string;
alert_level : t_alert_level
) return natural is
variable v_current_ptr : t_element_ptr;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
if v_found then
return v_current_ptr.element_data.alert_stop_limit(alert_level);
else
return 0;
end if;
end function;
procedure generate_hierarchy_prefix(
variable starting_node_ptr : in t_element_ptr;
variable calling_node_ptr : in t_element_ptr;
variable origin_node_ptr : in t_element_ptr;
variable v_line : inout line
) is
variable v_indent_correction_amount : natural := 0;
begin
if starting_node_ptr.parent = null then
-- This is the top level
-- Write a '|' as first character if the calling node (child)
-- has another sibling, else nothing.
if origin_node_ptr.parent /= starting_node_ptr
and calling_node_ptr.next_sibling /= null then
write(v_line, string'("|"));
end if;
else
-- This starting_node is not the top node
-- Create prefix for parent first.
generate_hierarchy_prefix(starting_node_ptr.parent, starting_node_ptr, origin_node_ptr, v_line);
-- All that have received a '|' as the first character in the buffer
-- has one space too many afterwards. Special case for the first character.
if starting_node_ptr.parent.parent = null then
if starting_node_ptr.next_sibling /= null then
v_indent_correction_amount := 1;
end if;
end if;
if starting_node_ptr.next_sibling /= null then
-- Has another sibling
if calling_node_ptr.next_sibling /= null then
write(v_line, fill_string(' ', 2 - v_indent_correction_amount));
write(v_line, string'("|"));
else
write(v_line, fill_string(' ', 3 - v_indent_correction_amount));
end if;
else
-- No next sibling
write(v_line, fill_string(' ', 3 - v_indent_correction_amount));
end if;
end if;
end procedure;
procedure print_node(
variable starting_node_ptr : in t_element_ptr;
variable v_status_ok : inout boolean;
variable v_minor_status_ok : inout boolean;
variable v_mismatch : inout boolean;
variable v_minor_mismatch : inout boolean;
variable v_line : inout line
) is
variable v_current_ptr : t_element_ptr;
begin
-- Write indentation according to hierarchy level
if starting_node_ptr.hierarchy_level > 0 then
generate_hierarchy_prefix(starting_node_ptr.parent, starting_node_ptr, starting_node_ptr, v_line);
if starting_node_ptr.next_sibling /= null then
write(v_line, string'("|- "));
else
write(v_line, string'("`- "));
end if;
end if;
-- Print name of node
write(v_line, starting_node_ptr.element_data.name);
-- Adjust the columns according to max hierarchy level
if vr_max_hierarchy_level > 0 then
if starting_node_ptr.hierarchy_level /= vr_max_hierarchy_level then
write(v_line, fill_string(' ', (vr_max_hierarchy_level - starting_node_ptr.hierarchy_level)*3));
end if;
end if;
-- Print colon to signify the end of the name
write(v_line, string'(":"));
-- Print counters for each of the alert levels.
for alert_level in NOTE to t_alert_level'right loop
write(v_line, justify(integer'image(starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & "/" &
integer'image(starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT)) & "/" &
integer'image(starting_node_ptr.element_data.alert_attention_counters(alert_level)(IGNORE))
,RIGHT, 11) & " ");
if v_status_ok = true then
if starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD) /=
starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) then
if alert_level > MANUAL_CHECK then
if starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD) <
starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) then
v_mismatch := true;
else
v_status_ok := false;
end if;
else
if starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD) <
starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) then
v_minor_mismatch := true;
else
v_minor_status_ok := false;
end if;
end if;
end if;
end if;
end loop;
write(v_line, LF);
if starting_node_ptr.first_child /= null then
print_node(starting_node_ptr.first_child, v_status_ok, v_minor_status_ok, v_mismatch, v_minor_mismatch, v_line);
end if;
if starting_node_ptr.next_sibling /= null then
print_node(starting_node_ptr.next_sibling, v_status_ok, v_minor_status_ok, v_mismatch, v_minor_mismatch, v_line);
end if;
end procedure;
procedure print_hierarchical_log(
order : t_order := FINAL
) is
variable v_header : string(1 to 80);
variable v_line : line;
variable v_line_copy : line;
constant prefix : string := C_LOG_PREFIX & " ";
variable v_status_ok : boolean := true;
variable v_minor_status_ok: boolean := true;
variable v_mismatch : boolean := false;
variable v_minor_mismatch : boolean := false;
begin
if order = INTERMEDIATE then
v_header := "*** INTERMEDIATE SUMMARY OF ALL ALERTS *** Format: REGARDED/EXPECTED/IGNORED";
else -- order=FINAL
v_header := "*** FINAL SUMMARY OF ALL ALERTS *** Format: REGARDED/EXPECTED/IGNORED ";
end if;
-- Write header
write(v_line,
LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
v_header & LF &
fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF &
" " & justify(" ", RIGHT, 3+ C_HIERARCHY_NODE_NAME_LENGTH + vr_max_hierarchy_level*3) & "NOTE" & justify(" ", RIGHT, 6) & "TB_NOTE" & justify(" ", RIGHT, 5) & "WARNING" & justify(" ", RIGHT, 3) & "TB_WARNING" & justify(" ", RIGHT, 2) & "MANUAL_CHECK" & justify(" ", RIGHT, 3) & "ERROR" & justify(" ", RIGHT, 5) & "TB_ERROR" & justify(" ", RIGHT, 5) & "FAILURE" & justify(" ", RIGHT, 3) & "TB_FAILURE" & LF);
-- Print all nodes
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
print_node(vr_top_element_ptr, v_status_ok, v_minor_status_ok, v_mismatch, v_minor_mismatch, v_line);
end if;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF);
-- Print a conclusion when called from the FINAL part of the test sequencer
-- but not when called from in the middle of the test sequence (order=INTERMEDIATE)
if order = FINAL then
if not v_status_ok then
write(v_line, ">> Simulation FAILED, with unexpected serious alert(s)" & LF);
elsif v_mismatch then
write(v_line, ">> Simulation FAILED: Mismatch between counted and expected serious alerts" & LF);
elsif (v_minor_status_ok = false) or (v_minor_mismatch = true) then
write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts, but mismatch in minor alerts" & LF);
else
write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts" & LF);
end if;
write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF);
end if;
wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length);
prefix_lines(v_line, prefix);
-- Write the info string to the target file
write (v_line_copy, v_line.all & lf); -- copy line
writeline(OUTPUT, v_line);
writeline(LOG_FILE, v_line_copy);
end procedure;
impure function get_parent_scope(
scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH))
return string is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
assert v_found report "Scope not found. Exiting get_parent_scope()..." severity warning;
if not v_found then return justify("", LEFT, C_HIERARCHY_NODE_NAME_LENGTH); end if;
if v_current_ptr.parent /= null then
return v_current_ptr.parent.element_data.name;
end if;
end if;
return "";
end function;
procedure propagate_hierarchy_level(
variable node_ptr : inout t_element_ptr
) is
begin
if node_ptr /= null then
if node_ptr.parent /= null then
node_ptr.hierarchy_level := node_ptr.parent.hierarchy_level + 1;
else -- No parent
node_ptr.hierarchy_level := 0;
end if;
if vr_max_hierarchy_level < node_ptr.hierarchy_level then
vr_max_hierarchy_level := node_ptr.hierarchy_level;
end if;
if node_ptr.next_sibling /= null then
propagate_hierarchy_level(node_ptr.next_sibling);
end if;
if node_ptr.first_child /= null then
propagate_hierarchy_level(node_ptr.first_child);
end if;
end if;
end procedure;
procedure change_parent(
scope : string;
parent_scope : string
) is
variable v_old_parent_ptr : t_element_ptr := null;
variable v_new_parent_ptr : t_element_ptr := null;
variable v_child_ptr : t_element_ptr := null;
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
if vr_num_elements_in_tree > 0 and vr_has_been_initialized then
search_for_scope(vr_top_element_ptr, scope, v_child_ptr, v_found);
assert v_found report "Child not found. Exiting change_parent()..." severity warning;
if not v_found then return; end if;
search_for_scope(vr_top_element_ptr, parent_scope, v_new_parent_ptr, v_found);
assert v_found report "Parent not found. Exiting change_parent()..." severity warning;
if not v_found then return; end if;
if v_child_ptr.first_child /= null then
search_for_scope(v_child_ptr.first_child, parent_scope, v_current_ptr, v_found);
assert not v_found report "New parent is the descendant of the node that shall be moved! Illegal operation!" severity failure;
end if;
-- Clean up
-- Need to check the current parent of the child for any other children,
-- then clean up the next_sibling, prev_sibling and first_child pointers.
v_old_parent_ptr := v_child_ptr.parent;
if v_old_parent_ptr /= null then
if v_old_parent_ptr.first_child = v_child_ptr then
-- First_child is this child. Check if any siblings.
-- Prev_sibling is null since this is first child.
-- Next sibling can be something else.
-- Correct first_child for old parent
if v_child_ptr.next_sibling /= null then
-- Set next_sibling to be first child
v_old_parent_ptr.first_child := v_child_ptr.next_sibling;
-- Clear prev_sibling for the sibling that will now be first_child of old_parent
v_child_ptr.next_sibling.prev_sibling := null;
else
-- No siblings, clear first_child
v_old_parent_ptr.first_child := null;
end if;
else
-- This child must be one of the siblings.
-- Remove this child and glue together the other siblings
-- Create pointer from previous sibling to next sibling
v_child_ptr.prev_sibling.next_sibling := v_child_ptr.next_sibling;
-- Create pointer from next sibling to previous sibling
if v_child_ptr.next_sibling /= null then
v_child_ptr.next_sibling.prev_sibling := v_child_ptr.prev_sibling;
end if;
end if;
-- Clear siblings to prepare for another parent
v_child_ptr.prev_sibling := null;
v_child_ptr.next_sibling := null;
end if;
-- Set new parent and prev_sibling for the child.
if v_new_parent_ptr.first_child = null then
-- No children previously created for this parent
v_new_parent_ptr.first_child := v_child_ptr;
else
-- There is at least 1 child belonging to the new parent
v_current_ptr := v_new_parent_ptr.first_child;
while v_current_ptr.next_sibling /= null loop
v_current_ptr := v_current_ptr.next_sibling;
end loop;
-- v_current_ptr is now the final sibling belonging to
-- the new parent
v_current_ptr.next_sibling := v_child_ptr;
v_child_ptr.prev_sibling := v_current_ptr;
end if;
-- Set parent correctly
v_child_ptr.parent := v_new_parent_ptr;
-- Update hierarchy levels for the whole tree
vr_max_hierarchy_level := 0;
propagate_hierarchy_level(vr_top_element_ptr);
end if;
end procedure;
procedure set_top_level_stop_limit(
alert_level : t_alert_level;
value : natural
) is
begin
--
--
vr_top_element_ptr.element_data.alert_stop_limit(alert_level) := value;
-- Evaluate new stop limit in case it is less than or equal to the current alert counter for this alert level
-- If that is the case, a new alert with the same alert level shall be triggered.
if vr_top_element_ptr.element_data.alert_stop_limit(alert_level) /= 0 and
(vr_top_element_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= vr_top_element_ptr.element_data.alert_stop_limit(alert_level)) then
assert false
report "Alert stop limit for scope " & vr_top_element_ptr.element_data.name & " at alert level " & to_upper(to_string(alert_level)) & " set to " & to_string(value) &
", which is lower than the current " & to_upper(to_string(alert_level)) & " count (" & to_string(vr_top_element_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & ")."
severity failure;
end if;
end procedure;
impure function get_top_level_stop_limit(
alert_level : t_alert_level
) return natural is
begin
return vr_top_element_ptr.element_data.alert_stop_limit(alert_level);
end function;
procedure propagate_alert_level(
variable node_ptr : inout t_element_ptr;
constant alert_level : t_alert_level;
constant setting : boolean
) is
begin
if node_ptr /= null then
node_ptr.element_data.alert_level_print(alert_level) := setting;
if node_ptr.next_sibling /= null then
propagate_alert_level(node_ptr.next_sibling, alert_level, setting);
end if;
if node_ptr.first_child /= null then
propagate_alert_level(node_ptr.first_child, alert_level, setting);
end if;
end if;
end procedure;
procedure enable_alert_level(
scope : string;
alert_level : t_alert_level
) is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
if v_found then
propagate_alert_level(v_current_ptr, alert_level, true);
end if;
end procedure;
procedure disable_alert_level(
scope : string;
alert_level : t_alert_level
) is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
if v_found then
propagate_alert_level(v_current_ptr, alert_level, false);
end if;
end procedure;
procedure enable_all_alert_levels(
scope : string
) is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
if v_found then
for alert_level in NOTE to t_alert_level'right loop
propagate_alert_level(v_current_ptr, alert_level, true);
end loop;
end if;
end procedure;
procedure disable_all_alert_levels(
scope : string
) is
variable v_current_ptr : t_element_ptr := null;
variable v_found : boolean := false;
begin
search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found);
if v_found then
for alert_level in NOTE to t_alert_level'right loop
propagate_alert_level(v_current_ptr, alert_level, false);
end loop;
end if;
end procedure;
end protected body;
end package body hierarchy_linked_list_pkg;
| mit | 980b9d8b5dafbb7fe9c55254748a0c7f | 0.629436 | 3.745715 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/cmpy_v6_0/hdl/cmpy_v6_0_viv_comp.vhd | 3 | 13,265 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W+u9dKpYC7BMJ88SKiG0u+GajV/ClLfnIYW8AOJjeRZQ4dcvZ56do82IatNZpn/JRGq1tg46jPI9
NqedD18SQA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EcRhiEScghgJC4tuz3ZkgzPi3hvqpmwYNNuGWlCPncFQR1nEIGpAWjWGMgbsHUl8usjAvog29N9f
uN2jkZl9vuHrtsiDdtSLk9M0b/c00VABkdxw31N9JICS9e1MmZITlSsvMb4rs6VzmHu+p10j3xlw
Gc21vRQOLtLUydzsswg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
g811s+f3VsLDV8GmA3PoXdjKsz3Hq76rHp3Sxdla41qC8LmiQ3Itu4YLrrcsnTf6alTntJb5hVlo
2nomdB5u/+sAMq532TReV1ZTf0W4Hq9xTmJ1MuQW3PUJvzfcl/fEOWpqBSj8ttTGf7r6FTFluYFl
onKXHqjXaDnWIQRktPsh7uWzpj8jbfxUDJhBRR1WLxM8Hg9wOj75rGkETTw07myQZhEz1kDSgyqS
5YxgFYaqMWjQTG0AbBjQSJUHsW+Lp+wlPZbuBe2w2QhoBjnoA9Fxd+woOiMaBRz93WaySMnnniDh
SHenJz4RYw/nJLSEBUte3mpx5fiChc4WBSVOTQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iBA0FVDCM7glH21pRL/QMFI493A1xjKyMYfDJK69LtYJ630dKGTbyjx3h/nviDyqv/EYjfQUhQpE
uLtBcPKWlJzV4foevlstOsaQyIfxPOngFzXlhoXfSqXMCbBPBd6yFaRRaw9zy27UfYDjXFVDuFMT
N+kfftmgXAruO9u05XA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SQjAH6KSOMtrlOrG9yr2cdJu8LbwOIWKrlETEb57q2TFvkTlXKGfaOjdbsbxv+hj8of5SeDAeIH7
JpWQldJNGwIw9c72fLER2ua+KTaPDasFjde3zxbjh3l0aMnNB38JanX5+XlOvd88au1pBdjqFmiB
yUJu8AYy5oGradxj5rcEwLpK0vlukfWt2hoVx+zIcUA8DIHmhYq/QSq3aEVlo38hZb7Ey0Zx0iUB
bbY7+shcllGgPfToAJdpxl8tEJtw4ShRMyNIJtTsiyQ0RRbZhTkbXx6hvMzc5sMFcfXkbvCHoa31
4e01E6Kfj68SsECb7+d9UY+0T0rsLvAkB7B0vQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8080)
`protect data_block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`protect end_protected
| gpl-2.0 | 8e325a5becb94614fe9179e6b8ace8e7 | 0.931549 | 1.88076 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/mux4.vhd | 2 | 11,968 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Dy8GuSLXgw4Kn/n9FZ0vppRtVveVQji65VfRiXW71BaVWetmn7KGLJDBsDsyWo4YuKKaDuZySmgj
mzNFHmBQ4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZM2S9AZu7NUjeAfeZVButlYtXToDq6OdAYdMYZPu2Hco0L5uzqE1w6PVSNruBpCo5pXmFPKwMWzk
QslIZZpBJvk+Kt8YwZ8JiEriv4sda8yTXGKZpfH+8ol4HzjyTFKkVCAP673FaMTP/iGSQl5rUb84
jvnaPme8XPlLpOu8aGA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SfYa1cMbzTWsh9AAaWhH/5SvZXWd9LzrDfk3mL5w7KWlgYspDRSSS62ZxplG9sz17Tw1f08YUuEe
xQ8CHcEFzmPZnazebh3WXbkc/aAhXXr0qVaAHmirpWBUrO4ifEsaeyFWH0qMGJFAXnMba03eT1BM
iq+SbtX09LYyoSk/mRU12QpQA7/kBCavWti/YbLQlHiEBplyhK8c0lk7AmTrQwjydAX4dv+aFnpe
6Tw3KiLzgQFswNlFxf8sS8Ko7YXHSIamBqu02COG4lFyHPti9euSzj+JCY9tyCZUMInJP6JS6fCl
Ykm2WZZdB+uXGHYPXZoBnQSWDnyjK7As6GinKA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uA3zAb5aDYFygMzilWmjAIqzW00c2khRKEGHdxpGsRoX/E1FxJU+SL7BqD9w9yHhUZO59QUbuFed
Dcvl2yU7BZ5L4umHbLdAfwtaLGMZHrQ5AQlQqCdLld2+woai7FNm0CMlfkQSV0nxxAK0RpgjF9uL
q14cqVTln9u0mqJ6A9c=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gXY7Augo3g9P57bPy+Gcu411PlpVQlr1x5CQoPz7o8u2FKUQ9HZ+5es2mg5/5KdlkJ/0SK9OnCgf
gHmp3lNbWxUIXt1dDl1d+vQ9sXMu6B4/MaTMXnenT4rm27KgVlUB+diIcsFlg7Uh8A6Cu0w6Yvl0
IHzfH2uq420jze3KB+L6Tgw/pBmLCCF2BgJRQed2vrHUB+T0QU/Yv0Xfr9nzn9ky4eu0DC35Xvbo
8wac5j24iwNrec1VwZT4N7L1JWnxWZXG0QVG2eewOPjaK5lUzeY4kNr85UQZnLQTtrZflL8KLu0c
IAtQDV8gqiqwcVy0e4mgumtRR31iZa4KwIzIbw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7120)
`protect data_block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==
`protect end_protected
| gpl-2.0 | f397b6216e6576ef825f5f90426f2fd3 | 0.93257 | 1.899683 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_exp/flt_exp_e2A.vhd | 2 | 13,006 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SxENxFkoIlA4QLVSBg4pVnMRoM6GbwaejGu8h6nEbLY6BasvYBGC/wwOiR+IZXck2Iyqf6lwx5N6
s0qO/0yl7w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BJ4UDaviWcTRQO74gwbyRhpZ6h2qDJeV8/gfKmsr9qzjZbMncTlKcnl0H54fM15a53u33I/ujXtp
vGyl41Cg3IDNIuafcC+LCYO4ALpK9L3BfMQ90pL8abS95nAPKwA5dvckwWL83zBSQ73i0CvokUVX
snWe+gzRWD2CdFdXde0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rMtUZYXWEPzMFGwAEbhKwdlQ38yYdX8rqFYPqzsuMrLbi+YOmd1KPMkNXB90kdiocn9wGDBHKHMB
7wPtH1ZNS3EaCwkDJdpD2EdDctBt1fo4QLGZoCyZbBBrwyfgEjpSs2Axu6PLUJzUkBiqJbYcBgXX
8Dt705gbxmziaFvLGBzfPvtSMLH8ZeJkah4IZS+Ve+R1M8qfvgkizRb3fE9gr6vQokfTNAUZmFVs
MYANYdll+IVNkCgwF0afEKngnxUfUy71ofB7iXrbnTGaAONovHdVOKYDbKnJk50v4Sj/E1sywNDs
vevAExWVgf2jHs8kYBm1drvhPglcUqOUXMIfAg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eSBkF7sbyDhETGQQanfLOaoo9k+in2i0ArE90T2WC9NkurLQZ0aFHbm3/q+vOXuhjRv0wPRszQlk
dAmmOtuac8r+NmIIFybZVopar04WbfYAFLf9qQNr4HUChFSkNXDwuo7kZn0ecJVk3r1bEPQepJFL
4mTLtLoMW8JlxSEkEs4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dVGFS2tWLyA7OpuEf/x/jq19SzNO6KTOEoX+0yMhhtvPI6W/3GHGVleAHGFAli7+uPDRQ1gmUrBq
rjWVKAQKA4Bq9v6UvOe0aTirZvw9a1kmec76MFQ7iaxnfJ8OS/BCJ480bnyMLfhyGC3I5gKk2Kje
7BQAhqKhwRtEaYHVbBt94cwBgzep5p1OqqX5inbgO2k9bALr4w2GhvpPEZYsFAU3jtvPvH0Zu6QP
vrOFXKLCjwMdW1mlkLPiFVHafX0V/OUztNWlD6N/n1/t9VDrpu6tcstO2cAupl4Ncfjd9Svx3qTd
873DunZj8Rsj3Bip005VcrgKqpY6kJYOzD5w9Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7888)
`protect data_block
RG/p7iKxKyMpwUwWQloCj62aP2KI2xr9E/Ami9Qw+aHLgYKGkCpXY9rx2WeWwIbGXJ3Hlw0ekd5x
LliA+B2Ggk80fqk9K56G2s42+qdRG7Zf6OWsdBC+a5V+siyouGKvY234+jjEBWq6/vgCqnZEXaKk
APAnjfE6nd5uSOF67ScExnChoiYlppi/LrFindhJJWav21i6qXDzDJCiFk5a1GhQUly+MMFPxxK3
KRLlCb0blcG3KJXY0qGahbC6t7XwSrLs0vpHIMbJEk22uDRdFSLuqiG4SY8nY13lfxIdihojYnWO
zNEoU9Q5r2b8yIkarHNphDQf01bnwy+Lq3x+zryGdQo7glmuyfJR3t5CQgqBf0wJcIDNdK3rzIez
N9JDD/THhgNKh0O4YN1NbaYOc8fHucY9+WC/gpGvfaMmaYNI3FwU4i8qJxAOp7Vhuy9xq8txrKNh
b7IA+LQdSCTyAO9tepJJ7IqKIAGAIP9J2j6v/vGa4n33mhl/OmJL/F9CTN2bUcEV0r3ZbEZ8GUCs
bTFb33n+dHLtSsd3dmFfLVSSTycJXDM7tr9ak8kawP0SSjfiDOsptAYE8zUcESOckTLfggUg2zEE
xCgwYYVAPvP89Y/MASzvP+TZWRtWm7/32VslweqeLHD1Ovs2kU24nNQaL57Ns0kiIuE6vtM8r0Mb
jcd+TLgihdMuV7YMWWNKef7nylqUUQmVvOGAcJZToHNHUNcIUvO2I1Pt6t1G+C0QEgikwv6JL5v2
ysNHJYPIsvusm7rqLh6BKui+GriBWw4QgiPt5hrgyf1MLs8LiVDUiJahNhNM9vWJgwFqDQm8GZ4b
75RMoub/sSQiPUxOrRtk43oQSBcI7nSnlWp9sC8xn4OBbiQ0ezPfN7epz3AlLJX1YdiI80QMLzB8
BUn3j664gCGaDainbggutwXURFfQEaxVxDGLeQiV1KYCe+uvGZrgSaJuTGdLhiyTZVBG45+D5aTz
GDeQwVCRhcgxYei1F/15n6Yc5MmEk3RQZsgAcNEZ4YzLdVtgVujZlQH6r8Fgx61lshYJoC7IcGqi
abRq76zoRsfveBYLepLglldfevK2GX+MwKFzbv7T8bIIy1HgV+2ENtv2Qcg37G1YUVTB9q3eSDTH
hdirq6xeGAIZ5u8vjku1L0dgjAqx6e7LZOd0khn0hpi66/FqACxKZAj4fk8yIlkbpiPrej+hEB5q
UGkInyKSdz/0JX4aVSHSlKYd2PslDBOHkJVkw/uZXAw3ZruwYV0hAfMagrqkWdDl655P/La6qiSy
TdSsCHQmEJnN4J04ZUgHMmCKgifnUKnVQTaNF203YU6r/Zn/J32iPTex2mZmRhkThGI6x7fnvKrv
eYhXtfAk2MnI2lNgujf20Ys2FHy+ZhUEIGfygdcLy0ySmFEh9rHf4fKRaPcQIByS17db0xDRX0vO
Gl8kZ8fa4hAZkBMJbe8h/dG4y805EU5YZIqPxs4Tlq49RXjgsprhL5DAu0TQDxNAp3LODPSLw7dD
Un1XoYCllaEWb1wxpLojqUMxJwPcngl49AhUAzPQCzTFWwqOIQ1qv+him1jd4noFn6S2oCXRq73U
HZt33g8UURvySMkTffytM3/B7PdkSrRyiClh773qSoLf5T2pP4cDabMb3+1t6T1BT1gOojBx4YNH
Yw6aY9Y5a+uH6JMGPGo3iaV322+Ecb5LxfeIUKWmOI5rjx5NXBrJZjqD681hC/K2YBwbCBgp0zCi
es81DawAxX8kz1hwTVR0eQ/Wl1wtPBntstFepRAdDq9qOH33/VU+hhiMbkKeZyUSw0pl+ueJq70B
ZGaruc9Iwk1UebZhHwzypakZF1fjywIu9LTzqeqvvzo6aX6j7X/RHhqPkNGttLyQMfJ04XgprXBo
OxQVe3bGJYUCKmt857Fzghc6Rula1sLaqZfwtEFtxb4Ity3dmRhxDfnuK66jdoXT+9aTbC09kEJz
r/5eeUKswEy/BE7ZNirR2JYWxTBDoTSORSitWWj/BYhjFWwpcMkB71I16RdDIg0VvsG7JZP7DGP8
Ffu3qBLRgAPpXfPvDa3c50PSV+DoOOnG9E1vxSJ6kqlkGvUSSkuUf2G2IaJgcvev7ouI3O8jkPkT
EQZChXWrh1MuHB67hEntcMLNAW/lfaB5xrHSHbn1abcv7QnZrrXi4axLO+X986mCb13h2Fk9C1C+
7qyeeiCma2KB/AntHNSQ07PEMLxe1IwgqLLZV/Sp03rASUngXOMdIB1a1F0tpSXV8ac1JaYJyjC2
XoCxrmWrKkCUzUwhH7MPEtNxzR8b8rm/5YaWrW8KT720M4D1H+4Mtmzka8JA0d+HUGpDWpxnCrhK
WL/hzhuFqXkUbbaT8gyajhZuncyfBeJSxjV0vL73FAL1JRAZasbZYHwD2D4mnVIGRrmoc/I2G8WC
6y0SbGwR44BDOKxRtUq78qTbS+R47noKa7HVG4SrwA4A7mkjz6Akc+Hr8wJHVSGXe5ClpMz9j4fG
WM5viwthz0HoLOPGPrYcyWILidsEAz2b1QuGxGeA7j+MgJZYptZUA89bhxwhRYG1NsH/qtA2WVGm
w3FH7cZVK4WggiQcEMkBltnyobCjW5zzU3EJcPPHau0x8Z+RZzxFL0DL1JbEiEMQ7V1LONrn3/gr
2odY0VJH0jM5IDjpaA5t6NlhgZn6B6hHtTsYDGDwcxS2Vd3M8VWoezW/JhpMHsna9/6Pw0RAA+62
8gvU9bFLlKLdNV855+rxGon4A5+70/9BZ6oLoSAVDNigz9HlwH4KKKl4aQwTNo3OTfGS+PsTcMVr
YRJsxB6VsmsoQUaAbYZ6+smMcQCpYp9/oYUf7y3xkNV6j9Zc/wTD1cf4F41w5ZENmjpOHslN6G9u
ixIZ/bmuju5zOkQGkpzXFTgBsTSvdJ6cI40JR6O169ro7ccyoP32m7zvJ5BkCI7dWQklfAWlAfDU
iwiJS20+oOVhttCVahXVi+RQhH8HHDNYrqY6RJEzakMgh0ZV0R1GCzWOSLMcpwRTVTTNidU76/hc
xBb9WUlFr3YBu9NUf57IX4bdZBMUnKcOxhdR47huiCyV/vQ3y19hzK0JyRnCbkcktTgLBItcbstx
b2b3bSWdNPAOEysFgmrbdz7+vSmCrnLeM4Sckrqo/WUO4RzMFDYAfW/RQdMdnDlv4R3n8dvY+piG
SFatDNR/79JUwUb38TRiDJfFU4tH4JQqWxMsS7KP5c7+Ra0oC/nOnIIzUB6GyDQbpaXha2Qjyv1S
sqPVEPu1N0O2BX/NYI3W7DjvuPmppfZQLq8IYGA6I2bUBKl9Tz9qkmjV/fDAIHmR7ylsfeUIFhdx
VKFmzi4gOHN7orUiZ0bTuSZZEvJKi1y+DFGs9SV7wgFZT7i7+Cdx1NwoOGU3vgBCOGdIopb2OB98
l1fh4Z1ag7akQhURUrn4ehnfURqprwyahIn5VElR4vrlc4ls5I4gdWKxxbl0ngrvSn/FhoOC0uZ3
G4f6qE0bWJJ+8utV1yk52/3PFyHOvPPEUgbgFH1ibKRqwGduyEbmHAjNBoBz35u4ibBkMOVo+QEN
+iTQzf9DHx4CUontRjDb824YDMjb+Cw0uxsxxh4WYJlrp00cytm0IAhkB+gDrnkPmRwuhxs4tKyh
5JknyebLonHvkZeuUc8I0chthMQMAcWra5W2AZ2wcPnRcOObCsGRJuFAHP1OiB3A++T2VVu0NiJW
9dr3KBZ2BRCc2edwy4A0C/wMGKnClPuhuZQCoz2RK8G057LXKNYY1qK7WE1HotgSGJdhAuV8vYO2
vH2pWwvCjuuMFT6xQxdSTm9Mtc9efWnvpg2BUh0FSB1T7WFr2ptNnVKkSymnmkF4dHd/lkkxrTPu
RRarEwZyk+ebPugWQcsV33srInUsSK1rD+AtpBy3UrRmAWiQ5qLZNCgyOBdpwHE0tIcVAWCbMqC8
a/xcY3Lybk/X1W9/oRu/5/ilkp4CgPYoNOI7o3pNY2ZtUMzXABVk3+JigbGnxEy27A9s1KTR10iH
Ygc6XrOyiauB4NvBG0U6WRh7g70ou4ol9iCTAjrO+7LwPkkro3MPX4M8Y+p8qISXFuOQrzU73izu
tV5WzS6m5JCyiOPRdxHC/H93MTRCkSEwGpN8ET05+vEIgL/a9F+uedVdzReuu4xejyYOp5ZOukkl
YLLLv5hj6bhqoO94i3CtAuJsAtm8yLZfffh9gqF4HsrS78JKbSV8YUW8krewKK1JExp6vHTXntZy
wHyC90vL3uq0Z1PPlrTcooM0kdFH32T+gbZl0BqEN3f3tjqn7ph3ZBKToLW9TmpgqD3eqHZp1foA
qF+Qe+4VnP/i1JH9CfgFWCr7G1API0C/N/94AHCzM/zjSUUoI5EkG+E+HkQhqWSSQi0v4KrweHe8
mO7+H0To5MUBvzwWX/HYkM4rgCdnrQ7ZG/SrtSBIOkjf3Zdbh18QDXJUTG1ttgE6WXT2GDUY7GG+
iV81Md5TE1EIDrUMazOYryq0+E/dZ6ImMU+Au/xvk6sErOp1PAooRdZPZsg2+Rg8ZodgHfPMdUUq
2gAOFDCKCsXQPA+ry9yFtbD8EnqX/2QGYHKMfI4MWpTGAgfgie25suOt97HSWwtZkehJqtv+cu4R
PJJARlyWZ5E9Z536Dw/xVxJcV8RO8k447KGXGJ5zKH65im5TtpE54yN6Doje+Y+0/YS/2wYTLlSU
YxohX12oqDEROnlehQOd6Nnop6VahnXiASx+nOB0EOP6JRAA8Uwls4mSxNPSmJ8FKrGSjLsp7sQi
IlK32vQ7jgGZEnUpyc8wuYC8A6avmVLVRJWZCa6xoSPL4BeDHzx/DLZudZU6u2Exq7g8o801/sKi
fZY13uhUDnsl1SERRnktBk52OH+a7SU8NivA/shTXqradLUNIUbV+bNyfpMhTDjyIUSgKe38QsqV
TwI6coiEPpvzcyFayCrogVINeWsTJ4lBVcluiwQfzRa+FXlBDDE6blM4JjCzWnfD8Ur0tMB3Gw1s
Vl3FduPXkVDlZVTwXWRLfEwsbAVPJOYQqgGto6FUtcH6+XYjE49EBqj+qJhTMM2l7Ayv5eV284mE
PDKEdbgKBGew9f9CBQbINszseVVtlbcYeH1qUI6G8ndbX5dVJfv/nd89GsvhGKVU2B2x8fr4rxz0
D771trxKKvtQW/2oJn9PtHo7lWSEXpKNbwFnLpZc0kKslvDXz8PDBC9P8u8AvKRJFBHVG5oD4lHe
GK0WadgY6PewcjL5gD1525M9gYnUz5Bfpv96mRvQloDy3qoGQDwzcAy4VDIBqTSRmpiljZDo4SzL
GT8/lBvqcVXzyhnyfh7ZkoSTe8bLtDcWA0c4Tn3lNQzk9+cH+jELK4SqKOtNaBgIIE7dV23Dsgwa
xmIiIWPJwgDDOWivF6AZ4lhnofl34lT7LJm7DUvlt0rsGCGjYVG+mHGzwxN6itNTswa9Ibeabcjb
JwLgfGW0TDCivUa2Nny5GrzlIFvlvM/6az5iz4csQQ/0CB2cqrjicN6m6RV4o9e+Ff6gLpZBipaF
1ccjBJTGm735C81+/h7/otxtvl0BPp0QRyPtubKyvAtxcTC8SCqBrliP6M7lqDVYUpC/NRnXjzCI
FKU+I7H79HYA34G8kW2eA27C9hjFe7M2juaKEPCUYwK/xF+uohh0RKnBlsnd7p7g1WnoCCh8ENgY
nirKc84Lk2rIE9caQdGAh/5/DtHj0ppAE0vaDFKxyDDsD8W1Am5RuLvm3GB//li3Z1ibhUXRkYVb
1bIk3ZT4cmnjUWZ7DWYA9DoWQA3AWlU38j9sYDBTqVl4MVawuu/Y89ys8gKE9HIz18x0HXv018KP
KjVkP76MUsvGN0nGMPxxJXcxKOIg/pI75V/OrXzqwyATSmUsGiJ/p9Q3vZ1RkVoVzVmSFNgngpun
8ANifLbPGs8rAdpKlHksbSkt/lT2a3xkBVS4wC65GQ+R04WG4T0DikOvWYz/NSnN/2uHTIbQhz1V
gSoU8RwwN699XcKhNKuvuH+LOnCgF0G93ZPfJ4XuVLIg3AgTAfmoKWVpuKzmtQdzbUd9ZrmEZuW1
QcmuuFUvT/xfGRSbB5LzNiJpiHkLUaRun+2AE2kpfx3hJ+pJH3gbamKHtaFdqLp8/S0FcbYEX19n
czuxu95FNK9X74NTmTAmHmUMBkz0ssYf9+wmWRJTG566nhx2UpAMkBYUdYIQ8Taiv0TaQJ4WmJA/
ZJyh2RmgPsQlVL6CRjRDSM8LqgQq/vVQx9lTfo04IXoE1WdNFpw2cybhbgnp0mhB3vjyQ7cyeHqN
pGdH7szcUm80o4B66nN17tsbGJFedjrTtie73qhPM4gnJvvpUkZLE4vq6W7RlNGxvxv8TEllU7ZL
22yaiZeTgtPhMCHBUap9Gz4Xq1ONyG167Zz0r5qywQc3Eyp3OqMHrFLZvb0b7tB1k+M2n4VeAZqt
1GcRmfelVGfbOft2bVWCbNhi7WVoUG7CJq3Re3SnHvDfJFcfWzTQ362e6Ymma1b7N1MDx1k1wq1U
jiyvNRLK5ligwsmGnPUpzAZqEzQl0m724Rz817B7MJo4m8Q4d5zS4U1KpOc2KuCK9Dw1NUo40pFy
Y1DntOhHHW5lHqmSgoo+Klb/qs5hHh1H/sJBOEIsQa5rAFevOFx576HVi8EQYUrmnBUvwYDNS/+j
vcjtwSwuhNFlW4OKJ8l6dcl9RkL3KIscfLUZYSLbwI9uAJhzPWvqPAtaEB1FnuozcuX8GV0UwpBz
dljq2DafoDezM2pudzs2yf7vmPGI47oiYbvSCyR/18Lb0+DbHfwzPt3/sEyZEqyClQoNejUSaX4x
6mSwWoF8128vPrTOaXs9wkCj4s8UCJO70lNErZMT6KsHjx5QDfADc0cX37ZUkxou5jO+3aVHQGvp
TbFzZAi0fo1urUK6JZ1XObi2DdV42vjtxotzHnq/7VTaieNLSSYTsO+Qm5lIOD3W8r8yVIShUZp6
kOvSueZ3NyKB9/LJZWj9VndsYTgelV0yi3a58cTol9X0Sil+1Q9Nltufuy61i0dr3rfvDs5ryDFv
V2SE4csGVvmM4WPx0ydQQ3HdRsmdRR/nbs2gKWDfjcAkCJIZBCly39zQV9GX2QhNhqnOEJqrHDd7
2FqGKxSEBcZaH1I94a5kWP2RggHt0YwGMZadCjJ2Fh0EeS1CMbVjuxcbCoM0ou2d8vF/1f9uprka
0S2Dw66bEh/FnlYqMNans0beZjjwo5LW3F2sNoMY9dOLjLgHVPcA147UKSo8GIrXvnZVOQo3kikK
4nCtG19v32xDK43n5iaMwwgqyG+NuvPK29hz6TKSNAUx3uO6v5Dyv+7D8IjuJE7aG/HmumqLd9ka
DftxwF2PmGwEyMx2s5E10wyvIWdEK0OF09WhJO19f4qdHDuElhfGCaAoaJ8Briiryaijz9/+yX+x
QrPpp7pU3DImWsPCWAjwpPm7bO/6vbrXyF3k5EpshuJ4FOYwlIg3OvpFyMXzyQqNrVSV6vfoMg2E
gzJpE13ysDlNFQ7T5/SN4gnpPo8lnOS2h4CK01NfZ0+HMJTIreZ9UGVXda1eKFRsIAL9BodDWlxy
YyN0H6Aye0Sx5rT1LNrHOFPm4OjBEiWB1sLYrI8lJ+9E69CU9LfOnY1OKv96Uy/W4spaf/RsBfAd
X1JaJKYvU1qMMbgj3xEap2Xv7iNVyNv1FaK2hZDp54ZfzbjgtmpBVWamETa2RaXCbstO+USoH0V6
yZIhARo6kCclkqmYdjPuFuBaOz9BsE5gobQHp4PeaOJnZk6y1PmUhyMnkxD4QL5gODRhDSzM+Bii
AQ6EvYRNlzPX/8g7szbq/sGX00YIDSmkTDwOeko1YIsALvT4bk2Qp/MVlPI62bLGHfCV1pPEUlU/
NKxv6yNBK2RvZT8BeZMSA0BXV0th6E9BlZGqERu1p/AGn6zLQjncI96cxKGwYLG/TmrP28I43Gf0
UID8AlbESr55FXMVtAwKBDosYvkSsmGwLR1c971Frf+8SwX81aJRR9aLL5ndoewEQ+R9v9sl3Faz
qWeC/lq/Bq1TDlvU7f4aKUYMV5Qs6FsXCKsfSq7jjlDjukq7JyDJTqA4Nr1wE5m1T6y2QiywOMsj
RmFV+5uaz3WunUM8LYOtJeGwOXXjv1RZYa4uvQgT98ezPh+b2dJsajatszVvXsPeuBuMc8SrBIUb
TtJDEDch7H84QazbmRxOpf68B3CjuBj180tQ0Iy3N8TJTBxPwbAGlmgFYV1wlAe23nEvYAb5iKyY
yhcJF+oC33sRnFiwkSDHZwTQkVPeY5fJoknre6bOp3xsVpUTFzRYKEZBy6LIHmo2Q84p0uY4phUX
TpXduhnBkPzyFwEbgZcRp8cfKyjHboqbOnrUQaqoDJppdNy8ietB7sKcLi4jPLeI82LhwF85DMQy
cfml6qLH0KVa+qNlFDEUTdLmoqVcuvM1w2ySPteoRFv2cTFBFw9juELiFB9K8l1C1ZAyY0cWgwfI
HtjzRxJnsoqdJNEr+UsqH5v+NGX5FEdq2uFeNtytudvDO51N57KE9Js9+xMomWaDDyi+Atn8jKmh
gch9uB5xDYnxSztmxnpFzjlcjTBgn48MZcDOAGRdHn0+6uYxLLjfzTfBgAysz0wXvvAGTSva3VTu
98xXaVBV3jRKovT9ShUHNuE6ZoZKRT/s2tNsG3HXpzM5XsgLgungeAuitTDLrP78Dx7OBLl5p/5k
MZtq5FKEIq0D7jTE1SBKAivrwUe7/rUzJr9CxidZ+PBqoMOMOSQKUIu0LHO/1GkCt4p9+sfpDJcA
PjT03sDjP+zwjlwA1/7DBstzIubbR9OzXGOMK1C/4wVj6nOYDvf23k8u6FEpj7pfNOr8FzdiV0Rh
ILa2KiQC/yXdsal3NT7jO7V9QCDWsGSZbh8Yani4l23MCWmJaViShk/TUBF3f2iCS3gElg/mXlbE
Vozwbl/crCOXOQmjiuNzl8iQMdWMwHQmhypYt8319HARiOCeueyxFs+1QiwxDHVszxncYVqTV/Mn
yQZaznU7vsUmc491ibQQ1AafXshoAf+Jwmm3g6mm1Wm0pJ2SYmct0mPxIE6CcOrH0aZbu9WiQWyx
ZTjLqskYxyb6LBjYJeT2Zlssqush183sQj2fYGZcfTyrWTeETd7ty1KOwui2W2Rsji4J1Sro71nI
pD86rHXuZM0z5kM8BkF9y3LcQBQSDlRzHzdy8OYBJ2RrajAGqVQvZy4TqkhiedYzMYvaUM3bDnHR
ias62YT9Fq1V0vl1o8jbXBkvL8/Vci4EGQP3mKKOVvx1xCDnaH7N4oBbGE2Fha+sZCf/nB78plf5
CyitShtmbQV53QTkTiVD0lIC5vhGEndlPS1K/XBnU46k0FPTnuXOE9whVXeR3Edm07fSr8B16/yE
h7fRpi4kI3R6ZxjynaKoFOgChtKXzcjLuFVVjqrMugOaThLx/GhBUsLLKRkYqhhkikDZUAECXci9
pWIfA+6L9L/ZSfTihZ3N4qfr4H+KjWpxpf9IoB8AH7wC2b/sOsq9Cn56EVyRmcEWKClGvEJAQ3LQ
68zqGJeveIDDRzfPshkdFyHXKg6yjg4qU3ejbIpqxlupZiIf2kxdAKMeZYNwoqn0+3GMrwEn10FF
o5tteJWB/hr9OQqNkyN2QYafaPN8zPStLW7/uaaCyTJOxFBAwN4APoPoqbbvooapLym5ayW4ntFf
CPHjXla+Y66+OUr9yzeb5EnRSTshOvQHr5uRbRqnljSzDTk91Es3dtJNCD9IWdc3SOTnjUJtT5Pc
/TDFRZ9rZArOQjJLYwpcBOpKjfZ5KSQPP1yDHCB/95OZkcD9snY57hKTJ+bVwPyFD97ydw4r0CRq
gMtBlo0Tr30Hivna6skO6yNArSHwvJvv24af2snrN1KVNp1BmwhTW3+eBr2S1A2jiU/C3kx94OIS
IIwpmF3oEeCs5suS9DOLwxEvbl+3ONRxqV68GN7qZnffadGMDYRxNkBNyrxVoqiCCzRFx+haHPJ6
vzQMTvThIni34P3fabXArfd2H4vRO45JnLVvDMIaObidt4U4mObFTrQhDdF3OxjlcNUU+o5ZuW6V
N8Xx57JYxAIjKEmytHOcWI1FxpVbvsirzHP1l2GBOc70XVfsFlepHhmbuYbdUXI4UrzfnaO/VDGv
7tYIIcdlsvAVD5/EDICHIJjJtxm4FXM6N3e7ehpgSjqGNwizEXXa7b7ehWrX1buO8gbUqYjeYvNr
zc4ubajTDTcdFdT0OcpG7WZ1eF6WM8deV83zaeyUroA/zV2RgH1gVj98kDfwIuCKHVOe1lCGDQDv
nRQh4i1hf0zNdZfNq5yMGP3cCvNk9xh+xUVsmd1aLY4xntUYEhn1lXWlr0rT3q71IbXYV15TvQMH
T3RPrdcc3teGwggvy/SNrmYYzOnYDbbq8mEc0LaHFsvuO2lzQv/5ZK5pQP/9NsohzbByEEVVxESn
sYLF5YJzF6LBpgIN+C1hCLa7MV8gKQ==
`protect end_protected
| gpl-2.0 | ae9e28ffc3146f14632caa763c884b9c | 0.935338 | 1.885747 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/shift_ram.vhd | 2 | 70,023 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
a824VAhKBUlqlJkPGlbjH+GOVvyc0zeBdK1hJW7Q0pseFhSkhIfoi+70Ex+eBZY9L3ZchRoz3/xz
VEE8XsUCXg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Fak/87bNiXrZv8c4q2AwG6wPJdZkq/lICyIzbWvepijc+XxQ+cP4uMOCn7/9CcyWA//uCR/E0kh9
D0inlDQ6Wa/4XvbjYKCNHUbzUaw9KwaJ+8jYcOEieTQ1SnwsvINtOfpOpfqng8JWeTcxZGlfDgXy
/hL+5nrB7yeaGeZviK0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
v+xd9vvFuDe5aNDdvDVXpBcIOlYjOA9k5vPnVqutLlJ9VWqBX7qYpkTobqRGLluma7AhecdTXcJe
3bwjuMTaiIeNog5o4b9pbwO9UAhF1PXhluszOQT65xCGUCcoKcw9WMb1IyryxCuN92MP1s+zXrnK
apM3ifC4AMFQS0wgQg7vbO/1Udos3eYgs22QOvsPqw8l8fX7iyxNiEEFERGCo40fvyYYNDlL9ntI
/5W+oiAQ7BO1qN9gXLo/oNpg4sUFjZc9hQCJvAMKzIpYj/5lOn0PqUdpEhPTjwGMMqFf+62spxjd
emVlAYR67a+wmMbX9hLNPvs3wGc6tjAbjWZaqw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RkM35wO63hpPZMChAVSr6OUgl7sXUBlqHMAWfs7oKoXhch7Gw4ljhbAmx3ZDS2q4405YoaUxjKGm
CFGrGDY4H2GPOpC5UypuIDq541M0K0mSf6ZhMPjzuE+uZuQXSBJ4ZbYcQOlsZSNVypOAe6/bgYTz
9a8w9MpgLwej15rrMVQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
D3GUkxiGbZVGzfWRln48o2/QWfET3eim7r8sLBk0dS9vKYd6lWLC+dusyhVsZ8hpukJzJVzXsE3j
x3HQpgEgqVJ3lP0d8t2UvMYYPxZyvoElOSJdJ+SosohIyxXAQbwi5an3Cyun5SDImJdGwwKK8te3
7W/eP0cV3CYQ4G7kyhWc2fPciFQtSk11IZtUD+Ob2Rz+k6MyDgrB8lc9UBYJ+ivrei9oHRjyOxy4
IMqEIuqAVZgYLt/0AsvrayKXQV8MAkW0XW4NvjWMaTrESBKX4ldXJoj5FvU0NqJK3l0hguZc2NPd
IzgQ9MjssfgJkb49rAx9zPxfy/H51Gs/LsvZbA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50096)
`protect data_block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=
`protect end_protected
| gpl-2.0 | f9c9b2d4c42aa9bf61a003f7f6afd22e | 0.951487 | 1.81963 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_5/ip/dds/dds_funcsim.vhdl | 1 | 174,232 | -- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013
-- Date : Thu Mar 20 13:11:40 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim /home/keith/Documents/VHDL-lib/top/lab_2/part_4/ip/dds/dds_funcsim.vhdl
-- Design : dds
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddslut_ram__parameterized0\ is
port (
ram_op : out STD_LOGIC_VECTOR ( 45 downto 0 );
aclk : in STD_LOGIC;
master_count : in STD_LOGIC_VECTOR ( 2 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddslut_ram__parameterized0\ : entity is "lut_ram";
end \ddslut_ram__parameterized0\;
architecture STRUCTURE of \ddslut_ram__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal NLW_the_ram_reg_0_7_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_42_45_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_42_45_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
the_ram_reg_0_7_0_5: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"00000000000002D2",
INIT_B => X"000000000000012D",
INIT_C => X"00000000000002D2",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(1 downto 0),
DOB(1 downto 0) => ram_op(3 downto 2),
DOC(1 downto 0) => ram_op(5 downto 4),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_12_17: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"00000000000002D2",
INIT_B => X"000000000000012D",
INIT_C => X"00000000000002D2",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(13 downto 12),
DOB(1 downto 0) => ram_op(15 downto 14),
DOC(1 downto 0) => ram_op(17 downto 16),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_18_23: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"000000000000012D",
INIT_B => X"00000000000002D2",
INIT_C => X"000000000000012D",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(19 downto 18),
DOB(1 downto 0) => ram_op(21 downto 20),
DOC(1 downto 0) => ram_op(23 downto 22),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_24_29: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"00000000000002D2",
INIT_B => X"000000000000012D",
INIT_C => X"00000000000002D2",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(25 downto 24),
DOB(1 downto 0) => ram_op(27 downto 26),
DOC(1 downto 0) => ram_op(29 downto 28),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_30_35: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"000000000000012D",
INIT_B => X"00000000000002D2",
INIT_C => X"000000000000012D",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(31 downto 30),
DOB(1 downto 0) => ram_op(33 downto 32),
DOC(1 downto 0) => ram_op(35 downto 34),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_30_35_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_36_41: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"00000000000002D0",
INIT_B => X"0000000000000100",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(37 downto 36),
DOB(1 downto 0) => ram_op(39 downto 38),
DOC(1 downto 0) => ram_op(41 downto 40),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_36_41_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_42_45: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(43 downto 42),
DOB(1 downto 0) => ram_op(45 downto 44),
DOC(1 downto 0) => NLW_the_ram_reg_0_7_42_45_DOC_UNCONNECTED(1 downto 0),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_42_45_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
the_ram_reg_0_7_6_11: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"000000000000012D",
INIT_B => X"00000000000002D2",
INIT_C => X"000000000000012D",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => master_count(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => master_count(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => master_count(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2) => \<const0>\,
ADDRD(1) => \<const0>\,
ADDRD(0) => \<const0>\,
DIA(1) => \<const0>\,
DIA(0) => \<const0>\,
DIB(1) => \<const0>\,
DIB(0) => \<const0>\,
DIC(1) => \<const0>\,
DIC(0) => \<const0>\,
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(7 downto 6),
DOB(1 downto 0) => ram_op(9 downto 8),
DOC(1 downto 0) => ram_op(11 downto 10),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddspipe_add__parameterized0\ is
port (
temp : out STD_LOGIC_VECTOR ( 46 downto 0 );
L : in STD_LOGIC_VECTOR ( 45 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 45 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddspipe_add__parameterized0\ : entity is "pipe_add";
end \ddspipe_add__parameterized0\;
architecture STRUCTURE of \ddspipe_add__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[11]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[11]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[11]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[11]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[15]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[15]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[15]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[15]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[19]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[19]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[19]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[19]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[23]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[23]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[23]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[23]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[27]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[27]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[27]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[27]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[31]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[31]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[31]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[31]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[35]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[35]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[35]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[35]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[39]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[39]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[39]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[39]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[3]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[3]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[3]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[3]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[43]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[43]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[43]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[43]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[46]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[46]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[7]_i_2\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[7]_i_3\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[7]_i_4\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q[7]_i_5\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_0_opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_1_opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_2_opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[11]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[15]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[19]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[23]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[27]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[31]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[35]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[39]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[3]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[43]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[46]_i_1\ : STD_LOGIC;
signal \n_3_opt_has_pipe.first_q_reg[7]_i_1\ : STD_LOGIC;
signal \NLW_opt_has_pipe.first_q_reg[46]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_opt_has_pipe.first_q_reg[46]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\opt_has_pipe.first_q[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(11),
I1 => I1(11),
O => \n_0_opt_has_pipe.first_q[11]_i_2\
);
\opt_has_pipe.first_q[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(10),
I1 => I1(10),
O => \n_0_opt_has_pipe.first_q[11]_i_3\
);
\opt_has_pipe.first_q[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(9),
I1 => I1(9),
O => \n_0_opt_has_pipe.first_q[11]_i_4\
);
\opt_has_pipe.first_q[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(8),
I1 => I1(8),
O => \n_0_opt_has_pipe.first_q[11]_i_5\
);
\opt_has_pipe.first_q[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(15),
I1 => I1(15),
O => \n_0_opt_has_pipe.first_q[15]_i_2\
);
\opt_has_pipe.first_q[15]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(14),
I1 => I1(14),
O => \n_0_opt_has_pipe.first_q[15]_i_3\
);
\opt_has_pipe.first_q[15]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(13),
I1 => I1(13),
O => \n_0_opt_has_pipe.first_q[15]_i_4\
);
\opt_has_pipe.first_q[15]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(12),
I1 => I1(12),
O => \n_0_opt_has_pipe.first_q[15]_i_5\
);
\opt_has_pipe.first_q[19]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(19),
I1 => I1(19),
O => \n_0_opt_has_pipe.first_q[19]_i_2\
);
\opt_has_pipe.first_q[19]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(18),
I1 => I1(18),
O => \n_0_opt_has_pipe.first_q[19]_i_3\
);
\opt_has_pipe.first_q[19]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(17),
I1 => I1(17),
O => \n_0_opt_has_pipe.first_q[19]_i_4\
);
\opt_has_pipe.first_q[19]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(16),
I1 => I1(16),
O => \n_0_opt_has_pipe.first_q[19]_i_5\
);
\opt_has_pipe.first_q[23]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(23),
I1 => I1(23),
O => \n_0_opt_has_pipe.first_q[23]_i_2\
);
\opt_has_pipe.first_q[23]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(22),
I1 => I1(22),
O => \n_0_opt_has_pipe.first_q[23]_i_3\
);
\opt_has_pipe.first_q[23]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(21),
I1 => I1(21),
O => \n_0_opt_has_pipe.first_q[23]_i_4\
);
\opt_has_pipe.first_q[23]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(20),
I1 => I1(20),
O => \n_0_opt_has_pipe.first_q[23]_i_5\
);
\opt_has_pipe.first_q[27]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(27),
I1 => I1(27),
O => \n_0_opt_has_pipe.first_q[27]_i_2\
);
\opt_has_pipe.first_q[27]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(26),
I1 => I1(26),
O => \n_0_opt_has_pipe.first_q[27]_i_3\
);
\opt_has_pipe.first_q[27]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(25),
I1 => I1(25),
O => \n_0_opt_has_pipe.first_q[27]_i_4\
);
\opt_has_pipe.first_q[27]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(24),
I1 => I1(24),
O => \n_0_opt_has_pipe.first_q[27]_i_5\
);
\opt_has_pipe.first_q[31]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(31),
I1 => I1(31),
O => \n_0_opt_has_pipe.first_q[31]_i_2\
);
\opt_has_pipe.first_q[31]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(30),
I1 => I1(30),
O => \n_0_opt_has_pipe.first_q[31]_i_3\
);
\opt_has_pipe.first_q[31]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(29),
I1 => I1(29),
O => \n_0_opt_has_pipe.first_q[31]_i_4\
);
\opt_has_pipe.first_q[31]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(28),
I1 => I1(28),
O => \n_0_opt_has_pipe.first_q[31]_i_5\
);
\opt_has_pipe.first_q[35]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(35),
I1 => I1(35),
O => \n_0_opt_has_pipe.first_q[35]_i_2\
);
\opt_has_pipe.first_q[35]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(34),
I1 => I1(34),
O => \n_0_opt_has_pipe.first_q[35]_i_3\
);
\opt_has_pipe.first_q[35]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(33),
I1 => I1(33),
O => \n_0_opt_has_pipe.first_q[35]_i_4\
);
\opt_has_pipe.first_q[35]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(32),
I1 => I1(32),
O => \n_0_opt_has_pipe.first_q[35]_i_5\
);
\opt_has_pipe.first_q[39]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(39),
I1 => I1(39),
O => \n_0_opt_has_pipe.first_q[39]_i_2\
);
\opt_has_pipe.first_q[39]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(38),
I1 => I1(38),
O => \n_0_opt_has_pipe.first_q[39]_i_3\
);
\opt_has_pipe.first_q[39]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(37),
I1 => I1(37),
O => \n_0_opt_has_pipe.first_q[39]_i_4\
);
\opt_has_pipe.first_q[39]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(36),
I1 => I1(36),
O => \n_0_opt_has_pipe.first_q[39]_i_5\
);
\opt_has_pipe.first_q[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(3),
I1 => I1(3),
O => \n_0_opt_has_pipe.first_q[3]_i_2\
);
\opt_has_pipe.first_q[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(2),
I1 => I1(2),
O => \n_0_opt_has_pipe.first_q[3]_i_3\
);
\opt_has_pipe.first_q[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(1),
I1 => I1(1),
O => \n_0_opt_has_pipe.first_q[3]_i_4\
);
\opt_has_pipe.first_q[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(0),
I1 => I1(0),
O => \n_0_opt_has_pipe.first_q[3]_i_5\
);
\opt_has_pipe.first_q[43]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(43),
I1 => I1(43),
O => \n_0_opt_has_pipe.first_q[43]_i_2\
);
\opt_has_pipe.first_q[43]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(42),
I1 => I1(42),
O => \n_0_opt_has_pipe.first_q[43]_i_3\
);
\opt_has_pipe.first_q[43]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(41),
I1 => I1(41),
O => \n_0_opt_has_pipe.first_q[43]_i_4\
);
\opt_has_pipe.first_q[43]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(40),
I1 => I1(40),
O => \n_0_opt_has_pipe.first_q[43]_i_5\
);
\opt_has_pipe.first_q[46]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(45),
I1 => I1(45),
O => \n_0_opt_has_pipe.first_q[46]_i_2\
);
\opt_has_pipe.first_q[46]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(44),
I1 => I1(44),
O => \n_0_opt_has_pipe.first_q[46]_i_3\
);
\opt_has_pipe.first_q[7]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(7),
I1 => I1(7),
O => \n_0_opt_has_pipe.first_q[7]_i_2\
);
\opt_has_pipe.first_q[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(6),
I1 => I1(6),
O => \n_0_opt_has_pipe.first_q[7]_i_3\
);
\opt_has_pipe.first_q[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(5),
I1 => I1(5),
O => \n_0_opt_has_pipe.first_q[7]_i_4\
);
\opt_has_pipe.first_q[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => L(4),
I1 => I1(4),
O => \n_0_opt_has_pipe.first_q[7]_i_5\
);
\opt_has_pipe.first_q_reg[11]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[7]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[11]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[11]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[11]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[11]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(11 downto 8),
O(3 downto 0) => temp(11 downto 8),
S(3) => \n_0_opt_has_pipe.first_q[11]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[11]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[11]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[11]_i_5\
);
\opt_has_pipe.first_q_reg[15]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[11]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[15]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[15]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[15]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[15]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(15 downto 12),
O(3 downto 0) => temp(15 downto 12),
S(3) => \n_0_opt_has_pipe.first_q[15]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[15]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[15]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[15]_i_5\
);
\opt_has_pipe.first_q_reg[19]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[15]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[19]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[19]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[19]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[19]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(19 downto 16),
O(3 downto 0) => temp(19 downto 16),
S(3) => \n_0_opt_has_pipe.first_q[19]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[19]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[19]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[19]_i_5\
);
\opt_has_pipe.first_q_reg[23]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[19]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[23]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[23]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[23]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[23]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(23 downto 20),
O(3 downto 0) => temp(23 downto 20),
S(3) => \n_0_opt_has_pipe.first_q[23]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[23]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[23]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[23]_i_5\
);
\opt_has_pipe.first_q_reg[27]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[23]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[27]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[27]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[27]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[27]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(27 downto 24),
O(3 downto 0) => temp(27 downto 24),
S(3) => \n_0_opt_has_pipe.first_q[27]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[27]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[27]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[27]_i_5\
);
\opt_has_pipe.first_q_reg[31]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[27]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[31]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[31]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[31]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[31]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(31 downto 28),
O(3 downto 0) => temp(31 downto 28),
S(3) => \n_0_opt_has_pipe.first_q[31]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[31]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[31]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[31]_i_5\
);
\opt_has_pipe.first_q_reg[35]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[31]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[35]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[35]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[35]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[35]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(35 downto 32),
O(3 downto 0) => temp(35 downto 32),
S(3) => \n_0_opt_has_pipe.first_q[35]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[35]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[35]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[35]_i_5\
);
\opt_has_pipe.first_q_reg[39]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[35]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[39]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[39]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[39]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[39]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(39 downto 36),
O(3 downto 0) => temp(39 downto 36),
S(3) => \n_0_opt_has_pipe.first_q[39]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[39]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[39]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[39]_i_5\
);
\opt_has_pipe.first_q_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \<const0>\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[3]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[3]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[3]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[3]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(3 downto 0),
O(3 downto 0) => temp(3 downto 0),
S(3) => \n_0_opt_has_pipe.first_q[3]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[3]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[3]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[3]_i_5\
);
\opt_has_pipe.first_q_reg[43]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[39]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[43]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[43]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[43]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[43]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(43 downto 40),
O(3 downto 0) => temp(43 downto 40),
S(3) => \n_0_opt_has_pipe.first_q[43]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[43]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[43]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[43]_i_5\
);
\opt_has_pipe.first_q_reg[46]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[43]_i_1\,
CO(3) => \NLW_opt_has_pipe.first_q_reg[46]_i_1_CO_UNCONNECTED\(3),
CO(2) => temp(46),
CO(1) => \NLW_opt_has_pipe.first_q_reg[46]_i_1_CO_UNCONNECTED\(1),
CO(0) => \n_3_opt_has_pipe.first_q_reg[46]_i_1\,
CYINIT => \<const0>\,
DI(3) => \<const0>\,
DI(2) => \<const0>\,
DI(1 downto 0) => L(45 downto 44),
O(3 downto 2) => \NLW_opt_has_pipe.first_q_reg[46]_i_1_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => temp(45 downto 44),
S(3) => \<const0>\,
S(2) => \<const1>\,
S(1) => \n_0_opt_has_pipe.first_q[46]_i_2\,
S(0) => \n_0_opt_has_pipe.first_q[46]_i_3\
);
\opt_has_pipe.first_q_reg[7]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_opt_has_pipe.first_q_reg[3]_i_1\,
CO(3) => \n_0_opt_has_pipe.first_q_reg[7]_i_1\,
CO(2) => \n_1_opt_has_pipe.first_q_reg[7]_i_1\,
CO(1) => \n_2_opt_has_pipe.first_q_reg[7]_i_1\,
CO(0) => \n_3_opt_has_pipe.first_q_reg[7]_i_1\,
CYINIT => \<const0>\,
DI(3 downto 0) => L(7 downto 4),
O(3 downto 0) => temp(7 downto 4),
S(3) => \n_0_opt_has_pipe.first_q[7]_i_2\,
S(2) => \n_0_opt_has_pipe.first_q[7]_i_3\,
S(1) => \n_0_opt_has_pipe.first_q[7]_i_4\,
S(0) => \n_0_opt_has_pipe.first_q[7]_i_5\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity ddsxbip_pipe_v3_0_viv is
port (
m_axis_data_tvalid : out STD_LOGIC;
aclk : in STD_LOGIC
);
end ddsxbip_pipe_v3_0_viv;
architecture STRUCTURE of ddsxbip_pipe_v3_0_viv is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of first_q : signal is "true";
signal \pipe[2]\ : STD_LOGIC;
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \<const1>\,
Q => first_q,
R => \<const0>\
);
\opt_has_pipe.i_pipe[2].pipe_reg[2][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => first_q,
Q => \pipe[2]\,
R => \<const0>\
);
\opt_has_pipe.i_pipe[3].pipe_reg[3][0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \pipe[2]\,
Q => m_axis_data_tvalid,
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized0\ is
port (
master_count : in STD_LOGIC_VECTOR ( 2 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized0\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized0\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[2]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => master_count(0),
Q => first_q(0),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => master_count(1),
Q => first_q(1),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => master_count(2),
Q => first_q(2),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized12\ is
port (
\out\ : out STD_LOGIC_VECTOR ( 46 downto 0 );
temp : in STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized12\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized12\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized12\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC_VECTOR ( 46 downto 0 );
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[10]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[11]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[12]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[13]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[14]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[15]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[16]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[17]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[18]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[19]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[20]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[21]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[22]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[23]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[24]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[25]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[26]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[27]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[28]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[29]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[30]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[31]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[32]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[33]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[34]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[35]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[36]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[37]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[38]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[39]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[40]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[41]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[42]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[43]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[44]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[45]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[46]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[8]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[9]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(46),
O => \out\(46)
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(45),
O => \out\(45)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(36),
O => \out\(36)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(35),
O => \out\(35)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(34),
O => \out\(34)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(33),
O => \out\(33)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(32),
O => \out\(32)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(31),
O => \out\(31)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(30),
O => \out\(30)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(29),
O => \out\(29)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(28),
O => \out\(28)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(27),
O => \out\(27)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(44),
O => \out\(44)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(26),
O => \out\(26)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(25),
O => \out\(25)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(24),
O => \out\(24)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(23),
O => \out\(23)
);
i_24: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(22),
O => \out\(22)
);
i_25: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(21),
O => \out\(21)
);
i_26: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(20),
O => \out\(20)
);
i_27: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(19),
O => \out\(19)
);
i_28: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(18),
O => \out\(18)
);
i_29: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(17),
O => \out\(17)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(43),
O => \out\(43)
);
i_30: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(16),
O => \out\(16)
);
i_31: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(15),
O => \out\(15)
);
i_32: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(14),
O => \out\(14)
);
i_33: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(13),
O => \out\(13)
);
i_34: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(12),
O => \out\(12)
);
i_35: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(11),
O => \out\(11)
);
i_36: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(10),
O => \out\(10)
);
i_37: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(9),
O => \out\(9)
);
i_38: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(8),
O => \out\(8)
);
i_39: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(7),
O => \out\(7)
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(42),
O => \out\(42)
);
i_40: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(6),
O => \out\(6)
);
i_41: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(5),
O => \out\(5)
);
i_42: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(4),
O => \out\(4)
);
i_43: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(3),
O => \out\(3)
);
i_44: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(2),
O => \out\(2)
);
i_45: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(1),
O => \out\(1)
);
i_46: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(0),
O => \out\(0)
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(41),
O => \out\(41)
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(40),
O => \out\(40)
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(39),
O => \out\(39)
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(38),
O => \out\(38)
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(37),
O => \out\(37)
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(0),
Q => first_q(0),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(10),
Q => first_q(10),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(11),
Q => first_q(11),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(12),
Q => first_q(12),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(13),
Q => first_q(13),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(14),
Q => first_q(14),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(15),
Q => first_q(15),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(16),
Q => first_q(16),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(17),
Q => first_q(17),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(18),
Q => first_q(18),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(19),
Q => first_q(19),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(1),
Q => first_q(1),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(20),
Q => first_q(20),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(21),
Q => first_q(21),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(22),
Q => first_q(22),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(23),
Q => first_q(23),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(24),
Q => first_q(24),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(25),
Q => first_q(25),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(26),
Q => first_q(26),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(27),
Q => first_q(27),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(28),
Q => first_q(28),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(29),
Q => first_q(29),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(2),
Q => first_q(2),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(30),
Q => first_q(30),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(31),
Q => first_q(31),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[32]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(32),
Q => first_q(32),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[33]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(33),
Q => first_q(33),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[34]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(34),
Q => first_q(34),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[35]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(35),
Q => first_q(35),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[36]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(36),
Q => first_q(36),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[37]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(37),
Q => first_q(37),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[38]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(38),
Q => first_q(38),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[39]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(39),
Q => first_q(39),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(3),
Q => first_q(3),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[40]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(40),
Q => first_q(40),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[41]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(41),
Q => first_q(41),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[42]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(42),
Q => first_q(42),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[43]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(43),
Q => first_q(43),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[44]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(44),
Q => first_q(44),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[45]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(45),
Q => first_q(45),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[46]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(46),
Q => first_q(46),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(4),
Q => first_q(4),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(5),
Q => first_q(5),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(6),
Q => first_q(6),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(7),
Q => first_q(7),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(8),
Q => first_q(8),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => temp(9),
Q => first_q(9),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized12_0\ is
port (
\out\ : out STD_LOGIC_VECTOR ( 45 downto 0 );
ram_op : in STD_LOGIC_VECTOR ( 46 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized12_0\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized12_0\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized12_0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC_VECTOR ( 46 downto 0 );
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[10]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[11]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[12]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[13]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[14]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[15]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[16]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[17]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[18]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[19]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[20]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[21]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[22]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[23]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[24]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[25]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[26]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[27]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[28]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[29]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[30]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[31]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[32]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[33]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[34]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[35]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[36]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[37]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[38]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[39]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[40]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[41]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[42]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[43]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[44]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[45]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[46]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[8]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[9]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(45),
O => \out\(45)
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(44),
O => \out\(44)
);
i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(35),
O => \out\(35)
);
i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(34),
O => \out\(34)
);
i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(33),
O => \out\(33)
);
i_13: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(32),
O => \out\(32)
);
i_14: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(31),
O => \out\(31)
);
i_15: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(30),
O => \out\(30)
);
i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(29),
O => \out\(29)
);
i_17: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(28),
O => \out\(28)
);
i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(27),
O => \out\(27)
);
i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(26),
O => \out\(26)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(43),
O => \out\(43)
);
i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(25),
O => \out\(25)
);
i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(24),
O => \out\(24)
);
i_22: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(23),
O => \out\(23)
);
i_23: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(22),
O => \out\(22)
);
i_24: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(21),
O => \out\(21)
);
i_25: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(20),
O => \out\(20)
);
i_26: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(19),
O => \out\(19)
);
i_27: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(18),
O => \out\(18)
);
i_28: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(17),
O => \out\(17)
);
i_29: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(16),
O => \out\(16)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(42),
O => \out\(42)
);
i_30: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(15),
O => \out\(15)
);
i_31: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(14),
O => \out\(14)
);
i_32: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(13),
O => \out\(13)
);
i_33: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(12),
O => \out\(12)
);
i_34: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(11),
O => \out\(11)
);
i_35: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(10),
O => \out\(10)
);
i_36: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(9),
O => \out\(9)
);
i_37: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(8),
O => \out\(8)
);
i_38: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(7),
O => \out\(7)
);
i_39: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(6),
O => \out\(6)
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(41),
O => \out\(41)
);
i_40: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(5),
O => \out\(5)
);
i_41: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(4),
O => \out\(4)
);
i_42: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(3),
O => \out\(3)
);
i_43: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(2),
O => \out\(2)
);
i_44: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(1),
O => \out\(1)
);
i_45: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(0),
O => \out\(0)
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(40),
O => \out\(40)
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(39),
O => \out\(39)
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(38),
O => \out\(38)
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(37),
O => \out\(37)
);
i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(36),
O => \out\(36)
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(0),
Q => first_q(0),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(10),
Q => first_q(10),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(11),
Q => first_q(11),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(12),
Q => first_q(12),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(13),
Q => first_q(13),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(14),
Q => first_q(14),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(15),
Q => first_q(15),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(16),
Q => first_q(16),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(17),
Q => first_q(17),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(18),
Q => first_q(18),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(19),
Q => first_q(19),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(1),
Q => first_q(1),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(20),
Q => first_q(20),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(21),
Q => first_q(21),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(22),
Q => first_q(22),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(23),
Q => first_q(23),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(24),
Q => first_q(24),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(25),
Q => first_q(25),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(26),
Q => first_q(26),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(27),
Q => first_q(27),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(28),
Q => first_q(28),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(29),
Q => first_q(29),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(2),
Q => first_q(2),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(30),
Q => first_q(30),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(31),
Q => first_q(31),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[32]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(32),
Q => first_q(32),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[33]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(33),
Q => first_q(33),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[34]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(34),
Q => first_q(34),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[35]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(35),
Q => first_q(35),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[36]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(36),
Q => first_q(36),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[37]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(37),
Q => first_q(37),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[38]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(38),
Q => first_q(38),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[39]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(39),
Q => first_q(39),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(3),
Q => first_q(3),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[40]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(40),
Q => first_q(40),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[41]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(41),
Q => first_q(41),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[42]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(42),
Q => first_q(42),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[43]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(43),
Q => first_q(43),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[44]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(44),
Q => first_q(44),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[45]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(45),
Q => first_q(45),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[46]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(46),
Q => first_q(46),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(4),
Q => first_q(4),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(5),
Q => first_q(5),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(6),
Q => first_q(6),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(7),
Q => first_q(7),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(8),
Q => first_q(8),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => ram_op(9),
Q => first_q(9),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized16\ is
port (
\out\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
aclk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized16\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized16\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized16\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[8]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(8),
O => \out\(8)
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(7),
O => \out\(7)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(6),
O => \out\(6)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(5),
O => \out\(5)
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(4),
O => \out\(4)
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(3),
O => \out\(3)
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(2),
O => \out\(2)
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(1),
O => \out\(1)
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(0),
O => \out\(0)
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(0),
Q => first_q(0),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(1),
Q => first_q(1),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(2),
Q => first_q(2),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(3),
Q => first_q(3),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(4),
Q => first_q(4),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(5),
Q => first_q(5),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(6),
Q => first_q(6),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(7),
Q => first_q(7),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \<const1>\,
Q => first_q(8),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized16_1\ is
port (
\out\ : out STD_LOGIC_VECTOR ( 8 downto 0 );
aclk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized16_1\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized16_1\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized16_1\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[2]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[3]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[4]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[5]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[6]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[7]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[8]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_0: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(8),
O => \out\(8)
);
i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(7),
O => \out\(7)
);
i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(6),
O => \out\(6)
);
i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(5),
O => \out\(5)
);
i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(4),
O => \out\(4)
);
i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(3),
O => \out\(3)
);
i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(2),
O => \out\(2)
);
i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(1),
O => \out\(1)
);
i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => first_q(0),
O => \out\(0)
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(0),
Q => first_q(0),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(1),
Q => first_q(1),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(2),
Q => first_q(2),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(3),
Q => first_q(3),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(4),
Q => first_q(4),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(5),
Q => first_q(5),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(6),
Q => first_q(6),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => I1(7),
Q => first_q(7),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \<const0>\,
Q => first_q(8),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized4\ is
port (
aclk : in STD_LOGIC;
mutant_x_op : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized4\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized4\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized4\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of first_q : signal is "true";
signal pre_rdy : STD_LOGIC;
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\opt_has_pipe.first_q[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => mutant_x_op(0),
I1 => mutant_x_op(1),
O => pre_rdy
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => pre_rdy,
Q => first_q,
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsxbip_pipe_v3_0_viv__parameterized6\ is
port (
DPRA : in STD_LOGIC_VECTOR ( 2 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsxbip_pipe_v3_0_viv__parameterized6\ : entity is "xbip_pipe_v3_0_viv";
end \ddsxbip_pipe_v3_0_viv__parameterized6\;
architecture STRUCTURE of \ddsxbip_pipe_v3_0_viv__parameterized6\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal first_q : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute keep : string;
attribute keep of \opt_has_pipe.first_q_reg[0]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[1]\ : label is "yes";
attribute keep of \opt_has_pipe.first_q_reg[2]\ : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\opt_has_pipe.first_q_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => DPRA(0),
Q => first_q(0),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => DPRA(1),
Q => first_q(1),
R => \<const0>\
);
\opt_has_pipe.first_q_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => DPRA(2),
Q => first_q(2),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity ddsdds_compiler_v6_0_rdy is
port (
aclk : in STD_LOGIC
);
end ddsdds_compiler_v6_0_rdy;
architecture STRUCTURE of ddsdds_compiler_v6_0_rdy is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal mutant_x_op : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \n_0_mutant_x_op[0]_i_1\ : STD_LOGIC;
signal \n_0_mutant_x_op[1]_i_1\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \mutant_x_op[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \mutant_x_op[1]_i_1\ : label is "soft_lutpair0";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\i_multi_channel.i_non_trivial_lat.i_rdy\: entity work.\ddsxbip_pipe_v3_0_viv__parameterized4\
port map (
aclk => aclk,
mutant_x_op(1 downto 0) => mutant_x_op(1 downto 0)
);
\mutant_x_op[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mutant_x_op(1),
I1 => mutant_x_op(0),
O => \n_0_mutant_x_op[0]_i_1\
);
\mutant_x_op[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => mutant_x_op(1),
I1 => mutant_x_op(0),
O => \n_0_mutant_x_op[1]_i_1\
);
\mutant_x_op_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_mutant_x_op[0]_i_1\,
Q => mutant_x_op(0),
R => \<const0>\
);
\mutant_x_op_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_mutant_x_op[1]_i_1\,
Q => mutant_x_op(1),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddslut_ram__parameterized2\ is
port (
\out\ : out STD_LOGIC_VECTOR ( 45 downto 0 );
aclk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 46 downto 0 );
DPRA : in STD_LOGIC_VECTOR ( 2 downto 0 );
ADDRD : in STD_LOGIC_VECTOR ( 2 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddslut_ram__parameterized2\ : entity is "lut_ram";
end \ddslut_ram__parameterized2\;
architecture STRUCTURE of \ddslut_ram__parameterized2\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal ram_op : STD_LOGIC_VECTOR ( 46 downto 0 );
signal NLW_the_ram_reg_0_7_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_42_46_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_the_ram_reg_0_7_42_46_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_the_ram_reg_0_7_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_ram_reg: entity work.\ddsxbip_pipe_v3_0_viv__parameterized12_0\
port map (
aclk => aclk,
\out\(45 downto 0) => \out\(45 downto 0),
ram_op(46 downto 0) => ram_op(46 downto 0)
);
the_ram_reg_0_7_0_5: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(1 downto 0),
DIB(1 downto 0) => I1(3 downto 2),
DIC(1 downto 0) => I1(5 downto 4),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(1 downto 0),
DOB(1 downto 0) => ram_op(3 downto 2),
DOC(1 downto 0) => ram_op(5 downto 4),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_12_17: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(13 downto 12),
DIB(1 downto 0) => I1(15 downto 14),
DIC(1 downto 0) => I1(17 downto 16),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(13 downto 12),
DOB(1 downto 0) => ram_op(15 downto 14),
DOC(1 downto 0) => ram_op(17 downto 16),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_18_23: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(19 downto 18),
DIB(1 downto 0) => I1(21 downto 20),
DIC(1 downto 0) => I1(23 downto 22),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(19 downto 18),
DOB(1 downto 0) => ram_op(21 downto 20),
DOC(1 downto 0) => ram_op(23 downto 22),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_24_29: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(25 downto 24),
DIB(1 downto 0) => I1(27 downto 26),
DIC(1 downto 0) => I1(29 downto 28),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(25 downto 24),
DOB(1 downto 0) => ram_op(27 downto 26),
DOC(1 downto 0) => ram_op(29 downto 28),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_30_35: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(31 downto 30),
DIB(1 downto 0) => I1(33 downto 32),
DIC(1 downto 0) => I1(35 downto 34),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(31 downto 30),
DOB(1 downto 0) => ram_op(33 downto 32),
DOC(1 downto 0) => ram_op(35 downto 34),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_30_35_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_36_41: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(37 downto 36),
DIB(1 downto 0) => I1(39 downto 38),
DIC(1 downto 0) => I1(41 downto 40),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(37 downto 36),
DOB(1 downto 0) => ram_op(39 downto 38),
DOC(1 downto 0) => ram_op(41 downto 40),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_36_41_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_42_46: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(43 downto 42),
DIB(1 downto 0) => I1(45 downto 44),
DIC(1) => \<const0>\,
DIC(0) => I1(46),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(43 downto 42),
DOB(1 downto 0) => ram_op(45 downto 44),
DOC(1) => NLW_the_ram_reg_0_7_42_46_DOC_UNCONNECTED(1),
DOC(0) => ram_op(46),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_42_46_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
the_ram_reg_0_7_6_11: unisim.vcomponents.RAM32M
generic map(
INIT_A => X"0000000000000000",
INIT_B => X"0000000000000000",
INIT_C => X"0000000000000000",
INIT_D => X"0000000000000000"
)
port map (
ADDRA(4) => \<const0>\,
ADDRA(3) => \<const0>\,
ADDRA(2 downto 0) => DPRA(2 downto 0),
ADDRB(4) => \<const0>\,
ADDRB(3) => \<const0>\,
ADDRB(2 downto 0) => DPRA(2 downto 0),
ADDRC(4) => \<const0>\,
ADDRC(3) => \<const0>\,
ADDRC(2 downto 0) => DPRA(2 downto 0),
ADDRD(4) => \<const0>\,
ADDRD(3) => \<const0>\,
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DIA(1 downto 0) => I1(7 downto 6),
DIB(1 downto 0) => I1(9 downto 8),
DIC(1 downto 0) => I1(11 downto 10),
DID(1) => \<const0>\,
DID(0) => \<const0>\,
DOA(1 downto 0) => ram_op(7 downto 6),
DOB(1 downto 0) => ram_op(9 downto 8),
DOC(1 downto 0) => ram_op(11 downto 10),
DOD(1 downto 0) => NLW_the_ram_reg_0_7_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => aclk,
WE => \<const1>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddssin_cos__parameterized0\ is
port (
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddssin_cos__parameterized0\ : entity is "sin_cos";
end \ddssin_cos__parameterized0\;
architecture STRUCTURE of \ddssin_cos__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal Q : STD_LOGIC_VECTOR ( 8 downto 0 );
signal mod_cos_addr : STD_LOGIC_VECTOR ( 8 downto 0 );
signal \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute METHODOLOGY_DRC_VIOS : string;
attribute METHODOLOGY_DRC_VIOS of \i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is "{SYNTH-6 {cell inst1}}{SYNTH-6 {cell inst1}}";
attribute bram_addr_begin : integer;
attribute bram_addr_begin of \i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 0;
attribute bram_addr_end : integer;
attribute bram_addr_end of \i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 1023;
attribute bram_slice_begin : integer;
attribute bram_slice_begin of \i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 0;
attribute bram_slice_end : integer;
attribute bram_slice_end of \i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\ : label is 35;
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\i_rtl.i_double_table.i_addr_reg_a\: entity work.\ddsxbip_pipe_v3_0_viv__parameterized16_1\
port map (
I1(7 downto 0) => \out\(7 downto 0),
aclk => aclk,
\out\(8 downto 0) => Q(8 downto 0)
);
\i_rtl.i_double_table.i_addr_reg_b\: entity work.\ddsxbip_pipe_v3_0_viv__parameterized16\
port map (
I1(7 downto 0) => \out\(7 downto 0),
aclk => aclk,
\out\(8 downto 0) => mod_cos_addr(8 downto 0)
);
\i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"002F002C0029002600230020001D001A001700140011000E000B000800050002",
INIT_01 => X"0058005600530051004F004C004A004700450042003F003D003A003700340032",
INIT_02 => X"0074007300710070006E006D006B006A00680066006400620060005E005C005A",
INIT_03 => X"007E007E007E007E007D007D007C007C007B007B007A00790078007700760075",
INIT_04 => X"00750076007700780079007A007B007B007C007C007D007D007E007E007E007E",
INIT_05 => X"005A005C005E00600062006400660068006A006B006D006E0070007100730074",
INIT_06 => X"003200340037003A003D003F004200450047004A004C004F0051005300560058",
INIT_07 => X"000200050008000B000E001100140017001A001D0020002300260029002C002F",
INIT_08 => X"00D100D400D700DA00DD00E000E300E600E900EC00EF00F200F500F800FB00FE",
INIT_09 => X"00A800AA00AD00AF00B100B400B600B900BB00BE00C100C300C600C900CC00CE",
INIT_0A => X"008C008D008F009000920093009500960098009A009C009E00A000A200A400A6",
INIT_0B => X"00820082008200820083008300840084008500850086008700880089008A008B",
INIT_0C => X"008B008A00890088008700860085008500840084008300830082008200820082",
INIT_0D => X"00A600A400A200A0009E009C009A009800960095009300920090008F008D008C",
INIT_0E => X"00CE00CC00C900C600C300C100BE00BB00B900B600B400B100AF00AD00AA00A8",
INIT_0F => X"00FE00FB00F800F500F200EF00EC00E900E600E300E000DD00DA00D700D400D1",
INIT_10 => X"00750076007700780079007A007B007B007C007C007D007D007E007E007E007E",
INIT_11 => X"005A005C005E00600062006400660068006A006B006D006E0070007100730074",
INIT_12 => X"003200340037003A003D003F004200450047004A004C004F0051005300560058",
INIT_13 => X"000200050008000B000E001100140017001A001D0020002300260029002C002F",
INIT_14 => X"00D100D400D700DA00DD00E000E300E600E900EC00EF00F200F500F800FB00FE",
INIT_15 => X"00A800AA00AD00AF00B100B400B600B900BB00BE00C100C300C600C900CC00CE",
INIT_16 => X"008C008D008F009000920093009500960098009A009C009E00A000A200A400A6",
INIT_17 => X"00820082008200820083008300840084008500850086008700880089008A008B",
INIT_18 => X"008B008A00890088008700860085008500840084008300830082008200820082",
INIT_19 => X"00A600A400A200A0009E009C009A009800960095009300920090008F008D008C",
INIT_1A => X"00CE00CC00C900C600C300C100BE00BB00B900B600B400B100AF00AD00AA00A8",
INIT_1B => X"00FE00FB00F800F500F200EF00EC00E900E600E300E000DD00DA00D700D400D1",
INIT_1C => X"002F002C0029002600230020001D001A001700140011000E000B000800050002",
INIT_1D => X"0058005600530051004F004C004A004700450042003F003D003A003700340032",
INIT_1E => X"0074007300710070006E006D006B006A00680066006400620060005E005C005A",
INIT_1F => X"007E007E007E007E007D007D007C007C007B007B007A00790078007700760075",
INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_A => X"00000",
INIT_B => X"00000",
RAM_MODE => "TDP",
READ_WIDTH_A => 18,
READ_WIDTH_B => 18,
RSTREG_PRIORITY_A => "RSTREG",
RSTREG_PRIORITY_B => "RSTREG",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 18,
WRITE_WIDTH_B => 0
)
port map (
ADDRARDADDR(13) => \<const0>\,
ADDRARDADDR(12 downto 4) => mod_cos_addr(8 downto 0),
ADDRARDADDR(3) => \<const0>\,
ADDRARDADDR(2) => \<const0>\,
ADDRARDADDR(1) => \<const0>\,
ADDRARDADDR(0) => \<const0>\,
ADDRBWRADDR(13) => \<const0>\,
ADDRBWRADDR(12 downto 4) => Q(8 downto 0),
ADDRBWRADDR(3) => \<const0>\,
ADDRBWRADDR(2) => \<const0>\,
ADDRBWRADDR(1) => \<const0>\,
ADDRBWRADDR(0) => \<const0>\,
CLKARDCLK => aclk,
CLKBWRCLK => aclk,
DIADI(15) => \<const0>\,
DIADI(14) => \<const0>\,
DIADI(13) => \<const0>\,
DIADI(12) => \<const0>\,
DIADI(11) => \<const0>\,
DIADI(10) => \<const0>\,
DIADI(9) => \<const0>\,
DIADI(8) => \<const0>\,
DIADI(7) => \<const1>\,
DIADI(6) => \<const1>\,
DIADI(5) => \<const1>\,
DIADI(4) => \<const1>\,
DIADI(3) => \<const1>\,
DIADI(2) => \<const1>\,
DIADI(1) => \<const1>\,
DIADI(0) => \<const1>\,
DIBDI(15) => \<const1>\,
DIBDI(14) => \<const1>\,
DIBDI(13) => \<const1>\,
DIBDI(12) => \<const1>\,
DIBDI(11) => \<const1>\,
DIBDI(10) => \<const1>\,
DIBDI(9) => \<const1>\,
DIBDI(8) => \<const1>\,
DIBDI(7) => \<const1>\,
DIBDI(6) => \<const1>\,
DIBDI(5) => \<const1>\,
DIBDI(4) => \<const1>\,
DIBDI(3) => \<const1>\,
DIBDI(2) => \<const1>\,
DIBDI(1) => \<const1>\,
DIBDI(0) => \<const1>\,
DIPADIP(1) => \<const0>\,
DIPADIP(0) => \<const0>\,
DIPBDIP(1) => \<const1>\,
DIPBDIP(0) => \<const1>\,
DOADO(15 downto 8) => \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOADO_UNCONNECTED\(15 downto 8),
DOADO(7 downto 0) => m_axis_data_tdata(7 downto 0),
DOBDO(15 downto 8) => \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOBDO_UNCONNECTED\(15 downto 8),
DOBDO(7 downto 0) => m_axis_data_tdata(15 downto 8),
DOPADOP(1 downto 0) => \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPADOP_UNCONNECTED\(1 downto 0),
DOPBDOP(1 downto 0) => \NLW_i_rtl.i_double_table.i_block_rom.i_pipe_1.pre_asyn_cos_RAM_op_reg_DOPBDOP_UNCONNECTED\(1 downto 0),
ENARDEN => \<const1>\,
ENBWREN => \<const1>\,
REGCEAREGCE => \<const0>\,
REGCEB => \<const0>\,
RSTRAMARSTRAM => \<const0>\,
RSTRAMB => \<const0>\,
RSTREGARSTREG => \<const0>\,
RSTREGB => \<const0>\,
WEA(1) => \<const0>\,
WEA(0) => \<const0>\,
WEBWE(3) => \<const0>\,
WEBWE(2) => \<const0>\,
WEBWE(1) => \<const0>\,
WEBWE(0) => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity ddsaccum is
port (
\out\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
aclk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 45 downto 0 );
chan_addr : in STD_LOGIC_VECTOR ( 0 to 0 );
Q0_out : in STD_LOGIC;
Q2_out : in STD_LOGIC;
ADDRD : in STD_LOGIC_VECTOR ( 2 downto 0 )
);
end ddsaccum;
architecture STRUCTURE of ddsaccum is
signal acc_phase_shaped : STD_LOGIC_VECTOR ( 46 downto 0 );
signal \n_0_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_10_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_11_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_12_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_13_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_14_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_15_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_16_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_17_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_18_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_19_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_1_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_20_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_21_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_22_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_23_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_24_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_25_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_26_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_27_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_28_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_29_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_2_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_30_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_31_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_32_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_33_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_34_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_35_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_36_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_37_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_38_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_39_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_3_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_40_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_41_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_42_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_43_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_44_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_45_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_4_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_5_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_6_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_7_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_8_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \n_9_i_fabric.i_multi_channel.i_acc_ram\ : STD_LOGIC;
signal \^out\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal p_0_in : STD_LOGIC_VECTOR ( 46 downto 0 );
begin
\out\(7 downto 0) <= \^out\(7 downto 0);
\i_common.i_phase_adj_multi.i_prog_freq\: entity work.\ddsxbip_pipe_v3_0_viv__parameterized6\
port map (
DPRA(2) => chan_addr(0),
DPRA(1) => Q0_out,
DPRA(0) => Q2_out,
aclk => aclk
);
\i_fabric.i_common.i_phase_acc\: entity work.\ddsxbip_pipe_v3_0_viv__parameterized12\
port map (
aclk => aclk,
\out\(46) => acc_phase_shaped(46),
\out\(45 downto 38) => \^out\(7 downto 0),
\out\(37 downto 0) => acc_phase_shaped(37 downto 0),
temp(46 downto 0) => p_0_in(46 downto 0)
);
\i_fabric.i_multi_channel.i_acc_ram\: entity work.\ddslut_ram__parameterized2\
port map (
ADDRD(2 downto 0) => ADDRD(2 downto 0),
DPRA(2) => chan_addr(0),
DPRA(1) => Q0_out,
DPRA(0) => Q2_out,
I1(46) => acc_phase_shaped(46),
I1(45 downto 38) => \^out\(7 downto 0),
I1(37 downto 0) => acc_phase_shaped(37 downto 0),
aclk => aclk,
\out\(45) => \n_0_i_fabric.i_multi_channel.i_acc_ram\,
\out\(44) => \n_1_i_fabric.i_multi_channel.i_acc_ram\,
\out\(43) => \n_2_i_fabric.i_multi_channel.i_acc_ram\,
\out\(42) => \n_3_i_fabric.i_multi_channel.i_acc_ram\,
\out\(41) => \n_4_i_fabric.i_multi_channel.i_acc_ram\,
\out\(40) => \n_5_i_fabric.i_multi_channel.i_acc_ram\,
\out\(39) => \n_6_i_fabric.i_multi_channel.i_acc_ram\,
\out\(38) => \n_7_i_fabric.i_multi_channel.i_acc_ram\,
\out\(37) => \n_8_i_fabric.i_multi_channel.i_acc_ram\,
\out\(36) => \n_9_i_fabric.i_multi_channel.i_acc_ram\,
\out\(35) => \n_10_i_fabric.i_multi_channel.i_acc_ram\,
\out\(34) => \n_11_i_fabric.i_multi_channel.i_acc_ram\,
\out\(33) => \n_12_i_fabric.i_multi_channel.i_acc_ram\,
\out\(32) => \n_13_i_fabric.i_multi_channel.i_acc_ram\,
\out\(31) => \n_14_i_fabric.i_multi_channel.i_acc_ram\,
\out\(30) => \n_15_i_fabric.i_multi_channel.i_acc_ram\,
\out\(29) => \n_16_i_fabric.i_multi_channel.i_acc_ram\,
\out\(28) => \n_17_i_fabric.i_multi_channel.i_acc_ram\,
\out\(27) => \n_18_i_fabric.i_multi_channel.i_acc_ram\,
\out\(26) => \n_19_i_fabric.i_multi_channel.i_acc_ram\,
\out\(25) => \n_20_i_fabric.i_multi_channel.i_acc_ram\,
\out\(24) => \n_21_i_fabric.i_multi_channel.i_acc_ram\,
\out\(23) => \n_22_i_fabric.i_multi_channel.i_acc_ram\,
\out\(22) => \n_23_i_fabric.i_multi_channel.i_acc_ram\,
\out\(21) => \n_24_i_fabric.i_multi_channel.i_acc_ram\,
\out\(20) => \n_25_i_fabric.i_multi_channel.i_acc_ram\,
\out\(19) => \n_26_i_fabric.i_multi_channel.i_acc_ram\,
\out\(18) => \n_27_i_fabric.i_multi_channel.i_acc_ram\,
\out\(17) => \n_28_i_fabric.i_multi_channel.i_acc_ram\,
\out\(16) => \n_29_i_fabric.i_multi_channel.i_acc_ram\,
\out\(15) => \n_30_i_fabric.i_multi_channel.i_acc_ram\,
\out\(14) => \n_31_i_fabric.i_multi_channel.i_acc_ram\,
\out\(13) => \n_32_i_fabric.i_multi_channel.i_acc_ram\,
\out\(12) => \n_33_i_fabric.i_multi_channel.i_acc_ram\,
\out\(11) => \n_34_i_fabric.i_multi_channel.i_acc_ram\,
\out\(10) => \n_35_i_fabric.i_multi_channel.i_acc_ram\,
\out\(9) => \n_36_i_fabric.i_multi_channel.i_acc_ram\,
\out\(8) => \n_37_i_fabric.i_multi_channel.i_acc_ram\,
\out\(7) => \n_38_i_fabric.i_multi_channel.i_acc_ram\,
\out\(6) => \n_39_i_fabric.i_multi_channel.i_acc_ram\,
\out\(5) => \n_40_i_fabric.i_multi_channel.i_acc_ram\,
\out\(4) => \n_41_i_fabric.i_multi_channel.i_acc_ram\,
\out\(3) => \n_42_i_fabric.i_multi_channel.i_acc_ram\,
\out\(2) => \n_43_i_fabric.i_multi_channel.i_acc_ram\,
\out\(1) => \n_44_i_fabric.i_multi_channel.i_acc_ram\,
\out\(0) => \n_45_i_fabric.i_multi_channel.i_acc_ram\
);
\i_fabric.i_multi_channel.i_accum\: entity work.\ddspipe_add__parameterized0\
port map (
I1(45 downto 0) => I1(45 downto 0),
L(45) => \n_0_i_fabric.i_multi_channel.i_acc_ram\,
L(44) => \n_1_i_fabric.i_multi_channel.i_acc_ram\,
L(43) => \n_2_i_fabric.i_multi_channel.i_acc_ram\,
L(42) => \n_3_i_fabric.i_multi_channel.i_acc_ram\,
L(41) => \n_4_i_fabric.i_multi_channel.i_acc_ram\,
L(40) => \n_5_i_fabric.i_multi_channel.i_acc_ram\,
L(39) => \n_6_i_fabric.i_multi_channel.i_acc_ram\,
L(38) => \n_7_i_fabric.i_multi_channel.i_acc_ram\,
L(37) => \n_8_i_fabric.i_multi_channel.i_acc_ram\,
L(36) => \n_9_i_fabric.i_multi_channel.i_acc_ram\,
L(35) => \n_10_i_fabric.i_multi_channel.i_acc_ram\,
L(34) => \n_11_i_fabric.i_multi_channel.i_acc_ram\,
L(33) => \n_12_i_fabric.i_multi_channel.i_acc_ram\,
L(32) => \n_13_i_fabric.i_multi_channel.i_acc_ram\,
L(31) => \n_14_i_fabric.i_multi_channel.i_acc_ram\,
L(30) => \n_15_i_fabric.i_multi_channel.i_acc_ram\,
L(29) => \n_16_i_fabric.i_multi_channel.i_acc_ram\,
L(28) => \n_17_i_fabric.i_multi_channel.i_acc_ram\,
L(27) => \n_18_i_fabric.i_multi_channel.i_acc_ram\,
L(26) => \n_19_i_fabric.i_multi_channel.i_acc_ram\,
L(25) => \n_20_i_fabric.i_multi_channel.i_acc_ram\,
L(24) => \n_21_i_fabric.i_multi_channel.i_acc_ram\,
L(23) => \n_22_i_fabric.i_multi_channel.i_acc_ram\,
L(22) => \n_23_i_fabric.i_multi_channel.i_acc_ram\,
L(21) => \n_24_i_fabric.i_multi_channel.i_acc_ram\,
L(20) => \n_25_i_fabric.i_multi_channel.i_acc_ram\,
L(19) => \n_26_i_fabric.i_multi_channel.i_acc_ram\,
L(18) => \n_27_i_fabric.i_multi_channel.i_acc_ram\,
L(17) => \n_28_i_fabric.i_multi_channel.i_acc_ram\,
L(16) => \n_29_i_fabric.i_multi_channel.i_acc_ram\,
L(15) => \n_30_i_fabric.i_multi_channel.i_acc_ram\,
L(14) => \n_31_i_fabric.i_multi_channel.i_acc_ram\,
L(13) => \n_32_i_fabric.i_multi_channel.i_acc_ram\,
L(12) => \n_33_i_fabric.i_multi_channel.i_acc_ram\,
L(11) => \n_34_i_fabric.i_multi_channel.i_acc_ram\,
L(10) => \n_35_i_fabric.i_multi_channel.i_acc_ram\,
L(9) => \n_36_i_fabric.i_multi_channel.i_acc_ram\,
L(8) => \n_37_i_fabric.i_multi_channel.i_acc_ram\,
L(7) => \n_38_i_fabric.i_multi_channel.i_acc_ram\,
L(6) => \n_39_i_fabric.i_multi_channel.i_acc_ram\,
L(5) => \n_40_i_fabric.i_multi_channel.i_acc_ram\,
L(4) => \n_41_i_fabric.i_multi_channel.i_acc_ram\,
L(3) => \n_42_i_fabric.i_multi_channel.i_acc_ram\,
L(2) => \n_43_i_fabric.i_multi_channel.i_acc_ram\,
L(1) => \n_44_i_fabric.i_multi_channel.i_acc_ram\,
L(0) => \n_45_i_fabric.i_multi_channel.i_acc_ram\,
temp(46 downto 0) => p_0_in(46 downto 0)
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity ddsdds_compiler_v6_0_core is
port (
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 45 downto 0 )
);
end ddsdds_compiler_v6_0_core;
architecture STRUCTURE of ddsdds_compiler_v6_0_core is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal A : STD_LOGIC_VECTOR ( 2 downto 0 );
signal Q0_out : STD_LOGIC;
signal Q2_out : STD_LOGIC;
signal acc_phase_shaped : STD_LOGIC_VECTOR ( 45 downto 38 );
signal chan_addr : STD_LOGIC_VECTOR ( 2 to 2 );
signal chan_addr_del1 : STD_LOGIC_VECTOR ( 2 downto 0 );
signal next_chan : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute box_type : string;
attribute box_type of \I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[1].i_reg\ : label is "PRIMITIVE";
attribute box_type of \I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[2].i_reg\ : label is "PRIMITIVE";
attribute box_type of \I_PHASEGEN.i_multichan.i_low_reg\ : label is "PRIMITIVE";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\I_PHASEGEN.i_conventional_accum.i_accum\: entity work.ddsaccum
port map (
ADDRD(2 downto 0) => A(2 downto 0),
I1(45 downto 0) => I1(45 downto 0),
Q0_out => Q0_out,
Q2_out => Q2_out,
aclk => aclk,
chan_addr(0) => chan_addr(2),
\out\(7 downto 0) => acc_phase_shaped(45 downto 38)
);
\I_PHASEGEN.i_multichan.chan_addr_del1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => Q2_out,
Q => chan_addr_del1(0),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.chan_addr_del1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => Q0_out,
Q => chan_addr_del1(1),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.chan_addr_del1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr(2),
Q => chan_addr_del1(2),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.chan_addr_del2_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr_del1(0),
Q => A(0),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.chan_addr_del2_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr_del1(1),
Q => A(1),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.chan_addr_del2_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => aclk,
CE => \<const1>\,
D => chan_addr_del1(2),
Q => A(2),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[1].i_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => next_chan(1),
Q => Q0_out,
R => \<const0>\
);
\I_PHASEGEN.i_multichan.i_chan_addr_reg_ext.i_char_addr_reg[2].i_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => next_chan(2),
Q => chan_addr(2),
R => \<const0>\
);
\I_PHASEGEN.i_multichan.i_low_reg\: unisim.vcomponents.FDSE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_S_INVERTED => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => next_chan(0),
Q => Q2_out,
S => \<const0>\
);
\I_SINCOS.i_std_rom.i_rom\: entity work.\ddssin_cos__parameterized0\
port map (
aclk => aclk,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
\out\(7 downto 0) => acc_phase_shaped(45 downto 38)
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\i_rdy.rdy_logic\: entity work.ddsdds_compiler_v6_0_rdy
port map (
aclk => aclk
);
next_chan_inferred_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => Q0_out,
I1 => chan_addr(2),
I2 => Q2_out,
O => next_chan(3)
);
next_chan_inferred_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"68"
)
port map (
I0 => chan_addr(2),
I1 => Q2_out,
I2 => Q0_out,
O => next_chan(2)
);
next_chan_inferred_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Q0_out,
I1 => Q2_out,
O => next_chan(1)
);
next_chan_inferred_i_4: unisim.vcomponents.LUT3
generic map(
INIT => X"0B"
)
port map (
I0 => Q0_out,
I1 => chan_addr(2),
I2 => Q2_out,
O => next_chan(0)
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsdds_compiler_v6_0_viv__parameterized0\ is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_phase_tvalid : in STD_LOGIC;
s_axis_phase_tready : out STD_LOGIC;
s_axis_phase_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_phase_tlast : in STD_LOGIC;
s_axis_phase_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tvalid : in STD_LOGIC;
s_axis_config_tready : out STD_LOGIC;
s_axis_config_tdata : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_config_tlast : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tready : in STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_data_tlast : out STD_LOGIC;
m_axis_data_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tvalid : out STD_LOGIC;
m_axis_phase_tready : in STD_LOGIC;
m_axis_phase_tdata : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_phase_tlast : out STD_LOGIC;
m_axis_phase_tuser : out STD_LOGIC_VECTOR ( 0 to 0 );
event_pinc_invalid : out STD_LOGIC;
event_poff_invalid : out STD_LOGIC;
event_phase_in_invalid : out STD_LOGIC;
event_s_phase_tlast_missing : out STD_LOGIC;
event_s_phase_tlast_unexpected : out STD_LOGIC;
event_s_phase_chanid_incorrect : out STD_LOGIC;
event_s_config_tlast_missing : out STD_LOGIC;
event_s_config_tlast_unexpected : out STD_LOGIC;
debug_axi_pinc_in : out STD_LOGIC_VECTOR ( 45 downto 0 );
debug_axi_poff_in : out STD_LOGIC_VECTOR ( 45 downto 0 );
debug_axi_resync_in : out STD_LOGIC;
debug_axi_chan_in : out STD_LOGIC_VECTOR ( 2 downto 0 );
debug_core_nd : out STD_LOGIC;
debug_phase : out STD_LOGIC_VECTOR ( 45 downto 0 );
debug_phase_nd : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "dds_compiler_v6_0_viv";
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "zynq";
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 9;
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 46;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 5;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 3;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 8;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 8;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 2;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "11001100110011001100110011001100110,110011001100110011001100110011001100,1100110011001100110011001100110011001,11001100110011001100110011001100110011,110011001100110011001100110011001100110,0,0,0,0,0,0,0,0,0,0,0";
attribute C_RESYNC : integer;
attribute C_RESYNC of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_POR_MODE : integer;
attribute C_POR_MODE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 16;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 1;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 0;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is 3;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \ddsdds_compiler_v6_0_viv__parameterized0\ : entity is "yes";
end \ddsdds_compiler_v6_0_viv__parameterized0\;
architecture STRUCTURE of \ddsdds_compiler_v6_0_viv__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal master_count : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_0_master_channel_count.master_count[0]_i_1\ : STD_LOGIC;
signal \n_0_master_channel_count.master_count[1]_i_1\ : STD_LOGIC;
signal \n_0_master_channel_count.master_count[2]_i_1\ : STD_LOGIC;
signal \n_10_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_11_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_12_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_13_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_14_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_15_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_16_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_17_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_18_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_19_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_20_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_21_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_22_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_23_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_24_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_25_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_26_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_27_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_28_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_29_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_30_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_31_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_32_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_33_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_34_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_35_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_36_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_37_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_38_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_39_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_40_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_41_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_42_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_43_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_44_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_45_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_6_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_7_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_8_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
signal \n_9_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \master_channel_count.master_count[0]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \master_channel_count.master_count[2]_i_1\ : label is "soft_lutpair1";
begin
debug_axi_chan_in(2) <= \<const0>\;
debug_axi_chan_in(1) <= \<const0>\;
debug_axi_chan_in(0) <= \<const0>\;
debug_axi_pinc_in(45) <= \<const0>\;
debug_axi_pinc_in(44) <= \<const0>\;
debug_axi_pinc_in(43) <= \<const0>\;
debug_axi_pinc_in(42) <= \<const0>\;
debug_axi_pinc_in(41) <= \<const0>\;
debug_axi_pinc_in(40) <= \<const0>\;
debug_axi_pinc_in(39) <= \<const0>\;
debug_axi_pinc_in(38) <= \<const0>\;
debug_axi_pinc_in(37) <= \<const0>\;
debug_axi_pinc_in(36) <= \<const0>\;
debug_axi_pinc_in(35) <= \<const0>\;
debug_axi_pinc_in(34) <= \<const0>\;
debug_axi_pinc_in(33) <= \<const0>\;
debug_axi_pinc_in(32) <= \<const0>\;
debug_axi_pinc_in(31) <= \<const0>\;
debug_axi_pinc_in(30) <= \<const0>\;
debug_axi_pinc_in(29) <= \<const0>\;
debug_axi_pinc_in(28) <= \<const0>\;
debug_axi_pinc_in(27) <= \<const0>\;
debug_axi_pinc_in(26) <= \<const0>\;
debug_axi_pinc_in(25) <= \<const0>\;
debug_axi_pinc_in(24) <= \<const0>\;
debug_axi_pinc_in(23) <= \<const0>\;
debug_axi_pinc_in(22) <= \<const0>\;
debug_axi_pinc_in(21) <= \<const0>\;
debug_axi_pinc_in(20) <= \<const0>\;
debug_axi_pinc_in(19) <= \<const0>\;
debug_axi_pinc_in(18) <= \<const0>\;
debug_axi_pinc_in(17) <= \<const0>\;
debug_axi_pinc_in(16) <= \<const0>\;
debug_axi_pinc_in(15) <= \<const0>\;
debug_axi_pinc_in(14) <= \<const0>\;
debug_axi_pinc_in(13) <= \<const0>\;
debug_axi_pinc_in(12) <= \<const0>\;
debug_axi_pinc_in(11) <= \<const0>\;
debug_axi_pinc_in(10) <= \<const0>\;
debug_axi_pinc_in(9) <= \<const0>\;
debug_axi_pinc_in(8) <= \<const0>\;
debug_axi_pinc_in(7) <= \<const0>\;
debug_axi_pinc_in(6) <= \<const0>\;
debug_axi_pinc_in(5) <= \<const0>\;
debug_axi_pinc_in(4) <= \<const0>\;
debug_axi_pinc_in(3) <= \<const0>\;
debug_axi_pinc_in(2) <= \<const0>\;
debug_axi_pinc_in(1) <= \<const0>\;
debug_axi_pinc_in(0) <= \<const0>\;
debug_axi_poff_in(45) <= \<const0>\;
debug_axi_poff_in(44) <= \<const0>\;
debug_axi_poff_in(43) <= \<const0>\;
debug_axi_poff_in(42) <= \<const0>\;
debug_axi_poff_in(41) <= \<const0>\;
debug_axi_poff_in(40) <= \<const0>\;
debug_axi_poff_in(39) <= \<const0>\;
debug_axi_poff_in(38) <= \<const0>\;
debug_axi_poff_in(37) <= \<const0>\;
debug_axi_poff_in(36) <= \<const0>\;
debug_axi_poff_in(35) <= \<const0>\;
debug_axi_poff_in(34) <= \<const0>\;
debug_axi_poff_in(33) <= \<const0>\;
debug_axi_poff_in(32) <= \<const0>\;
debug_axi_poff_in(31) <= \<const0>\;
debug_axi_poff_in(30) <= \<const0>\;
debug_axi_poff_in(29) <= \<const0>\;
debug_axi_poff_in(28) <= \<const0>\;
debug_axi_poff_in(27) <= \<const0>\;
debug_axi_poff_in(26) <= \<const0>\;
debug_axi_poff_in(25) <= \<const0>\;
debug_axi_poff_in(24) <= \<const0>\;
debug_axi_poff_in(23) <= \<const0>\;
debug_axi_poff_in(22) <= \<const0>\;
debug_axi_poff_in(21) <= \<const0>\;
debug_axi_poff_in(20) <= \<const0>\;
debug_axi_poff_in(19) <= \<const0>\;
debug_axi_poff_in(18) <= \<const0>\;
debug_axi_poff_in(17) <= \<const0>\;
debug_axi_poff_in(16) <= \<const0>\;
debug_axi_poff_in(15) <= \<const0>\;
debug_axi_poff_in(14) <= \<const0>\;
debug_axi_poff_in(13) <= \<const0>\;
debug_axi_poff_in(12) <= \<const0>\;
debug_axi_poff_in(11) <= \<const0>\;
debug_axi_poff_in(10) <= \<const0>\;
debug_axi_poff_in(9) <= \<const0>\;
debug_axi_poff_in(8) <= \<const0>\;
debug_axi_poff_in(7) <= \<const0>\;
debug_axi_poff_in(6) <= \<const0>\;
debug_axi_poff_in(5) <= \<const0>\;
debug_axi_poff_in(4) <= \<const0>\;
debug_axi_poff_in(3) <= \<const0>\;
debug_axi_poff_in(2) <= \<const0>\;
debug_axi_poff_in(1) <= \<const0>\;
debug_axi_poff_in(0) <= \<const0>\;
debug_axi_resync_in <= \<const0>\;
debug_core_nd <= \<const0>\;
debug_phase(45) <= \<const0>\;
debug_phase(44) <= \<const0>\;
debug_phase(43) <= \<const0>\;
debug_phase(42) <= \<const0>\;
debug_phase(41) <= \<const0>\;
debug_phase(40) <= \<const0>\;
debug_phase(39) <= \<const0>\;
debug_phase(38) <= \<const0>\;
debug_phase(37) <= \<const0>\;
debug_phase(36) <= \<const0>\;
debug_phase(35) <= \<const0>\;
debug_phase(34) <= \<const0>\;
debug_phase(33) <= \<const0>\;
debug_phase(32) <= \<const0>\;
debug_phase(31) <= \<const0>\;
debug_phase(30) <= \<const0>\;
debug_phase(29) <= \<const0>\;
debug_phase(28) <= \<const0>\;
debug_phase(27) <= \<const0>\;
debug_phase(26) <= \<const0>\;
debug_phase(25) <= \<const0>\;
debug_phase(24) <= \<const0>\;
debug_phase(23) <= \<const0>\;
debug_phase(22) <= \<const0>\;
debug_phase(21) <= \<const0>\;
debug_phase(20) <= \<const0>\;
debug_phase(19) <= \<const0>\;
debug_phase(18) <= \<const0>\;
debug_phase(17) <= \<const0>\;
debug_phase(16) <= \<const0>\;
debug_phase(15) <= \<const0>\;
debug_phase(14) <= \<const0>\;
debug_phase(13) <= \<const0>\;
debug_phase(12) <= \<const0>\;
debug_phase(11) <= \<const0>\;
debug_phase(10) <= \<const0>\;
debug_phase(9) <= \<const0>\;
debug_phase(8) <= \<const0>\;
debug_phase(7) <= \<const0>\;
debug_phase(6) <= \<const0>\;
debug_phase(5) <= \<const0>\;
debug_phase(4) <= \<const0>\;
debug_phase(3) <= \<const0>\;
debug_phase(2) <= \<const0>\;
debug_phase(1) <= \<const0>\;
debug_phase(0) <= \<const0>\;
debug_phase_nd <= \<const0>\;
event_phase_in_invalid <= \<const0>\;
event_pinc_invalid <= \<const0>\;
event_poff_invalid <= \<const0>\;
event_s_config_tlast_missing <= \<const0>\;
event_s_config_tlast_unexpected <= \<const0>\;
event_s_phase_chanid_incorrect <= \<const0>\;
event_s_phase_tlast_missing <= \<const0>\;
event_s_phase_tlast_unexpected <= \<const0>\;
m_axis_data_tlast <= \<const0>\;
m_axis_data_tuser(0) <= \<const0>\;
m_axis_phase_tdata(0) <= \<const0>\;
m_axis_phase_tlast <= \<const0>\;
m_axis_phase_tuser(0) <= \<const0>\;
m_axis_phase_tvalid <= \<const0>\;
s_axis_config_tready <= \<const0>\;
s_axis_phase_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_dds: entity work.ddsdds_compiler_v6_0_core
port map (
I1(45) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(44) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(43) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(42) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(41) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(40) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(39) => \n_6_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(38) => \n_7_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(37) => \n_8_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(36) => \n_9_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(35) => \n_10_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(34) => \n_11_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(33) => \n_12_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(32) => \n_13_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(31) => \n_14_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(30) => \n_15_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(29) => \n_16_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(28) => \n_17_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(27) => \n_18_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(26) => \n_19_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(25) => \n_20_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(24) => \n_21_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(23) => \n_22_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(22) => \n_23_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(21) => \n_24_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(20) => \n_25_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(19) => \n_26_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(18) => \n_27_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(17) => \n_28_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(16) => \n_29_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(15) => \n_30_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(14) => \n_31_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(13) => \n_32_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(12) => \n_33_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(11) => \n_34_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(10) => \n_35_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(9) => \n_36_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(8) => \n_37_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(7) => \n_38_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(6) => \n_39_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(5) => \n_40_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(4) => \n_41_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(3) => \n_42_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(2) => \n_43_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(1) => \n_44_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
I1(0) => \n_45_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
aclk => aclk,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0)
);
\i_has_nd_rdy_pipe.channel_pipe\: entity work.\ddsxbip_pipe_v3_0_viv__parameterized0\
port map (
aclk => aclk,
master_count(2 downto 0) => master_count(2 downto 0)
);
\i_has_nd_rdy_pipe.valid_phase_read_del\: entity work.ddsxbip_pipe_v3_0_viv
port map (
aclk => aclk,
m_axis_data_tvalid => m_axis_data_tvalid
);
\i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\: entity work.\ddslut_ram__parameterized0\
port map (
aclk => aclk,
master_count(2 downto 0) => master_count(2 downto 0),
ram_op(45) => \n_0_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(44) => \n_1_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(43) => \n_2_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(42) => \n_3_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(41) => \n_4_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(40) => \n_5_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(39) => \n_6_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(38) => \n_7_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(37) => \n_8_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(36) => \n_9_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(35) => \n_10_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(34) => \n_11_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(33) => \n_12_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(32) => \n_13_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(31) => \n_14_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(30) => \n_15_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(29) => \n_16_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(28) => \n_17_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(27) => \n_18_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(26) => \n_19_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(25) => \n_20_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(24) => \n_21_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(23) => \n_22_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(22) => \n_23_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(21) => \n_24_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(20) => \n_25_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(19) => \n_26_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(18) => \n_27_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(17) => \n_28_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(16) => \n_29_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(15) => \n_30_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(14) => \n_31_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(13) => \n_32_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(12) => \n_33_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(11) => \n_34_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(10) => \n_35_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(9) => \n_36_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(8) => \n_37_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(7) => \n_38_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(6) => \n_39_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(5) => \n_40_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(4) => \n_41_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(3) => \n_42_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(2) => \n_43_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(1) => \n_44_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\,
ram_op(0) => \n_45_i_pinc_poff_in.i_mult_channel_fixed_pinc.i_inc_ram\
);
\master_channel_count.master_count[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"0B"
)
port map (
I0 => master_count(1),
I1 => master_count(2),
I2 => master_count(0),
O => \n_0_master_channel_count.master_count[0]_i_1\
);
\master_channel_count.master_count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => master_count(1),
I1 => master_count(0),
O => \n_0_master_channel_count.master_count[1]_i_1\
);
\master_channel_count.master_count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"68"
)
port map (
I0 => master_count(1),
I1 => master_count(0),
I2 => master_count(2),
O => \n_0_master_channel_count.master_count[2]_i_1\
);
\master_channel_count.master_count_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_master_channel_count.master_count[0]_i_1\,
Q => master_count(0),
R => \<const0>\
);
\master_channel_count.master_count_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_master_channel_count.master_count[1]_i_1\,
Q => master_count(1),
R => \<const0>\
);
\master_channel_count.master_count_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => aclk,
CE => \<const1>\,
D => \n_0_master_channel_count.master_count[2]_i_1\,
Q => master_count(2),
R => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity \ddsdds_compiler_v6_0__parameterized0\ is
port (
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \ddsdds_compiler_v6_0__parameterized0\ : entity is "dds_compiler_v6_0";
end \ddsdds_compiler_v6_0__parameterized0\;
architecture STRUCTURE of \ddsdds_compiler_v6_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal NLW_i_synth_debug_axi_resync_in_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_core_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_phase_nd_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_phase_in_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_pinc_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_poff_invalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_missing_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_data_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_config_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_phase_tready_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_debug_axi_chan_in_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_i_synth_debug_axi_pinc_in_UNCONNECTED : STD_LOGIC_VECTOR ( 45 downto 0 );
signal NLW_i_synth_debug_axi_poff_in_UNCONNECTED : STD_LOGIC_VECTOR ( 45 downto 0 );
signal NLW_i_synth_debug_phase_UNCONNECTED : STD_LOGIC_VECTOR ( 45 downto 0 );
signal NLW_i_synth_m_axis_data_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_i_synth_m_axis_phase_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ACCUMULATOR_WIDTH : integer;
attribute C_ACCUMULATOR_WIDTH of i_synth : label is 46;
attribute C_AMPLITUDE : integer;
attribute C_AMPLITUDE of i_synth : label is 0;
attribute C_CHANNELS : integer;
attribute C_CHANNELS of i_synth : label is 5;
attribute C_CHAN_WIDTH : integer;
attribute C_CHAN_WIDTH of i_synth : label is 3;
attribute C_DEBUG_INTERFACE : integer;
attribute C_DEBUG_INTERFACE of i_synth : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_M_DATA : integer;
attribute C_HAS_M_DATA of i_synth : label is 1;
attribute C_HAS_M_PHASE : integer;
attribute C_HAS_M_PHASE of i_synth : label is 0;
attribute C_HAS_PHASEGEN : integer;
attribute C_HAS_PHASEGEN of i_synth : label is 1;
attribute C_HAS_PHASE_OUT : integer;
attribute C_HAS_PHASE_OUT of i_synth : label is 0;
attribute C_HAS_SINCOS : integer;
attribute C_HAS_SINCOS of i_synth : label is 1;
attribute C_HAS_S_CONFIG : integer;
attribute C_HAS_S_CONFIG of i_synth : label is 0;
attribute C_HAS_S_PHASE : integer;
attribute C_HAS_S_PHASE of i_synth : label is 0;
attribute C_HAS_TLAST : integer;
attribute C_HAS_TLAST of i_synth : label is 0;
attribute C_HAS_TREADY : integer;
attribute C_HAS_TREADY of i_synth : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of i_synth : label is 3;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of i_synth : label is 1;
attribute C_MODE_OF_OPERATION : integer;
attribute C_MODE_OF_OPERATION of i_synth : label is 0;
attribute C_MODULUS : integer;
attribute C_MODULUS of i_synth : label is 9;
attribute C_M_DATA_HAS_TUSER : integer;
attribute C_M_DATA_HAS_TUSER of i_synth : label is 0;
attribute C_M_DATA_TDATA_WIDTH : integer;
attribute C_M_DATA_TDATA_WIDTH of i_synth : label is 16;
attribute C_M_DATA_TUSER_WIDTH : integer;
attribute C_M_DATA_TUSER_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_HAS_TUSER : integer;
attribute C_M_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_M_PHASE_TDATA_WIDTH : integer;
attribute C_M_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_M_PHASE_TUSER_WIDTH : integer;
attribute C_M_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_NEGATIVE_COSINE : integer;
attribute C_NEGATIVE_COSINE of i_synth : label is 0;
attribute C_NEGATIVE_SINE : integer;
attribute C_NEGATIVE_SINE of i_synth : label is 0;
attribute C_NOISE_SHAPING : integer;
attribute C_NOISE_SHAPING of i_synth : label is 0;
attribute C_OPTIMISE_GOAL : integer;
attribute C_OPTIMISE_GOAL of i_synth : label is 0;
attribute C_OUTPUTS_REQUIRED : integer;
attribute C_OUTPUTS_REQUIRED of i_synth : label is 2;
attribute C_OUTPUT_FORM : integer;
attribute C_OUTPUT_FORM of i_synth : label is 0;
attribute C_OUTPUT_WIDTH : integer;
attribute C_OUTPUT_WIDTH of i_synth : label is 8;
attribute C_PHASE_ANGLE_WIDTH : integer;
attribute C_PHASE_ANGLE_WIDTH of i_synth : label is 8;
attribute C_PHASE_INCREMENT : integer;
attribute C_PHASE_INCREMENT of i_synth : label is 2;
attribute C_PHASE_INCREMENT_VALUE : string;
attribute C_PHASE_INCREMENT_VALUE of i_synth : label is "11001100110011001100110011001100110,110011001100110011001100110011001100,1100110011001100110011001100110011001,11001100110011001100110011001100110011,110011001100110011001100110011001100110,0,0,0,0,0,0,0,0,0,0,0";
attribute C_PHASE_OFFSET : integer;
attribute C_PHASE_OFFSET of i_synth : label is 0;
attribute C_PHASE_OFFSET_VALUE : string;
attribute C_PHASE_OFFSET_VALUE of i_synth : label is "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0";
attribute C_POR_MODE : integer;
attribute C_POR_MODE of i_synth : label is 0;
attribute C_RESYNC : integer;
attribute C_RESYNC of i_synth : label is 0;
attribute C_S_CONFIG_SYNC_MODE : integer;
attribute C_S_CONFIG_SYNC_MODE of i_synth : label is 0;
attribute C_S_CONFIG_TDATA_WIDTH : integer;
attribute C_S_CONFIG_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_HAS_TUSER : integer;
attribute C_S_PHASE_HAS_TUSER of i_synth : label is 0;
attribute C_S_PHASE_TDATA_WIDTH : integer;
attribute C_S_PHASE_TDATA_WIDTH of i_synth : label is 1;
attribute C_S_PHASE_TUSER_WIDTH : integer;
attribute C_S_PHASE_TUSER_WIDTH of i_synth : label is 1;
attribute C_USE_DSP48 : integer;
attribute C_USE_DSP48 of i_synth : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of i_synth : label is "zynq";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
i_synth: entity work.\ddsdds_compiler_v6_0_viv__parameterized0\
port map (
aclk => aclk,
aclken => \<const1>\,
aresetn => \<const1>\,
debug_axi_chan_in(2 downto 0) => NLW_i_synth_debug_axi_chan_in_UNCONNECTED(2 downto 0),
debug_axi_pinc_in(45 downto 0) => NLW_i_synth_debug_axi_pinc_in_UNCONNECTED(45 downto 0),
debug_axi_poff_in(45 downto 0) => NLW_i_synth_debug_axi_poff_in_UNCONNECTED(45 downto 0),
debug_axi_resync_in => NLW_i_synth_debug_axi_resync_in_UNCONNECTED,
debug_core_nd => NLW_i_synth_debug_core_nd_UNCONNECTED,
debug_phase(45 downto 0) => NLW_i_synth_debug_phase_UNCONNECTED(45 downto 0),
debug_phase_nd => NLW_i_synth_debug_phase_nd_UNCONNECTED,
event_phase_in_invalid => NLW_i_synth_event_phase_in_invalid_UNCONNECTED,
event_pinc_invalid => NLW_i_synth_event_pinc_invalid_UNCONNECTED,
event_poff_invalid => NLW_i_synth_event_poff_invalid_UNCONNECTED,
event_s_config_tlast_missing => NLW_i_synth_event_s_config_tlast_missing_UNCONNECTED,
event_s_config_tlast_unexpected => NLW_i_synth_event_s_config_tlast_unexpected_UNCONNECTED,
event_s_phase_chanid_incorrect => NLW_i_synth_event_s_phase_chanid_incorrect_UNCONNECTED,
event_s_phase_tlast_missing => NLW_i_synth_event_s_phase_tlast_missing_UNCONNECTED,
event_s_phase_tlast_unexpected => NLW_i_synth_event_s_phase_tlast_unexpected_UNCONNECTED,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tlast => NLW_i_synth_m_axis_data_tlast_UNCONNECTED,
m_axis_data_tready => \<const0>\,
m_axis_data_tuser(0) => NLW_i_synth_m_axis_data_tuser_UNCONNECTED(0),
m_axis_data_tvalid => m_axis_data_tvalid,
m_axis_phase_tdata(0) => NLW_i_synth_m_axis_phase_tdata_UNCONNECTED(0),
m_axis_phase_tlast => NLW_i_synth_m_axis_phase_tlast_UNCONNECTED,
m_axis_phase_tready => \<const0>\,
m_axis_phase_tuser(0) => NLW_i_synth_m_axis_phase_tuser_UNCONNECTED(0),
m_axis_phase_tvalid => NLW_i_synth_m_axis_phase_tvalid_UNCONNECTED,
s_axis_config_tdata(0) => \<const0>\,
s_axis_config_tlast => \<const0>\,
s_axis_config_tready => NLW_i_synth_s_axis_config_tready_UNCONNECTED,
s_axis_config_tvalid => \<const0>\,
s_axis_phase_tdata(0) => \<const0>\,
s_axis_phase_tlast => \<const0>\,
s_axis_phase_tready => NLW_i_synth_s_axis_phase_tready_UNCONNECTED,
s_axis_phase_tuser(0) => \<const0>\,
s_axis_phase_tvalid => \<const0>\
);
end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.ALL;
library UNISIM; use UNISIM.VCOMPONENTS.ALL;
entity dds is
port (
aclk : in STD_LOGIC;
m_axis_data_tvalid : out STD_LOGIC;
m_axis_data_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of dds : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of dds : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of dds : entity is "dds_compiler_v6_0,Vivado 2013.4";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of dds : entity is "dds,dds_compiler_v6_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of dds : entity is "dds,dds_compiler_v6_0,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dds_compiler,x_ipVersion=6.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_MODE_OF_OPERATION=0,C_MODULUS=9,C_ACCUMULATOR_WIDTH=46,C_CHANNELS=5,C_HAS_PHASE_OUT=0,C_HAS_PHASEGEN=1,C_HAS_SINCOS=1,C_LATENCY=3,C_MEM_TYPE=1,C_NEGATIVE_COSINE=0,C_NEGATIVE_SINE=0,C_NOISE_SHAPING=0,C_OUTPUTS_REQUIRED=2,C_OUTPUT_FORM=0,C_OUTPUT_WIDTH=8,C_PHASE_ANGLE_WIDTH=8,C_PHASE_INCREMENT=2,C_PHASE_INCREMENT_VALUE=11001100110011001100110011001100110_110011001100110011001100110011001100_1100110011001100110011001100110011001_11001100110011001100110011001100110011_110011001100110011001100110011001100110_0_0_0_0_0_0_0_0_0_0_0,C_RESYNC=0,C_PHASE_OFFSET=0,C_PHASE_OFFSET_VALUE=0_0_0_0_0_0_0_0_0_0_0_0_0_0_0_0,C_OPTIMISE_GOAL=0,C_USE_DSP48=0,C_POR_MODE=0,C_AMPLITUDE=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_TLAST=0,C_HAS_TREADY=0,C_HAS_S_PHASE=0,C_S_PHASE_TDATA_WIDTH=1,C_S_PHASE_HAS_TUSER=0,C_S_PHASE_TUSER_WIDTH=1,C_HAS_S_CONFIG=0,C_S_CONFIG_SYNC_MODE=0,C_S_CONFIG_TDATA_WIDTH=1,C_HAS_M_DATA=1,C_M_DATA_TDATA_WIDTH=16,C_M_DATA_HAS_TUSER=0,C_M_DATA_TUSER_WIDTH=1,C_HAS_M_PHASE=0,C_M_PHASE_TDATA_WIDTH=1,C_M_PHASE_HAS_TUSER=0,C_M_PHASE_TUSER_WIDTH=1,C_DEBUG_INTERFACE=0,C_CHAN_WIDTH=3}";
end dds;
architecture STRUCTURE of dds is
begin
U0: entity work.\ddsdds_compiler_v6_0__parameterized0\
port map (
aclk => aclk,
m_axis_data_tdata(15 downto 0) => m_axis_data_tdata(15 downto 0),
m_axis_data_tvalid => m_axis_data_tvalid
);
end STRUCTURE;
| gpl-2.0 | 941d35e74989c62a3494bc8e1e9ab9b2 | 0.568529 | 2.734466 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/carry_chain.vhd | 2 | 21,153 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iTE0cI1glu7r2lQhH7o7w2/oAOFQ3CJqFORRlAgbgZfmjSQll3oZGGcrorOO0kC4mxcOO0ZesRgC
qq2nBOPKxA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ov3ZVmRoZl+X7IETxCbQpBoPbS91I8LTXvY1JGfXyHoGnwg7lUI7gMbfeR1RUecba26J2HqjWeGt
YPO2cw2SQ8S50jlYa56SXiPUfXYVtYM1P/dEuM8t8yDtGjiIN38VVkNKGOJcStF+Ir0GuKhkiuod
tGtU+QYrHumNiZKOocM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owI1yysSxwiPEbq81+pWPD1gY4+TzdjWALFBAZ9ltm/29U18/WKVMwNMvduAUzLgTxRa1NMZ9LTI
eiIrztxGyZtYBoB0aCIHTl6bW0GVDQ6wXMIMvVioUkPqIwoQ5HfVCRBC+v1e1GgRegS+srLpKI4/
2VbVi7KCOB+TwLphZ8wccMT7x1TtwPh6AyrNfdlvgXYn/wnRHdxOrjXZJn6Ehw/ux6uq4KjER0G3
bofWtJt5kJVVx3Y/wE1Nrg6rFT2zdaDeKzxoMhDEeGLXVAneafku6wRCfce/sQ8e7m6uFsERo+UO
/tkunIZajEKs7GAdKC2CHrWPUXHkx6fXS3ojmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qn1g/bD4AWO87cEqC9kcmr/gK3OLQv9eOnpgLqMhxvYeK5r0NQI+SnK9Ut1dHUR7qaeXkeZ4IKn4
4qDNwlUjTwxhrQRoYHkXWurO+vh6krSzB8TMEAQS12IOY5j0hiX31orgfV9D+IYGJJg3+1Cue1XX
Fuy74zYkxlcLnxr8AT4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JfXSSj7uGpoJylQK+6/zqGVqd/Bd+sEr8XEyJcoUHjidpE2yNC9sRpxjGtU+jkVFztNNi7NujzqE
CSyy4kvq7rC7pQ5tAlxTKDDIoKtpSPmAQCGrwz9UqPt8DrNsS0GaG6f5RkDiG87G01cOUoqsyx4X
Q+OHvmuuVnH2oMHPMaPVnULYsIXKyzlbNkFigznF6etPhM2eUQ0aYdNwTgxwHvBfjuJGFJpcL+Ez
i+mAj8KmLyylX1Zx+HyT5z5AjghrE1SmHMQIQ1N4aKuLmsBf27sGJQESSR89DaBGwla4JQ+sQLmx
6gbqO5dCkz27+CdJiO0HavPqI3gPKwwoTR8hYQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13920)
`protect data_block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`protect end_protected
| gpl-2.0 | 64574e9f04f1d2cacd769c6c88319da4 | 0.94067 | 1.848554 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/ipcore_dir/blk_mem_gen_v7_3/simulation/blk_mem_gen_v7_3_synth.vhd | 5 | 8,218 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: blk_mem_gen_v7_3_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY blk_mem_gen_v7_3_synth IS
PORT(
CLK_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ENTITY;
ARCHITECTURE blk_mem_gen_v7_3_synth_ARCH OF blk_mem_gen_v7_3_synth IS
COMPONENT blk_mem_gen_v7_3_exdes
PORT (
--Inputs - Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL CHECKER_EN : STD_LOGIC:='0';
SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i: STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- clk_buf: bufg
-- PORT map(
-- i => CLK_IN,
-- o => clk_in_i
-- );
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
BMG_DATA_CHECKER_INST: ENTITY work.CHECKER
GENERIC MAP (
WRITE_WIDTH => 16,
READ_WIDTH => 16 )
PORT MAP (
CLK => CLKA,
RST => RSTA,
EN => CHECKER_EN_R,
DATA_IN => DOUTA,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RSTA='1') THEN
CHECKER_EN_R <= '0';
ELSE
CHECKER_EN_R <= CHECKER_EN AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
PORT MAP(
CLK => clk_in_i,
RST => RSTA,
ADDRA => ADDRA,
DINA => DINA,
WEA => WEA,
CHECK_DATA => CHECKER_EN
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(8);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(WEA(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW+1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
WEA_R <= (OTHERS=>'0') AFTER 50 ns;
DINA_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
WEA_R <= WEA AFTER 50 ns;
DINA_R <= DINA AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDRA_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDRA_R <= ADDRA AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_PORT: blk_mem_gen_v7_3_exdes PORT MAP (
--Port A
WEA => WEA_R,
ADDRA => ADDRA_R,
DINA => DINA_R,
DOUTA => DOUTA,
CLKA => CLKA
);
END ARCHITECTURE;
| gpl-3.0 | 736dc923822bd7d0ee3edb9d63117c42 | 0.54551 | 3.710158 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_gmii/src/gmii_rx_vvc.vhd | 1 | 20,494 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
library bitvis_vip_scoreboard;
use bitvis_vip_scoreboard.generic_sb_support_pkg.C_SB_CONFIG_DEFAULT;
use work.gmii_bfm_pkg.all;
use work.vvc_methods_pkg.all;
use work.vvc_cmd_pkg.all;
use work.td_target_support_pkg.all;
use work.td_vvc_entity_support_pkg.all;
use work.td_cmd_queue_pkg.all;
use work.td_result_queue_pkg.all;
use work.transaction_pkg.all;
--==========================================================================================
entity gmii_rx_vvc is
generic (
GC_INSTANCE_IDX : natural;
GC_CHANNEL : t_channel;
GC_GMII_BFM_CONFIG : t_gmii_bfm_config := C_GMII_BFM_CONFIG_DEFAULT;
GC_CMD_QUEUE_COUNT_MAX : natural := 1000;
GC_CMD_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
GC_RESULT_QUEUE_COUNT_MAX : natural := 1000;
GC_RESULT_QUEUE_COUNT_THRESHOLD : natural := 950;
GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING
);
port (
gmii_vvc_rx_if : inout t_gmii_rx_if := init_gmii_if_signals
);
end entity gmii_rx_vvc;
--==========================================================================================
--==========================================================================================
architecture behave of gmii_rx_vvc is
constant C_SCOPE : string := C_VVC_NAME & "," & to_string(GC_INSTANCE_IDX);
constant C_VVC_LABELS : t_vvc_labels := assign_vvc_labels(C_SCOPE, C_VVC_NAME, GC_INSTANCE_IDX, GC_CHANNEL);
signal executor_is_busy : boolean := false;
signal queue_is_increasing : boolean := false;
signal last_cmd_idx_executed : natural := 0;
signal terminate_current_cmd : t_flag_record;
-- Instantiation of the element dedicated executor
shared variable command_queue : work.td_cmd_queue_pkg.t_generic_queue;
shared variable result_queue : work.td_result_queue_pkg.t_generic_queue;
alias vvc_config : t_vvc_config is shared_gmii_vvc_config(GC_CHANNEL, GC_INSTANCE_IDX);
alias vvc_status : t_vvc_status is shared_gmii_vvc_status(GC_CHANNEL, GC_INSTANCE_IDX);
-- Transaction info
alias vvc_transaction_info_trigger : std_logic is global_gmii_vvc_transaction_trigger(GC_CHANNEL, GC_INSTANCE_IDX);
alias vvc_transaction_info : t_transaction_group is shared_gmii_vvc_transaction_info(GC_CHANNEL, GC_INSTANCE_IDX);
-- VVC Activity
signal entry_num_in_vvc_activity_register : integer;
--UVVM: temporary fix for HVVC, remove function below in v3.0
function get_msg_id_panel(
constant command : in t_vvc_cmd_record;
constant vvc_config : in t_vvc_config
) return t_msg_id_panel is
begin
-- If the parent_msg_id_panel is set then use it,
-- otherwise use the VVCs msg_id_panel from its config.
if command.msg(1 to 5) = "HVVC:" then
return vvc_config.parent_msg_id_panel;
else
return vvc_config.msg_id_panel;
end if;
end function;
begin
--==========================================================================================
-- Constructor
-- - Set up the defaults and show constructor if enabled
--==========================================================================================
work.td_vvc_entity_support_pkg.vvc_constructor(C_SCOPE, GC_INSTANCE_IDX, vvc_config, command_queue, result_queue, GC_GMII_BFM_CONFIG,
GC_CMD_QUEUE_COUNT_MAX, GC_CMD_QUEUE_COUNT_THRESHOLD, GC_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY,
GC_RESULT_QUEUE_COUNT_MAX, GC_RESULT_QUEUE_COUNT_THRESHOLD, GC_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY);
--==========================================================================================
--==========================================================================================
-- Command interpreter
-- - Interpret, decode and acknowledge commands from the central sequencer
--==========================================================================================
cmd_interpreter : process
variable v_cmd_has_been_acked : boolean; -- Indicates if acknowledge_cmd() has been called for the current shared_vvc_cmd
variable v_local_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
variable v_msg_id_panel : t_msg_id_panel;
variable v_temp_msg_id_panel : t_msg_id_panel; --UVVM: temporary fix for HVVC, remove in v3.0
begin
-- 0. Initialize the process prior to first command
work.td_vvc_entity_support_pkg.initialize_interpreter(terminate_current_cmd, global_awaiting_completion);
-- initialise shared_vvc_last_received_cmd_idx for channel and instance
shared_vvc_last_received_cmd_idx(GC_CHANNEL, GC_INSTANCE_IDX) := 0;
-- Register VVC in vvc activity register
entry_num_in_vvc_activity_register <= shared_vvc_activity_register.priv_register_vvc(name => C_VVC_NAME,
channel => GC_CHANNEL,
instance => GC_INSTANCE_IDX);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
-- Then for every single command from the sequencer
loop -- basically as long as new commands are received
-- 1. wait until command targeted at this VVC. Must match VVC name, instance and channel (if applicable)
-- releases global semaphore
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.await_cmd_from_sequencer(C_VVC_LABELS, vvc_config, THIS_VVCT, VVC_BROADCAST, global_vvc_busy, global_vvc_ack, v_local_vvc_cmd);
v_cmd_has_been_acked := false; -- Clear flag
-- Update shared_vvc_last_received_cmd_idx with received command index
shared_vvc_last_received_cmd_idx(GC_CHANNEL, GC_INSTANCE_IDX) := v_local_vvc_cmd.cmd_idx;
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_local_vvc_cmd, vvc_config);
-- 2a. Put command on the executor if intended for the executor
-------------------------------------------------------------------------
if v_local_vvc_cmd.command_type = QUEUED then
work.td_vvc_entity_support_pkg.put_command_on_queue(v_local_vvc_cmd, command_queue, vvc_status, queue_is_increasing);
-- 2b. Otherwise command is intended for immediate response
-------------------------------------------------------------------------
elsif v_local_vvc_cmd.command_type = IMMEDIATE then
--UVVM: temporary fix for HVVC, remove two lines below in v3.0
if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then
v_temp_msg_id_panel := vvc_config.msg_id_panel;
vvc_config.msg_id_panel := v_msg_id_panel;
end if;
case v_local_vvc_cmd.operation is
when AWAIT_COMPLETION =>
-- Await completion of all commands in the cmd_executor executor
work.td_vvc_entity_support_pkg.interpreter_await_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed);
when AWAIT_ANY_COMPLETION =>
if not v_local_vvc_cmd.gen_boolean then
-- Called with lastness = NOT_LAST: Acknowledge immediately to let the sequencer continue
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
v_cmd_has_been_acked := true;
end if;
work.td_vvc_entity_support_pkg.interpreter_await_any_completion(v_local_vvc_cmd, command_queue, vvc_config, executor_is_busy, C_VVC_LABELS, last_cmd_idx_executed, global_awaiting_completion);
when DISABLE_LOG_MSG =>
uvvm_util.methods_pkg.disable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when ENABLE_LOG_MSG =>
uvvm_util.methods_pkg.enable_log_msg(v_local_vvc_cmd.msg_id, vvc_config.msg_id_panel, to_string(v_local_vvc_cmd.msg) & format_command_idx(v_local_vvc_cmd), C_SCOPE, v_local_vvc_cmd.quietness);
when FLUSH_COMMAND_QUEUE =>
work.td_vvc_entity_support_pkg.interpreter_flush_command_queue(v_local_vvc_cmd, command_queue, vvc_config, vvc_status, C_VVC_LABELS);
when TERMINATE_CURRENT_COMMAND =>
work.td_vvc_entity_support_pkg.interpreter_terminate_current_command(v_local_vvc_cmd, vvc_config, C_VVC_LABELS, terminate_current_cmd, executor_is_busy);
when FETCH_RESULT =>
work.td_vvc_entity_support_pkg.interpreter_fetch_result(result_queue, v_local_vvc_cmd, vvc_config, C_VVC_LABELS, last_cmd_idx_executed, shared_vvc_response);
when others =>
tb_error("Unsupported command received for IMMEDIATE execution: '" & to_string(v_local_vvc_cmd.operation) & "'", C_SCOPE);
end case;
--UVVM: temporary fix for HVVC, remove line below in v3.0
if v_local_vvc_cmd.operation /= DISABLE_LOG_MSG and v_local_vvc_cmd.operation /= ENABLE_LOG_MSG then
vvc_config.msg_id_panel := v_temp_msg_id_panel;
end if;
else
tb_error("command_type is not IMMEDIATE or QUEUED", C_SCOPE);
end if;
-- 3. Acknowledge command after runing or queuing the command
-------------------------------------------------------------------------
if not v_cmd_has_been_acked then
work.td_target_support_pkg.acknowledge_cmd(global_vvc_ack,v_local_vvc_cmd.cmd_idx);
end if;
end loop;
end process;
--==========================================================================================
--==========================================================================================
-- Command executor
-- - Fetch and execute the commands
--==========================================================================================
cmd_executor : process
variable v_cmd : t_vvc_cmd_record;
variable v_result : t_vvc_result; -- See vvc_cmd_pkg
variable v_timestamp_start_of_current_bfm_access : time := 0 ns;
variable v_timestamp_start_of_last_bfm_access : time := 0 ns;
variable v_timestamp_end_of_last_bfm_access : time := 0 ns;
variable v_command_is_bfm_access : boolean := false;
variable v_prev_command_was_bfm_access : boolean := false;
variable v_msg_id_panel : t_msg_id_panel;
begin
-- 0. Initialize the process prior to first command
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.initialize_executor(terminate_current_cmd);
-- Setup GMII scoreboard
GMII_VVC_SB.set_scope("GMII_VVC_SB");
GMII_VVC_SB.enable(GC_INSTANCE_IDX, "GMII VVC SB Enabled");
GMII_VVC_SB.config(GC_INSTANCE_IDX, C_SB_CONFIG_DEFAULT);
GMII_VVC_SB.enable_log_msg(GC_INSTANCE_IDX, ID_DATA);
-- Set initial value of v_msg_id_panel to msg_id_panel in config
v_msg_id_panel := vvc_config.msg_id_panel;
loop
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, INACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- 1. Set defaults, fetch command and log
-------------------------------------------------------------------------
work.td_vvc_entity_support_pkg.fetch_command_and_prepare_executor(v_cmd, command_queue, vvc_config, vvc_status, queue_is_increasing, executor_is_busy, C_VVC_LABELS);
-- update vvc activity
update_vvc_activity_register(global_trigger_vvc_activity_register, vvc_status, ACTIVE, entry_num_in_vvc_activity_register, last_cmd_idx_executed, command_queue.is_empty(VOID), C_SCOPE);
-- Select between a provided msg_id_panel via the vvc_cmd_record from a VVC with a higher hierarchy or the
-- msg_id_panel in this VVC's config. This is to correctly handle the logging when using Hierarchical-VVCs.
v_msg_id_panel := get_msg_id_panel(v_cmd, vvc_config);
-- Check if command is a BFM access
v_prev_command_was_bfm_access := v_command_is_bfm_access; -- save for inter_bfm_delay
if v_cmd.operation = READ or v_cmd.operation = EXPECT then
v_command_is_bfm_access := true;
else
v_command_is_bfm_access := false;
end if;
-- Insert delay if needed
work.td_vvc_entity_support_pkg.insert_inter_bfm_delay_if_requested(vvc_config => vvc_config,
command_is_bfm_access => v_prev_command_was_bfm_access,
timestamp_start_of_last_bfm_access => v_timestamp_start_of_last_bfm_access,
timestamp_end_of_last_bfm_access => v_timestamp_end_of_last_bfm_access,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel);
if v_command_is_bfm_access then
v_timestamp_start_of_current_bfm_access := now;
end if;
-- 2. Execute the fetched command
-------------------------------------------------------------------------
case v_cmd.operation is -- Only operations in the dedicated record are relevant
-- VVC dedicated operations
--===================================
when READ =>
-- Set transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
gmii_read(data_array => v_result.data_array(0 to v_cmd.num_bytes_read-1),
data_len => v_result.data_array_length,
msg => format_msg(v_cmd),
gmii_rx_if => gmii_vvc_rx_if,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
-- Request SB check result
if v_cmd.data_routing = TO_SB then
-- call SB check_received
for i in 0 to v_result.data_array_length-1 loop
GMII_VVC_SB.check_received(GC_INSTANCE_IDX, v_result.data_array(i));
end loop;
else
-- Store the result
work.td_vvc_entity_support_pkg.store_result(result_queue => result_queue,
cmd_idx => v_cmd.cmd_idx,
result => v_result);
end if;
when EXPECT =>
-- Set transaction info
set_global_vvc_transaction_info(vvc_transaction_info_trigger, vvc_transaction_info, v_cmd, vvc_config);
-- Call the corresponding procedure in the BFM package.
gmii_expect(data_exp => v_cmd.data_array(0 to v_cmd.data_array_length-1),
msg => format_msg(v_cmd),
gmii_rx_if => gmii_vvc_rx_if,
alert_level => v_cmd.alert_level,
scope => C_SCOPE,
msg_id_panel => v_msg_id_panel,
config => vvc_config.bfm_config);
-- UVVM common operations
--===================================
when INSERT_DELAY =>
log(ID_INSERTED_DELAY, "Running: " & to_string(v_cmd.proc_call) & " " & format_command_idx(v_cmd), C_SCOPE, v_msg_id_panel);
if v_cmd.gen_integer_array(0) = -1 then
-- Delay specified using time
wait until terminate_current_cmd.is_active = '1' for v_cmd.delay;
else
-- Delay specified using integer
check_value(vvc_config.bfm_config.clock_period > -1 ns, TB_ERROR, "Check that clock_period is configured when using insert_delay().",
C_SCOPE, ID_NEVER, v_msg_id_panel);
wait until terminate_current_cmd.is_active = '1' for v_cmd.gen_integer_array(0) * vvc_config.bfm_config.clock_period;
end if;
when others =>
tb_error("Unsupported local command received for execution: '" & to_string(v_cmd.operation) & "'", C_SCOPE);
end case;
if v_command_is_bfm_access then
v_timestamp_end_of_last_bfm_access := now;
v_timestamp_start_of_last_bfm_access := v_timestamp_start_of_current_bfm_access;
if ((vvc_config.inter_bfm_delay.delay_type = TIME_START2START) and
((now - v_timestamp_start_of_current_bfm_access) > vvc_config.inter_bfm_delay.delay_in_time)) then
alert(vvc_config.inter_bfm_delay.inter_bfm_delay_violation_severity, "BFM access exceeded specified start-to-start inter-bfm delay, " &
to_string(vvc_config.inter_bfm_delay.delay_in_time) & ".", C_SCOPE);
end if;
end if;
-- Reset terminate flag if any occurred
if (terminate_current_cmd.is_active = '1') then
log(ID_CMD_EXECUTOR, "Termination request received", C_SCOPE, v_msg_id_panel);
uvvm_vvc_framework.ti_vvc_framework_support_pkg.reset_flag(terminate_current_cmd);
end if;
last_cmd_idx_executed <= v_cmd.cmd_idx;
-- Set VVC Transaction Info back to default values
reset_vvc_transaction_info(vvc_transaction_info, v_cmd);
end loop;
end process;
--==========================================================================================
--==========================================================================================
-- Command termination handler
-- - Handles the termination request record (sets and resets terminate flag on request)
--==========================================================================================
cmd_terminator : uvvm_vvc_framework.ti_vvc_framework_support_pkg.flag_handler(terminate_current_cmd); -- flag: is_active, set, reset
--==========================================================================================
end behave; | mit | d0f31574a73d324e085cf455e3798c0f | 0.545867 | 4.167989 | false | true | false | false |
UVVM/uvvm_vvc_framework | xConstrRandFuncCov/src/RandomPkg.vhd | 3 | 65,032 | --
-- File Name : RandomPkg.vhd
-- Design Unit Name : RandomPkg
-- Revision : STANDARD VERSION
--
-- Maintainer : Jim Lewis email : [email protected]
-- Contributor(s) :
-- Jim Lewis email : [email protected]
-- *
--
-- * In writing procedures normal, poisson, the following sources were referenced :
-- Wikipedia
-- package rnd2 written by John Breen and Ken Christensen
-- package RNG written by Gnanasekaran Swaminathan
--
--
-- Description :
-- RandomPType, a protected type, defined to hold randomization RandomSeeds and
-- function methods to facilitate randomization with uniform and weighted
-- distributions
--
-- Developed for :
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http ://www.SynthWorks.com
--
-- Revision History :
-- Date Version Description
-- 12/2006 : 0.1 Initial revision
-- Numerous revisions for SynthWorks' Advanced VHDL Testbenches and Verification
-- 02/2009 : 1.0 First Public Released Version
-- 02/25/2009 1.1 Replaced reference to std_2008 with a reference to
-- ieee_proposed.standard_additions.all ;
-- 06/2010 1.2 Added Normal and Poisson distributions
-- 03/2011 2.0 Major clean-up. Moved RandomParmType and control to here
-- 07/2011 2.1 Bug fix to convenience functions for slv, unsigned, and signed.
-- 06/2012 2.2 Removed '_' in the name of subprograms FavorBig and FavorSmall
-- 04/2013 2013.04 Changed DistInt. Return array indices now match input
-- Better Min, Max error handling in Uniform, FavorBig, FavorSmall, Normal, Poisson
-- 5/2013 - Removed extra variable declaration in functions RandInt and RandReal
-- 5/2013 2013.05 Big vector randomization added overloading RandUnsigned, RandSlv, and RandSigned
-- Added NULL_RANGE_TYPE to minimize null range warnings
-- 1/2014 2014.01 Added RandTime, RandReal(set), RandIntV, RandRealV, RandTimeV
-- Made sort, revsort from SortListPkg_int visible via aliases
-- 1/2015 2015.01 Changed Assert/Report to Alert
-- 5/2015 2015.06 Revised Alerts to Alert(OSVVM_ALERTLOG_ID, ...) ;
-- 11/2016 2016.11 No changes. Updated release numbers to make documentation and
-- package have consistent release identifiers.
--
-- Copyright (c) 2006 - 2016 by SynthWorks Design Inc. All rights reserved.
--
-- Verbatim copies of this source file may be used and
-- distributed without restriction.
--
-- This source file is free software ; you can redistribute it
-- and/or modify it under the terms of the ARTISTIC License
-- as published by The Perl Foundation ; either version 2.0 of
-- the License, or (at your option) any later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY ; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the Artistic License for details.
--
-- You should have received a copy of the license with this source.
-- If not download it from,
-- http ://www.perlfoundation.org/artistic_license_2_0
--
use work.OsvvmGlobalPkg.all ;
use work.AlertLogPkg.all ;
use work.RandomBasePkg.all ;
use work.SortListPkg_int.all ;
use std.textio.all ;
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.numeric_std_unsigned.all ;
use ieee.math_real.all ;
-- comment out following 3 lines with VHDL-2008. Leave in for VHDL-2002
-- library ieee_proposed ; -- remove with VHDL-2008
-- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008
-- use ieee_proposed.standard_textio_additions.all ; -- remove with VHDL-2008
package RandomPkg is
-- Uncomment the following with VHDL-2008 package generics.
-- For now they are defined in the package RandomBasePkg.vhd
-- package RandomGenericPkg is
-- generic (
-- type RandomSeedType ; -- base type for randomization
-- procedure Uniform (Result : out real ; Seed : inout RandomSeedType) ;
-- function GenRandSeed(IV : integer_vector) return RandomSeedType ;
-- function GenRandSeed(I : integer) return RandomSeedType ;
-- function GenRandSeed(S : string) return RandomSeedType ;
-- ) ;
-- make things from SortListPkg_int visible
alias sort is work.SortListPkg_int.sort[integer_vector return integer_vector] ;
alias revsort is work.SortListPkg_int.revsort[integer_vector return integer_vector] ;
-- note NULL_RANGE_TYPE should probably be in std.standard
subtype NULL_RANGE_TYPE is integer range 0 downto 1 ;
constant NULL_INTV : integer_vector (NULL_RANGE_TYPE) := (others => 0) ;
-- Supports DistValInt functionality
type DistRecType is record
Value : integer ;
Weight : integer ;
end record ;
type DistType is array (natural range <>) of DistRecType ;
-- Parameters for randomization
-- RandomDistType specifies the distribution to use for randomize
type RandomDistType is (NONE, UNIFORM, FAVOR_SMALL, FAVOR_BIG, NORMAL, POISSON) ;
type RandomParmType is record
Distribution : RandomDistType ;
Mean : Real ; -- also used as probability of success
StdDeviation : Real ; -- also used as number of trials for binomial
end record ;
-- RandomParm IO
function to_string(A : RandomDistType) return string ;
procedure write(variable L : inout line ; A : RandomDistType ) ;
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomDistType ) ;
function to_string(A : RandomParmType) return string ;
procedure write(variable L : inout line ; A : RandomParmType ) ;
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) ;
procedure read(variable L : inout line ; A : out RandomParmType ) ;
type RandomPType is protected
-- Seed Manipulation
-- Known ambiguity between InitSeed with string and integer_vector
-- Recommendation, use : RV.InitSeed(RV'instance_path) ;
-- For integer_vector use either : RV.InitSeed(IV => (1,5)) ;
-- or : RV.InitSeed(integer_vector'(1,5)) ;
procedure InitSeed (S : string ) ;
procedure InitSeed (I : integer ) ;
procedure InitSeed (IV : integer_vector ) ;
-- SetSeed & GetSeed : Used to save and restore seed values
procedure SetSeed (RandomSeedIn : RandomSeedType ) ;
impure function GetSeed return RandomSeedType ;
-- SeedRandom = SetSeed & GetSeed for SV compatibility
-- replace with aliases when they work in popular simulators
procedure SeedRandom (RandomSeedIn : RandomSeedType ) ;
impure function SeedRandom return RandomSeedType ;
-- alias SeedRandom is SetSeed [RandomSeedType] ;
-- alias SeedRandom is GetSeed [return RandomSeedType] ;
-- Setting Randomization Parameters
-- Allows RandInt to have distributions other than uniform
procedure SetRandomParm (RandomParmIn : RandomParmType) ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) ;
impure function GetRandomParm return RandomParmType ;
impure function GetRandomParm return RandomDistType ;
-- For compatibility with previous version - replace with alias
procedure SetRandomMode (RandomDistIn : RandomDistType) ;
-- alias SetRandomMode is SetRandomParm [RandomDistType, Real, Real] ;
-- Base Randomization Distributions
-- Uniform : Generate a random number with a Uniform distribution
impure function Uniform (Min, Max : in real) return real ;
impure function Uniform (Min, Max : integer) return integer ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
impure function FavorSmall (Min, Max : real) return real ;
impure function FavorSmall (Min, Max : integer) return integer ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
impure function FavorBig (Min, Max : real) return real ;
impure function FavorBig (Min, Max : integer) return integer ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer ;
-- Normal : Generate a random number with a normal distribution
impure function Normal (Mean, StdDeviation : real) return real ;
-- Normal + RandomVal >= Min and RandomVal < Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real ;
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- Poisson : Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
impure function Poisson (Mean : real) return real ;
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer ;
-- randomization with a range
impure function RandInt (Min, Max : integer) return integer ;
impure function RandReal(Min, Max : Real) return real ;
impure function RandTime (Min, Max : time ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector ;
-- randomization with a range and exclude vector
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector ;
-- Randomly select a value within a set of values
impure function RandInt ( A : integer_vector ) return integer ;
impure function RandReal ( A : real_vector ) return real ;
impure function RandTime (A : time_vector) return time ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
impure function RandInt ( A, Exclude : integer_vector ) return integer ;
impure function RandReal ( A, Exclude : real_vector ) return real ;
impure function RandTime (A, Exclude : time_vector) return time ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector ;
-- Randomly select between 0 and N-1 based on the specified weight.
-- where N = number values in weight array
impure function DistInt ( Weight : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed ;
-- Distribution with just weights and with exclude values
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed ;
-- Distribution with weight and value
impure function DistValInt ( A : DistType ) return integer ;
impure function DistValSlv ( A : DistType ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Size : natural) return signed ;
-- Distribution with weight and value and with exclude values
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural) return std_logic_vector ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return unsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural) return signed ;
-- Large vector handling.
impure function RandUnsigned (Size : natural) return unsigned ;
impure function RandSlv (Size : natural) return std_logic_vector ;
impure function RandSigned (Size : natural) return signed ;
impure function RandUnsigned (Max : Unsigned) return unsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Max : signed) return signed ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector ;
impure function RandSigned (Min, Max : signed) return signed ;
-- Convenience Functions
impure function RandReal return real ; -- 0.0 to 1.0
impure function RandReal(Max : Real) return real ; -- 0.0 to Max
impure function RandInt (Max : integer) return integer ;
impure function RandSlv (Max, Size : natural) return std_logic_vector ;
impure function RandUnsigned (Max, Size : natural) return Unsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed ;
end protected RandomPType ;
end RandomPkg ;
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
--- ///////////////////////////////////////////////////////////////////////////
package body RandomPkg is
-----------------------------------------------------------------
-- Local Randomization Support
-----------------------------------------------------------------
constant NULL_SLV : std_logic_vector (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_UV : unsigned (NULL_RANGE_TYPE) := (others => '0') ;
constant NULL_SV : signed (NULL_RANGE_TYPE) := (others => '0') ;
-----------------------------------------------------------------
-- Scale -- Scale a value to be within a given range
--
function Scale (A, Min, Max : real) return real is
variable ValRange : Real ;
begin
if Max >= Min then
ValRange := Max - Min ;
return A * ValRange + Min ;
else
return real'left ;
end if ;
end function Scale ;
function Scale (A : real ; Min, Max : integer) return integer is
variable ValRange : real ;
variable rMin, rMax : real ;
begin
if Max >= Min then
rMin := real(Min) - 0.5 ;
rMax := real(Max) + 0.5 ;
ValRange := rMax - rMin ;
return integer(round(A * ValRange + rMin)) ;
else
return integer'left ;
end if ;
end function Scale ;
-- create more smaller values
function FavorSmall (A : real) return real is
begin
return 1.0 - sqrt(A) ;
end FavorSmall ;
-- create more larger values
-- alias FavorBig is sqrt[real return real] ;
function FavorBig (A : real) return real is
begin
return sqrt(A) ;
end FavorBig ;
-- local.
function to_time_vector (A : integer_vector ; Unit : time) return time_vector is
variable result : time_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) * Unit ;
end loop ;
return result ;
end function to_time_vector ;
-- local
function to_integer_vector (A : time_vector ; Unit : time) return integer_vector is
variable result : integer_vector(A'range) ;
begin
for i in A'range loop
result(i) := A(i) / Unit ;
end loop ;
return result ;
end function to_integer_vector ;
-- Local. Remove the exclude list from the list - integer_vector
procedure RemoveExclude(A, Exclude : integer_vector ; variable NewA : out integer_vector ; variable NewALength : inout natural ) is
alias norm_NewA : integer_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - real_vector
function inside(A : real ; Exclude : real_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - real_vector
procedure RemoveExclude(A, Exclude : real_vector ; variable NewA : out real_vector ; variable NewALength : inout natural ) is
alias norm_NewA : real_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-- Local. Inside - time_vector
function inside(A : time ; Exclude : time_vector) return boolean is
begin
for i in Exclude'range loop
if A = Exclude(i) then
return TRUE ;
end if ;
end loop ;
return FALSE ;
end function inside ;
-- Local. Remove the exclude list from the list - time_vector
procedure RemoveExclude(A, Exclude : time_vector ; variable NewA : out time_vector ; variable NewALength : inout natural ) is
alias norm_NewA : time_vector(1 to NewA'length) is NewA ;
begin
NewALength := 0 ;
for i in A'range loop
if not inside(A(i), Exclude) then
NewALength := NewALength + 1 ;
norm_NewA(NewALength) := A(i) ;
end if ;
end loop ;
end procedure RemoveExclude ;
-----------------------------------------------------------------
-- RandomParmType IO
-----------------------------------------------------------------
-----------------------------------------------------------------
function to_string(A : RandomDistType) return string is
begin
return RandomDistType'image(A) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomDistType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
begin
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A := RandomDistType'value(strval(1 to len)) ;
good := len > 0 ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomDistType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomDistType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
function to_string(A : RandomParmType) return string is
begin
return RandomDistType'image(A.Distribution) & " " &
to_string(A.Mean, 2) & " " & to_string(A.StdDeviation, 2) ;
end function to_string ;
-----------------------------------------------------------------
procedure write(variable L : inout line ; A : RandomParmType ) is
begin
write(L, to_string(A)) ;
end procedure write ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ; good : out boolean ) is
variable strval : string(1 to 40) ;
variable len : natural ;
variable igood : boolean ;
begin
loop
-- procedure SREAD (L : inout LINE ; VALUE : out STRING ; STRLEN : out NATURAL) ;
sread(L, strval, len) ;
A.Distribution := RandomDistType'value(strval(1 to len)) ;
igood := len > 0 ;
exit when not igood ;
read(L, A.Mean, igood) ;
exit when not igood ;
read(L, A.StdDeviation, igood) ;
exit ;
end loop ;
good := igood ;
end procedure read ;
-----------------------------------------------------------------
procedure read(variable L : inout line ; A : out RandomParmType ) is
variable ReadValid : boolean ;
begin
read(L, A, ReadValid) ;
AlertIfNot( OSVVM_ALERTLOG_ID, ReadValid, "RandomPkg.read[line, RandomParmType] failed", FAILURE) ;
end procedure read ;
-----------------------------------------------------------------
-----------------------------------------------------------------
type RandomPType is protected body
--
-- RandomSeed manipulation
--
variable RandomSeed : RandomSeedType := GenRandSeed(integer_vector'(1,7)) ;
procedure InitSeed (S : string ) is
begin
RandomSeed := GenRandSeed(S) ;
end procedure InitSeed ;
procedure InitSeed (I : integer ) is
begin
RandomSeed := GenRandSeed(I) ;
end procedure InitSeed ;
procedure InitSeed (IV : integer_vector ) is
begin
RandomSeed := GenRandSeed(IV) ;
end procedure InitSeed ;
procedure SetSeed (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SetSeed ;
procedure SeedRandom (RandomSeedIn : RandomSeedType ) is
begin
RandomSeed := RandomSeedIn ;
end procedure SeedRandom ;
impure function GetSeed return RandomSeedType is
begin
return RandomSeed ;
end function GetSeed ;
impure function SeedRandom return RandomSeedType is
begin
return RandomSeed ;
end function SeedRandom ;
--
-- randomization mode
--
variable RandomParm : RandomParmType ; -- left most values ok for init
procedure SetRandomParm (RandomParmIn : RandomParmType) is
begin
RandomParm := RandomParmIn ;
end procedure SetRandomParm ;
procedure SetRandomParm (
Distribution : RandomDistType ;
Mean : Real := 0.0 ;
Deviation : Real := 0.0
) is
begin
RandomParm := RandomParmType'(Distribution, Mean, Deviation) ;
end procedure SetRandomParm ;
impure function GetRandomParm return RandomParmType is
begin
return RandomParm ;
end function GetRandomParm ;
impure function GetRandomParm return RandomDistType is
begin
return RandomParm.Distribution ;
end function GetRandomParm ;
-- For compatibility with previous version
procedure SetRandomMode (RandomDistIn : RandomDistType) is
begin
SetRandomParm(RandomDistIn) ;
end procedure SetRandomMode ;
--
-- Base Randomization Distributions
--
--
-- Uniform : Generate a random number with a Uniform distribution
--
impure function Uniform (Min, Max : in real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.Uniform: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(rRandomVal, Min, Max) ;
end function Uniform ;
impure function Uniform (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := Uniform(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function Uniform ;
--
-- FavorSmall
-- Generate random numbers with a greater number of small
-- values than large values
--
impure function FavorSmall (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- real
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorSmall: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorSmall(rRandomVal), Min, Max) ; -- integer
end function FavorSmall ;
impure function FavorSmall (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorSmall(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorSmall ;
--
-- FavorBig
-- Generate random numbers with a greater number of large
-- values than small values
--
impure function FavorBig (Min, Max : real) return real is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- real
end function FavorBig ;
impure function FavorBig (Min, Max : integer) return integer is
variable rRandomVal : real ;
begin
AlertIf (OSVVM_ALERTLOG_ID, Max < Min, "RandomPkg.FavorBig: Max < Min", FAILURE) ;
Uniform(rRandomVal, RandomSeed) ;
return scale(FavorBig(rRandomVal), Min, Max) ; -- integer
end function FavorBig ;
impure function FavorBig (Min, Max : integer ; Exclude : integer_vector) return integer is
variable iRandomVal : integer ;
variable ExcludeList : SortListPType ;
variable count : integer ;
begin
ExcludeList.add(Exclude, Min, Max) ;
count := ExcludeList.count ;
iRandomVal := FavorBig(Min, Max - count) ;
-- adjust count, note iRandomVal changes while checking.
for i in 1 to count loop
exit when iRandomVal < ExcludeList.Get(i) ;
iRandomVal := iRandomVal + 1 ;
end loop ;
ExcludeList.erase ;
return iRandomVal ;
end function FavorBig ;
-----------------------------------------------------------------
-- Normal
-- Generate a random number with a normal distribution
--
-- Use Box Muller, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Box%E2%80%93Muller_transform
--
-- Use polar method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Marsaglia_polar_method
--
impure function Normal (Mean, StdDeviation : real) return real is
variable x01, y01 : real ;
variable StdNormalDist : real ; -- mean 0, variance 1
begin
-- add this check to set parameters?
if StdDeviation < 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Standard deviation must be >= 0.0", FAILURE) ;
return -1.0 ;
end if ;
-- Box Muller
Uniform (x01, RandomSeed) ;
Uniform (y01, RandomSeed) ;
StdNormalDist := sqrt(-2.0 * log(x01)) * cos(math_2_pi*y01) ;
-- Polar form rejected due to mean 50.0, std deviation = 5 resulted
-- in a median of 49
-- -- find two Uniform distributed values with range -1 to 1
-- -- that satisify S = X **2 + Y**2 < 1.0
-- loop
-- Uniform (x01, RandomSeed) ;
-- Uniform (y01, RandomSeed) ;
-- x := 2.0 * x01 - 1.0 ; -- scale to -1 to 1
-- y := 2.0 * y01 - 1.0 ;
-- s := x*x + y*y ;
-- exit when s < 1.0 and s > 0.0 ;
-- end loop ;
-- -- Calculate Standard Normal Distribution
-- StdNormalDist := x * sqrt((-2.0 * log(s)) / s) ;
-- Convert to have Mean and StdDeviation
return StdDeviation * StdNormalDist + Mean ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (Mean, StdDeviation, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Normal (Mean, StdDeviation) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Normal ;
-- Normal + RandomVal >= Min and RandomVal <= Max
impure function Normal (
Mean : real ;
StdDeviation : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Normal: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Normal(Mean, StdDeviation) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Normal ;
-----------------------------------------------------------------
-- Poisson
-- Generate a random number with a poisson distribution
-- Discrete distribution = only generates integral values
--
-- Use knuth method, per Wikipedia :
-- http ://en.wikipedia.org/wiki/Poisson_distribution
--
impure function Poisson (Mean : real) return real is
variable Product : Real := 1.0 ;
variable Bound : Real := 0.0 ;
variable UniformRand : Real := 0.0 ;
variable PoissonRand : Real := 0.0 ;
begin
Bound := exp(-1.0 * Mean) ;
Product := 1.0 ;
-- add this check to set parameters?
if Mean <= 0.0 or Bound <= 0.0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Mean < 0 or too large. Mean = " & real'image(Mean), FAILURE) ;
return Mean ;
end if ;
while (Product >= Bound) loop
PoissonRand := PoissonRand + 1.0 ;
Uniform(UniformRand, RandomSeed) ;
Product := Product * UniformRand ;
end loop ;
return PoissonRand ;
end function Poisson ; -- no range
-- Poisson + RandomVal >= Min and RandomVal < Max
impure function Poisson (Mean, Min, Max : real) return real is
variable rRandomVal : real ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return Mean ;
else
loop
rRandomVal := Poisson (Mean) ;
exit when rRandomVal >= Min and rRandomVal <= Max ;
end loop ;
end if ;
return rRandomVal ;
end function Poisson ;
impure function Poisson (
Mean : real ;
Min : integer ;
Max : integer ;
Exclude : integer_vector := NULL_INTV
) return integer is
variable iRandomVal : integer ;
begin
if Max < Min then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.Poisson: Max < Min", FAILURE) ;
return integer(round(Mean)) ;
else
loop
iRandomVal := integer(round( Poisson (Mean) )) ;
exit when iRandomVal >= Min and iRandomVal <= Max and
not inside(iRandomVal, Exclude) ;
end loop ;
end if ;
return iRandomVal ;
end function Poisson ;
--
-- integer randomization with a range
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
--
-- real randomization with a range
-- Distribution determined by RandomParm
--
impure function RandReal(Min, Max : Real) return real is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max) ;
when FAVOR_SMALL => return FavorSmall(Min, Max) ;
when FAVOR_BIG => return FavorBig (Min, Max) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandReal: Specified RandomParm.Distribution not implemented", FAILURE) ;
return real(integer'low) ;
end case ;
end function RandReal ;
impure function RandTime (Min, Max : time ; Unit :time := ns) return time is
variable IntVal : integer ;
begin
-- if Max - Min > 2**31 result will be out of range
IntVal := RandInt(0, (Max - Min)/Unit) ;
Return Min + Unit*IntVal ;
end function RandTime ;
impure function RandSlv (Min, Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
iUnique := Unique ;
if Max-Min+1 < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.(RandIntV | RandRealV | RandTimeV): Unique > number of values available", FAILURE) ;
iUnique := Max-Min+1 ;
end if ;
for i in result'range loop
result(i) := RandInt(Min, Max, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (Min, Max : real ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(Min, Max) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (Min, Max : time ; Size : natural ; Unit : time := ns) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(Min, Max, Unit) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Unique : natural ; Size : natural ; Unit : time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandTimeV(Min, Max, Size)
return to_time_vector(RandIntV(Min/Unit, Max/Unit, Unique, Size), Unit) ;
end function RandTimeV ;
--
-- integer randomization with a range and exclude vector
-- Distribution determined by RandomParm
--
impure function RandInt (Min, Max : integer ; Exclude : integer_vector ) return integer is
begin
case RandomParm.Distribution is
when NONE | UNIFORM => return Uniform(Min, Max, Exclude) ;
when FAVOR_SMALL => return FavorSmall(Min, Max, Exclude) ;
when FAVOR_BIG => return FavorBig (Min, Max, Exclude) ;
when NORMAL => return Normal(RandomParm.Mean, RandomParm.StdDeviation, Min, Max, Exclude) ;
when POISSON => return Poisson(RandomParm.Mean, Min, Max, Exclude) ;
when others =>
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandInt: Specified RandomParm.Distribution not implemented", FAILURE) ;
return integer'low ;
end case ;
end function RandInt ;
impure function RandTime (Min, Max : time ; Exclude : time_vector ; Unit : time := ns) return time is
variable IntVal : integer ;
begin
-- if Min or Max > 2**31 value will be out of range
return RandInt(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit)) * Unit ;
end function RandTime ;
impure function RandSlv (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(Min, Max, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Min, Max : natural ; Exclude : integer_vector ; Size : natural ) return Unsigned is
begin
return to_unsigned(RandInt(Min, Max, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (Min, Max : integer ; Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(Min, Max, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(Min, Max, Exclude) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (Min, Max : integer ; Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable ResultPlus : integer_vector(1 to Size + Exclude'length) ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
ResultPlus(Size+1 to ResultPlus'right) := Exclude ;
for i in 1 to Size loop
ResultPlus(i) := RandInt(Min, Max, ResultPlus(maximum(1, 1 + i - Unique) to ResultPlus'right)) ;
end loop ;
return ResultPlus(1 to Size) ;
end function RandIntV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Size : natural ; Unit : in time := ns) return time_vector is
begin
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Size), Unit ) ;
end function RandTimeV ;
impure function RandTimeV (Min, Max : time ; Exclude : time_vector ; Unique : natural ; Size : natural ; Unit : in time := ns) return time_vector is
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
return to_time_vector( RandIntV(Min/Unit, Max/Unit, to_integer_vector(Exclude, Unit), Unique, Size), Unit ) ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values
-- Distribution determined by RandomParm
--
impure function RandInt ( A : integer_vector ) return integer is
alias A_norm : integer_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandInt ;
impure function RandReal ( A : real_vector ) return real is
alias A_norm : real_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandReal ;
impure function RandTime ( A : time_vector ) return time is
alias A_norm : time_vector(1 to A'length) is A ;
begin
return A_norm( RandInt(1, A'length) ) ;
end function RandTime ;
impure function RandSlv (A : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A), Size) ;
end function RandUnsigned ;
impure function RandSigned (A : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A), Size) ;
end function RandSigned ;
impure function RandIntV (A : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandInt(A) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(A, Size)
-- require A'length >= Unique
iUnique := Unique ;
if A'length < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > length of set of values", FAILURE) ;
iUnique := A'length ;
end if ;
for i in result'range loop
result(i) := RandInt(A, result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandReal(A) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A : real_vector ; Unique : natural ; Size : natural) return real_vector is
alias A_norm : real_vector(1 to A'length) is A ;
variable result : real_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
begin
for i in result'range loop
result(i) := RandTime(A) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A : time_vector ; Unique : natural ; Size : natural) return time_vector is
alias A_norm : time_vector(1 to A'length) is A ;
variable result : time_vector(1 to Size) ;
variable IntResult : integer_vector(result'range) ;
begin
-- randomly generate indices
IntResult := RandIntV(1, A'length, Unique, Size) ;
-- translate indicies into result values
for i in result'range loop
result(i) := A_norm(IntResult(i)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Randomly select a value within a set of values with exclude values (so can skip last or last n)
-- Distribution determined by RandomParm
--
impure function RandInt ( A, Exclude : integer_vector ) return integer is
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandInt ;
impure function RandReal ( A, Exclude : real_vector ) return real is
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandReal ;
impure function RandTime ( A, Exclude : time_vector ) return time is
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
return NewA(RandInt(1, NewALength)) ;
end function RandTime ;
impure function RandSlv (A, Exclude : integer_vector ; Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(A, Exclude), Size)) ;
end function RandSlv ;
impure function RandUnsigned (A, Exclude : integer_vector ; Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(A, Exclude), Size) ;
end function RandUnsigned ;
impure function RandSigned (A, Exclude : integer_vector ; Size : natural ) return Signed is
begin
return to_signed(RandInt(A, Exclude), Size) ;
end function RandSigned ;
impure function RandIntV (A, Exclude : integer_vector ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandIntV (A, Exclude : integer_vector ; Unique : natural ; Size : natural) return integer_vector is
variable result : integer_vector(1 to Size) ;
variable NewA : integer_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandIntV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandIntV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandInt(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandIntV ;
impure function RandRealV (A, Exclude : real_vector ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandRealV (A, Exclude : real_vector ; Unique : natural ; Size : natural) return real_vector is
variable result : real_vector(1 to Size) ;
variable NewA : real_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandRealV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandReal(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandRealV ;
impure function RandTimeV (A, Exclude : time_vector ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength : natural ;
begin
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Randomize Index
for i in result'range loop
result(i) := NewA(RandInt(1, NewALength)) ;
end loop ;
return result ;
end function RandTimeV ;
impure function RandTimeV (A, Exclude : time_vector ; Unique : natural ; Size : natural) return time_vector is
variable result : time_vector(1 to Size) ;
variable NewA : time_vector(1 to A'length) ;
variable NewALength, iUnique : natural ;
begin
-- if Unique = 0, it is more efficient to call RandRealV(Min, Max, Size)
-- Remove Exclude from A
RemoveExclude(A, Exclude, NewA, NewALength) ;
-- Require NewALength >= Unique
iUnique := Unique ;
if NewALength < Unique then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandTimeV: Unique > Length of Set A - Exclude", FAILURE) ;
iUnique := NewALength ;
end if ;
-- Randomize using exclude list of Unique # of newly generated values
for i in result'range loop
result(i) := RandTime(NewA(1 to NewALength), result(maximum(1, 1 + i - iUnique) to Size)) ;
end loop ;
return result ;
end function RandTimeV ;
--
-- Basic Discrete Distributions
-- Always uses Uniform
--
impure function DistInt ( Weight : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable sum : integer ;
variable iRandomVal : integer ;
begin
DistArray := Weight ;
sum := 0 ;
for i in DistArray'range loop
DistArray(i) := DistArray(i) + sum ;
if DistArray(i) < sum then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: negative weight or sum > 31 bits", FAILURE) ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end if ;
sum := DistArray(i) ;
end loop ;
if sum >= 1 then
iRandomVal := Uniform(1, sum) ;
for i in DistArray'range loop
if iRandomVal <= DistArray(i) then
return i ;
end if ;
end loop ;
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: randomization failed", FAILURE) ;
else
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.DistInt: No randomization weights", FAILURE) ;
end if ;
return DistArray'low ; -- allows debugging vs integer'left, out of range
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight), Size) ;
end function DistSigned ;
--
-- Basic Distributions with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistInt ( Weight : integer_vector ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(weight'range) ;
variable ExcludeTemp : integer ;
begin
DistArray := Weight ;
for i in Exclude'range loop
ExcludeTemp := Exclude(i) ;
if ExcludeTemp >= DistArray'low and ExcludeTemp <= DistArray'high then
DistArray(ExcludeTemp) := 0 ;
end if ;
end loop ;
return DistInt(DistArray) ;
end function DistInt ;
impure function DistSlv ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistInt(Weight, Exclude), Size)) ;
end function DistSlv ;
impure function DistUnsigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistInt(Weight, Exclude), Size) ;
end function DistUnsigned ;
impure function DistSigned ( Weight : integer_vector ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistInt(Weight, Exclude), Size) ;
end function DistSigned ;
--
-- Distribution for sparse values
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistArray'range loop
DistArray(i) := DistRecArray(i).Weight ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A), Size) ;
end function DistValSigned ;
--
-- Distribution for sparse values with exclude values (so can skip last or last n)
-- Always uses Uniform via DistInt
--
impure function DistValInt ( A : DistType ; Exclude : integer_vector ) return integer is
variable DistArray : integer_vector(0 to A'length -1) ;
alias DistRecArray : DistType(DistArray'range) is A ;
begin
for i in DistRecArray'range loop
if inside(DistRecArray(i).Value, exclude) then
DistArray(i) := 0 ; -- exclude
else
DistArray(i) := DistRecArray(i).Weight ;
end if ;
end loop ;
return DistRecArray(DistInt(DistArray)).Value ;
end function DistValInt ;
impure function DistValSlv ( A : DistType ; Exclude : integer_vector ; Size : natural ) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(DistValInt(A, Exclude), Size)) ;
end function DistValSlv ;
impure function DistValUnsigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return unsigned is
begin
return to_unsigned(DistValInt(A, Exclude), Size) ;
end function DistValUnsigned ;
impure function DistValSigned ( A : DistType ; Exclude : integer_vector ; Size : natural ) return signed is
begin
return to_signed(DistValInt(A, Exclude), Size) ;
end function DistValSigned ;
--
-- Large vector handling.
--
impure function RandUnsigned (Size : natural) return unsigned is
constant NumLoops : integer := integer(ceil(real(Size)/30.0)) ;
constant Remain : integer := (Size - 1) mod 30 + 1 ; -- range 1 to 30
variable RandVal : unsigned(1 to Size) ;
begin
if size = 0 then
return NULL_UV ; -- Null array
end if ;
for i in 0 to NumLoops-2 loop
RandVal(1 + 30*i to 30 + 30*i) := to_unsigned(RandInt(0, 2**30-1), 30) ;
end loop ;
RandVal(1+30*(NumLoops-1) to Remain + 30*(NumLoops-1)) := to_unsigned(RandInt(0, 2**Remain-1), Remain) ;
return RandVal ;
end function RandUnsigned ;
impure function RandSlv (Size : natural) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned(Size)) ;
end function RandSlv ;
impure function RandSigned (Size : natural) return signed is
begin
return signed(RandUnsigned(Size)) ;
end function RandSigned ;
impure function RandUnsigned (Max : unsigned) return unsigned is
alias normMax : unsigned (Max'length downto 1) is Max ;
variable Result : unsigned(Max'range) := (others => '0') ;
alias normResult : unsigned(normMax'range) is Result ;
variable Size : integer ;
begin
-- Size = -1 if not found or Max'length = 0
Size := find_leftmost(normMax, '1') ;
if Size > 0 then
loop
normResult(Size downto 1) := RandUnsigned(Size) ;
exit when normResult <= Max ;
end loop ;
return Result ; -- = normResult with range same as Max
else
return resize("0", Max'length) ;
end if ;
end function RandUnsigned ;
-- Working version that scales the value
-- impure function RandUnsigned (Max : unsigned) return unsigned is
-- constant MaxVal : unsigned(Max'length+3 downto 1) := (others => '1') ;
-- begin
-- if max'length > 0 then
-- -- "Max'length+3" creates 3 guard bits
-- return resize( RandUnsigned(Max'length+3) * ('0'&Max+1) / ('0'&MaxVal+1), Max'length) ;
-- else
-- return NULL_UV ; -- Null Array
-- end if ;
-- end function RandUnsigned ;
impure function RandSlv (Max : std_logic_vector) return std_logic_vector is
begin
return std_logic_vector(RandUnsigned( unsigned(Max))) ;
end function RandSlv ;
impure function RandSigned (Max : signed) return signed is
begin
if max'length > 0 then
AlertIf (OSVVM_ALERTLOG_ID, Max < 0, "RandomPkg.RandSigned: Max < 0", FAILURE) ;
return signed(RandUnsigned( unsigned(Max))) ;
else
return NULL_SV ; -- Null Array
end if ;
end function RandSigned ;
impure function RandUnsigned (Min, Max : unsigned) return unsigned is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandUnsigned(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandUnsigned: Max < Min", FAILURE) ;
end if ;
return NULL_UV ;
end if ;
end function RandUnsigned ;
impure function RandSlv (Min, Max : std_logic_vector) return std_logic_vector is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return RandSlv(Max-Min) + Min ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSlv: Max < Min", FAILURE) ;
end if ;
return NULL_SlV ;
end if ;
end function RandSlv ;
impure function RandSigned (Min, Max : signed) return signed is
constant LEN : integer := maximum(Max'length, Min'length) ;
begin
if LEN > 0 and Min <= Max then
return resize(RandSigned(resize(Max,LEN+1) - resize(Min,LEN+1)) + Min, LEN) ;
else
if Len > 0 then
Alert(OSVVM_ALERTLOG_ID, "RandomPkg.RandSigned: Max < Min", FAILURE) ;
end if ;
return NULL_SV ;
end if ;
end function RandSigned ;
--
-- Convenience Functions. Resolve into calls into the other functions
--
impure function RandReal return real is
begin
return RandReal(0.0, 1.0) ;
end function RandReal ;
impure function RandReal(Max : Real) return real is -- 0.0 to Max
begin
return RandReal(0.0, Max) ;
end function RandReal ;
impure function RandInt (Max : integer) return integer is
begin
return RandInt(0, Max) ;
end function RandInt ;
impure function RandSlv (Max, Size : natural) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(RandInt(0, Max), Size)) ;
end function RandSlv ;
impure function RandUnsigned (Max, Size : natural) return Unsigned is
begin
return to_unsigned(RandInt(0, Max), Size) ;
end function RandUnsigned ;
impure function RandSigned (Max : integer ; Size : natural ) return Signed is
begin
-- chose 0 to Max rather than -Max to +Max to be same as RandUnsigned, either seems logical
return to_signed(RandInt(0, Max), Size) ;
end function RandSigned ;
end protected body RandomPType ;
end RandomPkg ; | mit | 503535eb3d819515de1a118f85dc8672 | 0.633411 | 4.022764 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd | 11 | 19,921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
maPvl9UcQIXB7Dt0/Du7rmaEhkJs8Kra0+gGs0DJ+Udci22VN0hKj2v1ClDXftYzfDw5OZNsMBzA
CdcWjA59MA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Mj/yVYoKfTlS9Fs1l4FDAeHWtjrinclJCnOydiP6iGWrG8GH/4ni5qHXF7a1dwAgYBrPbhM4Z2tT
XyM9crnlGmV1p2DJKkdar91DlKXbVFEGKQLqxnvvCTnRkNaOMoG+rlULDhmaMDMvmRxh+8tw2M40
1za/g4BXzsDB5iF42Xw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UZB7T1GVHARV3upN0hardeFXwiATAM6i0qchKfIvGXgdcD28P+qkPUkEXS41c0titPluLdGSwZUe
y0AXOJTw/6vCUxrHvRMcErOveQLhLePIJhO2EkYGirpR2fgMV+SK5FHEAAfOTuYmw+oZc4giXEEY
VsrXDol4awmrD7A4GSce+K9yt2HRmKXH59u2inmVu7r6shfr1h3R+am0Epl7aIaqAbkY0Ng6avsn
wHGJ32G1Asao0eSnyxUXyUik3mOmuiCKTu7RghEphHuefoXwTt8Yt4SdT2mSZmYsjz1WpjoV4L5u
lfXFFP0vkCxPwc605xloTY0rKLRltpIPQWKq+A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n7D8zZePoA4bijdSCd3owbHZwgXC0fsqk7FzmqDgN3je7hGvKGAkDQlJv4gtO64L/rUE2qNTnLS1
WBMdtV5y956RrGxLKjDQbj34oHqYybdaCFs5S+qqTqZF2uSbESEb9CGOUv+jQxsqVZjP1K0BWaJB
GTcVIa7g4A7Uxruq4e0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CNGEITwolhW5MFruCbG5o3vaYmdFFXEZ3sAb3zOvh8tFpD8oB6IFnXva8qN/CGhY7Mzz+ukgWIAf
sWZk/J4zIHnipOqXgbPFoBvCvgauQvac2NvBvjb+dmsxzyTF0tZlr95JTI9Btt0bJMOH1nQCdFbu
tNdQFEmqIkKL1bPiNpbLSr3cLONBoiN9iFHWOWMIR8ygBt+8ssWjuUznXkVLial1HfZJ9yVJlC04
tv2aLCh91+OWMc+8hmxXOU+/dBHQKTjJiXpZ8vt9Sc5v2NyAfEJNUXG2TEL86WNQiUMbdK0OiQ6q
8in7tFDFlUTKsULz5YHgcDhQGflwA5WNE364+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block
XegsziPiQDmkXqECFdtfJp8UVUfNvzprvyv65SpQwkExqB5XuZWNP1hmAq2YymbMDgIZrG9h2uPR
FAtTwWAphpb9xKq+Fuu/QnazsYHTLyzVpGYlUFjqOAi1mBrJe3ECSsKFLIiVF3eDR15a1hc+qiEZ
xQ0tw5MQuKGnRrYWSIqjOxX5dqui/FvrYN7XNnwMUbPz1fLKzWTIWqrtBtPn/sq29q9SJt1aTmiZ
xF3ut/yY1/Zv+Ub0Yo9P0ybGIx9FhGhViQuYD96zKHtm8UTuS8oTz2W2ZRvEXiimNRMQm1ZQQ/1T
SQkdshb3aE9nzm+jkb8swm+KXdxIsF706tIa9+pmlh/R3dZrl8itZe8A2j6zmI2Rq66JjzV42ylN
ZuNkU7SycJPH9gknPOViRVs2gRN6PO2/eoLoWuvU+DoCd0mvd5BG2zITaIY4cKE7i7VDNJ2F+Dbz
C3fyPEX5flSkjxKKYSOzuMfS1X5repRanHQc1BCrC43ZWPGY/5OpAjLHsSyj6IEYyyMt6iqKP8Sh
5x+qM27tCYbCT6O64ZQ7OuxlU02QdAwLEOMkLioJ5CO6rV86wLfBuCPkM97cIDfi9pwGRuqxvCGy
T3DroPr9NemxwBC9F7JkzVquooAp5skd82JCSPlAWiSar1BMff65YBzhxNp+Jc3x1ZpRA2GlDSWH
jeN1TnXIkgxkk/h17rGQd3Ju36rGjWr0Iymn40olM1zUoB2oRjDc8zUEcXZUji8r8OHKzdi7aTOQ
QC1NPl1tyDKsNHt7kN00f8awhYtqMGenPEQgjhnr6x3nVG0ZZXlcZRbgNg2gSYFzn9V0iJFfgG9y
Sifs/Fo75RpSr64+hBvUX1f25geuBwSRD/lYN51OVWMA754s05o5+Osa11eGP4wLG/Pw3YXMkAjj
Y4arc4PbMqYb2W7f9En091qazW4SfY9zvXiwRq3TnWq+Vzn7eIfzX5zEQyb7QYgipfH7mZluNG40
XHxWLYZ6F2I5wWkbKveapUgodM7XqxCyorJgqjTFAUF2+3u01+jtMYezDk0XOsXvHArjuNn2UZj0
9KSuG+mQdLaJLm/N7ckHBmHG+lBRn4LcCWCIpB3geH3QmvnYcN90h9b7OHFTrlX2CgwVXgOqAA4c
U3SBqrIiZqHenHcrp0/Dseex63DStGvLMNvJ57n0lw50lJLfc8sQMoF4JZPxjWQoX//eaAJSziS2
tKK7Tq2g66cBNLblCoXnWL7Qcxp4kvfrfOCct5RFm12++pR7TIwor5q0E2zBksCrwybVwOzlnM4+
VJJBAGY0gXpK4KlQKYlEzlgjINfkM7w2M1fd21zA6ZgMDSrVWkEgCMfxoCRAfY18tdjyqt+sPABr
MnQp0sAaBTiqZ36lhGB321b4yoVDDyoI0HMEE0H3iIrfokZhfKV82uI1WRiSccAwU3h9yFyv9vQb
50r8uE+d8lj+5ynyvZK8c5rhgxmfDgIJ0SuLUDGkKEffJ+j4uZKjzB677Hf2WcKisv3wHpiiDX6D
soi9b8uFfnu4oRJy5GiWxtGwZn47rqNk8jd7W1CeDi+/qaWxDoQQDon170T9im2HObuOnCWzfTY0
iIZtSM2Wjd+q5PuQnmxnfF3lXgItiA9ByALsyJRinWtE5iTXhnN3utTvBMOJdrivMINcgn09o98u
nRxwVR3wmLr40rJfxPPWNizvkP/8hQihnGqkOsN8aHa1RxYwiu6Y7XbC52OTV8aZvAs8bKrLKaCn
EqvwaQ5McuYeztn9gpNAct89QZ0WR0DCxCdqow8ySdHekr+sSY5XVz+HjNPRdoa0T7uLxuCDibcm
A9zb7z+Y4H1+LbeA8kchQO8DIcMgk8Km4qO7+FTpuJGrfBm2GG6BfudB30OU8l9LUhlKfI+ogioa
QeQKR1GaqrCFtY8X7BRhoauGWkHexBdasUdR9OK4mjksAKhrm4yZg31BnxZFpB8hETTKU8HfdEAO
8DeN7Mc/CXLncebmCw3lWY+CTIsmMdTEvNnN36cogHbYJLfvn7K7j+gNU6btJkgk8zuecqr5DImv
Gg5gLL333pGl+Nu86MVcwRB+LLM1jwYbm3spwPvn8rCHtYlvpzwVmP3N3TdVakmBrOVqe64t5Y5e
aJ281WKK11i7mW0ajvmIv5r4ag4UnG5y0uCnZOFPl123otZxnXIsZw2zbnMjAvcZ6gF1BD7WTTME
jkkVcf8VegO/dxbul+h9I4Srj2ix9jF2XNSxXFzZWrrx51l9Whpn982PjoRfMBt/uhotz2ooLgqw
+IHZHWyyQMB5gGi2itngnbemY2ztJxaiQdH/mjwYCC+fhN16UmqfPA213+F+iELO0QmHz8uDZUU5
hqnC72tIjyFVvMQb93hT4LV2OPkmhG1PmDQOQSCWmz74/9S9wOAEAwyGFRxFENVAmiJOtR3G91vn
JkPY7kJch4di9pkNRweNIlL+svzZy04LT3HbCuQ1kC27hJI5lByk/jQosFBSXOu5qLBWokhah+yQ
coB9jZIgoONg5SADTT+vVtBxUIIjxQGsV3YexXIwpOJ0AHkZOXfpvpqRo9M4IRZC3vDueGUJGagx
8+sS2GVwMkuyeZJpNytMK7ZvtTowdc/FKCOkgvECs1ytmnoahXlT6pVHpJK5vHAKt4C3vBy1CrQ4
pAXLOnwjxtrmTnzBK4Q6eiUtOpyef4jHvCqScbrM/6Y1KCbP1TzG/TUbpm+4xcyEYeLHXRLTEVNp
FJoJflLBmR3WL7QVHiaUaz9n+XFvfZdTuiSv9nR3Ol+ZpdEC1ZUxZjYDq4HAWDhqzWpiwrNBVDPt
MORTwGG0PLa0IFLzCiR7pLJQcHZYkuAGQFzC+HJil88fQnTPG5XkVzLKYNBEOVzyi/qjZvkfHx5y
qkmcyzJI3SWO/dA+GuuV0N5sEdc0OHWqSRJyrza6TlCyBeP/toky1ThOzjhuUW3eeR1Zi+WBCCaa
/ik4j33oK3UP2EIuQWx/NlxaFTfH4STNNdQqRfIPLwNC8i3raEXhS7dJWviQGGtjUA7BnEo4cApJ
b1MA7YfqwjYmy3Rk8ANfWC4gqLwk8gyTE/NGueLOZOuYKYb3qGIPlGpGx5OjVIRhCc6kaO/yOyQD
krOM5OUkq6w50mE0ymaNAtDpT4sz6Pv5bdxxuF4WhQwx8/BVu5mwr2wXSLumQ+QZxF2ZHwbxjAeo
HrUuAf/lBLnqZo278fPAYlGNoV+kV8VD7YMyrvn4oAmop/5mo4PT0H1ghFTCw5ZRZZ7rpQyGgtme
YXA10k4htPNjdUW9MKUaxvcaw3rxiS+Fkkom2YzuoLL+KLQM7v5bDvfUu+XQo28ntEgwiw7WUfxV
+sTwc6cRJklXHT+XXRXuwk7DLqcKHu/2OebyFXaGTdjSHxzMJ8aS65/toV/ltctSsMDt0covxxKc
vU0xTfdBMd7/JoKkN6FdzUXgaQm5FkRSzAVQxfActorWYqmNChjN+bmVVjTGYqn23jv+r+KMbWFg
3tQ27+rFKQYoZHhrsGdJJSL6vc9ffKgJZDdrILS7HeR+IuyqR/+ypC+RNpa9IQJl/Rz5s5EPuMvz
2rpt8x/MmOFjR6FpZcAs+G2+CfhaiGOn6f2A5Ww1BhYBg8j2MbjDUoS1B7ZFlPH9twYwqt+24SnV
5WteygrXc0OCOXGfeXEOiKp+mSNgycLFST6/BrP10hgaTEINCsGjv3MnwvtGpvvOHAw5quS+F8tM
PXjGN/Auyf8C1DOG1maElz19HcmOY+jABXeYDAamzuvonP2bJ0IKBR/rKA7sIPRlEcArUGyKzQ0W
6aiJiR4NecMpmcB56qir04UdBi7Avdi2oOEoYKl4B0Cq5HVGLwdmi9iY9g+O6c0eps097TCD2bg1
LvtVGucM+QgGmKpjmiEROXq/8xW+GZZwuH3BOIuu54ocytI8a3wJ6dXuXD8S+DPVU2wnQlQ/iNQn
TXoTGNC5GSbAEzB+lXreXNz4EPz2ogrUec2YIai6Fm1MsNSVFZwlGTa7q2pSnQ4YcJMIqgtrcPEb
IFKecDGomn5wMnmEzuRVizqGQNAZ9mB+bywQv8atnFc1PqsgzzjF0+OPr3PALrYsZhLQ8RvsSL7H
psmyr1UoZg1HoLIMQgUFPy18uVVXnuAA3Iz99ssDM4FTkmRLnfY3gL/TX3D3lgjRg0JD8SeBbq2b
rwrqbgakumz7ZJ+5OcToD+CWSXe8UD1Yw4vhPjFppfNhDm0JNrRzFGHmPX/D/tZC8wAhDoZhlJyx
ptsX+BIBbV6zz2NFYYf/KP5fIgUHHYU07nDy+41/h3wmCOKU9z0N31d437N0iHaKKaCNJAiyU7mO
QcXUNT4UW4DDHJ1WT9py8qvmYAEhs4/u/McJd5I8mEaSzt7IX1L/qLERBWTRWys5mFhSDuepa2I6
TkkmGF6l/HXdU4nMpwOwWm3EQDyYmOs4kAgCIy2P/729R7cOeA+a82BATMZr1nBzhOB21Dx8J2PO
psSm9CcPIhUvaTLhl3Xg33Xkwk/LCNiK/G8iuTQ/bVjAhER8eGpj7yE8YDngzk56j71j7DWx1Lnf
8f+y9B60AfXH3ZG5jqRWg/GO4V2aauwnS5yBCEg/V9uWahVUTyAOtl2Sg6d656hJIEoAO/VTkHtS
Yuzr7DhnmUvvw1/1Rmh1mnxKTJRbhba1mOJQwbWOnFICVOaNrTWdBENDELzK+BuPGtT62dCPXHQO
I/cyyK5Ev0rRWLetojOKmTdBjsY5HGecjH3J4qUBFeWZ0QIHtSUvj3bIefDzz+aLPQVbTTXeSjwv
spCdZWB8hmQvi0/L4TGxPeuoeejr/d0hRDAa7JVTczoW338u+mjlaDEaV9xYwdtWQ8yh9+R7BwWf
NTTEwCDqGtrjjBwNWURvlrKGB2PFJTrNZ97HK3ZkTwYwmAwEbasdao1N0Glxk7eZ+sUqGIIIAm6q
iGaqhl/vHGRrqy2mnJaXkAHUixa14t7CTQwMereH5J1Sy9gkr68djfGeSfJTCM/BLNJe61ePrfqy
7eUT8CgoJCi/sX52bWahjZf45OPThh9uBmyrThjEGjtbM0jFURp7ODmPjQGpLLVXEO/Oq3vPEZxB
aChxbwYSrkVUpUxm2x9vGK655huIdAnJO8amhdeC41L5Q9TXx+7MT5h9RHjoZo44e26hZrOD5uO1
RIglI0oS23qX1P1g7mQCxY2a7hgUS4PoU/7EyGZ5K9ZOZbQ16cwFcfYMkVB6ruPccY8WuzPp8Jgl
qCd0jG8PCGbLuOQybnQiEG+CQZoj1Op12ZzlnL6RHy7gy3ZU6o5Z52jjEgc4mkNcDJKT73EScbl5
bvuvLADm4iJEVtos+ybsvPTdmTCVBDe2qZSbzCx4AWX4iJqIuotiMyRZKeohO3NuZJXN2EyQn5UC
rWUJDUAYFU3JWACn0SIMfISinZxwe+fWTxhuFkc6ubzXAWeTmin72jY9tBUMP3wnrrfB247NkWJ4
UexYp/7UbloOZaxsFL2yxdPLT4U7FksAmEQfsE14oJ0xSPvUmEr7aSBGUS03RkwoLdo5t05u9iUq
HGG6Yn1F9kJRuz5CXOWSNa8WHv5x60YbI3Bqj2Vy0Wr4FXHLhmElJ6Oxx142lmGQKaTxZr+z4hL3
h1aVKrdzxhHgR18HrBP6GSNhZs002iEn3q83RAPKCfG3x5sKQGcZIv5GX0rXHwpn6h7BDV3Iqifi
mgWZK1RpF7PFlOofg6ThP7DHc/OVZHKphtsNWtWNuvunvkXpRAfHrZ9QoCtfhqE6hbYxD7wJrCa3
3n5QzECeUUpJ4zJI9D9RqYrHdeIL81hoOV20hvVyZb26HOIsovSw+yaAm7J4z8gngm9PJZCXgmL0
AfMTBsQV+oOyWFf54u78LG9L2AA66qYorXl6TA3+BpnRnNMxigDYzP+aAu5DboVV2W1h/23IHF/n
G5/0r9mx2dJOg+JHd5ldoy0uO093GZQzxuKjfy97ADdeT7LBAqUhU/qXqwAJGYjoCKMf4eX7SRp2
tSud6CG0npocVBiAcpFbvNUwY7n5bzInZI/1swfj7Ae4w9YyIhKWwWwWV/Ysu3rWsiEDEW0a+g1j
vICFtkJcvrD+D5IeckMu0tiOQAruSioJLYqgbiaAHZTgiwVSqfc05htEwtSL6h7dNm8BxDRwgXyg
jpOCq/jtHe0Y02LNlhzt4cKCS4qRU3R3V3zEHV/7+iuon7mz7pbL8SKSutKWIAdBHS1vRLhs+65W
pO41C8AdchtuVVF4QPxb8hvcdT9ZqSVVANH0WiCAFiNrdxSawddEw6nsWEmXhlhbxJiyu45Jbhup
ah9OHFIugTX91zv66VRqvLFH3Jf/271oEaWOBiY1wB57GbVMIV6wbwaj+WQDZYFyW/SSL4Hj929C
XPUQrNAUKiHSx/BY95fhQz000z1q9a+4FLRcv0F+inVar8JefLYFoMMgoACWQccUqNt6VGwJbU5D
DjMiRi2cowD8jfh8GIbQbMI4vqvrtT0UPx84kMymXfD1oEsqLt3Ruk1n1R9q89MlFTfnlT76TITr
a2rQwvYDurDSyCRTca8URBWgXDtFBQ74ROauOEyHSKpIaNlodFCWNOif86sdQYTyp5Uyvxw3QO/S
Cm3rKkTxbZwMwqPUcxxcSXLRtZLbGc2EGbEKAUVmhnThWCfQk/hyqKg8MwCwWuOVt4X7jgxN86MO
SZBq9TL3Nw35oDkVxrtS5wmg432bL3aMmgxlVG6NBvnYCw0ILaMjCOXcDTjgPzrjcTzad2QFGs66
+NAa7UuHbX2MaHBMAJHCoMLo/7K9KmjjFI4mcMQS85dDkH78gGL2w9OhAe0fEYOEQhHpwcwlwDaN
9/8b3p8yd0kGd3NNxgBIOrzlzIU/9OqcCGNCDTXBOOFkPP2wvhgMybXLVSj4IilzrDUIqWpaozfq
RJuPD5PwO3WlwGgPFFOOPakK9liWAyMjFepHj8exn5UkTLyUQOmjFsKP85kMAtc4nxDGUT8GiyL7
wFLCVnh/V2kJJICjLhEZL1LTEqen5j5v5cPMBJ+N1r76NeqGSocKEgpULzzYYaLMg6J08I2+jGI0
kLGPZvyVB2ty/qe4w6uXCKatOKdTafgjNSq8K+3Ts9c51FcckKy6QrnRoKYn38h7oFvm8RC05/vA
P6L1EECv3UPSEa3g+h4gjaVMwArQ+NHcTRE2xXC+HJTwoXLjrNg33XlifsVKDuHODoMmC4KGZvRE
3erlRfzPMQ+1ub3tBNrD622KbmhcWZswuvo8meMXjMHD302Nopcn61jObPaZtCcgvuSEGTQUN2PI
gVVdVRZKJHS9L6gcmp0wz61C7lc6zIFhLHxvQ9TxIdRNQoaqYvj7kLOZrDhjCdAjSgT9sPjb2iy1
3YVZNmg58M99zsOa1OKnafS1NvJrNW/IOgg4a2Xm0CmtxYM2KSy2cS3F4cf+Fa8Oh8SiRUf4weT3
NQkGKEQVOq2Q2qBxzCyiBy13xXpGg6ZDelEeicKTNJT9+n8Qpg41da+YTOYK6UCM+2NANnRFIyRP
TSB8fKQTGz/YYjEtC1/2l2Q0mz7NS5KfS5LjpaF+rYOsfZaB1TlfPweJpkO2XHTz0oUqbXQNnFrT
PRR/9U7n1CGVU5PWRl1Gq/iIe1AhEBsVHgj1fRIqnF7cY0o2+VwtmfxhHbZLJeZMqIye+Lj+jvB1
o3rlsTsFrQOs+N/Gkygs8KF35kN7j9/q7vjQlogrc89GRvgxBit2Bg3i2kLY7g//hTkMjBwrFnAs
0Pp4Dq6LuLTqB7kEo4pX02esfFR8/Q4IO8X1sWxU+kbP8tYOCwe4rtwjJZpHtWPhTL9UuwwaGcZg
pf5fxphs0eN8GQyhW0/B2hstILkjQ6FeRMchdtipOm2fD5PjZ2zvoRQ13elt9yEYFQ3igbjtFZPh
1b/bjioLCfjKfsBKsI1Yk64i+iYFXz6MHbqGfuQSfE1QEFoU3j+hpqridqIo2uD9ropE7LKnxHHd
oFwqf630yNeuIE0J25WJKaT/LuiJv+wi8b144Lod499oZD32+XBxsmZnMMZ+14+ZqorAG9MjEW//
UCDEVVKhs7WEyl6BgZugkMcoLdypafH3G7S8LBs6upOPgcEXoz4YVmjF7YaXs4t+BkCoEpcQi+Zu
3MgZoxgEf5tO4MIo+6CVaTQk3N2HoqZC7/VFfC3cCYjXFpP+Wqd5Sqah+Fix86TFeJ+SFwrkFKex
9Vuu0ohfn3mJYUWLlQ1pJDJJ1sFcfb15dRx2XhxyBqrTSLQPKK8+rHRr8QS1z8EsjHztKTYV5YRB
Ye4mHcsY8UUXL8M9YBFb21xqcAdtLoMfk7JBmoICiaEzKIIc7A0h3fq7EJoSLEAyidRmQzzxgG77
K8AJriLRlvTuLO+P0/naBj5f9GAQ78UYW0/MJgz74HwAf8g0gz8n0DQQAkKd2D0THxVeNGHh/pO8
OmQD+2nnUwPQa4HGL/H7Or2zy1MCKNm4wDn+GlCmWtgs78VIsd+lsBXQw4O5MlhGgbOXQsTAkz+U
PK0Uo6hnxpVqPNwLRc4hzyAwKmFq52Ei+LT2Rew/m+iqgU9GB1uzQaQH6461hPwF5h3aNo6qHuZ7
GVAz9O0xuqbujGQyxo3+lSGg3FVNPCj9knQPKyNjRYctiI58ReT/kbTBKwIUsvMfd/tYtQCSMa9A
rIOtxL+KKa9XiKJ45T35nhdXTPsFiw5iWwCdMcLTwcNB5ds4RZeT287LrzKGBMrZ+iJsyUF8L1iM
ThbyIFE/WIMQKbH9YSVpiRdAm7QUw+wLTR4Gug1a+IJW3rAfxngP8AiHhiLweuX45p0dHEdkgP0x
ikq3ApCYQu7ZoNH7KctUs73wD2RONitlL+RMpgufXxQbapBGetW01Qh00Zc6pI45iMHOKoGLjXgx
fvokafZh6iZzXYld3yCSb6CqXpMGYhtMKqZQ8mO6tLu4e9r63GXl6qAt5HERc6WcS6ECIZxy9rex
Zujv0M7qiU2NmYDWsgU1Mnq+zM/Yt2wcoHxK8mdbQJTcsKaSs8mUaQRxk+G0gFC3WH4GoysZNZJR
UKTJhuMDJE/84MbIh9gWvSchM+VN8Sj84kOVmNOhBj3bWI6afhiM9LBsofPhHyiyhoteejBu3RnC
gUvx/qG/XiP5TKP0XHNGvOlaLq7oArsFSx19H7c0tG7rbd3y01pQTZkMHglUkVwUykpq0AFlREJ+
j9MQSwe240Sk7Es+UufcnGrRb0dbaNlMa2RHgInr40f0hDcni8kVrqDdGNXpIoH3XqowV9WnZDcb
lm/uMiBD4FB3T/SKIZBjwpJ4FgofzoAzH7nT8Zc5TFyjN9NnrdG7S+/l0I7YGyF/tyZcxts7ziQn
Z5BhND15Rg121rvh6la67FYba3/HzKaTolgesSA9/B6SOGFBndAuv7QUc8Rtd7YByA195ydYYrND
2S5ulfdd03RMNCgbZFtVQmrRqKmSMOrhBK84YAS0/fRLJmh3F3yvpRMlyvdT94WFTuoqn7TgUYmq
kpznc3W2tHmcqrJWVZvFCEI0nsxIAMZLAUKCydrzSQNCLC9gb45hzaS5g2EFBovKfsgmIgfbl9WG
H0qWy2DMo8Uopjq2053mLJIn/eKk9fKwUaao6q5914pELhH22G+wTXwoyVczSkmSEzxQL14kn9Zs
ke1GjifNP3uLQPN/odUT5VjMceK+V6gmaw6P0dx8V2WdG4TJrtwVbQKjZ2FzFh73aIky6VqE/8qd
gZjLbhHNyMOITYQwDxAIUgPkFJAI5ES6rTKgr/tHgfFEKT3sCsepV+MYmOJacIfQlQ7fqhSHWxyA
quC4mr5z56dlyePTB8y3X62Aatn6zAMiyF+n4yGg8PE0JEpkwSw/2rUPTPhxByf3HAWApiruMC4Y
ctHPfaSD4yX2Y6tCnK7dWKdD7loMaR5sylLzoNDNpzvFtBQl+sjK00ObRtTZfaXAjkQSY0ln+uW6
B1h7WhNMbqFoF7HamrRA7Cfn4k7FMsLfQf+XoQ3ZSIvQVDxrFbLhbyz6G6V2eHMGD9lxU7zsx7DY
9gmT9jLJV45yF97IJDaXDq3yvdSfxfo1A0S50PrwakPc1sh369gFU9i1xNSl/8XCrrTR1830vCQn
1M6hgvOJQ2D4saEcQOaNJzuBtNRObt1xbfyMJkzLf6Rtb5/Y5xSwY+bKWy7sHncPjdqX9RMU9itY
nFYlPMiub0jDdKbvNfHf5cwjwq69poeAUeXAMd1t/fkhAUxZQu4o9PbfiRd2ip+w+wO3slz634/u
RpVF6gtZxa+iqTBB8DKb4OnjmempqNR5mOYmU8fuHL+NqYOsvPrecOcnbXrAOU1DBUrj8aNF2q5z
xvJ/cxJ0Lzsq6aBfgxQdkUoEgApa7qwx//oOvV+fZrxvU4soPV91Dh62yxTqlo9gfpqYNYlb17qs
rZfYRXu295mkC/el51uZu8tir3jFTjEgbIgeqVD7E9mK3FLNjKU47ySd83R+I3b0ddGskMnrNG83
uYtJe6rCfvnTBbpE8AAeiB0vA/JA94IR1/eOhcE7KftsoAxoTShPl0WKkQVOBPmN5A+w5QSzrD/L
s0NvSuzR9abJ+prLDaUjH60yug6kj+W9q2orVLR2in2DFWMswcEzD12hwu5CsbQtRbX/rY9r4oiy
4q40j8dq/dhzJ+9yrIU8E3iWZbgyr1p0MJ+pt9RjF19aWdxo/Vc1gAwL518g69LT1FjOG9VnlpUl
4qWmSQXIEKvJOgAM4nzv9x/fpxNWalwKASgt2qbzmD7aw8TWXLErdLdbQ30tpHOxmkAxRvteuU3Q
LeYNWQxkShPTFCDLLwu2T+MMbNBa3QrjTT2sJaLr5js+7ncLPBETb5zWHAWCk1Igy7FMAagHU+AL
A08/wArwzRm5O2QBDdgP0e/dtDbUHvPHvhyO4nwdf8TGwDJc5wb3cq6/O+UXLfLmyeR4S4Fipbuu
3ARTSjugkzarJ+rpKNDN8cyG4OFc0FhtrHTbhzZgca3V7G16WwNxhfM/TkBzMwpsn5hObIq7OsSN
ndN4I7R564z/CIaEO1lS6VdUoNKsQOyEE3Pa8TcFQVTHmNxwegRHrqbFMSNqh6JZiL/g9k3xzmNR
6rjCaIXr+Hy8gvSWD9oeeD/48p7xYbf+PlTegRZfH2m080OsP9hLc9mRSDlauKPdOk6+fu5AuNEh
xdepopbRLKi2zo5PL/06vLvlcas2OYkeMB5rEpcPWA1NbQxe9Mb/wTfpGEM+5eswM47QNTL5PmMw
1f3IdvsKA4x2Q/GcEPfYhvX27nRr06ovurqIm/pb8GLn8X1rnJXbEREvW8KxQFe+umkmeemYU5/u
7w5+Wawm5Ny3dF33uIhKR+ebpMGkLcdPqohjPCBJsKn4peImEpmSJ7E5FTbtx24ubeoLt1SjcnzY
UKax69D64WyseSzBCI2eAzQTZ0OVRESwgZTeWcHQ6C/fSBZM1JbVEq3CXXkpFMmy6zNIzTe1BBh1
0+LY6BakqWrHWqLdbZFkXFu+01XofvlfxvuAC4PKPKXGAEb/ajo9ZgwJniB4bRgUfzC0803TjVsL
qseMMNLrzEX91NrMeiu+fFWiPd+BvLqMa+SVEeDH0e6CzR/h+VgCRQqZltMXBPIvMgOKZv8UuKhZ
7xg0pHc6LgzqzSCGRK2qFIu19mcPGGHa7x4VHWagMTFFXcQwhPx9GNiQMBgRhhESDFKnQ9hu9lGZ
4/TfcCPKGceLY4mP9rQqmu5dULDiP8MHSDnnyNsdbudm39YW7KtxAlPGgsOdda/VNqBZow14Sxhk
/MiSpUynWEVMoRGlux6Bj3gqAppn3yPbHoW3EIXizGXQiOakbfu+TY7Jh1pjZKCLvieP/Vl1X0mf
pL2o8gtgvqJlvSyw5AwXyf4qYgT8I9YvTFdo90bxzdGonp8EtQDs36dvWAFmkdGWOriHUBMDMY3a
o56vwY8cV5MuZ5+Bi/UTqhscefTaylxmtXPKKHhkclSo7uGmu/I9SIzt2dCyWSkdkgnep0Ndhi0o
6xDYJwb/9wLSeYS3ZpuKq+1QVUZDBj9kt9gSPov3nS9TmN7afyQcemoZZQ9c/1KuZTViBjMAriLr
AjGHyH8MUM3jn94q3Bd8f8oOm2MoW3h/2Kndubn1OuCEWoFJwJQiAbUJgLgHclS3w9h+vvA11ZdM
4noymx7opHolXzwveog3cPORalQHL8P+OP2xyRAIxTE/PHSr8L89qUrqptANO3MheYbkJg0hvnP6
sFST3Aon/EhrY9QnimY7FkZzTYY8CT8b6XPP772m5DCNMXFSLsfT9wu8lehhJfSwSdv1/QzRnY0W
DEBVuTr9+IqjVqvcrAAxN9f4kazohRcDXkHwur4bpjGjRUlDWkNRmhBhKOJPSEu89XW/PUwW4ZNE
nUNJD+sW5n8yKBlbpyx/o9hoiJSZQitPbxuUd/MhT/BBy50Unfk4HpNepjj5P/DVve3jk1tFa+Rz
xSDvIaAtdS216McDitNNI/kM23aBkZia/3vb/ARSwx7m0V6DJ4oPz/g4//EhZL1n+B9LDEYAWuth
Id+r027GT3tR7EwvpGsgMiqK/NlVGQxKM0wOUx2Adp15Db1F0bs/L2YlqL9lTCKX8sEIJJYEM5E+
RzvqXxuA6+W3jRdfSk2vdHb5l18iejKEZwpIqz41u97iBW7duV0dnT3zZPzJZZI5rFAk9YLBA+6Z
0NP2pZc953glQjGLdq52SrWKIIfxWuNHbUH7F/i+LyyifTt4I+pVMJFTzLB+mKO/yOGQVYVNx0eZ
MfOdErB/aak8mBRYvE/DeC8MWO2+0RUPRuNxeq+zQ1hGfYXSh+y9JHI340/ZykkuY4Pkz9rdSEKH
iCID13hl57ryKLzOtZn0WgX7tSV+qOqtiI+08VqebgJarZdBFIunr0dI7SmA6V50WuEpfWOgrSio
YgDvR7JlXxgRppxkCT6d+rT0c7EUWsyoWxPTWfEk6e4cz2kCYPAAlV1HVIAXYdnqbxPhlRkyTvbD
exBuHYo0vT+avURjyFUBTxylVwc3D5IrVa7A9gDN9BKT8usCNe+c9VlFaLZ2cJJ0Dcytt75VhZBB
5NQclqlsxKbU7tF2QfEB/1P0tUMuYQEhPFdYlNszycw2fqwONAt9ifwax2vhjusgjZqTGUP+3NBk
t0CLbaDj296+DL1rvbUrJZqj+tdFS+mIBeyuQMmceWZ6a95BV8nJUulXs0cowAKgVltT/HivLvU4
iLuXxzOnN/KcERm9SBoyZMh6eWPE8irmfOt/4g/E3RC+BBpxpOe2q9M/nShbms8Ys9FP9CJoB4tz
FophNeHMFV76808crm6UVY8kZw5UmcTV/AYWo1pLDbS2PqHVUM/biF9Q3y3nTsHqaTpb4PPudzaj
QnA+7eNaPpMXssREgTQw4Vw0aPd9xeOAVlJpgmGiZpHCJ/Ekw96Qnn1eaHNNhva9IC9ndtLtJXso
cPsFLnE3lY2hihwL0ZHfOOIaXoQGCsydUT4jNR9BysSM1O/VeNdnVWxDbUXDhYwaxrTUucfvSZJ7
JX7Yu8RRwwl2vTnK/myUOV2Bh0if17kHcL1TLARAJCcZEWbQgbkL9Mr07VCnW/jhsvOTZcKdy1Tw
E+6rSYAowshCNHXFH7DYbL8AoAsF3oj/OtjqpywXVQhAzFHESMa6+mXyxSQwZobY8cMoZiqgeuxz
FS+FDBZmDs8FvH1WYD3dgA8S+eC/CKDqAPpnRfWh8Pl0iglR6iRSZmmbJENSyFqnoSmr9hOC8XBB
YoXDuAT+/U+GMW44tg3zcMjMei1yrTIjYBF6yQcdR4rhtgoS+GWnfrp8YyP1jSy7ld4jmHvQSVRR
BAfCaoCtCuEvGkFrF7CZRU2Ha/FsAPpS/GCwFx9Bakohg0alpd3IGF/Q8zd1ZGG8oWJSJ6SSFDJC
r+VlorlZS8wNJlx/upCXgOQyLcyVTKl/eRYDUwCIT+pYF/+070zfD26taLUsp7Od6qIyFKzb+Ry2
IUuevwPfa/g1LDk3Y1lyUV5vqzzHVn4SIOyN4g+Gp2se9kYAcQDNyYN56+L9Wyo8gyBY3Wx+jJep
T8mymM+GI2H2EYDYUJLtAA8AMbSO5fzYwZ1suYvfwNT9NOnmO28FhIn/BsalaaQznA1pdUFP89V5
vprCZsmMLukOoZ2XZ4di1lb0YdCAJKxhRYUXCR0GGN5tDs+cnH6DWstYN5FUzzAeOVURCdb7pGOV
N9MNNIxpVn8I35hEZIZerGEVwn2+JsRMTF0bzpdbVdwIhdwg/Uu2Zz5sJ7jDOQSf8EhMyDZZweDU
t0SJ1Si5GPOhQ1EiWbWhDheUxCxIwA+CaZgeUEizyK61TXpkJzYMQdpY/mIFjb7sdHDUPU27lqdO
ZWaqF33ZnV3bkITGsG50f+BRdz5ddCty7JLOKcczCtnAhj2Yw9eiseOHoR+MCFG02cDs02HDUoYW
aDPXOFXSLulYvJsS9iS9oINfC5k1g2488ACJdx3cBZ5QXkEDJM/r5mClRES/PHOy+4DcfjgD3c5B
JV17W2a8RTH4xExOESNENk2X1D9Z3Z7hfm/i7tuY03/FT/cLVMqC1nYtt9vgdUvBV7FL+8A603IF
Jp465pWDiSqSNygMOJw9JZ4TDcWwpNF6D6iC3fwH+b3zg7tv/6wimdVO35zgSzAQBNzYfu0MUw61
0eZsiSyqpplVuoaGp/dsNMqZ1wLzEUz0njlNxjtqJBJLRkqW1qX4gzTm4i0zgicKYQNjCpZBE0v5
jbHhG9TJ9uaLApasDphiRidBI9h0hbEHgUpgjN5NZd/7FTolKy0N+796QhOmD0wyh9pKBj0wjMxB
IRFJhie5aRzHoZ++plAAxZEa0gvo4JxlrqVpfBM+r51RpGXy0d3va7smJwjJXi9t5//zup71IfDw
NDgm9QjFnfQ59wt2D2tLoMtyj06LS4OMzEvA46hnzznU4MUoW9yhIS5nioK3u8Hob/nBCcWzT55g
TMborgmymurAZi++ObNz9ofE2p38zA7H9tU0U4h8Pia050eMK60FVBlnSLhES+RCrwysZ2u6v8mI
zt5KuOr8/8c+dHAFYedwOaZEH7+04Uzh5T4n7wk0qZFacY49x1LRFe3w9FM2sKzP5u9Txs1ZvHUF
GFI0yOgWUFkZyz7p0Dsf5h/30lKsqG7UFXHsR+/Cpwu5b6VMmoCk6EJgt+AtpvzogT5oho/B+W7R
1/+bY24NC4KKT5o7uFITijIAKzLak7Ev6uXILVetUtJotqxChQt0sul//IU5CRrRHOLys8cJCEDR
kyHlFCd21x02+tk9I0oVGV0HVqPtxxkDVHn9tB+Ns8BwDRwNoJakiCa+GJLzwGSYmrNCx4jdAoxe
4J7l4GsZXuxqjpRNIB4/HGSwuCuvlRamESSHzd1YD3p5jYuNczuvIfSiPKLd4APnsqSOudvBXx09
2JPqG+Hjnu5QzZHEvXCb3+udxeyMnIBLKtFsAOau3X7gad1hUSYh2JU1vvJ5zre8DbVJYtFhEh4H
RnQZwKSB5Hs34onSWbX9wARAMjmRAvjzY7jRXkZ9NVLUnHfofQNZLk6J36awXAI+OnFJNT6K5MjJ
ZLIVf7uCOKB/eltoup0mfSuXIMQplNG2CXBQHtr62AW5P+qi2ov96g7ZKXiM4aZvgRIvNjKXcJIp
I3iYZsmK1EWJWhzlqAVebQ5vsP1H+JFJyjrXCnkcT431XXF8KACdi4VglqFUstc9P/Mvb/1vh56D
ycgO60LexuVqaM+qhnkV9RDccS0S10LTO0UQ3IV7hYoWdOTJRwIKD+r0mDcqaGIZhTbWF+4wKRMf
7LP7VDlMdeqe7n2suEE7J+/ejHWdxFXcoJoKN8d6w+CZjWuw3RCesoYpE7YXcg+iil+Ppi/zzpc9
3OPyO36a4d/vtaakwVLDieV3o8ZFa0QBOzsmOL8wbU6ORM5N80KVnZDmbeZije7syMwTTjYgaS54
0Q98A9AAOMOJYCmRcLEcn09ZMWcX2Z6hPKelK13LHbkl3vhFhercX78cqf2W2UOPNHcEdg2i+E2+
MIewPR0x8jL009Xd8ud45YtEtybH/C5+zdi30LMGrVrYDqY29vyryA2W6nAyd4Mrr8ogfOVI/1hC
ZzIOtq77rZhyvFy9xS1iIy+8C7Rpi5Z8gZQgpi1tLb02VCeA5m5uT5O6Mt9iqbHge1fXcZtps8Rw
SVOCMcheIOPkAOotQ02JPMScXZ5saRbzZLpyp4DGWGAjOgAVn8p8xknnRw9EWHq9KjEwybM4SLmR
ZSAQR0jMlsqwTXTwL5PNJlmrPH+N0q3eXEqdhgjySO8BZYLZzCQ9EjxPTIlmJJGKnU/Y9SNze7eG
betHh4WW5QS5Ke+WpjGv6ednHaE3uqG3BaDstsXET+jUyYWEc1tK39KPV6KsqwpPwCWu4OEwQJoK
40DBU3ei9vVd+vdvOUNEC6fVMBGaIzGP01duVSep5IUb57RRT0yHhxdtGs75Cil0Y8ZvYdP8t9tx
nqiJuGyPwyMU47Clvw0tkbmE4Vge7WkhKc31/ynXKSyTKGYuVFEUaFczbR5wsm31BYqGfI8ika1R
moS4MXK8kKW5mO87oq3Zc65GWwmNWOUvUY2HvKBgSsF3B9TS+IV9YzL18NVcxsvn42uIXfJW4k6h
lauNaDvldpuuOhMy1LWZZULs3f3sIwGapV3GY0tUnQ1Lg68i9rl+S0Y/VdB3Z1GtdpqEItTQ+wNC
fJvtXbo1eq6KvwxMaYkHdfrvFOwb973rU3Eg1I9jY53adNqjXIUF2IeUnjiDIe2RsfgPzjyv2tBW
eiIzA3FB222TKxSm5myZzcblgxzukj5VeCff2Io96aQ7XhYECko0XtFGX1asv7q29eyecXVy+1GA
I9OjaVMQi516YDjxM7Z7ngvueVaibJb9uWWpCkk0q0qrcVVL5vZRdWaUEOWsLi+Le7/6/8Sclnrf
pPiGT6XVQpTW+iROSGN2oQ7I3edB5TQnyGIyl+Djf2v2FVo2w+66zMKmrKntuDe88MQlg7T6MWEq
/U5sWk1JnJj5lfEvL4gX37p+3zenCn1tg6pbyh0/oD7A8djR3izbjBR7U3xoQ7vwMk8mtZsoqfLC
eZdKPGa1s5+WUm95kp5n277/m9HXc4lsR+KN2gs34agrSECMIKPhM5yEwiItytJi/WznN2bEdzXF
umAxJLsoEEYDKx8WFw+D4OP7Va8C2gi96s/cKvGWaIdhOvBShaK7+HS/k0kkU6APdXVdU5FyDX+K
9GHGAmzJBCEhUihhbFFRGjuKPc+4IYpU+yYsAq2vKeBJfpPVteN5kxb1T8VD1yBUU1T1lLjc5Sf6
WpNuUSlF2UBDefC8
`protect end_protected
| gpl-2.0 | aa8c7f0c212f9bc9c4f6b26f68c810f2 | 0.939963 | 1.846589 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/dpm.vhd | 2 | 133,958 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DUfEZUhbCyMo9EGgzyI2Run9Z4+52tLMrkEbhd2QbwyxTcVsQVKWCrhGyd7fyIZLYHiVvjFJyWbH
5fImFzbjPw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XqSVY9yWFH7FHIcyMeYbROYyiHZ5CRMh3uxH99AEw1ikCtS54Fj5o6NX4QUtvoGGW4h+JUCDzi+w
Cc1n57/ceEBjuF5p/iO+eJkIidJ20I3P0pMBTCUl0YXcMzw9Fm/JYIdtT5z3uXjdUJmV1XT6nuOs
m/3F6WCsOo+aFAnmYHM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TKO0QXzz/5TqlrwxIA5HIsobLT4hsO4/omUjXf6EiP8F18LnDMTKrha6yGF44PHgwYIwXM/5RgHS
75s1ZsIRPdS88BygtyWNgHCNasi4TMkUBmN34R3SMqAH8/5WnohhWc6kIyAYP1sJASx1bOMiNbLX
bAq2lZpdl7T3JgNwDhm6thYBd72EFfVpmLKsFFEIdNoTRU0YEyzQWw25gqV5WQYbngp2esQjXVPb
FW97jDeumBBryYGMvLbXc748HcqkUvkj5C+OMi+6vWe1+zo7XUfOSvDYbKVVdLWbHTbb9bpC+VIB
6tFc7Ml9Shrq20vkvkdKKCJaWMG281FA6dhoTg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WvaakCwSGtfB/ZlHEAWztBph7n+S/4riPRe02hpfPwKHN9geck3AK/8XDWbPQBLi2Mv+VKmyWDOO
JMjT5w5wpHFWTfxlljEN6Tzl94S/LtGVvdxLqUSv69hqAybNjwVaY/Qjr7y3JmpMJa7DfMajpARu
CjBZAB/XaHZtUOUtKFk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
r+YM+1gpfkBlWco4wabsMEIJ0c11zF8LRq0umsbiGMI/iYKHRpqvio7PEWnvT9+m7BPXDTsut41I
CbmRL8kj5WVQt+ilZaNjBM+dg3rJI/PCbWB/8YmJe+9hY7Qz1KmRgXKzgjrtXq8hunV2g41KQKOi
V8lE7M+RAPMxQjboUq+R0so80mlV2FPcuhQFU6iYqWVmcsb9PC3WO/gOceaNTe92dbPhsjPO3KH2
qUBUNmXd2ClHo94whuSp9qmCb54SY70IaWZssSoImLkoBG9wPoRBo9wWjdhwHIiCOGCZOyDgEjJj
s2ci+AXLtOQq8sD1xv+j8n4S967OhWVeB0mVaQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 97424)
`protect data_block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`protect end_protected
| gpl-2.0 | 1aaa903b6d8db495e8df1c42c4255fbb | 0.95303 | 1.810831 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/example_design/DEBUG_RAM_exdes.vhd | 2 | 4,976 |
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: DEBUG_RAM_exdes.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY DEBUG_RAM_exdes IS
PORT (
--Inputs - Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END DEBUG_RAM_exdes;
ARCHITECTURE xilinx OF DEBUG_RAM_exdes IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT DEBUG_RAM IS
PORT (
--Port A
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Port B
ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bufg_B : BUFG
PORT MAP (
I => CLKB,
O => CLKB_buf
);
bmg0 : DEBUG_RAM
PORT MAP (
--Port A
WEA => WEA,
ADDRA => ADDRA,
DINA => DINA,
CLKA => CLKA_buf,
--Port B
ADDRB => ADDRB,
DOUTB => DOUTB,
CLKB => CLKB_buf
);
END xilinx;
| gpl-3.0 | a5aeaf04ec6f4b34e47e8ddef68ab36f | 0.557677 | 4.633147 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/ALU_tb.vhd | 1 | 3,052 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:48:20 04/08/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/ALU_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: ALU_Toplevel
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY ALU_tb IS
END ALU_tb;
ARCHITECTURE behavior OF ALU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ALU_Toplevel
PORT(
RA : IN std_logic_vector(15 downto 0);
RB : IN std_logic_vector(15 downto 0);
OP : IN std_logic_vector(3 downto 0);
CLK : IN std_logic;
ALU_OUT : OUT std_logic_vector(15 downto 0);
SREG : OUT std_logic_vector(3 downto 0);
LDST_DAT : OUT std_logic_vector(15 downto 0);
LDST_ADR : OUT std_logic_vector(15 downto 0)
);
END COMPONENT;
--Inputs
signal RA : std_logic_vector(15 downto 0) := (others => '0');
signal RB : std_logic_vector(15 downto 0) := (others => '0');
signal OP : std_logic_vector(3 downto 0) := (others => '0');
signal CLK : std_logic := '0';
--Outputs
signal ALU_OUT : std_logic_vector(15 downto 0);
signal SREG : std_logic_vector(3 downto 0);
signal LDST_DAT : std_logic_vector(15 downto 0);
signal LDST_ADR : std_logic_vector(15 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ALU_Toplevel PORT MAP (
RA => RA,
RB => RB,
OP => OP,
CLK => CLK,
ALU_OUT => ALU_OUT,
SREG => SREG,
LDST_DAT => LDST_DAT,
LDST_ADR => LDST_ADR
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for CLK_period*10;
OP <= "0000";
RA <= X"0001";
RB <= X"0004";
wait for CLK_period;
OP <= X"A";
wait for CLK_period;
OP <= X"9";
-- insert stimulus here
wait;
end process;
END;
| gpl-3.0 | 16a0fc8196caab4c91451e5a6c772a6c | 0.578965 | 3.646356 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/xfft_v9_0_e.vhd | 2 | 64,338 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GW9qjCpM0PeGi2uCzuqC0OeoQs5+Vx7jI6Xoi/sMTdfIrfrrJlrTZQqqobH6tQaG29pKNTYtn3hb
t4eVaaWpFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
AAhS4EMuheXoiee5UFLsojClp+qh7n4xFGSBghfpmlKQdGpVeksw+YM1OgxeU8tcWkJ7i9hxOLpW
4orUAtjZYRI84G/EdZc+YR89xGuKHf2YqinMMbyT27WjseuJsZpOwzcOliQa6nQj1N3+qgVejHbc
6QbIdHEM5nIzkWBpahI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wXk8+1xCABN9uTCNskwJYUu+wUO7TQZLhWjoFGn3Lbin3L+fsAkbMI7eNgz5j96yiIDYO6F6MUsM
gzUMn+JmZB2xCkNOUG/v3yGqqnvWTZGLF7x9V+ErTJrO7mrlunvgrkslAPdimzEP1bOqyCwW2Zab
z19dmxvIYvHTmsdJppIT+ISgZecLbkPeXky782NVxXCJXED7+iW7fgjDtgSQGpbrgUdFtNFyYTbu
IOBN7nGIqriBw50GfJSUq+iwX1kFbj+aswpM84FswrPLokETm+J3Yg9zhTVvM2beRXFNoLnHSn6o
Mz38l5g0q50tt4UH4xd09IfBQ6R0uwIt6OePVQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aARJhQWSz75rTojmVhqy3LzEHt/PlDiG7s2bof68AqvNaVFrZFzc1+Th9rtEPeJ9iIf5VKKreTlq
TVLMYrQF7UHo9qV1E1m/e4PCfFwNlCKuVXFOL2GAmDn7aWf0gki1cicbFuUcs/6oDM8x85mLiSJG
fb6DMAsx58Vl1C6c9f0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Pf7AkqHJM23i37r8DaCr0DEkv6jt6sh2SGLi+Skm01BrZEZyboy1yT8FuAQgUtwyomyfXtndn3r4
qbheZqySyZKQge78uOLaPfhOVXxTYV3EzMMkK8f7ehsLWSy2Sw9ivCfPdgT03z0l9KEfvBguLhD/
S7iYzS5RcvkEd8pn+jRRIG1nE3jJBBgw8jFRwNY7npn1vsUU/wHhov+o0AdOANB096VReUIT6BTy
FkFrxDuBJ3VyzdJBrsc6tZj2PhpXKqazSA28ShdCp5hMOfMMwDNM1r7uORs8bomSiYNAQzA6oObv
KmHt+Tpvsm8MUes2xkvOHhDltll4f+RJ96WArg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45888)
`protect data_block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`protect end_protected
| gpl-2.0 | e0699c3052ca529aeaac0c75f35d305d | 0.950138 | 1.818331 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab1/VGA_Debug_Unit/arith_unit.vhd | 1 | 1,925 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:20:02 03/28/2016
-- Design Name:
-- Module Name: arith_unit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity arith_unit is
Port ( RA : in STD_LOGIC_VECTOR (15 downto 0);
RB : in STD_LOGIC_VECTOR (15 downto 0);
OP : in STD_LOGIC_VECTOR (2 downto 0);
AR_OUT : out STD_LOGIC_VECTOR (15 downto 0);
SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end arith_unit;
architecture Combinational of arith_unit is
signal a,b : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0');
signal RESULT : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0');
signal SREG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
begin
a <= '0' & RA;
b <= '0' & RB;
with OP select
RESULT <=
signed(a) + signed(b) when "000" | "101", -- ADD
signed(a) - signed(b) when "001", -- SUB
--a + b when "101", -- ADDI
'0' & X"0000" when OTHERS;
SREG(3) <= RESULT(15); -- Negative with signed logic
SREG(2) <= '1' when RESULT(15 downto 0) = x"00000000" else '1'; -- Zero
SREG(1) <= RESULT(16) xor RESULT(15); -- Overflow with signed logic
SREG(0) <= RESULT(16); -- Carry
SREG_OUT <= SREG;
AR_OUT <= RESULT(15 downto 0);
end Combinational;
| gpl-3.0 | 1335105122a6b6c00b5fd258541b9fe6 | 0.587013 | 3.219064 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/axi_wrapper_input_fifo.vhd | 2 | 25,303 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
L24MyDBKTbzbtnjhi6sOVmtecLJI4zMM8XzlwxhXJl+9zZCapxqklkI4HGXgxu2DmnX5+5Y6YA76
L+9Xy9qEjQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CT8Cjf3YmYOLgw/Bp73xiQgs9L9+/fYr41HLm2DqBsU2luYKkmCF2bh/CYuUA53k/R6ck/O5Wx2v
ZzJoezctzN3vySHOv7uTEwONmf1IaD/3Qpo+Cj7Q0My7TZamfl1r4r6xWD+Hp1tUAuvCsq6U/TpL
bXXuUSLLrLMHkih2GbE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vLwWRvjs6Jtwi98HXRjOZYSvPN1DWSulWnaKm5TlURikj54iRBPU+EXdBrD2c1Hn400+wSfgsnex
5DXbpNwsxKQ4OTt2WJ6OiSXvEPN0+LgAvphKkCp/y0yVNc578NV0p4mTRR8ARCzGAtCEPNcJADc9
ahpf9/teupnrezJnxH7s2NK0qJqLfDuWmmWTf6CR5Zgq1CxGM5Hawl21VMtrSoD1vnDdC/4tD8GA
VN/d22w8Sp4uADeiyaYGgqG1J8tXy712sr+ic9Fkse8moOMA0GeLgVioqr9VZ15PJ0SMc9yoNQHN
AnN3HWmnr76sc7Ef6MyUxnPnr7pnez4wfSUuIg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jrHpegVXo5jH9XCYq8Nzn2aMXxiSLzbow777AfoiNm6atFg8IWwj0MVxTKmHxNmMc3q8LpdLo8dW
cLBfWU21gFGYoFRHhbI/Pzjw2uUeEIMl+PzUZz/VBh02w5pv2BQQtxa4fP7hJDpORaRt1m0F2BrK
A7DOzkimosVzb6DgJK0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
flaKS6lvf+aoEbN310rb+4PpDeuDCNz7clfXCm8b08nyHcxk2ObMdbQ7ljfEEJXFBsH3KfA6O+cp
Y9jIa/YIBMwvb82Gd0gcQoAvjE8xjQ/ojp4BmI7cvmizO7bynbo3jJTOUlRzpxyrbDTUgm8GrTGd
30Q8wJ2j13ME56y7CI/+hR9oPO5LYzUTp7f9g/rYCS0LuyYiCQ2xLT+99W4CBUyr418DU1PAaWo7
KIJkrANd3O6shbP7P9mQtOaQxxPAR4fL6pqOtCREKIRKFaJZGMC120yrIyU4wtuPDuhMoyilAaNV
3IrPoIm/eTgbrxxpmgPYOVvFCQGPekIceuAO5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16992)
`protect data_block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`protect end_protected
| gpl-2.0 | f5a4ea5921ec88e780478bbe0add7477 | 0.944433 | 1.859284 | false | false | false | false |
UVVM/UVVM_All | bitvis_vip_ethernet/src/vvc_context.vhd | 1 | 1,632 | --================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
---------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_ethernet;
use bitvis_vip_ethernet.support_pkg.all;
use bitvis_vip_ethernet.transaction_pkg.all;
use bitvis_vip_ethernet.vvc_methods_pkg.all;
use bitvis_vip_ethernet.td_vvc_framework_common_methods_pkg.all;
end context; | mit | e1be546a6565dd1147714cbf1441093d | 0.5 | 6.11236 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_norm.vhd | 3 | 7,904 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AwWbkafRpmUgr8V92aHEZ2sY97/tHJvETsM1hSGphQtxrQq/xYaEsMaIXwwIvNrsNqxAJaVsvRvn
JMezsz7KyA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hq7YGrjuSDXZDCwYl5lV4kV1UuWYLCUEpnqnkQMEJAIC682Z5TUa0P809XfzdZeZw1MaF4Vc1NTx
E6ECjowP8EjtZeAbczyq7rEitVSULP+P4HXfxdy1uBiRfy387pIjihUCPJo8F1EK6Pr18BnW4UFA
z5m5S+Zjb35YdVVlvZ0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
J8z0ebrW8RdhwtS+c3+yPkwDpT9bxR90BFVWq4BuC7ZCtTRNs5gJ78QUtPbGYYc6lMHulIHX3Olk
Uk5QYrVS2ruhe0vDjJ8oAWj3jtIdRhE7qk5zNEwYcs7UqxZLtwhp+iVK5tkcsM8T/h2S6icKkUoU
4WL+LwFYG5AwBUW5aSkMRcejAOXf9e1BB0YZ7XaNi+Q06conYt4i5JKg++dFLNYJQfL9vQaFF8t4
JibkWrzEEOrlLoSR0jtqLvCaF8fNssW3ksYb/K3sqRCy6Q1uiBl2mFiZdbbfvufHl2+s9ozqn8KB
HzW8coY1ZgOdltmzg2pWNj6NHJFP9eRfVW9tTQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
djyuBIY5lgB9Uah20g7An2w3eBIDkloASAR/7QYa3ADm6slTzhRhNLKiMQbhYssniSYzEU0NpSS3
u7TRZQgLVqTBRl9WE019cm7QmiwOrZYXaKpoNl/O1nkiwyUY39dlYBPhtQx9t+neH5MAeuBRFnxg
kwXgCqZsJJw7WPq8dVM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GYo3RT7lBroRGVFGSAVwtqGNouH5bPbzrA3cFwK81BiaEmyyuWtTT2RJ2W+EAy3bVWR+pGUnEvSX
+fSQUpVwxaNVk4MhOz6vAEt0Xy9vBe54e7bOYB0bV5BnrKNIN3K10ipZSOSaImlVVQfNj8BQx0BO
ttkRmfedmZpVm+hWOLhtlW4L3bUeW86pm5MhoVtyWf3NOgGk1SO5bAkF761GXDhL0IrsoHAbT6DF
dQz0U22yj1f8bDS5bG2Sy3oxiHmYIZT3QNUvwx7rjUhlmZZIxF6mtpWUP83x9N6i9qf0uiloQ7z9
+7Y6KZ9G20JjYodcnBkWdgbus7Wp+iW57er5JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112)
`protect data_block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`protect end_protected
| gpl-2.0 | 0f698ebf114eb6a64e6e48e0628aaf98 | 0.916624 | 1.931574 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_right_shift.vhd | 3 | 33,627 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hSmRZ/vf8BkmPtDxpmqOyny86zievG18EWWjJIEq9on8MDlXKJx1eTWoEoNhOnJ8+/QcvfJKEZoM
iGqcWtCz+g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MJavrnR0ZzCXxDP9w2eMVjDsPDaxmuDc0X/w8r9NE7Bv+xeeh5zjIzDFyqBTDL3Q6toG1T7Nmmis
Eq6EYCzBX8V0QqGpFVtvDpbP2l9gCmpU/OrEadvPWQshRzBuDrPFyqe2pH7YJM0qXErXv8RpvKMc
UrwBEG7GdP3nl8H1tYU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UrDglxHK5eQdZiYLsCVgM/v2IIGeL+gL+3C3JXuS0oZJLkFZWh7i1YPvibjNbbxRMLATTx8ADRyf
9HlcJ9vUasCtKR34eZm4FSq2CfR5GTyT3paY6YVtbLBNcRnBzdLk6q0iIMGGBapepLe90/vROM/x
eBk2lnHnspeE9l/9EzrcTcXETNwTUdQOZpskZJjFIYHrgWzAZrxjE2I9YqjXg2rNIxtAiRU8CmKO
rz4qAA+1OQheAM37yGagsU9sOSDvWmafFJBUaHvDUSTaxImxZ4y2o6vpawQwIUBqkXOMdz3+DTe6
oaCEVd1Mz6oAxhFDb8WJpZId85DbbZcCwMRQ5g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tpyeD9nmk5Z4NhR+6aYbd/KJE0epnL53VHcEz1TAGtWvsJ12TIG6Az7OK53/UOKmAZCb9CDdLuHT
RLdecrLSwDPX1ta7+kxehnJREZ2+UmsZh1SV7fYWLYj4KhvCdQiC14iTwJl5VhUhGoqs/5Kv/W38
cEUsSU29eo1/YJM9W/s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jEQ82e0wa7/MR7zHYcHRRtmIeTv6OLRGbsZ/9mRmtGwwToA4upb8csc+9uJMc4N22rT93Ewqk763
b6+fE1rhb9AkC0k8knJoGV9j7hWTF8L7Ff0GA1Y3rs5Hk6Nb5dPG7hPvNngL7kg18RECVhRhcfwO
cH6aX9glkvKOD1583XEtMT5CeCibHEJ5x8mi/NfKsEzfNVCzFrSY3UK11SFyAhn5yNV6WSmvm5E0
BbvVpfFzMk/PURgTliNeYfrUylN/Zuqqj6Cqjs6xzQserChSn7Zj4E/5/nMQbyEkjeAnTIIwBQbK
JT5vVeaw2pqhEhG9+0KDgcOfzKsPjicbkXn8sA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23152)
`protect data_block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`protect end_protected
| gpl-2.0 | d38fe8d536439e1cb0f63b6be58a1a54 | 0.947245 | 1.839853 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_exp.vhd | 3 | 60,427 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
n0RNt1u0QcLMW99iU1NACZcIUFR7QTYNKLYEHQkA7gqQYiMSDa3ePuwwDERAZuVFLBGK8Ce/LyUI
uNm83nosnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xh299wLJXgG/EkBav0pf2BIksYWfWNs43QcXIdknGUo1lvUYaq8RIYJ88HZ/z2StA6AEN3MmGtB8
Qz/Fd0KmW2lFiOyf4pyhR9XQLhm8GS6lIHrRoA6fvOSvRHs4Bip7b5zYo3tMBzvTQdxvj9DqImMm
srf6KlqzzZFhSs+Nvs4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ZyUuWKD2co4hFr7hDbF/KzYp2AHgZzUdJfCg70sXXod+1pr3yt7dFhYgPDw3P3Rqk/xwzBbLabEs
U4hXJLcyU5p91P0CXojK+ekPQiQVBigGtBsP8tfQDfsm2QscfcAP33y56d8Wjjzf/aKA1LxyRIfK
PBFExmAu0KbuZtzalePGdIEG4emgv/+aMVqVkj3O706Oj7OxujsZ3gJwv+/f98iG1ab32GW82K36
26bHA6dXGwBYdz+nbAt4B6KEyncbj13oDgnYKZsm69sGTcbuONfIqCwIHGnB6qHTZO4O3ICJlOBn
1wFLj8fRuzZE+uWbqe0/5t76LnN/OA6i1zEQvg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J0sn+RmrOfeGEs9moj4K9ZV/uOMqcGOoLSf0fG8J5RHUNuEq6HPC5xiQtF5wEPFv6CS1cPNdT2OE
zm4BrnTjn4hn0BKLLAyJRMriYNNkG9cVlFAYa1jtFWNZYctMX7G6DHW7GjPNdZ1hYNDvwaEnndTw
lOXUR0d1W+Yy+cz0xc8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nhpuSasgffIleAAtD2/0KuNekz+u0pgpUTbauW17qrSvzKTnK+2H758I2cXpWGef4BiuClgjBX9F
10gg+i3U24fXlF4GAIyOldWNK+3Yc/KL/TxlTq5dKYqWdq0BQ43YOkjZaOgoY6p0Onk3dYTFoOhL
hYRia90xYtqhIv0OuMeakR1k34PW43LHht0Dle6i7AFnYjkHhjgAGBczRFuzr5ZS+ACSOoCo2SGS
OPSLNr5/ROLWUTEEy6Qeta4RIyCmlUrRBD/pK/kvuYyIn598/PwUsXv+7U/20puh7fDQqEri/1fP
mktgImSAIiA+ETreGuff4nyHNcmAefvR/ZofXg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 42992)
`protect data_block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`protect end_protected
| gpl-2.0 | 0c6b6aa6b5f0007ee8276300e0071017 | 0.951495 | 1.82031 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_addsub.vhd | 3 | 28,265 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eQVuMcIg+Ol9RIIPC7emGIk3RUdyJeetGx+ARXYNgzKBbRt5IOXtKxsUrZhQB6rop058cWVLeI1o
kgGBWavPPA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fXOPBVawDX3XXBdROzIiLsyxbgbCUwzxWs3dI/jSrw7Sxo7UoXNtHgEotYlhMBkMR0bNrlRsBb8l
Q8XXhwmvleZ76bJrWjxSt4kacqnustIjgwRPI+LccOeXPqZ2rhGcknYsXpIv43N+JPxeHYncYoq0
Vjt0GxwtXY1usDXigmY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lgFrvqFl4RgenLUuGM9769CdsWOdRL1UPndQRCo313qF6BXkee02ozm9I3tuuhciHvZXvmUQ797s
Np6XZDQPe80D+wSVX35SfdV0pR/VtlQk+Hb5gSjrZ40NjUie2ep17bJ+ACBOIkhpeM1r99rSyEPt
yS48Ez32EOP0ZfnY25d7kH6pM7mtZPoAtwmFjggr3k6ESEH7k2dAsjaGp54Gangwmp9nIAblJHnx
Puo4ehnw5tfLc2VgFccmSimzbA1T1GbIrkusyzzPM4JGx147Kl+wOIBv/GPhu/G7O3y6N9AQLiap
ePug2I2fjA7XJ1qujNi4Z1Y8j61UrzanGImrNw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W2uLXdAN4rpBtUSs0HG31YJbbuM9bwjKWcxQUbEzVxLLuqNg/mO9KLgEZ4xjlkV8WDRlmtU8WnM+
OxH+srBe2wE8m/qvPhwuQkazIv+sbgugedx42Zy0VDkNdxTKFoUz0E4qJ4b9OglH7qDC2+shEfPY
/wA1Zne676uHF2jY6Zg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ISxaWd5opFW0WQzhv+LeaDT5wFQOuFqy9aa8JblbWt8J3XbnsW7l+xEkQqkjzDXXx+rHtMaajogK
a1JD7Iw/T8ttJ8YyhUc2W5B2PFGgrurzG2XBPk0pxnAzQd76ItQ00J2wi73u5bTBDY5JhqBlrVGO
fErDPRH8KPz9hLGFtM7898XbP0MJCRsWcGyEmrM/Qs66De62ygyISW69RpM+1KJgNeiwo+CbqxIR
Y3WfXZQWdFZF4tEtTyEUEksKJq8w1uBqVuC9ta8Dl8+04508sTi3JAKN6saxRVt141msXJDYMtkX
aaN5JpGx/P78buHB2sbs5vv6FnKV4aEhGAPMjg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19184)
`protect data_block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`protect end_protected
| gpl-2.0 | a2748a4844bfbea689734f194ad44749 | 0.943605 | 1.846058 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/Shadow_Register/Lab04/Lab04/vga_debug.vhd | 4 | 11,942 | ---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2016
-- Module Name: VGA Toplevel
-- Project Name: VGA Toplevel
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: vga debug unit test
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.all;
entity VGA_Debug is
Port ( CLK : in STD_LOGIC;
BTN : in STD_LOGIC_VECTOR (3 downto 0);
SW : in STD_LOGIC_VECTOR (7 downto 0);
HSYNC : out STD_LOGIC;
VSYNC : out STD_LOGIC;
VGARED : out STD_LOGIC_VECTOR (2 downto 0);
VGAGRN : out STD_LOGIC_VECTOR (2 downto 0);
VGABLU : out STD_LOGIC_VECTOR (1 downto 0));
end VGA_Debug;
architecture Structural of VGA_Debug is
signal RST : STD_LOGIC := '0';
signal DATA_WE : STD_LOGIC := '0';
signal DATA_ADR: STD_LOGIC_VECTOR(11 downto 0) := (OTHERS => '0');
signal DATA : STD_LOGIC_VECTOR(7 downto 0) := (OTHERS => '0');
signal DBTN : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0');
type DEBUG_STATE_TYPE IS (INIT, READY, ARMED, TRIGGER, RESET, DUMP, CLR);
signal DEBUG_STATE: DEBUG_STATE_TYPE;
signal DEBUG_CNT : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0');
signal DEBUG_RUN_FLAG: STD_LOGIC := '0';
signal DEBUG_CLR_FLAG: STD_LOGIC := '0';
--ALU
signal RA : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal RB : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal OPCODE : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal CCR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal ALU_OUT : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal LDST_OUT : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
--Debug Buffer:
-- DEBUG DATA: [RA][RB][OPCODE][ALU_OUT][CCR] = [8][8][4][8][4] [8]
signal DEBUG_DATA : STD_LOGIC_VECTOR (31 downto 0) := (OTHERS => '0'); -- Changed from 31 to 39 to 71
signal DEBUG_RAM_EN : STD_LOGIC := '0';
signal DEBUG_OUT_DATA : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0'); -- Changed from 3 to 7
--Debug Run Process
type RUN_STATE_TYPE IS (INIT, READY, RUN, COMPLETE);
signal RUN_STATE: RUN_STATE_TYPE := INIT;
signal RUN_FLAG: STD_LOGIC := '0';
signal RUN_COMPLETE: STD_LOGIC := '0';
--DEBUG BUFFER SEND
signal DD_WE : STD_LOGIC := '0';
signal DB_DATA_ADR : STD_LOGIC_VECTOR(11 downto 0) := (OTHERS => '0');
signal DB_DATA : STD_LOGIC_VECTOR(7 downto 0) := (OTHERS => '0');
--Data Dump Process
type DD_STATE_TYPE IS (INIT, READY, RUN, SPACE, COMPLETE);
signal DD_STATE: DD_STATE_TYPE := INIT;
signal DD_ADR : STD_LOGIC_VECTOR(6 downto 0) := (OTHERS => '0');
signal DD_FLAG: STD_LOGIC := '0';
signal DD_COMPLETE: STD_LOGIC := '0';
signal DD_SPACE_COMPLETE : STD_LOGIC := '0';
signal DD_SPACE_MUX : STD_LOGIC := '0';
signal DD_DATA : STD_LOGIC_VECTOR(7 downto 0) := (OTHERS => '0');
signal DD_ADR_8 : STD_LOGIC_VECTOR(3 downto 0) := (OTHERS => '0');
--CLEAR DATA SIGNALS
type VGACLR_STATE_TYPE IS (INIT, READY, RUN, COMPLETE);
signal VGACLR_STATE: VGACLR_STATE_TYPE := INIT;
signal VGACLR_FLAG: STD_LOGIC := '0';
signal VGACLR_COMPLETE: STD_LOGIC := '0';
signal VGACLR_MUX : STD_LOGIC := '0';
signal VGACLR_WE : STD_LOGIC := '0';
signal VGACLR_ADR : STD_LOGIC_VECTOR(11 downto 0) := (OTHERS => '0');
signal VGACLR_DATA: STD_LOGIC_VECTOR(7 downto 0) := x"20";
signal TEST_PIN : STD_LOGIC := '0';
begin
RUN_FLAG <= DBTN(0);
DD_FLAG <= DBTN(1);
VGACLR_FLAG <= DBTN(2);
RST <= DBTN(3);
VGACLR_DATA <= SW;
DEBUG_DATA <= RA & RB & OPCODE & ALU_OUT & CCR;
--DEBUG_DATA <= CCR & ALU_OUT & OPCODE & RB & RA;
DB_DATA_ADR(6 downto 0) <= DD_ADR;
DB_DATA_ADR(11 downto 7) <= (OTHERS => '0');
DD_ADR_8 <= DD_ADR(3 downto 0);
U1: entity work.VGA_DRIVER --
port map( CLK => CLK,
RST => RST,
DATA_CLK => CLK,
DATA_WE => DATA_WE,
DATA_ADR => DATA_ADR,
DATA => DATA,
HSYNC => HSYNC,
VSYNC => VSYNC,
VGARED => VGARED,
VGAGRN => VGAGRN,
VGABLU => VGABLU);
U2: entity work.buttoncontrol --
port map( CLK => CLK,
INPUT => BTN,
OUTPUT=> DBTN);
U3: entity work.ALU --
port map( CLK => CLK,
RA => RA,
RB => RB,
OPCODE => OPCODE,
CCR => CCR,
ALU_OUT => ALU_OUT,
LDST_OUT=> LDST_OUT);
U4: entity work.DEBUG_RAM
port map( CLKA => CLK,
WEA(0)=> DEBUG_RAM_EN,
ADDRA => DEBUG_CNT,
DINA => DEBUG_DATA,
CLKB => CLK,
ADDRB => DD_ADR,
DOUTB => DEBUG_OUT_DATA);
U5: entity work.Data_Decode
port map( HEXNUM => DEBUG_OUT_DATA,
ASCIINUM => DB_DATA);
--TEST VALUES
WITH DEBUG_CNT SELECT
RA <= x"00" WHEN x"0", --changed from 00 to 12 and back
x"01" WHEN x"1", --changed from 01 to 34 and back
x"04" WHEN x"2", --changed from 04 to 12 and back
x"08" WHEN x"3", --changed from 08 to 78 and back
x"42" WHEN x"4", --changed from 42 to 98 and back
x"FF" WHEN OTHERS;
WITH DEBUG_CNT SELECT
RB <= x"00" WHEN x"0", --changed from 00 to 12 and back
x"01" WHEN x"1", --changed from 01 to 34 and back
x"04" WHEN x"2", --changed from 04 to 12 and back
x"08" WHEN x"3", --changed from 08 to 78 and back
x"42" WHEN x"4", --changed from 42 to 98 and back
x"FF" WHEN OTHERS;
WITH DEBUG_CNT SELECT
OPCODE <= x"0" WHEN x"0",
x"0" WHEN x"1",
x"1" WHEN x"2",
x"2" WHEN x"3",
x"3" WHEN x"4",
x"4" WHEN x"5",
x"5" WHEN OTHERS;
--Debug Run Process
DEBUG_RUN: PROCESS(RUN_FLAG,CLK)
BEGIN
IF(RST = '1') THEN
RUN_STATE <= INIT;
ELSIF(RISING_EDGE(CLK)) THEN
CASE RUN_STATE IS
WHEN INIT =>
RUN_STATE <= READY;
DEBUG_CNT <= (OTHERS => '0');
DEBUG_RAM_EN <= '0';
WHEN READY =>
IF(RUN_FLAG = '1') THEN
DEBUG_RAM_EN <= '1';
RUN_STATE <= RUN;
END IF;
WHEN RUN =>
if (DD_ADR = x"F") then -- Test Count -- There's an error here somewhere
RUN_STATE <= COMPLETE;
DEBUG_RAM_EN <= '0';
else
DEBUG_CNT <= DEBUG_CNT + 1;
end if;
WHEN COMPLETE =>
IF(RUN_FLAG = '0') THEN
RUN_COMPLETE <= '0';
RUN_STATE <= INIT;
ELSE
RUN_COMPLETE <= '1';
END IF;
WHEN OTHERS =>
RUN_STATE <= INIT;
END CASE;
END IF;
END PROCESS DEBUG_RUN;
--Dump Data from debug buffer
DATADUMP: PROCESS(DD_FLAG,CLK)
BEGIN
IF(RST = '1') THEN
DD_STATE <= INIT;
ELSIF(RISING_EDGE(CLK)) THEN
CASE DD_STATE IS
WHEN INIT =>
DD_ADR <= (OTHERS => '0');
DD_WE <= '0';
DD_STATE <= READY;
DD_SPACE_COMPLETE <= '0';
WHEN READY =>
IF(DD_FLAG = '1') THEN
DD_WE <= '1';
DD_STATE <= RUN;
END IF;
WHEN RUN =>
if (DD_ADR = x"4F") then --4F = 128 => limit of DEBUG
DD_ADR <= DD_ADR + 1;
DD_WE <= '0';
DD_STATE <= COMPLETE;
else
if(DD_ADR_8 = "111") THEN
if(DD_SPACE_COMPLETE = '1') THEN
DD_ADR <= DD_ADR + 1;
DD_SPACE_COMPLETE <= '0';
else
DD_SPACE_COMPLETE <= '1';
DD_SPACE_MUX <= '1';
DD_STATE <= SPACE;
end if;
else
DD_ADR <= DD_ADR + 1;
end if;
end if;
WHEN SPACE =>
DD_SPACE_MUX <= '0';
DD_STATE <= RUN;
WHEN COMPLETE =>
IF(DD_FLAG = '0') THEN
DD_COMPLETE <= '0';
DD_STATE <= INIT;
ELSE
DD_COMPLETE <= '1';
END IF;
WHEN OTHERS =>
DD_STATE <= INIT;
END CASE;
END IF;
END PROCESS DATADUMP;
-- DD_DATA <= DB_DATA;
WITH DD_SPACE_MUX SELECT
DD_DATA <= DB_DATA WHEN '0',
VGACLR_DATA WHEN '1',
DB_DATA WHEN OTHERS;
--Clear the entire VGA Buffer
VGACLR: PROCESS(VGACLR_FLAG,CLK)
BEGIN
IF(RST = '1') THEN
VGACLR_STATE <= INIT;
ELSIF(RISING_EDGE(CLK)) THEN
CASE VGACLR_STATE IS
WHEN INIT =>
VGACLR_ADR <= (OTHERS => '0');
VGACLR_MUX <= '0';
VGACLR_WE <= '0';
VGACLR_STATE <= READY;
WHEN READY =>
IF(VGACLR_FLAG = '1') THEN
VGACLR_MUX <= '1';
VGACLR_WE <= '1';
VGACLR_STATE <= RUN;
END IF;
WHEN RUN =>
if (VGACLR_ADR = x"FFF") then --Process complete
VGACLR_ADR <= VGACLR_ADR + 1;
VGACLR_WE <= '0';
VGACLR_STATE <= COMPLETE;
else
VGACLR_ADR <= VGACLR_ADR + 1;
end if;
WHEN COMPLETE =>
IF(VGACLR_FLAG = '0') THEN
VGACLR_COMPLETE <= '0';
VGACLR_STATE <= INIT;
ELSE
VGACLR_COMPLETE <= '1';
END IF;
WHEN OTHERS =>
VGACLR_STATE <= INIT;
END CASE;
END IF;
END PROCESS VGACLR;
--VGA_CLR MUX's
WITH VGACLR_MUX SELECT
DATA_WE <= DD_WE WHEN '0',
VGACLR_WE WHEN '1',
DD_WE WHEN OTHERS;
WITH VGACLR_MUX SELECT
DATA_ADR <= DB_DATA_ADR WHEN '0',
VGACLR_ADR WHEN '1',
DB_DATA_ADR WHEN OTHERS;
WITH VGACLR_MUX SELECT
DATA <= DD_DATA WHEN '0',
VGACLR_DATA WHEN '1',
DD_DATA WHEN OTHERS;
end Structural;
| gpl-3.0 | 29fc7d5a76ea6990703b33a148fd8f4f | 0.429576 | 3.917979 | false | false | false | false |
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA | System Design Source FIle/ipshared/xilinx.com/xbip_dsp48_wrapper_v3_0/7d83764b/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd | 2 | 142,019 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SryxhSRgjqGFrNTNFmcCBfiElI/G3wWxwD7UAvGx5Owb1NCF+H/rpvG/pTCga+PVkNvr+bY6h3fq
Ti9x4IJVGA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WuACL5ELbHgRqBIcigXYEb64EzywaMflZGnChqPXulsTvF32o2rW0KGFlXZX+s1GArbXhzcqdRBy
qQE2VxKdRyYEHc7tQcYfLrNE7ogaVs+PNqGJ0uS2tNruh18l7thaI1HC1W0rTtozug7HLuEJJxns
vuJ92AyS0MJFs4ISOY8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pgQ27ApczDDIJN6Y57IFwXcYBuDxUZKQkHBp/5CdSbr6hJqBwo7NO9fIxP5QmkPXiKnsOTsTlsRZ
flXoEox8h2u/Y9yvVhMkfZnlJFBWD/p5/0X6CcQwHuMJMVqJEEARSZOfvqqHI2SGYqGoVBY9t+KU
Vs8C6XuT7xu/DTr9F2g2aVs4oK8Do088rCOpxE22ROFntyfIF3afe6o+lVAU420aBpb+w8400oDg
FzafEKlYrkvfgw93X7d1B9cINtUD8EKiiDwJ9m2jQ8oz6hbvcUZKueEbzdGn4GWCV1MBH3lFCx29
uffVv+lONdTQCTgCuvmCdrPgH5QK9TA92cQNXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zLBXI1oNMC4ks/1TgFGrDGhfzsO4RHAm2YSfqEr/2zNapNMFkpTiQnPlzjQqCQjvSW+9q5WAiIN1
O3711X2vZcNj/7zwYtw2KuRfIV6aLWh+Big8oJJ/Vm+nTcEXzF91mpogTh0CJ4y5ajaiR9s7vwSl
S02maT4qa3gJcwEFZa8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FIcAxPc3FfhI5728CUStSLfOGKXTFAztVhgeagBhRVYBWzIxO9yja01kfi+xUqGmlG8q0/3wlowJ
gKjKsuC9Z+e67bafVTH63BUvqOwUcIL+SupvKDBXlMMWTxQWEylYGe0nUSQ7TiYE7+7EawNZsAgh
bGvU/u1SbMN+saus9tUBSSsXPFmAnzYRfUFNgGaRMyLZfL3j6ZgCGs1rQO/bpVempm3yeW6FGRkt
itrSAS2KhUC2LiLBrzzE42wWzwb4TpTGWLJTZ4m4rvyvO/R4Bkme/OYuRH4hvoLfKibffxJQqirQ
bXlbFT/I9N9rnnY5w5LHhyKvucYBSmql+pKCVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103392)
`protect data_block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`protect end_protected
| mit | 6d904d75c9554381bece422c0fa65fc5 | 0.954189 | 1.815635 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/pe4.vhd | 2 | 53,533 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hiyxVv+1cLTVAcBqGEI0M/QVMwcoi7O3fFEoQ/JGHvx5CczBxSyM7p6atp7ewQDrCePHbnCQDVk0
L3qofn0m6Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
U4gTO9Ke4CzctwTrUJEcDgWNYNkCJ4JvJoGwQ8Tncif7Yh49fALLYG5jd233dmCu21NcRCmg1OqE
K/DYZhfAO1Vr8K2Ha4pcN90B6aAZwlQhxCN6RqgvuCRMvUsbmjaKWLTpAEWBogapz0bUAMl7xZ3Z
P8Ju1DrNIH39v1T+JkE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AmX3PGQtx8Ucs5sZmldiMEr0pEiBFFVg11Bs2nKW8hsZovJL/WMJ16509Eer4KR59Qi0xk/XM8DX
KBvShCvBmqdPCjW0vYBNeu7iviSvJSjQJdeWKkgwfjODXIQvWB7YNjqyQ8wVwGjdM/82GQ8b2U8n
JUgAR4eU3a1hbDDtuypo9O9jFTlFZsVyXJ9wZNbPSCI9jUKGxwI68pRcZl4cLaF30eGyWBT7E6JV
CITyXSuUqDKnH6P7D3N/9hPXjJtXfYDcsG9fvTwR5sZgMrdYTYJ7+HP1n39EfH0VYJIp6bSnzUbE
xhAJLo7ZK3PORFaRP6aq/FGpMdQw5S8vWs/X+g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pBQTQ+Yw+JRAr9k3C7cFf3gyPYLP6sXq1jGFY+672ZrEX3Y2eG6j0w03wiVk1r+yY/iRzuvaXqb/
a8/EDG7Lf9YY+XZT7svikUKx3aMkv7oODgivYwbNNJlUBU6R1xKFjyNXYsrph8oVXr25G/3oyCl1
Y2dVBs811KWCRGNTVKc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KVQMgpDUHrR6wierTeR0rkX+xbEcjXbzK4MeJ7w9SHvS29lBe+HYOkqAQ5SJIRx8xUFthDCTQgAE
/92daIxY3pJp0tM6G2y6/Yf0IFcNkuZUojvfTbo0CX6yuDq474qdMIvirE0eShHS/aZJ7a1089dz
SoM9uNCOAMPVyZaKq7bDHDW/d6YkFWnFhp6Qv4FrOZLeVeqW6yzHChT8JAWzW+wqWkl5Wp1jOCyk
sxRgz65kkssEzjvj0x4nf1H/dnyWbHXVpMKww1wyd9klDUj1BdyUG6YmrWbhfrge50A/6AzJGbeZ
jqPxkQrdbY7hhxRzchro2biCUi1Nu6haBTukEg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37888)
`protect data_block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`protect end_protected
| gpl-2.0 | 5a2ec55523e35662284aa554032dac0b | 0.95046 | 1.827938 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/multi_fft/mult_gen_v12_0/hdl/ccm_syncmem.vhd | 12 | 14,797 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RddtphveL9BKrqDZ9RpPPmw6tPEAtSdz1LUFZh0sWXcWeTBOs5xpcLCmqKRTcuCUR9BMDVC3Gkga
BfsdHKX4fA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Q8qQusBz/cwQF/OzDbFrghMqvCiLpMgEbktU0IrwdhztSvwwsGm/jYfhFGLapkTF1Je0/wo1NHtd
gwrBquk/XlrM3WXoiRIERFGBZKjZnTIw1tdmO6CQvPzmX20GESsUv5nuRgIFqETf/QR5k1wC49aq
3VV9sDIFfHz4tWjx5OI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
V62+dYzC2dsb7PuKHbaNG0RZnKNz6mDIWmcutrTstJAnTkBqZkA7RTQwL3iRzsgMuAOosqKsQZ7s
YTMfPT1Qh3sezeI2EJWwq4JUY8kZrdm+6jC6cDSarp0Opv8g6a6QAjL7yrMMOPIflJBSqNxmQdym
v5y4x1FrY49ypfmpSV87H7KTlsHsx0b3Cy4ODGNFyG7Shk0TtOdBGQ/HGIV/LAfA1QROOZKJX7Uv
gReovbJiQ6o45YW/WQomFeg/T+PbqjG8sqpxQrW5ulP/3VzB49x0AqE3cYf9EXfJula7JTf4SgvL
/QzeGSaGkUWTGIfee6Xoma7TZr3v02tDJpXNJQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pdX1U+eiDYC/2EdhCBJmyuv/s/+RXmgNvolp1VRFoe1FDb837aWo+JyY943Xwl4jxT/v2pW0wKPo
v4KjHcVjHFW7zcBAHrm+me9HxbNpUV9fhYMotIruCh4a+8+QcSDIFRn/czfIKSbAMJ9S6KNlp12v
FbZkQhZ8/U00bhtmSnU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jYhwoGYyD5FCbdAe9Lx4kvuqpVX+HhHsqK+FtBrYrBA4qp1ioPdmrBXzjiFIvAgxOoDaB43+ATLp
Aol9sKG7ieBBEuqmQzo2z1UGXVSOJXzDfRtJ52q8ncEh+tYDl/6SnOmx4QpPgvmjrVcoYT7Ygf8j
KhxWdj6V6AetMDWNQk0urfoFQLt1dC3R5bo20COQCfeuYQDDTd3jnNWWwFDKOQIzp5busR96iWqm
6XuwFiBwcoRI2Nsli/lGPFw6SHiVeTGUQi0ciuq0/qiLDiO6GRiqELz4IB0x2R9rdvhyCnCqfcb2
LeOuFFKb14DpzUn8Rdtyn4iHgPXz5n4PCSIGng==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9216)
`protect data_block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`protect end_protected
| gpl-2.0 | cca0f920e5030f6ae011b5e82e88aeab | 0.935054 | 1.862898 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/arith_shift1.vhd | 2 | 7,754 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
jHQX9x8DON+ikpdfOXM5pTbOCVd1KZPOC4GTZwWSli5DK56xDc5wKKChtcBq/4wCWSrmGDEW/q/Z
Jkn8hSqUmw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
auEwSJFrbT9/wuO9tlEDPimTytZjWzXrDHM0SkWrx5TxjLWUgm7bBCgV8259D/kxyBoElTOOLTya
R6H/4b2Lx7eQhwf9+Z2N66n6lfbAm0J1g2kAZp2/ROz48kPyVAaIViSBEVfDuGZaE8l7NmdfpRfq
7MDwfpszyxWGaw8pmSA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OEwfY9SvdZgxqtld5hLKySzvLCYIcf8GFfrhoR/oAdkbb30O2ov1+ANkCPIlgxwsCF4SOFik3g1Y
FCXjzSNWDff4MMbVQ/ABsLOfurxk7b0JKK6ggDDT0pL9x80GrPTVnpp4HksldqiooR1Ux0MQlVhb
uXKaPFRysTKBrr6k1a/c+c+SPlAHi9LJF45uLdT7ew5AXuCHR1crbeVQhkORSBkTqlAcDyPMaFYh
F6h3Ad7J36Y2MYcRvJSKPOcbndEryAAPEkka5bj22ei2DdMY/bI300jvWvNnlLYgl4EBhj30DH2N
cXHfyDTU1J524QAEmaqzPSq5fTQ36L+EiimkqQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B5VrYTCuroBu+gPhJy5U1O9u3y5gv60HW+/tv9OS7GEL0KwWjy23m+OF38Aav5NJnJCQblXKDJ5b
Y8a35CqnHlRdY4qtSDM4yrRq61sgyyEVFDLdjcHDiiDsy3HCnq5ZEhrlGmDT8iQPz7yX5vsq9Re/
CSKaD4EGFLE/g2HOdvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mRcpj1tdxW6vcv/LWnkQgrJUP3/+UOfeUha085Jrf76xHxKZxBM//zp6vk79038YhTcjytfWPPtp
IGJS2xA96T8JYNqIGsNFkvQdtzPwvwbRGLzLW8iS2Q40EXRMWAWHa+E5yX4RXcPBtkvJENGGiSQw
p/nyY2yoOFNw96hCzrCPA3Q2BFneeq6LG//tn97yiykNHeyXnM7Pz+s3VlP8FMZalW0AsTIO3DaT
chCfmEZ2H5TZk+wLnwr8na2Yu7K1CBaX/t6ikwC7yU/Xu/7d8QDNMuWVGHzhlHP/NLbolBj0HOwW
/6hmZrAaZ8hVW/18kFMi9sZXecvCZ7bGga5s3g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4000)
`protect data_block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`protect end_protected
| gpl-2.0 | 8da6d7da52d278335961efa389f5c63f | 0.914367 | 1.935597 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/butterfly_dsp48e_simd_mul_j_bypass.vhd | 2 | 29,367 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RgLJsdZYT7yous3lf1Ta96Be0EhnxOWzCBTqJsyERcSM1IXmQwnAliK3f91jshELfw6lsThqoYHp
Psu7trgCbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UdG1VVymaHl/UdZXSVEfEWfruEtRau14sLJO0wsjPM2UVyVQRyIyRY2TowncrS0EmopX6FZwCbuK
txLo+2Al7flDNHuUB9voICDKqbzR3UTBgq+acZvcpdiilXKibPv5I+0woEe5G/ojrtwgoW1kdzA0
jmnECB4P1cW7SWrNDo0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QlKtfidVRYqKCa3r/pxNiEEFYsOwGOn4lTz1C/1fFN+eP3n7+mw04wB+kahruhnEoXFlBh0y7Nas
L0fADX3wegkzUc4pR72VuyyCRN0tCEbxZlzEE7XUGT/VrC8Op5xluxUcrmREcRVV+IzfEDjwvDlG
rjChPnR5sv8UqV4t2jeEGeIXZjI6GwIRGfV6hV2+keg8hssUPnNN8Xs/I0t37n79UhZ1ZEzxFjNd
0Yy1SI5agnxWsG9NoaRzg+HLv4jo8mq+ujgiPkfSfGoSMSwk5OBWoK/b81uEOK5W8kjj4xlW8Qiu
4SqQvNCx4qCt1qedOWPzFiRzulYd6T8SLu4EYg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HJrWBFBhNHss/n5oaVeViAbA7WH+vmSmS8yz8alCD0n9KGPNBeDqDcc1aEqy47zbvb4J61kqWuqW
uCs9G+F6kq2cdqHJLZt6X8tBfDk3g/xIaGaVmBFw8BkRohNyqMeXD0X/xBqknN33Vmz5c5dDeWkk
FyLKSE35z4Fd2eollMM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bumwaYdbjz8kist+wA4eN7hcYQxElgfx3jjjTBL82X+FTjn0JH9FfrIIVvhrUbqQUCMpaGcFbCbA
4xEZGW1QpsCwVcX0lz3o0J6u0l3SJAFUxfNWiHXlHe4csg75rh1antnYySGTa350x2jQr1Sf+q7E
l+6RjJaVgkwdVI8DSmf14SBjMZBmLs57uHMtHA3T2I9Qdvq9Qx0QXkFNGBAmbEiT9BsBzLpI2bTe
O1LITHsQQJf0/InUBMN1uVA1Jje2xdjEJbb+jo0btz1p3b1eUWjdQpjAY9yYg15oIdDjwXUEnu0r
U9LaSqzF8Ifuku6aJrQcWWI6sNsjPBygmQTUeQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20000)
`protect data_block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=
`protect end_protected
| gpl-2.0 | be423000cf1b87abad22f76e811b4dde | 0.946028 | 1.836356 | false | false | false | false |
fafaldo/ethernet | ethernet4b/SMI_divider.vhd | 1 | 1,369 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:01:17 03/04/2014
-- Design Name:
-- Module Name: SMI_divider - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity SMI_divider is
Port ( clk_in : in STD_LOGIC;
clk_out : out STD_LOGIC := '0');
end SMI_divider;
architecture Behavioral of SMI_divider is
signal counter : std_logic_vector(3 downto 0) := "0000";
signal clk_out_sig : std_logic := '0';
begin
clk_out <= clk_out_sig;
process(clk_in)
begin
if(clk_in'event and clk_in='1') then
if(counter < 20) then
counter <= counter + 1;
else
counter <= "0000";
clk_out_sig <= not clk_out_sig;
end if;
end if;
end process;
end Behavioral;
| apache-2.0 | 591660fc897a9affca057f3260a6bd86 | 0.58656 | 3.555844 | false | false | false | false |
notti/dis_se | testbench/tb_mp_stage1.vhd | 1 | 3,938 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library std;
use std.textio.all;
library work;
use work.all;
use work.procedures.all;
entity tb_mp_stage1 is
end tb_mp_stage1;
architecture behav of tb_mp_stage1 is
signal clk : std_logic := '0';
signal rst : std_logic := '1';
signal cmd_in : t_vliw := empty_vliw;
signal arg_in : t_data_array(4 downto 0) := (others => (others => '0'));
signal val_in : t_data_array(4 downto 0) := (others => (others => '0'));
signal arg_out : t_data_array(4 downto 0) := (others => (others => '0'));
signal val_out : t_data_array(4 downto 0) := (others => (others => '0'));
signal cmd_out : t_vliw := empty_vliw;
type op_type is (op_noop, op_add, op_sub, op_umul, op_smul, op_and, op_or, op_xor);
type op_arr is array(natural range <>) of op_type;
signal op_lut : op_arr(7 downto 0) := (
0 => op_noop,
1 => op_add,
2 => op_sub,
3 => op_umul,
4 => op_smul,
5 => op_and,
6 => op_or,
7 => op_xor);
procedure prime_inputs(a0, a1, a2, a3, a4 : in integer;
in1a, in1b, out1, in2a, in2b, out2: in integer;
op1, op2 : in op_type;
signal args : out t_data_array(4 downto 0);
signal cmd : out t_vliw) is
begin
args(0) <= std_logic_vector(to_signed(a0, t_data'length));
args(1) <= std_logic_vector(to_signed(a1, t_data'length));
args(2) <= std_logic_vector(to_signed(a2, t_data'length));
args(3) <= std_logic_vector(to_signed(a3, t_data'length));
args(4) <= std_logic_vector(to_signed(a4, t_data'length));
for i in 7 downto 0 loop
if op1 = op_lut(i) then
cmd.s1_op1 <= std_logic_vector(to_unsigned(i, cmd.s1_op1'length));
end if;
if op2 = op_lut(i) then
cmd.s1_op2 <= std_logic_vector(to_unsigned(i, cmd.s1_op2'length));
end if;
end loop;
cmd.s1_in1a <= std_logic_vector(to_unsigned(in1a, cmd.s1_in1a'length));
cmd.s1_in1b <= std_logic_vector(to_unsigned(in1b, cmd.s1_in1b'length));
cmd.s1_out1 <= std_logic_vector(to_unsigned(out1, cmd.s1_out1'length));
cmd.s1_in2a <= std_logic_vector(to_unsigned(in2a, cmd.s1_in2a'length));
cmd.s1_in2b <= std_logic_vector(to_unsigned(in2b, cmd.s1_in2b'length));
cmd.s1_out2 <= std_logic_vector(to_unsigned(out2, cmd.s1_out2'length));
end procedure;
begin
clock: process
begin
clk <= '0', '1' after 10 ns;
wait for 20 ns;
end process clock;
process
variable l : line;
begin
wait for 10 ns;
wait for 60 ns;
rst <= '0';
cmd_in.s1_point1 <= "111";
cmd_in.s1_point2 <= "111";
prime_inputs(64, 127, 64, 0, 0,
0, 1, 0,
2, 3, 1,
op_smul, op_smul,
val_in, cmd_in);
wait for 20 ns;
prime_inputs(0, 75, 64, -64, 64,
4, 3, 0,
2, 1, 4,
op_smul, op_smul,
val_in, cmd_in);
wait for 20 ns;
prime_inputs(-15, 11, -45, 0, 0,
2, 0, 3,
2, 1, 4,
op_smul, op_smul,
val_in, cmd_in);
-- 64 0 64 0 0
-- -32 75 64 -64 38
-- -15 11 -45 5 -4
wait for 80 ns;
assert false report "stop" severity failure;
end process;
mp_stage1_i: entity work.mp_stage1
port map(
rst => rst,
clk => clk,
cmd_in => cmd_in,
arg_in => arg_in,
val_in => val_in,
arg_out => arg_out,
val_out => val_out,
cmd_out => cmd_out
);
end behav;
| bsd-2-clause | 359ec8b76c0df0d2bcb3fa30942941bb | 0.492128 | 3.059829 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/r2_in_addr.vhd | 2 | 17,870 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
og/jp2tX2WPJop13uK3ZYjayWWffSw4MqHoPybXse5gdoPj5PTH4sGDzK3dw+/Z5VCAAZVQcxFfp
V/Pp1FYLLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nQFudfo+YMScNNNGtBj8HK+bEviYOQdBFrqOQ6RgJIL/bnoU9svoREgCCd/Nzm5Dmsu3UNdrVZ6S
FY+AyJT6c8Tm7YtDWrYzUmJLX3kPAKZ1ruWgMLMK31dKil/03lEg1V0zUTcgjPnxcewFbBHG7PQ7
tQMvRB54Ak5Q4Tk7arM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wiMRXXOKrrQtXpZLXce7zrbwTB0iRdjFbFJCx2H7C9QHMA1LShi97yr6TQgJHS/VLvXqxI+H439n
6vN3o4P6fDnu48s/S+uSjMpJ0cwccN43mPJuwHVV7YiLYApam8Rf3ZgDpM0AcSvTeOa21/a25ycp
0FwO8RY4zrTfAbQUJsAIo427Kh+jse7EaRBYF4T22xSeLBbmWLpQWoKE0rCDPQ0Tq+QWRe0v4/nV
WRItwZfz+k3JhAZoBydzHxEHdumYdt8QM/twbluuMmWM1no5qa8rQFLJqxhCu6dIOVYwGF3+SgIo
BkF8nbeSgS5p3O36s6SRJXhnNBhwCTBLfwh2Fg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1Z1ukCrd8mmKC1KH3rMSH9d2U46GAyaAB5BGK8iFc2UtlRAcU6a29cvqPUszaQx+pynkD9VCt7FB
0y+LkUuWKWrMLQj+F0O3x5GJ/eeVvNBJLunJ6rqrf2e2zBQTh/usccTPlxYzwVm6A00jc3IK2MP0
P4iM2gBRoh5M1lNo8dI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R26iNBSQCaCbnj8Yp5HPRfjw3yrqQQ0PMGkDAmP5y+cNsPKJxwq25bXo5nhOUi5A/G3CTlCyHXNL
FAgDHwITAgOjfBdE95z/HAER/hLB5M9JX0rObBZhkRfTU3SsH2DAvNC7Bqpx/J509JMViHE/A7ku
nlzELg8bc+Pd7c5mbdk771IJwEyttwcpKyzHGmzwskkqrWC7+6XS7oInfYQDa1RD3ykhKGGJM/gt
BIKftLLms9HfU1NXtPu1ugrwdPQt02SydtNkyhNyEO2P57Vr0wL4owQ7ZJ1Gj2N1YvX1lhvxZ0Jx
mJjDkG+kndmfkkeC5Mq8tcNUMEu7d0VWlOeMvQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11488)
`protect data_block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`protect end_protected
| gpl-2.0 | e33af7c4fdf6549904fc880ceabb3805 | 0.939284 | 1.858748 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab1/Poject_Lab01[old]/ProjLab1/ProjLab01.vhd | 1 | 3,445 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: Rob Mushrall
-- Timothy Doucette Jr
-- Chris Parks
--
-- Create Date: 15:43:26 03/25/2016
-- Design Name:
-- Module Name: ProjLab01 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ProjLab01 is
Port ( CLK : in STD_LOGIC;
RST : in STD_LOGIC;
ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0);
DST_ADR : out STD_LOGIC_VECTOR (15 downto 0);
STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0);
CCR : out STD_LOGIC_VECTOR (3 downto 0));
end ProjLab01;
architecture Structural of ProjLab01 is
signal GLOBAL_EN : STD_LOGIC := '1'; -- Determines whether things are enabled and allowed to do the thing
signal OP1, OP2, OP3, OP4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RA1, RA2, RA3, RA4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal RB1, RB2, RB3, RB4 : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0');
signal IM1, IM2, IM3 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0');
signal IMM_SEL : STD_LOGIC := '0'; -- Determines selection between immediate data and RB
signal DC2_1, DC2_2 : STD_LOGIC := '0'; --
signal PC_EN, PC_RST, PC_INC : STD_LOGIC := '0'; -- Program counter enable
signal INST_EN : STD_LOGIC := '1'; -- Enables instruction memory
signal RD_EN, WR_EN : STD_LOGIC := '0'; -- Enables the register bank to read, write
signal OP1_SEL, OP2_SEL : STD_LOGIC := '0'; -- Used for data contention ctrl (DC_CTL) to drive select lines on two muxes
begin
DISPTCH : entity work.Dispatch port map(CLK => CLK, -- (in)
OPC => OP2, -- (in)
RA => RA2, -- (in)
RB => RB2, -- (in)
RA4 => RA4, -- (in)
IMM_SEL => IMM_SEL, -- (out)
DC1 => DC2_1, -- (out)
DC2 => DC2_2); -- Dispatch control unit (out)
FETCH : entity work.Fetch_CTL port map( CLK => CLK, -- (in)
EN => GLOBAL_EN, -- (in)
RST => PC_RST, -- (out)
INC => PC_INC, -- (out)
PC_EN => PC_EN, -- (out)
INST_EN => INST_EN); -- Fetch control unit (out)
REGCTL : entity work.REG_CTL port map(CLK => CLK, -- (in)
OPC => OP1, -- (in)
OPC4 => OP4, -- (in)
RD_EN => RD_EN, -- (out)
WR_EN => WR_EN); -- Register control unit (out)
DCCTL : entity work.DC_CTL port map(CLK => CLK, -- (in)
RA => RA1, -- (in)
RB => RB1, -- (in)
OPC => OP1, -- (in)
RA4 => RA4, -- (in)
OP1_SEL => OP1_SEL, -- (out)
OP2_SEL => OP2_SEL); -- Data contention (out)
end Structural;
| gpl-3.0 | aa1a5f255b82dd386359af0eb3abcd47 | 0.511756 | 3.290353 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/mult_fft/sim/mult_fft.vhd | 2 | 4,781 | -- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:mult_gen:12.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY mult_gen_v12_0;
USE mult_gen_v12_0.mult_gen_v12_0;
ENTITY mult_fft IS
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
P : OUT STD_LOGIC_VECTOR(55 DOWNTO 0)
);
END mult_fft;
ARCHITECTURE mult_fft_arch OF mult_fft IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_fft_arch: ARCHITECTURE IS "yes";
COMPONENT mult_gen_v12_0 IS
GENERIC (
C_VERBOSITY : INTEGER;
C_MODEL_TYPE : INTEGER;
C_OPTIMIZE_GOAL : INTEGER;
C_XDEVICEFAMILY : STRING;
C_HAS_CE : INTEGER;
C_HAS_SCLR : INTEGER;
C_LATENCY : INTEGER;
C_A_WIDTH : INTEGER;
C_A_TYPE : INTEGER;
C_B_WIDTH : INTEGER;
C_B_TYPE : INTEGER;
C_OUT_HIGH : INTEGER;
C_OUT_LOW : INTEGER;
C_MULT_TYPE : INTEGER;
C_CE_OVERRIDES_SCLR : INTEGER;
C_CCM_IMP : INTEGER;
C_B_VALUE : STRING;
C_HAS_ZERO_DETECT : INTEGER;
C_ROUND_OUTPUT : INTEGER;
C_ROUND_PT : INTEGER
);
PORT (
CLK : IN STD_LOGIC;
A : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
B : IN STD_LOGIC_VECTOR(27 DOWNTO 0);
CE : IN STD_LOGIC;
SCLR : IN STD_LOGIC;
P : OUT STD_LOGIC_VECTOR(55 DOWNTO 0)
);
END COMPONENT mult_gen_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA";
ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA";
BEGIN
U0 : mult_gen_v12_0
GENERIC MAP (
C_VERBOSITY => 0,
C_MODEL_TYPE => 0,
C_OPTIMIZE_GOAL => 1,
C_XDEVICEFAMILY => "zynq",
C_HAS_CE => 0,
C_HAS_SCLR => 0,
C_LATENCY => 5,
C_A_WIDTH => 28,
C_A_TYPE => 0,
C_B_WIDTH => 28,
C_B_TYPE => 0,
C_OUT_HIGH => 55,
C_OUT_LOW => 0,
C_MULT_TYPE => 0,
C_CE_OVERRIDES_SCLR => 0,
C_CCM_IMP => 0,
C_B_VALUE => "10000001",
C_HAS_ZERO_DETECT => 0,
C_ROUND_OUTPUT => 0,
C_ROUND_PT => 0
)
PORT MAP (
CLK => CLK,
A => A,
B => B,
CE => '1',
SCLR => '0',
P => P
);
END mult_fft_arch;
| gpl-2.0 | 33524affb0a6a931fb6e6434e5492bc5 | 0.665342 | 3.611027 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/axi_utils_v2_0/hdl/axi_slave_4to1.vhd | 10 | 47,179 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hbGubOUfQaanNJ/UBEw1AfPGppWufgTzq114aYvY7yYBTwA1Hdoz/2aoq6qe4WoQGGjCEpKzt+gt
SbAr0n7XFQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hoQaVqx7evm82WDr3oG63JtOqEHPrs+sUjUI1+pA7HmOwR5YhxRm3g2OAn8XcAcQIPSu7gLePk+g
Mg3aSxPB0EjzZ5EllAZYziyLejudVMfOwJkcz5HrOk4QCp8r8eZEko5yw/otWnYNIf1QaupJ40Gu
wYjb3RaAUpScrJSHqR0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
akyAJrCXmtiDb2Mp+NJMv3s2NAAVlUA+hbgXSihDPM9Ryqlt5DZoNEfNc0Ua9GTFo8kvYQTr1YJq
riFMOt4C8b2Vz/2N7BRuIn7fRZzOKBerX+rh6WktDcF00FBXYvsgENJ3rgihyNTxF0RisYqTej7c
4uDeCgeKKD0rM7lCQPn5mzJqIAQUDvqF6syguoGnpwrc+3eLCYMum1JqNt4RSRZU4k8s1nEUVuaR
0khdMJLJUqeASyTF86C5QabQchvu5url3Fc9I+4haC+Hg1wGIbu8R5IY6rjhO+iWB4KBAXRM+e0L
RuTi564GQbc4pR9h/y8ptHaLRtjriwnb8x1hHA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HUx85zRRXrSFJxf3NLfEAuaO3zXiaVnr7XBVIYsIpHc/eCsV66rjMIYZjkhj9cDWBLSgOMH7TZ0P
TcGBm94N2sSkPwQXwjGQyOVoI0vf4GuypKJXVNg/sX40xigyLh4bik525LE/Y1PYgSzsjzm0E6oI
tvO516rY166UToxIFos=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Xo8nadPAj+P4eeUUxa3E0M17AIG20+i0gztucLc2+yyEfcrGIpsogBMarHBYt47T51mGEJGHxHYZ
kfC2JrwYqqsZYbuntmdyA/FsdHzyWOZrHdU2T9u7UiiriReYQzpztX1cQ+sZ7SIixiMWNy+GKbNw
wawgV6iHMZg/nnEt2B4oJWemNXl1kaop6+ofRGOx3RCCApJl0oTk76nhciNnVUBnbJtd7SdpEzoH
cvIO/uPAijXkCAi5HkMEygNqrQIVgmYTte70yuW+PvFJOpN9nHD0OY7gk4fWSZiS0vWQDC5iyB7W
mNXdGMtMXRelVicShBOKgVdXhsDwEqLuY9sISA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33184)
`protect data_block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`protect end_protected
| gpl-2.0 | 3032d4690bcc44b6a37778c905449a84 | 0.950274 | 1.82652 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/compare_gt.vhd | 3 | 11,450 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aPwFFvH2pMvDA2y91P1SlgLVaWyWkojA2T1oN/qmG25QzynUmiky8ycenkujviGXAOiCYMRzFMZ7
ro3ENwP4sQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oZt8omcXfON2ztnWwISmjJVTWtptwrcQm+3FlX7eJOeOvht6IMjKX5vGDi4b5VIUQVcz/iHbs755
j690fQPOZgzQeME7LEOqO4GYFB5WMJh4ikfhAfem1rcRTJy5BvSerbk4LW0tIkpgmFjyn0YJoP8L
H3VrWruOfmZzr+XmuZw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qCS8aUH+cukkyh695BJZA6xGt4CeSJ+6e8gxfdgyVF5HJ3PEs/avaED9sJo/6TtVGU2OFotjEv4P
J48vuCuMx/Fe/jBIJPjj5BZOSQmBwlKqFM2G/hgQcqQujfpXMc70NJ4wQF6snWH/t3+nePB3M8DC
6O5/5QNoHPU4AFxWyvvRgdQGRR7HTyoJ7kcbnujwI4SUlN9BMvEtybPX2/gp2wYX8K0I2SIQGdqd
AvWzWbXTin85k3kSf4u3DHK7wV0jd2hiB4PcArlXK2NZikSc0CHfYtMREQZXf3Lwkx+TEk1fly/y
YWQdfw5+oV5kTw6LffgGHmMA/crK/kzUL9KyKg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
w9NOtZCBVI0Jghv3r+RHBWQm/zuAXwiMv5BKM1P5z5kZXJvisAqhRqFxWGbZkR486kraJi7Yh3on
AuUdx+H88f2orUwRkp0fnuQdV9BHOLdRtwoxM0Mzxi30P6AJLaH8gbClcq32luBvA2b4z8KEDW9t
1l6Fw1VBuSwuRuKVfKY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DvH5jxwOJ22XkVxCELjBOfsbm7udeYKyuXIHjWOHx+MBZfheql/AG599UhZnpX+xlQy+VqBTehpS
OCZWdUIAk0ZdpYftRcKuo4HgcKUw5V4stntE0uLf3YzRFxj1AoFtTPhebGCDkYlpyCCugFTvMzsM
60SnIEJcKwb6frvIWtJa8EEYpW0Casr5ag1chTKLN2wAsL603oEp0YBSebAETdxsoaV5Ctjpikpx
PFGXXSe2TzD0hVxwsvuzg7aJYI5fSeWQRVy/9oZmSmtGL1dH64xjpqVNNhTy097YQs+oel2CQwB/
JjexgR4wv0czQ+sV1kZNhlzxy/rO3IYD7qb2tg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6736)
`protect data_block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`protect end_protected
| gpl-2.0 | 0cb2c133d87d7cc3d0df667f1ee2a6f8 | 0.926201 | 1.894127 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | JumpUnit/ECE368_Project_Lab1_Team5/jump_unit_tb.vhd | 1 | 3,736 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:10:11 04/22/2016
-- Design Name:
-- Module Name: U:/ECE368_Project_Lab1_Team5/jump_unit_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: jump_unit
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
ENTITY jump_unit_tb IS
END jump_unit_tb;
ARCHITECTURE behavior OF jump_unit_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT jump_unit
PORT(
CLK : IN std_logic;
OP : IN std_logic_vector(3 downto 0);
CCR : IN std_logic_vector(3 downto 0);
MASK : IN std_logic_vector(3 downto 0);
IMMD : IN std_logic_vector(15 downto 0);
BRSIG : OUT std_logic
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal OP : std_logic_vector(3 downto 0) := (others => '0');
signal CCR : std_logic_vector(3 downto 0) := (others => '0');
signal MASK : std_logic_vector(3 downto 0) := (others => '0');
signal IMMD : std_logic_vector(15 downto 0) := (others => '0');
--Outputs
signal BRSIG : std_logic;
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: jump_unit PORT MAP (
CLK => CLK,
OP => OP,
CCR => CCR,
MASK => MASK,
IMMD => IMMD,
BRSIG => BRSIG
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
wait for CLK_period*10;
-- insert stimulus here
OP <= x"0";
MASK <= x"0";
CCR <= x"0";
wait for CLK_period;
for i in 0 to 15 loop
OP <= std_logic_vector(to_unsigned(i,OP'length));
wait for CLK_period;
for j in 0 to 3 loop
MASK <= std_logic_vector(to_unsigned(j,MASK'length));
wait for CLK_period;
for k in 0 to 3 loop
CCR <= std_logic_vector(to_unsigned(k,CCR'length));
wait for CLK_period;
if(MASK = CCR AND OP = x"F") then -- BRSIG = 1
assert(BRSIG = '1') report "BRSIG assigned incorrectly, MASK = CCR AND OP = x'F'" severity ERROR;
elsif(MASK = CCR AND NOT(OP=x"F")) then
assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK = CCR AND OP != x'F'." severity ERROR;
elsif ( NOT(MASK = CCR) AND OP=x"F") then
assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK != CCR AND OP = x'F'." severity ERROR;
else
assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK != CCR AND OP != x'F'." severity ERROR;
end if;
end loop;
end loop;
end loop;
OP <= x"0";
MASK <= x"0";
CCR <= x"0";
wait;
end process;
END;
| gpl-3.0 | d53953091465bb66aa280027f407a653 | 0.563169 | 3.50469 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_fma/flt_fma_addsub.vhd | 2 | 16,873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
TsJFl04JS6YlUU7J3uApH7Xo0XA5c7+aydhmLM2+P86lUf4zclQghWUoeB6TSAJ5Hazxfm5nPqmW
Ze2KxayDLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b5sfIyb4yLrZhtyOy//s2G7cw1KBi5wLzZPdPQJiokG1iOogY3iDk64RBEudkRE+zbttKwo5kAXI
u+9B6C5yMDiIEbaj/6J94pue40q5oMmUsPPNUXURSyL86srVPBAN9yAZ/+6ClgYkjo/CFsBY8W7l
zxL4GjHW/bnLYPSGwzg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mFhSvq0o/epMngVyimAvq9aaHlu4n1Q9v+1XDysKKLAXqAGVKmOaFAdudOsex2O64FqBI2sAfzak
NQZrorVOP6iKpkFMjd89Fs8XiEBxy8afMzQWrLlxKSwPfYXm8YKkIzQBHul4FJqB0QEBbAGMVUno
qI7/4cLOv78f6/yghrU7cK0ICcbuM4qA6Wsa1/tIvyCF4NwpDCNQPOZkLab2PdxHxYT3bjwOKCJ6
RVIRF7tvgwmIjGiDgNazCytqt052Yqj9uQaGolyoTHNqsOsRoPPnviui//qLFrV8uyackhc7e8uA
EHXqXjRJcwZx2qRUxiNSZZkXJ/Rr1cOL1sfISw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
v5YQHBvQwyCDz9Aqx2Ss4rmApVIdaD9ls6x5vmwiLgrAAupcN6SmndqODKIEK+VnkdVc04DUKWZY
NlJBGfvP3zF0HMxemEZsAlQ3w7fti26AsSvNqQYOh5MYNZvJWTeK+U+Ydzhc+aXJB6scN0dclvdW
jV2SPeWwSYALT6HFjVs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HJ8t/jZKjjYPy1uh/vymrr/WMUauBjfIRmIJcxpMpKg2IyoPyBi97JRSRJVTsAihP6C2sf3h6WQX
Cz1YdbOi0kE/7tkNyITrNLv5r8kvQjODbTDE/HCqEEzCxoyx+P8GjzNb/OxCYOgOuiQirsTvJv1S
o3pD8T7zpFYwXFl95NB6A4UBxINf3n8vDNltJ5YNlJ+yjIuuwhtq3f4lkXzag07evHubrCWSnJKJ
YnYCYg04rm4k80cvi7MQVBXW1bkekpbxD5b+1RDmhOyLr9EduJE1faCL+QI6yNlKgxx8bzMjGtM4
9uwcR2hwJ5M3887qFdhpE4i6ebOU9mau3JKJOg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10752)
`protect data_block
zKETwPY/k1hoWednxlvCF4AN6+rwANYKyjCxUuphroe9cUsqY0HezsmqlhmL7mvl9aPKY+TRHbtQ
l4IwRrasPrRkM1DH+DwixGPPbfr56vHYngWapOsQIB1i2tQpbwFALtEKS/xkKCEPR7L11RWbiWk7
5vT9yBW4MghYInC3wIDqikVLBaJSPuqrObH4bDHqUpY3BKhBB5yPoVuVed2XyvCBkhzK51rT6SYN
6G6LdPzKbSg6HT8R3UaD21vHw93rJNyfLabGW5ZN9vyqGAfd/kUKPC0/D+1rGwrGaVtxLc1OsU9h
F83osSz7sQNl9d8kSB3fMXjCIf+0urIXrbp5DOWYOIBED6diW2LLxdmgGErQt70roZRyofjDRZP5
PFigm92nmNFm8M5rSynqT1jFtDIvB6LQZd1s8gp+bN0C2Vjx5C2IxJ9pVR01n3PNsYRoaIlQr//u
7+Hzzo4tn8TXY6IQTrM7l0YOXMOWGc1Y7lQ79LViDzqlFx3JEleVJxbcDwy74wyqu724rqXS+l3K
kOdM9kQv8oO8ZjIxx7lpXN2TmppLnIWf20k6DSWSnB+sYEBNAokJHBIk/mdNGW97NNyIw/dCr9dL
hVBiSXSD4hj58lfk/Jcflyl3yUU0PcIM1qVV7SDMq0qFCKI95mKu+fV/4jRkTjzttCASz3Vm0atK
laKxDRHK4iRMsdYeicxvyQAopPJLodhfwSH1geT3+LjBHCs/QI2U0oHYCGXKHuk5lzVi9SvTRf9g
Q7qALbGF6HsSIRisLH57V0lP714KcHJxZlb5E78t9z0SA3KlykFGrwmTXGU6TBlTx1UhffmMkEFG
2xgKFwHQorafom6Thyq7NyexCI3qwOfmsn1/NaVRQMB3Dyvqkj2RoMwTN7syX30XdJl1lsm/gLIH
dsIMxjkt/Fqf6qumPENi5vKUwv6sUgfdYUo+gyyMkDKPS6hxD115MmWIQiXNlM16LDDvVfTRNVCH
O6LoyVBpXKNu6TCDix+WVv/CVhBWvVGTWXgGL/3D4JUNsHYzi+IARAP9AKClj4XCAV3esenibU9F
xYs/Vt/i1l7B2Njdu24geBINYY3JpRUNpdT6XGjHpd4rTApw6KwiE2Q5XXq7j36P9IJxUymDj0zb
4N5ArtOgJQ+gvckbsmGIfonGhR5LpiENM3YeKpw8eLI2OD0HoLSBZxkqJlf23+NtKxJZGcePQy5T
ANjzHSQfRz4ZyU71uyF6xbIUU6r9Z1QcUifEYguvwixhpQ/lKN24ptTg98uO6DjJ/y5PX6n8ZZ/G
mle39LlmMjciSkfX5ctmXca3rC2JFAvJeadxIn276NQXo/d5bbpuBmLUr0TQDhOQ+a9fTbm5rq4p
sDfOV7uzGR6nO9caxf0uou6xwmzhdtDJ53Rar5DrrxbVW6SF16ftsZOaQFWqeEzBaV+sRXau6Fb7
qOc7F+MYSnAX8hfms39rN/DCDOZeHF9YqbUpKUFFlK6dWOeOeh3KXOgIU5CPTG2ADKnvowtexBsi
KLj81X1YmnTA8CeQ1ASf5QgqXcGfre6xmZWPYq9Kmx6RMinpPoRGnMOei85/hjqMaVLFV3094OVT
80DreyVpi6Mz8yZ8bg160NpaE7mmCzh3WhecrYSJW4VJU2Ib2BsWYBSbmBS/4v6M1wFNTeG/23rH
8+Tx3QtSagzNmEqPuZklPSGJhUa9ar283E7djdil+fWn3gphAu4e1/XAvXKbUJpdTVOznQKiZROy
rIa/56WeMsFoyt6NH7ipDH1zUNGpptoa5k8KxG8Rb8X98SAioRrFVEQFmXjWrEtnZVzDrsea1iQr
GGoHAn8j9+2yrIW7q8vcm8i1auwxY8H4ohjwUe02OiIly1IwN1K4KqS/qJ6VyFA397Bp1clNG3nD
UKpTgNu2H//EAqffmZvDH0lCiRGk8G3LwsOXJz3wvWgbnY9cp29o7rxXC7a2OHeq+QMhyqXN+ENC
Edyqxbr4fGPtZUj0fwMFkFS3NHIW0auYNSfdVQAamEiksXDgkK2+otGtgzifkMNQYr8zzgsFw+C+
Ut28jW7n9PtLD3M2KlkaUKHrib38n/aMLWYhKVSVEAnIwKjg6mP5rAzc6PEN04pw5SRPRLzm4oi6
vgdvWBjLAAuyxOS/Ry9aVRlSa/AX+ymt/uaLZtILQp+vpMHsMW7nJtHrCOudE2xAq6IA2G6Q5Jxg
7UvO7+9KlTj9qeQ1EJSdjJFc6GdZe5IquE/RHTOMamdRcut/MYlovNo7V1U3J6sXIdLExjAQMRK8
rn11cT4X23Tc7Y+xGcZZh7GRBlM3BBIn7zNQ07NQDHPMvom7K7+Zt6uLu3hgnNgsiF4zaHI1WExH
1LStetIMWXZRnjqkgMa2IMVvz6VQdv3cJmLZqqEHa7zzK095IKnFs2pXegKCDBEpzdBmP96rV1mV
5oI326c6wofLTz/SYUkqjHVHWvDRPa0r2S2BRGDPCXOvxUl+qo150IYJTyCyzG3hVHtXxMr6jZbv
5jCXpTBg9Xcx2UnXUkv9cs1ipoYkAGNVXcILovgJdIEi0FYcR/T3mhpld5p22+b2VyUhRio3Wt6s
ODjFENL3cOCUIyC7Gmk6nclcgiEC2IwYMFoC4YTWEqvrQswTuxKABCzhSq+hDPBc7YES2mYLNDLp
Zan3eLtQv34/04A4D6PAf/MQrOx5Dd8EGow4hwSvNQXPvAwDZzLl/nFef5m+4FsIQFF5eNPJeYlh
dQZYFLqoKh+qVF2ndZXsGdQc59ZPaBczJb8Jc9CLkUdbqGc/BwxhBiZwFVWrlDK3yOoGOGbOJcDM
dUaDu3JNXdXGXUfKn9KbI7oIMtoq0rTxkjJVQn2v8tMPKteDqPUMeeT8ijA4ssWeVAPFaYyqiENk
7tlvyVg9gkXl3TxHHi4l/j9iJopr4lzNdn2jJ5MzXFgz6PdBEbIVfoczTNDgTBVxO+ir99QiC+HJ
pMuA2Kbp7pSm8RZHAr205xqoy0koIDtjJuvNYoyvVK80uVxONR3O8UDQyFkWQ2cBs0RHQBtZQiJz
bo21H7UfEormE2PdPLNNpyYRu7Mljds/kw7X54lgyHCqBxrkGWEHsL+Oo9u2K3CNI3JNezzT+BN0
EEFccgCtAE+XwEKpti/9VBd9IlhB7n1qEYgL4IASDTyat8YPYxtsOzILYh5DaIRgRHo7CSCvVEKu
hnctvK55Vqg6785bUuvQb7qCkrAZgDV7RPIPsha/Uf01uBl4n/fbb31dxa/zJLBpZnHRpF/TtA+1
qifdNkt7xipW69m0w+8QKVffpju/h13KZq1QpHBPBdjxRPY1R3Wfsifox4Fz4LKuXsiGah9dq4Wl
8jExk1XiCa+/fJBb5bMen3jY+yX9Zq0QW1Lyb+EjGQgDVtB1coIdtfVg6Ek6OVldtngcvHPedwRX
ULpQinBf26xu26ugg42vwKUsg30rK0SNXCOxyr6DaBASAKPumUW/8xzsFJUi109fIK4AO7DP20xs
worb9xTi2xvS9KPK9LL1JhH8kyMm7Rk4JDg2VP4+ak2Jbdzs0EIiR+DhNUbN/Js73d7RSRfcbqw8
OHFto6VRRB8gItcxwKBhOlJ0laIrMS1Dzt5LFLE47gIlU3G+x8L1C6c4DP/LEsZ2jy12ycC3QZ0g
30wCnd87ugRY8eAYuyMD3GIIBPqCwI3CgJdggThJvNUQ7XQ7iWQdso3slorJTN9xK+iVLQZYqT6T
7UFfK0LNgGqxJbxfnCrvmv0BMb5jMYlowYmpnCS1bK4J4lc1E1ft2RlvEciU82VDz2Z+q0uUjoFF
5bJ3GDoiZ0RkKK8Jv7gIwFw1F1A04mT3nbQGThxlvDyLbJFh6eYwU1ncNZT6stPWrVfYXLlF6Sq8
2/pl3bs/6l3FzR4ZdJ6L2nwFseLq+x38TiCkgAulNvTyZ7GntrAdoV4XOhaPExaXFkJLPNMR82Xq
HfJuTBWn/7YO+YHEpXEPfl1tEg2HCO0F5V8ot8t9ZqNNTR2x0WTCEPdBtsenbsjm8jZG8DTTZm3Z
zOEFN8GYCiL/1dgrn1JAsgtMgbQe22xc9Ah+pp6TD5AII79CsU2pcdqgmqRBP2+qW1jJmLlZqfrH
Pr70hWoTB0nA5Q5CTmvcP06HkqsolWZ4Y2rN4LFkwKJlgaHoS1cnhuHTkopm7VZxGnux9PWBLliC
VyQHcqDi/REP9/nA7+KQeSCjxEieehM0gIZwsxgXgNb3clTRqD5Md0ap+ipk98DSXr0gnwnyEhrn
ekYmg+AGupp0qf1gfFaNRzvYgSU/6xxyb/TrtHlsDKAtl9NR8rrR1nIGCFitbmClTkZmGHLeQmW2
WCR+jcODmKKpMRNeLhZigKj8bNUTk9cf9EjTyg8T3WkNO1wXIIFoYVpTad2yf8arBuXz8NVDpUR4
4l5o7v7SDrdHnBH97cuWirPb9I/QNIsghbBhzNgl7YkXTEkS0foAx0xjD5kRIAE/UFHZwv70/uzK
V0Tu6/WcrrqSSly+VkRGQI26UxSkZhYxJAS14QKbzDsioow/su63svbKgJmbcYBt/2zRVJQWEthW
u9E+vB1VsQuaynTAITRV7mlTDp+gR/tt4ODTCELiMyrv/6IDYj0q4CZGU+tVuFtyqE6sHeRVdHET
rsrAfhkYHBcqzxKBHdLtHpGiBgDufxxuhzbWuQxLEtGnbJpa0UnsduNcme7dEBWydoLr3OdPF+8M
8zu2QooX5jDdsQ/rugzNbLEsf9Yg138woVUlc4IlAh+4LRSXubWc5Cl5XjkY1MeSsiMDQjkRvKNM
wXJatGD8+mG/cLdOg2mhnry+zJ0U8tykg749uxlRoGxK0s6sJ/j3PTh3BPp+S7plD1T7JWNfoMHW
mBGGSDZreJsp+Ybi8FY6g9YWZCnWHG+U2iiUUiF3MxQOcGRQfFEbFEF4uDSZJZjkcIc6BW48jI/s
YFrgo9bEl0by48P73W2UBEi74IBu7ZqIo4IwVmFo/bgaQ9Qf54zEkTtI9+vXJ86N95yfXxd0bsaW
hGR5CJCNEND7/xRklpwT4bk1Ao0lIAVFRPQFkPzsuseFmJjg4MSj2ZelxdQOd2jOyFj8H8MzwPTd
5QGlTbIStVjdkWTLMq+K3e+neyg0S6a0QBmRjOCdI8HEIpnWQDwJ9gosZx1rV32GaugwvssEnZqo
Rffi5ipuu1f5y+ihHcG1EVWbKJcbfMQgcTSy31q5cxJtrnbpst2BT8FRiZB2gQboKBnUSNjU/DLl
7u3cTPRXvew2aEeWkcj/dvxokrL8Nh2k5zRJgWmg5QauQi/IIQWBo0ju+cp/SEsyi6XSAVp7Z7Vo
TJc8PUgGJ8cu4NMvLBB/w8Mi7dTh6xva1EdUPU8qu0xz2isUHWiEXxVzBHhitk2kJJZbj1bWlTPv
G/G0ZUhperDyDx7sEXfYR7HwiV0c6B28mgwIwvMQxoRiKoNuy4KvX0tx4OeUjq7PT8plj8GYaZq9
Lp6CjpGVjeufoF45Sue/tr0bhRPnBY7SJww+wqlfw1NJ4tFh6s8XJWRKX25DH9sJuIBLEQ8Xl7Am
O6K44V0i8sY5XdRXY0kly50Prz3IwrpxTvxb3BCREVO3fcF3vhcdeVFm4fy6HGKqESx/GevlZhoh
1uOqdiVBlhYx5zOXM/QhwbVYvZrcR887ZWFSv9abAw2u0+YdxuJqRIEZ/lpPe7PFfqf5Xkkla0U4
BhRwcYBGtd64WrdHWT4pFb5CV6fsTKMk+FVspJ34H7dFT6HmC+IT9NuqosZ+hJOzfaTY0v/F2gsQ
lT88d37ID6RLA9aqZ2piKb+BuE4kukhTHY9SXcjIH3Qsmsu3sVfQZWMhmhswyeQx051GRjA80QLL
xvG8OTk7H/wGuvY7GmVpDktfI1/BvMNeATqCWgqqbLR3X2hDvSmGc9ujIwHdGRU3qFEYQmTaPRu4
d5VWAXQRnuLSk8xf3hwxuYVC7H3Xjp9iuMbPgo5jsFDtVFG0fhqIrrl8jMsmpsZHxS096Avk/kIp
M/ceZffJU8RtZGAQDwxnsdOTq8LNy42R5oliNN53O9DopgdHLAJ0haRNDhxohaIR2D9f/cPU9ZzJ
a7S8IE30Yt6t/G+yP7J9+arK65TL08czDr/4e8zsMyJ8sm2MkT7egfrFiVqx+SSYau72YkyjrykX
wbc+Ctw6Ead0FBIhd7gGEd4y+QUozGprzH4U4zdrtjilBt9+h8IPaj9n0cD0h02MyuJQhJ83DGrA
lADxoeX7cRZyqHbgDyy8m7hIzXd4xCea2dN6WpGBAhh0xRSflh3tEu46QQz3LKfelva4RXhpOv2+
TkUM8lp7/td5pItmFO1fFL2BrlI3nIQntBAXBpmw8dNRAYETARi1BNMDrc5DIRqNsw8f4jyNHCi2
9srbos2UsLXa+XJwphxeu3b82YNy3CTKblpJOZUmCJPMdMar9QhEI7qanPlcjOtOiQ/1Wt5qikMT
zzRuuLTJx0duLdk/wPYS2ki9RSCtrG3AXLH4WxzHeA7ApFTo4YwsYQFo33L+eU/f/QmPrdqsc+wv
M9w7PSKVsH/QsDmqxJTb6owe2sq0KqCfvURaEU2CsPg4a1UtWujMgDfIDdJDsmUE1YGtXx2uWmmf
kp/H9/aCQ1J+0/dzEkOfkj8qNDyU2is6qO3URlL2Vsj3rEoMX657jgYJRhhLsdc266H57uJ0fvik
08S0fj7ZnQOWSbpqTIlHefNyVjEq25e4VGOcGfCUiX6PPSepsqZ2Sgy5EAQKI990fiFXhFCzKBal
BlnRhtHcq4t/K+SM21Xrk5K80u11FqgKG/xu0PKhC+scepRQLs3dwbwWMWV7+6bLzeCREaN9R/j1
EPBf1Pj+vIBTtJg73IMqq3rO2TbgFVOJIT8ynyF6Z4Inyx9R7rfLAqiiZXijy1UdXSykP+Ya2EsB
CVJC+npgAXWjAi0u2NYyTQat8gjjB5sR9C5hxhIqqqGgiar8ahCUzq5KOLkkm4fhNj75kqmlgSxj
3Yp+mpjongOBR7uZCxoStvSaSCh5lihg46/W5KWgve7xWuLQN6WVhymlN8lnykPYz7hlVs2dRda3
MgbKLYXQqj4K3M9ykF2tMGLqwyjFCwhQ8kGO/7PrAveK8H8TPSio+C9dkGVEIJOiJbWcPnaLTD/c
9n6CnTciohtmJsOq6zrtfGZM3SsyqTkx/64l6L9XwTj5ztxynQQy4a3yEYuy0yl1srtZhP5ve900
P7EsChUc27g8Xo53Nw9jM3fb7QFh/0HKDlqTcdzdt1tNQxu198WXy24V5ca1Io5IMR0jyZ/stDNO
FIIJxvfoqzDKfJzWcCWsfoFsR6QYl5pCMrmVaulVNoszLa5VXDVq414m+VeWaAHzXmFJv2gB3u69
EtcdFOhaJK/9GxoeavWyM5L0JcWVFttxLroe29+teu6zHTHp2vs1KdCiZhtyYSXOqsKqKuqN7M6q
AdFNqK2Rr9PSIFM7vh+Naceix8eMyEOXDmenXuGugymTiIBUJdu8lBtZroNzuxlI1G+XUjMb52FS
9x6uCUiotKwyNcyUhSUFCYA2meZCeB+c2TsjYS0IdGmVrahYTQwiP7YdjlAU0RgH7F11T/58C8rL
6CIQ3Ey0FlHsNRAby+wmNWTiT965fcnpJfATu5nLu6Dktdo+DgufbU/BKP90fh2UhKj30FRhvvT9
lUpdFMjjc/7LY1+hFfYLWU32IG+UETCX+Ej4XLUYBIPO3/UQ6Psf798kdinYhVJ9gbw4yE/XfFa3
yNqU2F3ojbUJ945+hZODlBFfS/X0Yc6Ag+dfaV8P6kF84PlexGuyhr35U/ISLM512BOZReTcacyQ
3sHy+rP95ZiIppDY2jjQpqPu+9ytGeYG7523bBpQfgcroZDRQgujU/voJt5eMPHRCMmaaNX9mkOS
GOIvLN/bwq9ZS3eCydLWiT8OwYhApT/z1PsE6/ickfpWpx93g0Ny4Tn/kV+QXomMwe5SCqMZi3zP
pU+1bUfUF12sTVAsx13d0yeBh6Yy2drDVAI4MGB+HdnChGry0s1xSGsqnbrWVjd1gicHDRLZwfoe
43ZQAQntytb4Sf2csZ3mPbtRAcbC8bHpH+Zwb1LKZUnqgkP9UBWKQ88jKwpbvAOpZ8gA9zu18zaP
ohbG0FaeJ9c6yt8n8yE7yhEOC0jyJHBlRJWXZo5VM9txiBeOv41+8uOdew0/Kg/Qv7KL3PyJ39FO
4y2GN6wCBcSPFoiIfIagvYmzLqQfc4stW7Y5MUmAMb/u77zawWsas8D1wrg1jAT41NYgI9HveVlb
2Pjg0tsQH0/7aai7U8HjiC4KneeE9IRR9ODdo6CldN9PaEsArMhCjadeoNfqhBfoByiQa9xa2k68
W5tgKEMWEj/VWXn/oFAtr5QJ2dWRZIK0a985CmYk1Qc0fbTksFwJoC1LEQs2NcwiGYJLnQwkxilz
iugbh0v46kqcpWdblPTRfm4AO0svmUsUN9lJfskbJPqMbrvB/MqkpKcW7ssWz1sqPFOVdYHuqSm3
5BXWZpqdJYYb70EAGq1GwVJjw9laytQkxeoPahEeMIVNv22FcSr/TrzabIESMDdJDf6AznOnGDj9
erMjABsVcyeJjFYyJ5wfrhiksZ3k3M/on3MnoCvTjBnoBU+VULngu14kb9loNW356TGSUGpyuCY/
uKObr7mwkCyqR3EFV0v+yeOJF6ILHQPpBRaqonVw68IcqrK/XW3jHkFvm7vGZKH41mlM4c5CrjL9
KnzQAYP0C7DfYm3lHURvJthPbHVFkuZBXrRS3AfmzV55UPJKB8V4/OBi/x89nAoOQYq09MszQ+9g
DjQ5898RNjZY1nwOH27ax0Yu9ycMl+9p5BfDMLY+zWeYnlfGeTysD7E3ptZPtElQjJ6l55U3eydD
NOPqoPnE+GDUNIO/UPrQyd5AB1gXg6UOvtiXCcWJHUk+4/O/QVaL/Wm9sHj32p6A0NEJ0z7APb56
Cy6rRFIu2fo7myxm0O/JEFyvtQ2FX5Yak39n2GLyekTQkhPY+RUc7P7dWCVcKJXyS4FJpUysYn/E
9M21j5CgshUO6yOJ44TXSVFHXLvzP7kKRdilDucPCTl9zDXbZ7tOLNL1lJDvz95y8vzU0fOf9KUq
682cxkVl0OWQYsL11wguCqsLvN7Z2AzCaKONjzN4juUqUeWJP2QT6QfKekXKmsuLgG4ZVenRJjih
iS+p3cNnSenE0Z72c3beoG4Sgo2xQJkLLdjWAvO5wVFGetV4CevFp1kKNlZP8GqsOKlnhjxny13W
/eaLCYYBqNRNh4Q3pz0tZYUeIUItjlPgWnxqfw3kjuFzBxAkxPiaXFI3QoX9XJgZNd4Bw464njoU
PQVbR/kFdE1JaA6cF1XeB10sYBHwnMSFWbTEuVFpP+CY28caLkCyX7yqasJFfLz/2NaERfjT367e
vrYfH8UXvq4tClnmysHExuPRozdqyvolttucon9VcGnRswuoa+wgNj5sky920iPYJWWhNHhUC8L4
1bld4xKFD0/d+lBznB4jxGC8Kz5J7s/tL6fbmixyBOfrLtdfQD0crqU3VCfI6UsKFhp1/YwUi5Fy
U42/Q81yxjr3MXkL74YAaNzJ+AuPZ209eLHhs4PzHSDAX0W/0aHTHtwYvbICMOC7fLGvc/9I1e5D
NBWVtT6vh4lndG6m2iIrHYkWaUCZED3i8odmGohlRl/VFSWiJBKu9C45quzaI16nyaVxGmF/FJ8+
BVINzcoGfGHTwbvvq2YFDiPLDLwlVzMThmBe/lhXX0ZzR/nbH8AaZ+ngPvesn925YoGc6aIO2jEK
OuFDqThG3iy8fEB3Mun3z6Zx+iXWm5QujhsbtDW0/0tjQxxqCsswkl+l5x1xAqxORO0N9ZvcDiyo
wTmTU1P6NI3O75VlVKCrskz8xYFBq4DP19uSGQOGwKXqeJtAbmhSuvDURSYy8OqGxhL1fRXcGMej
jylGAfsszaLg0M6TaKS0n4kx1acBy3bbl3QK0L/vQRvJdYBhDpr60+mJEjJNmtJOlCkeCaLonWkC
JqsCJmnLNnQUnbOfhdf/NPm3q2M1g89j+H0czbAEcgM+2LMzIgXZ9bV9e3JTPlgFhjbgPOHagise
YnYBI0sjHa2lX/vwazOvGSD2yssCgubg1R5S/xZ+BDmp5B9uGTDh5MAPS1CAcXH73kZSLYQZ/pHM
evz/PLO2heaY2F0Jcx40oJMTODV8t9btOOdsVSUGQ6ZhS1fUoYVsVff1SA/ZSfjrUoaWPzqf1YXL
RpTR9LMFfMXFKJXXews+3vCyCWD6FDn/eDoHHBr1u6qbzpuM+ZRc+I2i2ysmleB/eweX0j3lPWZR
rA+5q5jZAgfMbPDKdV5ODGuWmBGvXcihPFp9vovtjA1DP0fGR19ShF03KH5Gg1SXYET8vx9E1lJG
FxTKUOnUl5AMGISnX+tKbBV98CSJg7XtpOg5S8nON9GD0iw00auLxN6pQenZx1DdNao+ecwYSyPc
59oa367/mKjoJVsqT1zKSbxBL01s+ZsCLdKMmqbGxiyWw8L62yEs8JuI5J4ACeSqFLSgyfEhRu98
U5vFgqxuFXHU9UsNo3wkCcV8HwPCgcgTUMGFQytZV/Ap8udGjjOIppVtJbnihMAUtu0SjiO9n0V9
3OZgXkJJajeuM+zFfXENxhtGtooN5z64xbdWc6JP6lYXhABm3R8pGKUjTMof2RMeVLdD8xBsRCZy
0T3Fz1C0XnMMk/BCAkarMXStARskC4DPZe5dLqYkn1BGUN9W+fi4BMIUeox4LVaH3r44IWvfk/FS
CJ8yEOfFKhAZtFswP0d+tPeEmTanwK85SDQ9Y9VwfiSr4vsfL0KBg3m4eJ3MYV8Up3adzVjW0MuW
BbVzGzI5MqCyHco1z6qy/KH0HZIAKmAitDYJ/fPpFNQcOMUq/7Ij1eFoui7DfI/xhGoI9Xg+/7xY
lm1OkbkKC2hARGTlh4y7mOM2rRTYhQORa5wD+AxRg0RczYV+Lg62ZlRVJTfhcC2HP99L2NibbUTg
0gogozhqhgXjbSuPsmQepRGJgx7ASOGxnHcJLF+MLm+uImpeO9puVLwfMmhfywOKgbQ0rKGcOLUT
plQ6X79aYPnKfkkg3ffRqORAdK1qmckUeGsUAujypAvvNH3/CwiWxyy+yQlqTUnd/NbI8ukaKyv6
DT23l4NGCAf0ORCxhMOvQMHlRl0njeU1i8usOMVRgt0VPoo8cnUb65KcQK9HVfumLEMxuUkD1gsK
tGNRrSRnnhH3R1SWR8O00qfqz8jQaYEpIgOEMSsjg6wEPQoFvh2KjqN+nj1AlDIY3heyOMhUFjOI
4w62n6nWD60nugHZn3dwxrpA77yIQi8WeruQGDcOM0oMVqQnjGC/4djKYwd3EmH5O1jt7Ly6RWRg
6kBHzJ0iqa5XQ7hq3URGCf4kQ9QNRo/4xHwEaWuQbQr4SikJCW4boKXFzGmfObyXrRbYpUCMwxWT
cuDRhRULBOuY0VMrE88FU/Upp8yTejtAvHQeiYgPVGU0Zlp+NdGO8fUvJGRxeBJXoZsDYF5VTFOJ
enMSSS1j6kzQFCbqlIz6BYPKhLqTWS6/3X17elSto6juf7wBYHuiXAuXjSfobT988qREdzY4+xaT
0Kteo7YICLyEMZiAX1FdOuYjwyY9Kufiv++f03AOpbCVkQqNJKEWWpOUcFtj7aqmksWr8OVINHpF
WfZO+0bgSqqnNRr57/kklt4EKSu4tTsGbPTyCgq4ucTrQ8MWC9bn1V6BQu4AMRhRMP1mXdOpJavp
38qWsKr2RKDtoS3oKv7ZNcNxfi04qMLTyznK5ph7fd6gEp52XB0I1Qgm7P2DDyIUF1ompqXV40p5
ABV1tQQBlgWvhlQlQ5T+xARBspheF5251GLqdBqaL3YkrlQy20qrEkUBCLRYsW+jx53aep7SA7dk
GX8OfETeae8oAnSjPd+kj9oVVr06Aqq+LbWOvZ86EmC8lc+H2RFVDQ9gSd4T2EgxKORp+tv/iS0y
jkaFdP9RSo22Pop8yQKvy9rnmj5r+CVMHdrP0dsBqw0Hwde46uIWUOoOnlVvY4boYKnzVuBLtb+y
h9uBzcSNnogqGgD+QHpqKyLYL+oFzJwL4lFaGkqXsVgVsaHLSJ80tVbsnmjE96uj0w2WfA9oMB8r
3jskz7d9EQxN2AVsGNwbBMvohgWelSlSaBo+0Ezv2ROeZFrKrSDdXiWPlFpHVJemCVXUK6cX/vhQ
pcn6RpDJGHpkJ6d+JHTdQy9cNY3S/NkCogRCyK2tM1cqO370O4pZt438YEewx8pshq0dc8kn140N
pnRUXacsUu2CSx1mmJgN3AFrubrn2drWAXVci04uW4LqXlVoyQubC/BQs2rututpR80pEb1lyvQY
o2yR9bfdtA18ofPRm+Vq6J9PJCJqy89qsOVbZE/mla4VXQrk2IsQYGgEHQE2zn6K6QiloeTxAAoy
hP3ReBnphUM4+dGoJ1LhPnCq2RrGROsOYH6QUDeuBPhkySNlIPwMjeRS63a7fRE7dImfeTlmPbCz
UO0nkooiPh1OseNLKhfFpYFa97/H1KnEqgxlVURgjGXhZLFD/k2G54SkLGcBZwMoRTsbtS4HCjVK
nwkYCWN3eIHn2jFrfTfPzHRkkWS0v1AdFSt2rl4soYODjfKl9UTMV+dZd/6dceSicHgiRodRvjo7
sli/AKH0RD3AZIMK2rh7uPvhd8fRMWJn7iBDhjl22HMDa8Vxiqq8kwyo+Yls5FpHL9z2uXWliY48
P7PwJna7Jk+W/Iu+5JkUdnMQOej5xFMokWrQr/mpmqoCZXkSRf9us4JHV4LoMyXSi4po0K/pOokS
cdGCaDT+y5YXS7KwVzYh3ysjWbvlJ41xlWMn7ylgYEUUa4aANBAAs7+pQKn78F72P+PoUynHtr5E
946S2V69J2Ewf8uKaY1D8G4f1U04yhiqGYAV47toWPK3Sr6BVktfAdeyv+dc4iBS2k76UKU0+xEZ
E9cqp9Al7EC/ZUoz7V3Lcr38K0KsGK9V1j7TrS7qOShuQC3anRgMsXeH1Mzj4Oo1Nd7KyQbVMvcz
ObLU7jIH6NLev7BI7+YtJ1oMTQ2kicm6wKPzrOcEhL1F9sv4wbSDnUi183m2oWXl63iJqI/X65sE
7SqAfSAUG+x66LhJZbYRvq5zlgAWivJlpNh78iMwFWCjiVfMT9NROjCpzSjVo7u6rrYLo0MppQx/
nGrnm+UeNaUkkSqyFvaTtqkg5KCCzMOYWGbUFLYhVqUxyWm6XiDDED0QmBN6FCx6NyS2fN93SZcZ
SRCOn7gKDFftLGEWV2tyd5LU2ucTXeC4QR6HGaMaNCmIf09fqLQ8vKUy0uQIcDc1+oZZHAZ0C7BC
XcdsNBJMv4Ztzd+sxK8e7YLorHIle3B0V1mkmLNQVDhebaWBF0EYQt8eT31vGvyXgcm/icJP6qpY
hIJmmdWJqzzK+xTkL+wI//SRD3M67sstZwgZ0McwWRr+EQ8aRPJe5XEJ6S81QdgC23hA8PN8Xfe5
CAniP5xuY7yeG9mAEGHb+yqD0bmpxCEnNybXHv8VnrFWHTXTI2XtDe3gM2G+r9apvgBOkBjRhA5G
LH0w7xrmBU+s8YBQv4T1nIJbpjIxycVNRBxvcdqSEy7cnRIB46ApmAlGs10DD8y8FNsH5CkOlXdD
2lD3hCbmcpo61qdKw1sBEeYjXQqDtr4IACcINecCV7CoAuwVdJtA1s1KL4MGD0L/3jcGZSMPm0i2
e4bPgHGgqXyPnMQ7DouyAd+CjgJUkbfiyunAEac34NAf3kj/gJO8Y6hhdIAkoTYgx/dNfYFPEK0/
nvF28vyK1V23hoq+gjEkLWxMGX/HacqpRz2UNfb2wylcjhUhZCbX+/+Ft3KmnCDDhioHyNl4Nbvc
SH8RxG0Zm9mXOMDWlIfUvE+V+UMe2xziGcmr/YWVzYuBw3boxlM877aQJfQEdokxofOqgOVGyu/H
FcAb8rCJCqE2f+65fhsGSMnrKef6RC4QZWUX0bOwTnLsN0LIkQbtkKuViNbftcJNo3Cr+a6Tl0gY
HWhAFlgKhz+uLCPhXPu7WQh0eSAa9CTffOkr0e8VLiuexjB1nL6VfU4B/U2BWK5uWPyrS7eB/xZS
d1lLhO4Q3wwDkGJotFQKf4glttk0FRsphcnuY0K1G5+itTe8TZLIHzd8FYNtqDHcWM6TzVx2CrTZ
FCkj7o8/zopCKrBK13NUGvsurhc7G9jvo9Pv/6e0K3I7hWcXROsIKC8ggfSgw0YKciW5X9y15y4T
pS4YCflhYHZOFwNVjFXWiARdMVhYvrTXLG4jxZynBALJYhTH
`protect end_protected
| gpl-2.0 | 852894c1b73d156bc02422d6bdcff4db | 0.939252 | 1.863185 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/polyphase_interpolation.vhd | 2 | 648,655 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
I5eEJ8VeespUC+sbvSsrPObG09LfiTOpYjIr66mAEiGSdK3id9dVV/mB1xe3juhLR1Hy0O6Yc0Mw
wlekWsjULw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LRtFybjLQ++Vd1Yri9ienOHwPZEfH/SpAAQItYIQpS8CSNYACPmqqJFIz0RbxTKE6VhEfuyrShMb
obeHq28R7iVa1CCwlFi2WViV62MdQO2mbfQUgOJJHOAxkBHyQNusctp/qTXi/5pIKGH0Z0c9cpIO
w2p83/0yXhGKxCH5oIQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MnYKnKxN9w8z180UMeB0SkTgeMaucaMOLtmLb6eXaHkp7Y0a4czwC23VWl8NPgcKyFNKQd7CB0Vh
w5mC9ZMaDydYnM7vFKlUb8rNIyxEqeXnvHCoQWJHwwUJM1gcLZNehj9L96apoFiTBVX6nkHw0iu9
56CifbUBOSC54V5yyhvE0DE//lo1q1vX9F1ifsqcR6DhpkSNjVsF0DQ5V1BcEkpT3DIQkLpayVDa
XGdciUUbfAQDK++IQZXtzEs6gfcJZQ4dpMeg15uHcmozdCaHYZY22PyIkpgGZIKllxoawVt+D436
gxQdTeOJChiA6qFF0BYRtic08ylPg83TOhZfgg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jAB18kYVb/OYZG7LpH6wRQG3TaCHmsXHFF7eNZewB5hgaxU6+lXIIpsv6x55qjBolKfdaRPi78pC
BE1KD4Ouo0iLSFPrBv9LYcVM6HcTZiMgJ3ojxgvnO/84CpSKuxdYhQip4SSxOe748YPV7PoZ/wGC
gWkTBhhlGUwEW4/crzs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IvxHSEyLxe+u992PLfk1T5ZUlO15ZBtrjFdn+XXHddyGwK/D4gNwAXrk8InosVHQ7u4lLMsek/Pc
vPkVxf9K/iVSJPk2GhMremxDRGKD++oaejDhW+/2F/iGBggaVhKkKwS6/UEbDJ5bVsjT76DHL3l2
LJZ5vbgrj/IS/ZgUbcmwtnv5aXv1PaDS4DJtIZxgC2sb8zkjp26F/AryKu86JyJe5w/CDf9KSvds
X0VHVfjrCcp1PvhKlKePRk/YawxQHfVzw2r5ciQ7zJGqlIncPhFj8K+6D6t67SkWiW4j5TGB4WJA
crzR/K406EmaNZFmiud4PyV+qfntY0m4kRJIxg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 478432)
`protect data_block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`protect end_protected
| gpl-2.0 | 8d672e9afc70d47ea3d81856f861f30d | 0.955599 | 1.807397 | false | false | false | false |
fafaldo/ethernet | ethernet4b/frame_buffer.vhd | 1 | 2,919 |
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity frame_buffer is
port (
clkA : in std_logic;
clkB : in std_logic;
enA : in std_logic;
enB : in std_logic;
weA : in std_logic;
weB : in std_logic;
addrA : in std_logic_vector(11 downto 0);
addrB : in std_logic_vector(10 downto 0);
diA : in std_logic_vector(3 downto 0);
diB : in std_logic_vector(7 downto 0);
doA : out std_logic_vector(3 downto 0);
doB : out std_logic_vector(7 downto 0)
);
end frame_buffer;
architecture behavioral of frame_buffer is
function log2 (val: INTEGER) return natural is
variable res : natural;
begin
for i in 0 to 31 loop
if (val <= (2**i)) then
res := i;
exit;
end if;
end loop;
return res;
end function log2;
constant minWIDTH : integer := 4;
constant maxWIDTH : integer := 8;
constant maxSIZE : integer := 4096;
constant RATIO : integer := maxWIDTH / minWIDTH;
-- An asymmetric RAM is modeled in a similar way as a symmetric RAM, with an
-- array of array object. Its aspect ratio corresponds to the port with the
-- lower data width (larger depth)
type ramType is array (0 to maxSIZE-1) of std_logic_vector(minWIDTH-1 downto 0);
-- You need to declare <ram> as a shared variable when :
-- - the RAM has two write ports,
-- - the RAM has only one write port whose data width is maxWIDTH
-- In all other cases, <ram> can be a signal.
shared variable ram : ramType := (others => (others => '0'));
--signal ram : ramType := (others => (others => '0'));
signal readA : std_logic_vector(3 downto 0):= (others => '0');
signal readB : std_logic_vector(7 downto 0):= (others => '0');
signal regA : std_logic_vector(3 downto 0):= (others => '0');
signal regB : std_logic_vector(7 downto 0):= (others => '0');
begin
process (clkA)
begin
if rising_edge(clkA) then
if enA = '1' then
if weA = '1' then
ram(conv_integer(addrA)) := diA;
readA <= diA;
else
readA <= ram(conv_integer(addrA));
end if;
end if;
regA <= readA;
end if;
end process;
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
for i in 0 to RATIO-1 loop
if weB = '1' then
ram(conv_integer(addrB)) := diB(3 downto 0);
end if;
-- The read statement below is placed after the write statement on purpose
-- to ensure write-first synchronization through the variable mechanism
readB((i+1)*4-1 downto i*4) <= ram(conv_integer(addrB & conv_std_logic_vector(i,log2(RATIO))));
end loop;
end if;
regB <= readB;
end if;
end process;
doA <= regA;
doB <= regB;
end behavioral;
| apache-2.0 | 245fe26ea719c653a6ac7b348bb216b0 | 0.590613 | 3.585995 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/butterfly_dsp48e_simd_mul_j_bypass.vhd | 3 | 29,367 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bSTdNhqFrV+pak2XTW4evOcCHT5hWQ1fZ/yjiz1IZ0mrSq/1Rp+lieZjUd8fG6r6XkZkDMccjV0F
WLZkP8Ve2A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YUiONAGsvKE4rhbJ6LpWpnmt/Y11M4Ws5I2h7Ratgndmon1hoRPN9OjuRdDEZy0nl7kS7A4DmUPn
OqBGDSgdGtVO990Pjv1aGM9aQY4UYGeSAsilVtaKXiAk3D+x6p/sLgdN/vY2mcST1vp4wJNsDj6C
oaE7HRTT0GoHLu+XncA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
NVG145FwHV3WjDOjiSCYHFkvLnlDyYIg/wvzkaYnbSrMUkS5Y7zcxOwQDgFz7L7qhGHufDDs4inZ
bfObd0KZk9xmaCkzZTyRYTSvxzKLj1FfWrv2yAOdnbWrhAo3tJz5Ne6fZ6Z6nvNK9FZBQvMDmiMD
TIox+sUcq+mnFTkXELwwivS42Ju4qSgyieyFppdXHw0H3V6ioRwAkmITBNHYS6g4hAisDLZtsfAG
/X9rdj0Q83bkRGI9aJS0YNaIB91xAnOCMBeA6eC6hFxaP+NM3nirKtRKC891d01/8UUmbOghSTxI
8fg7oJ9yIA2+sjvEyRl43PJhfdqHl9DQWR5png==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DjaQgw6s8CRtPaaw7tZOK/dYxpo5gaaR4v7YnltnUrro4T1l+qxTfcNqUNTjayU1bx+tbBANv/nk
pXL1Gq4ZOO1meGF1AV8hoQNIJ2qRma/1MJ7dx1uBR0Mzd5zegOAfnPI9RzOAcTASqKm1WYUt7QRH
2YxarUb25mGJvXuubTc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oEH3eG6YLIJ+apqDjLZDcSVggjazxv6/Bjyi6t+PINNAvDnQS3qX9oHbZf9xVkQlBzUYmNjVWl/e
mwoiObNsPhIky9VB6OScQkEoWgfDXzw8MESOeq2bk4sDCemXYQxZoIvB89RxZk7tHWkmgoJzIaJj
TgL3VFKtyy7W5dY2XuicHNz5+rduZmjpDZ3eSjhCCdbHANuJQkMoOPqdqGMBzEtQzxFBiEBQO8c7
2Rr/QecfAOJ5l8EiQvB3MH/NuZkxfnk4mzLJntAgUkNAk49wvdBQTmd7ji3DwyHlkZg5dK6xWceK
5LjES9GsG8Cuao562h4uywo1xdmLU7YkpMHHCw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20000)
`protect data_block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=
`protect end_protected
| gpl-2.0 | f365b1d5ebd79e6a8b250bd2adfa08c3 | 0.946709 | 1.840384 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_add_logic.vhd | 3 | 25,931 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
G8uxspDV0QwwRW+WGxQnGX/V1RIlMY83W8ZpGvNjJU7ZtYxlCV6wsCwRGM6KBDcABFjtUhtQZBtw
TfvfPMUsHg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FdtIfJb83WHUVyDW7s3GfYhhyG0I7+alF4iW/EbCZN6MyNVYb3FTXHuxUUXTRcCywHUTfZqQX+6f
Neu7MprLT/oDxJLJwIG64izJ850V5rdnChFxLzlYp4FTrLDja17rmOJyJUKN9UZdeexhGmSrfGz7
JWWv0omEHHnGrWXQlAo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
puC1CYhlmGtTfG0QTveyvf9qnpE5W5/vmW1lJi1Rc4U/U6uWMh2fqt3e4uV0q7R2zg0p8AN54vhR
bBz0L9+zGhVVWD28rQxcrkI77TkJaRZ7DQBrr4RTEC5LEQLZA1rIvxpEUAhvHtQPTbKrERYeRX2x
cN2upOsM7sd6M2/91HZycOkX3qSsklP+r3Bly58PIuXfgLtCRL2HxNon5IHjrvbVlai1YZXoGcRQ
9jfSv6OkB5hfTSyCuup2dawjsaFnZTCNwwq0Ler1n7y7CK+3pAhaIvddt83WRt1KFZ88yzJVygzl
aG8ro7vmAiVkPMfaHhDY8irAzj6O056E8bBWJA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a3gRbf/0Snz6XCo5YMy8/MwXnPA7qth5ds+2CJuY9iYvS5NBXAxy0MgCeCPz2lBiGpOFVF7qGtg9
9vfZDAEH29pdWsonq5T6PGvDhHKrElPj9Yn1ERGQ1oYXdSSJVBG0+II4fF0R+zU88gz/A5xVQauy
nlrSTf9SLbJEKCiTqAM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A1/WQyDB+nvLV0GZzluskGhX7ioyVR3UZoadrJW+8ZIoleHGDE1EPsai7mYH1h4c7Ni7DzIg7it3
3PPrg1D9uinkZxHKRSBY0PF0YOwXtctlJYsQbezgpUWzRbUVDDr+1RKgaEZdwnfS2kz1eF0icLgW
aY6OweOsRP8CD6cNkSVdGsQjbjFucX+kQ2+Zi8cCJlqmMZuRkILoIuKlgxqKtUNrOALtXIM5GmNV
yk3kbRdDsNk6wSt36JpHZZaVK4i3TRzWjfLl00nF85QW0mKUwrZZvcdjyFM1ZKO0wQdxlpLKYZuo
qPfSml7T8Fui4NjLa6Vt0suXRICMJF4VLEvzOg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17456)
`protect data_block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`protect end_protected
| gpl-2.0 | 57999dbcc10d2c13340a71d315e498ef | 0.942925 | 1.832839 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_axistream/src/vvc_cmd_pkg.vhd | 2 | 9,214 | --========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library uvvm_util;
context uvvm_util.uvvm_util_context;
library uvvm_vvc_framework;
use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all;
use work.axistream_bfm_pkg.all;
--========================================================================================================================
--========================================================================================================================
package vvc_cmd_pkg is
--===============================================================================================
-- t_operation
-- - Bitvis defined BFM operations
--===============================================================================================
type t_operation is (
-- UVVM common
NO_OPERATION,
AWAIT_COMPLETION,
AWAIT_ANY_COMPLETION,
ENABLE_LOG_MSG,
DISABLE_LOG_MSG,
FLUSH_COMMAND_QUEUE,
FETCH_RESULT,
INSERT_DELAY,
TERMINATE_CURRENT_COMMAND,
-- VVC local
TRANSMIT,
RECEIVE,
EXPECT
);
-- Constants for the maximum sizes to use in this VVC.
-- You can create VVCs with smaller sizes than these constants, but not larger.
-- Create constants for the maximum sizes to use in this VVC.
constant C_VVC_CMD_DATA_MAX_BYTES : natural := 16*1024;
constant C_VVC_CMD_MAX_WORD_LENGTH : natural := 32; -- 4 bytes
constant C_VVC_CMD_DATA_MAX_WORDS : natural := C_VVC_CMD_DATA_MAX_BYTES;
constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300;
--===============================================================================================
-- t_vvc_cmd_record
-- - Record type used for communication with the VVC
--===============================================================================================
type t_vvc_cmd_record is record
-- VVC dedicated fields
data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1);
data_array_length : integer range -10 to C_VVC_CMD_DATA_MAX_BYTES; -- Some negative numbers have special meaning in axistreamStartTransmits()
-- If you need support for more bits per data byte, replace this with a wider type:
user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
user_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One user_array entry per word (clock cycle)
strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
strb_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One strb_array entry per word (clock cycle)
id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
id_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One id_array entry per word (clock cycle)
dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
dest_array_length : natural range 1 to C_VVC_CMD_DATA_MAX_WORDS; -- One dest_array entry per word (clock cycle)
-- Common VVC fields
operation : t_operation;
proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH);
cmd_idx : natural;
command_type : t_immediate_or_queued;
msg_id : t_msg_id;
gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed
gen_boolean : boolean; -- Generic boolean
timeout : time;
alert_level : t_alert_level;
delay : time;
quietness : t_quietness;
end record;
constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := (
data_array => (others => (others => '0')),
data_array_length => 1,
user_array => (others => (others => '0')),
user_array_length => 1,
strb_array => (others => (others => '0')),
strb_array_length => 1,
id_array => (others => (others => '0')),
id_array_length => 1,
dest_array => (others => (others => '0')),
dest_array_length => 1,
-- Common VVC fields
operation => NO_OPERATION,
proc_call => (others => NUL),
msg => (others => NUL),
cmd_idx => 0,
command_type => NO_COMMAND_TYPE,
msg_id => NO_ID,
gen_integer_array => (others => -1),
gen_boolean => false,
timeout => 0 ns,
alert_level => FAILURE,
delay => 0 ns,
quietness => NON_QUIET
);
--===============================================================================================
-- shared_vvc_cmd
-- - Shared variable used for transmitting VVC commands
--===============================================================================================
shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT;
--===============================================================================================
-- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response :
--
-- - Used for storing the result of a BFM procedure called by the VVC,
-- so that the result can be transported from the VVC to for example a sequencer via
-- fetch_result() as described in VVC_Framework_common_methods_QuickRef
--
-- - t_vvc_result includes the return value of the procedure in the BFM.
-- It can also be defined as a record if multiple values shall be transported from the BFM
--===============================================================================================
type t_vvc_result is record
data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1);
data_length : natural;
user_array : t_user_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
strb_array : t_strb_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
id_array : t_id_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
dest_array : t_dest_array(0 to C_VVC_CMD_DATA_MAX_WORDS-1);
end record;
type t_vvc_result_queue_element is record
cmd_idx : natural; -- from UVVM handshake mechanism
result : t_vvc_result;
end record;
type t_vvc_response is record
fetch_is_accepted : boolean;
transaction_result : t_transaction_result;
result : t_vvc_result;
end record;
shared variable shared_vvc_response : t_vvc_response;
--===============================================================================================
-- t_last_received_cmd_idx :
-- - Used to store the last queued cmd in vvc interpreter.
--===============================================================================================
type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer;
--===============================================================================================
-- shared_vvc_last_received_cmd_idx
-- - Shared variable used to get last queued index from vvc to sequencer
--===============================================================================================
shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1));
--===============================================================================================
-- Procedures
--===============================================================================================
function to_string(
result : t_vvc_result
) return string;
end package vvc_cmd_pkg;
package body vvc_cmd_pkg is
-- Custom to_string overload needed when result is of a type that haven't got one already
function to_string(
result : t_vvc_result
) return string is
begin
return to_string(result.data_length) & " Bytes";
end;
end package body vvc_cmd_pkg;
| mit | a37d32de1972d5e87e2dc23379e86d4d | 0.48372 | 4.554622 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_viv_comp.vhd | 7 | 9,375 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YiXimr6oDJXjbPvfoDcOVJJ+JLfxyHr0LN83zHP5E/RIjIcaf8afq+qwp43SUjZyojrZ+MkSTMjJ
GcJSN/C+fg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUlbzIt4TrXcOhyv3XuB3hERjWwgHUO27OEW8Q+iyylXjZNYm1UYkRO57JwVmf1z9L1NkRwmOca2
lz9uDwDtjRRoDosW2a23jbQBpj9XrCkHPyj9y/PCFNQWUR0hrdRrZh0mWP8ZjlWIMkvgFhJcELhH
1EDHOIHQmvTgwAi8shU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YTyHETbiBnUoZoI5X+z/q0dkGBQJTrpdPIpRMtIdMKMRjo3ThT/jbJw4gwd+IFRvZKs60aYsjOgR
3Cb4R+jY52H2nk/24/VQYoONurj2r069EU+ne9/UIbF1pLaTvGseJL/zCJr2EKq6rm9Lm34outOM
Xum01b8w0076zcT6dqPB+O9iZIr7GQhUIdZk29QQqfIN13ZpBKuTCebRrTmvqjwhqlm63UCLvfGa
cI3oUn+201xrjT43JcLZMUPwKZXrzNBIMnCX2hXuzYKWGXv/4hi8yomXzWefY5nVHBmiPca9HWLH
DU1pumHNn8Y6HGaFJyZygPhH5N+mQf3zKTXR0A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ElPqrkpNl95mi9SVFPEMqvzt1XAm8scl93/IM1excvrVBAepvrvNH1e16xpE1O7fEqACagG3SpLl
9fG1ydwh5YWCUjPGR7aP1Y3UQxAMiLR0asQtJQmRAPqNtNIkuLG1YJd6gIuCNrI7dWxretiE1m7m
rkdTh+UVgbpmkqImukU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ec0G7ze0uR6YbrDOk7F3FmKMsbBH25vRV2U4qBJPAKMm7k2auk93paMjk4Dszvm3wU+I4ryZUW0b
RjdGisHak2djH6h55g1J6NbKsL/PXz5mXGxzGrL3UFm4OOy+IwU4PUmxMZIELs4t36XQEILT6Gwd
EJrCx4gcJySP+5zpDsLNv1/XvWCLB1MFHsB2HNAkF549CqFkXq23B85OYLlEMTcimjgrEnwc0lBI
py5EtWlhMA7X5xvYQDQxyeEsHrnFzBbeBKP/9gMZV36WjbI2XNVacATsdhp378EEYzA+lnn8Dkri
66U38U7ouwrw4ZNaDH7V3s5hZboUK7bvFuXKsw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5200)
`protect data_block
DuH9MIKoCFKaxf2UBbW+QLIIXQwlW6IuNHiupotFlIJFKVmjz2FwuKP9IS0oIx4Pf5VLXsClGhJo
/ZQxxNcBMAYaRxQTHO2Y5dDInjRdo1SPJc62hbHmQsSsuulUMPt3To2B/SYlYuTW/w2aWbhiU3xX
/0w6iLam74G2fzYtyINN6Qxh7aFYN8WNK4hZ6B/mV/+CRgk7Ia1GMxX7cnHmGuK8p2Ec4bGb0V+9
8nrIK4mYu4j1AKCai6cYRIxdmBJ/gcQ2dAsmlEkTPtBNqHEWmZKcEN/rmbHG43LGVI4tUE6jh4ZH
TF0UToBrzhtPeYwOierfkv71KlAq6ntuY8VsDg0KQtsSgkvmavr+og5LSSPpgeEmow74QIm5AMFl
R22FdB6Wi3M8jzdwyLzFGswBW4CT2/mGq/TAsACkrTyVP2Wz1gALDld0SvY/2x7f+87vOb5fOqvB
A3qQ+AQeSf6Mxg1iqR+0K1M4kgyglN0Wsv7LPWDzyBiYOb8UJXgpz1nPNFHX2LAWh1y/QlHTKM8K
gblBgE4LPd14cALWmy9oYujWEtcS47OhG3SBX3x+mJD+RNuugp5AJ+6eOvjF9x3doVTGNafNTYNr
8cJnQ0jR0a1/HF3Bw/8aHpn9Xc380ONwgu91m0zhO8nT91nquHuYhtryyLiofRtEaW+tMlcM/84Y
+t5Eai8zUTwzmNXP6igmNtAmkud36BWM8R7o2uKgySjl7wk8xYkLYZDEGHVqbtgvDhj14DXuL64c
knBzWt6+jQzZsRsGwGBlt2i78QT+FZRULQMq7pysxo4AkY3rNaCbpmcGU4YuCGR5rz/J3js8B29G
2ihaMR74X1OAxSYSlxbMDkqnk5a2BX8hXjcwhQTFrU8MEjDISNPWSVT4G/wxcTzmBzVrXTeCRj2J
kuHEzB/uVvlU8Ry4J4xDYQ+TdR51leYbaemHn5xwgDFC9Agaw0tFyycGxdB3xrFi1r/B+9nfZa9Z
SqoR2D//NebCCqIOOW5z/OOl3Ho9ZyOt/1xmGQpsGLFM9L05as9ECi8dY9rttRwjoyfAAeRMh83o
8Hm8F1SeHYsNMoni55ZQsNA/o44MqEnoEvZSaIhys0DTzHStsXy6Ky1JcfWW9iiFQg3jmwqA7gJF
93pp6TjXjQPQto5yZc3hbqiCW+yfKb8ikE30QetXbm8bg8E9jJuaBo1oVkg2TScwunMD/gebKM5o
qGpJEiPlNdMfbJd8kGVGJo/021hwgp0e9mW+8xHGxi4nyxT/ctXuE8b4Rl9YCeFHHaAVfmkNY3zF
jmumduWW4sarkWTYI6KO+D74+fNjj9HS5Lt14sr7bvoOasq4xIW1suiuBjU6jMuLwWkfb7E2Cyo9
D/DG6k7cBmhhOyrl2J/zMxha0IfKj56M22iqxtojrYXHFF41IE33TV1xK4Z701s8pfmS57Pis6Or
lYvDgAUdscx6foPEvr1E1L33EXBpdOViQSHK+jjE6apEW2NWpkyK7qgTU5F7zozgVhu3ryuS7Ejt
QxGAoA+rtpHyp6zU8Ffl6cDrP105mPeyE1wrjS0+5IPcAsxVHvgRCqENbZuSxT/wIXBzJuw9qCyd
yuGaswBICPdiDd/yqejfhupV8dOCJtgPkT/oTgC3YU7egw8uGsaJQFFyRpDNu1xEtgBmfloNYFLN
WnAs0JocP5CH1H0+6XlQPn/Ud3GGupAbFmLG59wDAyUtN+gg1xl9A91QccSs1/niuTKMm/nVQcPu
cbSqansQoeVaQE66w8CvNu96twQd7gdhKvji7f3DRED2Oi776GNFjFAaIVlZEhFL9nmwZKJNwV6J
aNjNi9uWO8nBsgU8j5vdvVB917wv4g9sgImYc6KsyiNfJXtUbChtbUv31lfaR5D21URw11R1WnsW
G/cVQj0J5NPTwqQNIpIU0BRPawfnDwc46YoA9HiDui9fI07+goX+i1xNgjx4DVBA1+EXQw7M6N4q
NyyV1qQjK7D8M8Ocjz/+XQ8EGTMwX0FJSIa7RnkmVyC+XMLc/oPEFvCYeStU9tkK9tPGGqDfNieg
NQdCHDuSbMgHuEdD4EGN+n80QYEmBK+muyVKMRBxZgzNJgAEcAG6SLbti4tfRpzGu84DLHsYUF9a
KAKeUE+LzI70OpI60v0v4sZznZyFWx/h/fkdA/TwekeCgEbiH6TrzrHLH98RisjVOGTGEGcy1A7G
96ZzGHAYe6ziBuQfPu7bzswvzK6/JhpkLX3W1oEsSZ9eFbAqh7lRhSf150GdMNQlIqQgUZOfpPzn
vNuei70neE1Zq12IDI3SyYly6CihhY1AfV6eC5BlJs/GxfRHN8LPJLL7Q29blN+JmdTqz/CL1qV7
lIiSwy/5AMYoq5U+MWhBCPT5pIhAO3UR4LYFvZgCOyNpIsKmxgqewbgac5nT9Lbe8WdcVeYlO8Bz
tIy3a50P/3joM5T5PF5o678NRoZ8QaFqwYRor+v1awmOQOhnQhu7VNgXtEaMYfBxPCkIcAiFXgiL
ZgMlQQye2OictIuVjLPBpXNGSDs59qfA2y+XbramTul3Xh5w35uOr8cyWJr57lUEHgxGjuINQEs6
2q0HpaSY4P6KQujZr1F4bwVOqrxSzqNcannwAVOWpJxzecWPwsxFCbaPxYKO1PabOabv1lV/QQkd
GHJc5unM4kQGxyxBDn1nF0AepKN+0tJ6Hvco2hIElP0r8MjbEpn8QkYaz2FH1Pa2h48UQCqmLCH+
hZtCWJvRPjQNfvTyMM2DEQxe8u3Hx17tWbKX5JZ+HRHaiFpuiCMBDUgwOdwTCjxsdofZVjJAY8+p
CeVsnaGl6m6RFIprorEDxSbt+jKQbFJn+ecO6SC+Ou2Zn45m7tWs5uJuI5Hjbz2HTu9LHkNer7kd
b5NlauZR6T89K8vcDnawNJtyfTqWu4bdphDkrmkexnf75sE3JXWdk62QtNbczXnVkL5B2rnMknXP
dLBR43AIq8ynG3O4Hb9IGMolWY2poDf3P/6ZVKqRCdLY+Gou7/cMvidHmVTS4wNneZ4/P3hoziRl
uWIrhVhSAuzUPc0IX1G/IyRPP3QFwBIcbILVeVKSVCWuxNdntyK2jRm1djupSNSdjVwzcvPLIKIS
4Pdy1RTHJTH+G2/JRdLXeo140uydt9W9eaqB3eD6grMDyZF6040T7SgUWqQMoD4wVeXafG+HfHjW
XiCgE0HnjwHXDaaYkwDWkuNVKtzb7naTxr9V1hMy9YJPkP++1l3xSJjRKmxBSa/tlJrt7JVvDcSp
s4oVC3shH+NdlMpibPjfy2W97eA7HyJme5ny8qXTwFxCnoEcWH7gxN04CMh9fmPb+rhmFHpkCL0W
uzqHHdhJ5TOg9pdFFLJhdAAtK1MRRrmNZhdBSsl92nwOHXeqakVSIOCvWjsdqggeBax1NP1OfUlV
4nltlIhppGcyzwQycECVVyu1h4gKpOH4OFnXvpi7FLPlhhSXI0lhUQd4Sp1QiKnDPihh+Jje14qI
mDvmgc1maRFF8l2UfrvPfyql9OAi8eQG3qzMKM0UU+IvzC9iTSjODVwe9nDGaI0ByqU47Kn0RSag
ecdt2DFhLRyH5PF3VFwCErItTBU0T87cK14doe9ewrdNkHgOvNfxnCX/xt0KpFSrhzG1yy/zf7i6
CobozxAh8sCODQqvVU9ftSrj06ZTTTzuSdani1b/E9d8VIIZUEpbyXspVcCdys/xgkO7+1EVwLxO
jP0lM9IAEsSgGPxTj8nGJteZ9jc5WE9pCX/nqgRMoRiP62mzE6uAQlkE2JFOmuyHzBBAWNfNqLMg
eI+H1AVxVCkLCIEhUitJ2MiD4LvNy9ihq5xuT+BnYY3gG01EHH24o/Uban8Leq4fQVHjl76hTyoJ
fcSoBt3MaGWHTf/ArSsLp3MwWs+QlHJn1LHqAcnKzX4u4+T6ghmFIdb7XHh6BhEMw4EJis2MX6Ic
o/wnd7K0flFZPhFJmTudxrXxEpnbZrkcngWdE+gkQieD0J99krshOMskBe19fzfUSe8rRHHctsVg
dB6TDOk4tmKn/VhSRJfHmlo+OlLN5rnso+wrfb87dFNe8ciO2g36QHvKx5OOHxWs/wpL3yL6KRpq
1p6tkLpQz69a9auQcAtD/j/wQzRIW+J+Z5lM0MrBvt+DemlHVjauuh2PiMBbkg/xYjAMD6F0LC3q
n0VLsyuTku+CoUqgaJ2agAUNdT7gBeBF1Kyi32Af+u9Tnkkxv3YR4xvZFqR5vKZ29rXQBKcNpEeQ
qh4F8ZpArBKkXe1RIq7VrT7WaICkMQKGgvYVvUxDxPp9ZyaSKsKrTZC+npPidAaGrAvaxpD8p+D6
OyTF0uPJDlQr4IGBAyyGeCMsDfhk/ZVVcxPLD1joj3ldlMoLAYclGZzR+tti98UiE1mBqE+U5V2W
Ywpp+6spb2Im2U4o/K/dlOfYYntv68SRNUn66rSIIMOxxFCqfIhUO3i1x/f6oNogjdAjXsP8st5D
LjN38e9a6LaK/yV5QhapwJHav5QFNaw/5nATATDfLfsLFufn9pQR8HifFKZBuAG4cY14DHUS0TFu
E2WTdGbzw4XSb2EJ8yxSB81LKgYB3ORoqm/ezgwBvsgg46tQW8MGP1U2ph2Z4Bx+UUt8nffPJ/eu
aHUC17SvggRH2WjR81rDW6eapZa1psYnRYJ67/V8MtVrvXWyG1/xb88uDczY1Ac1ZPdY0LcbNHiG
Hz+aT7z/ybqokR6a7zUuf5lH6mE9D6ZjneterT7l6PLFH3yQsGZwqQ+MN7/hzGNFJXzduOjA9+r7
v/EBwUB2utv/gv+m2QkEC01r6OXiKeeE0CC25rtWlK7Zgr9guwoDv89jrgFuOcjhgumPqpbLULvS
6X6akqq5iXZpNK3Em4tgdjkt8z7b0bDWuUjI6dER1zdejAcxqOoLmXLD0yPV8IF1b9p0RimGuflQ
CIw2AC5cn5Ce2Pw72axFA6zY/0+MwV+T2hwWu3a5P7VBszeJzqdAjP1R4qEwX1t4eCc08hoFDq4/
G7F1w2WVcU51zjGbow8YjGTHBI6JigNszs8NR7+7gnexeGRQNuhZLULx5WDGNo27UcjSBP7qNsiL
iba+VT0CMvKCxuwt8bnmY7E7zP9RYsyut780lnsnLx0gLTERgaJHBIVXkuE2WlJK1Z6k1G8yhcKc
e4s8i24nUT82bMHi1hWfZRTNcaF0jZR50xE8IP+YSIZwZ6m4aZQlp7tv4JlYyLJ/f2dNO8VEIgPk
5o4enarjPevckYc5w//zhrBSkwIOhVcALL0KSuGijmjPGsTiqlCFWTAYD7pD6mR2e2j4ksLp4olR
taVgdfKuJR/VSjP74JTbwHpa28Ngw5dyKMqt7apQi43kMrp9adlqNv9L4ZuhRA+qpneGVY7N2AIK
vLDWYNu/i3sRmP9x3/l7uOXDRrDUFyzASOixZp803XYw/aRjo9m7m0Lr9+cvC9ZsdHzpzhkh6aF9
ApxCBA9Rso+k2xAj/3bfWvclpdfUm5QXGnDP8k1FV1d+Q6kQnfSdoOdQMhtgmeaM+JEaFOElSUy3
KPjkd2b6D5iv+3bu5rczXwGEMjMJnQ0hA/hSYA2n/0X339AYCVkcyIvyDUX05U4+/dYxpH5xrJZf
RYzIbALDsonQhx/MIAM3cewCakjgMiUBxrnMOeRKfbpPXhnWzMBxGACyYAQgSognArr+BZEWL7eR
oyJs7WUKdYnkKI+Z6FBRUe1tcpWGaVKcJ59uu5ZxABVgs3/SKI6Z9PNDmhVllj0PnHH7r3KZTKQu
SWXIa5yMcEm1Q2Y5vciY36Y8uPIkV3JgwMSaOitdG9OOXEVZJjhzQfMWY8hUvRx9QFUymoFKOdsb
Q5GVpC24UVL38tzJXhJQkMkFv395HF8BMkjn/FPr9hbGGng0cIEXkTTz/V49k5pRRmz+ffNoATnQ
NMUrAXX6TGebYcqKDI51tLeEX5oLl6YgD5NepPpNX2lzlDqOMokewrH9OzHne1YbgdRgyebjuNbw
1sd6qmwEsVbQrWasWFbxHuZdkkINn17uXGocFWnjtbW2OYX7DLKLYND5Aom6qqFnaZFT39L1ERS4
gD0Nz3sVmswAuX5uctNYMzxjPF6lAUnH0k+E7BR6P4HsMalNjuP/MpMBoo1jUrYudDHnzQbI+nrd
uYme8O/DF/AEVR614MscmLxtdjbfi735pBvVvi2bZ2ntGFzKykkotcLfuvnCGCNgnUb6RwMNRVbK
daPghdAdHGRTVEqLGo+ipE5ur4Sy5+qtxmkZyAlVmpOcIRnzK4rCPE9tneqrDWZ9W/BnkTPVcbsi
pHPzwSWnPbRS4z0AVmH7YMpywCB/dliz6fs+9ZBa+ngrOMXNt/yb21FmDnrpD4SmQHxxrGFYco8B
6qBhUxFzjw7wvE5G4TSbHLbiOpqJJzJXhTgR59Swbe9EQsP3fmrbbLIG+1yAtU0Y5pIwbvOvXOv8
w74gb3aEcxhdUeATupmkCvKJn03KcPE4bMmg+79XaTrELhvk0JRLUIvI669OyMx5TK420wrgofcM
TOpWu42y2tKMYempUkl0InrJ1sAGKsZwTZr153CWLP80z8b4kjiy8+phdA2bTJaBGxfVpb+HcWwE
qwdi/Cgo1xihfbH08kKP3nJUpVgFNf2LFF8pYhrq/msiVS19r3odbQO925XlqJz69O8BJuGwxNIL
+yCDwM7HCb5w4khtfXRm7amM8bNioC64sex+vuPGjK/Lr2ZxO0rdsT0Ko3K+ZJVtlUAfVmQOFfLP
4Cqx6oUlrsYD5LP0MV6daTuYVS90laqkBCLCxX5PdWmRCxJfvCaE6VTPooqvM5BBhvItA/vBBZPt
WRUkCizqs2/d+KzqFDB/sHRP6QY/htBVczhG++GgEL2IhJQueVFxH7PWAx1NrUrOmLhrXu/lvueA
o6wRnPommURtL/Cymg==
`protect end_protected
| gpl-2.0 | 8263b5278216e8f18a1ade185ffba9f2 | 0.92256 | 1.907038 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/flt_utils.vhd | 2 | 26,429 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
civliBn+VWcUYeWAHzhpIo86hLGpxz+Jp093gZo4zhZCUtuv39xbw3o2X+1xl98i4DNUYHN2Pb9K
kwLmI7O5iA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
djWnKXxDBh/U4XRKtpATY1Y4Eoz/UR8CHUyuXNgqyvl5w+0hDpFa/t52avTMRVo1LjTv+Dk7/AB2
ElwYStWjPaBFLN5iaXZVi7mWWb3VaK08U5jS6Y+BsT+lKEYqZEBg3758pImY9zZBOUDzW66A8UJD
TtxgE4L8vK56ZQzUDEQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i7Vm3oTAmikNAAJxw5B6MKxJQl+5KfVtLl4+EpheOMuM5HEVZnP9HsXfQDPu9f9tcGYYY557JjG5
vv+iMYiET4NPsKtQt3zw7oms6VvntttGL/qM8P/rxl0dRWQ7LcmPXloWAEjZizbAqDX4E0OHGggT
Ggj1XNQ1n9wrwq5WZ2qto1un5jYrTZi/yxwT66RsIqWQSPXoZY5ohTuu4VYmAwnXqCf1SR/GkWuc
CY4P5c++FZUfYron1OBACY4wZunQf4pavLizvlhrpOD65XCDl/1cHEH4LBY+h833sR04PhXv58cz
HMhMtU2Qu2r3KI/HsahBxE0E/gJvbOV12okL5A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yjMDcpMRfJsROH5vuvrMOM8aTnnmw12GwaBjgya7EF7GtH4kdlG1ybxvlmCQuz7VHCUshwoDnKIT
tlDBFzfwUMcaaJMKPpFXRB6DFYBHCqODzi4u3Kzh/ebrpaF+KMPeT+rmpm6msMO/B0F3og6PTHYN
ZKF6mFtHC6w0EIKAOWE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bNtNLFAISOPgZOkFTDpFuGosPV+QL9mrEV0/sv5BvHqsFElWDayPJY4fWQTkVlfRYKTsuoanIzvu
ip37bYHewy+z5Qpqc6dXFtSN84rg3zDGUUedJiApEWN3sgixbguNjUsVtIObM0qWvJmS5a6yTm5b
MAZRchnMQCTe+9oxYaaSfezY8XxCNPDHJGDtO+zPTHkcz01AOU5CYdOQc8Hc8a36E7OCZW6SUygm
ibmCItTopgpCExE0odVwUBqrZc3f04X3HPRTr7zfaGAClS1jmZO040G1j+1JcpxCapvSRUr3nppo
xH4DSQAem3N37kWg13GRPsKMhNvB8BHjRTYO1w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17824)
`protect data_block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`protect end_protected
| gpl-2.0 | d0c0e2f07c6789a9c65549e8297fdabd | 0.944871 | 1.843155 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_viv.vhd | 8 | 14,538 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EltXV5JuIgWvR88KRyNNg+fqH08a1PYnl7IZyY0Q9zdw17dWeIewDbolKdTEPrQ6lZethO/ovlEl
fVuENqJDrg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Jp29tXAwKk8CgJPuDd44iuxOXpKDX6d1mD3JJmWy6Vg8EvMu9Hn+77ea5IL87f64HFjRgQvA/Th+
peblGSxfENdWzICX+7hpMierkURwcliKf7aezXQ9zGmhiebPVfCrbd78qrzF2HibIyxCuvsRPdK3
1AgNCjN/kU8NV8RnpuY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XxOSHNfL1ftMjbvCvlKfPXptzGGStgzCxl3JZweNe6nNjLmS6iTM/6Bwja6bpH3FH1BBhkjTXUXI
1PjuEhpPWg7RUZ6Q9Hl6dott55p7YO9GdqVGgUPDXdOG5XhEygkkoCCeAmQtLM63Fbgnk4nvcgSJ
jDq1u3B3wE/1hKqEi6w99rI68YCOrU9HOe8BSSZBIxDSnbG/3pFNB21WM6b39aHoyEcq3NB/Brhi
36GkKQENOcbpvuonC5VGz0439fv5hAk3sqKJNBrENABJCRcD4TcQXlDJkYBMnxxhSRzAgN/ZNnzg
34a3Fx9FphhVtEsch0PfH0onZuykmkzXlFZLtg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LsS6xLb9DxavZZDp0N3VQvisUnzceG3tDn2i4DAf/qJZdRQpaijtCRjiMQdpZ5WNZDuJJBDyDyFq
W0Wv3tO6hTyaDdHAf/U36DrdYyhPLnTU+Za4fSY+GwvT2l5kxq3tksUlFZ09ZzYlvy/uN77PMYtO
8leeVKBqhih2sBA4th0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EzP8bn8Po4ghsUvMWdl3BVFIVt/x9FRsMZy+Wg6XAYJvqd5cN3Q8mk5AF7aGEJRI5788muzSLz7f
5N5wOv6G/LyHm1P5ZcweWaPJBX1l92Atrsc1RPypT1U7l1rxyseyUwb434/7yAZecTI5H6FVMSso
/KH6CaW2huPlB4QFN/DK+AD5YtvE0pC4Kd88kVtURuSA0SbifG0GEG5T6NPxM2+Ys2SEjaWsp8d0
nrsMYwHz6TsX6AO/mDEY7jt7oRnyoKz0qaaSq2rl7XVGc3U94selkLF/XDMr9Q91CxwJ+zT5HWYD
aPmewidjm556yobTgbhB7Rb9wi9AsB6IIycVDA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9024)
`protect data_block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`protect end_protected
| gpl-2.0 | 6f91e82a1189221593af306dc55f5ecc | 0.93321 | 1.851503 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/lut5_ram.vhd | 4 | 10,064 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
C1zeKz99EBGnHeHTRGpGe7DcF5DtwSXWJvTW7D1U+kk/ZrynBvI8IjE8UvbUcC/VGl9tO4yrpWfb
rlp7BhV3HA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
I7PiSq7UItxYChzl9rzthZjF8yhSISHPVWGFmjbQtrU57hoJ4V9bjL2vAR4d0OhW4IvUv9NIMHSS
5inTPdpDD+DSgoBM8f2b0Vdu7SsLpMz+31p7x3oLClyUzeJQH5tLu+J694ZzHj0yDF5evUeQLoZ1
ZCvolgGBb6Bu1mo+h78=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XHYhrxoLbvvZV9+m4UqUlRqCu7aJSC/9rqg4+4UeyaR6V5H8DL5oQ+yq5JW61vwVcbozGrli6YU6
Nvc5Kaboq4NeZPc6W0Hggc8X5iGLj6EsCr/OQjV1sNVfdPd5zjuz4SbEcBa0+N/c397dItf9mBtd
/eE/mAcPJ8HODbOa/0Unl3ODcX2syu9YxNgqo9vWSFfWWEhAYgV0KebvYru95sLUPeGy6lsR4CvD
Fg/zEm0A4aELIt2SjfZ745hvOdXkwWkyJvtdX4kECwUEgR85+fEHGEXDcvXUSUsYEWFRqp5uy8wd
FAkZI+spB5YHDjS1rrWjhMsYSIouhD24S2aCQg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x17XoWygHVOkhH7LSsS60izoEpTCxleTZprF+Vi+wHN/6nKmxO+IT5Juz1BJdW2N5mgk+hjz5IkF
vyxHh2CgkhJhxUgS25pMJ7eQci6QpdPNuqJKAKsNcWguQcFzdHP5aWHOMjxl0WU/OSTK345NQBec
Yu6VE2Rn68UQeNNwgBY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HZ0pg+ul3parLOIXttNgyRlqAHQm07FcJxd3eXJPacM5C6ptCEVMvhBhGF1hnSIUX3RR0R9Ptgka
07LtfWEVkzV0bUIjhStTMxbm3qGdGYacflYQuVsM0vziFMBEiyRonOJ/tIib0WgJFy/U1IJVx7t3
Hv5PKjr5mCwdVMcfTGhKDwNdwaSvlTair3kdVgX33oWJ8s0XUDViMNpi91gMiMVcaplrZ2d7UJ7T
2QWPDPZvjjPFYAx5h45WPbcqCWa51RliPaUv/0GvTgR/bR5kw5H1BWUeHE21iDOT99frzuZnkdkt
5PzrmEqnxuvWNG/yKRMbTf+YYLQjSYEJTjFpfg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5712)
`protect data_block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`protect end_protected
| gpl-2.0 | ed11d2d8a8a30542c98cfb584cb769d9 | 0.925179 | 1.893153 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_eval.vhd | 2 | 41,947 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qQuS5XhMKeNMjpY8SeMcES/UeQojYAqvasm6hFsU/KZCY1yDnkKmj0Zu2Qup1IeV2a6kbg5/jnM5
ORcQdNsr8A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JNfpOWBTew36ju0JL2qgxhvsjJtnuXqj4FvfyX2vwtwp10QYtyhTQLqRiF80nP/e8Ies9s3s3TZB
BrbEW97Bjrml77L55GAMB4ytWd7O/j01aPEUGyKrU6SJMsg+8RxItY7w5emJsY7vah/SSOf3iqFU
Bdrjwqqkcn2EiofBLDA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
5iRfGfV5GMBtCyW0Q8jnLZjx/CqKmhsLL9LsL6iO5EvJm+UPvDvYlaJyNAoe7a8P8roqt6CRzC+Y
2Ug6dKfnTi7PErxeF/M1aRFFyKQQU1wZuIhItCDTEcZZ1T61VfCwX44xSNBwhbTCjiGzXSY5/rGB
1hOHSWBLKsXDthx4RCkbqX8RhpuoOmo+urDFnXLgEqnFZJsjmlzLWHwNavuioOpZZLopMr7/hj4f
U+fF1fOwAzQYymZBaajrhndIsZ6T7yAS/5V1wBECtmdPdSqIuiyWzuWB5I0HNIaMlHRlkfDFJAWh
OTAVdoCEBaT1WSWFwe32NqZQQ5qI/uETsekzhQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bxB4iUJGieBzxSRGlpKjqB6qTBg/5OLibCkxleneLR6QmjJmQe9cS0FlfWYjyFOJaJbzAqLD8LP1
8gV00urSoyMw3EhXRmreifYLGmfEr5GJQaHoXu7SjgxU74OoLxn3iGiP+gLp7sPYMlYHXTEVl4c/
sln10l2Sd4170vhCZJw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Uk3zM76ZtcH5YZrHnkYFtWMzHwxYjkeX/WpIx6OxI4z/QQoR97q5tNvNcGl2s/U8p6j4ktm6zAjy
JF10aL+S+DbXK7UV59bBYhgZuVhoA4Dbams34Yx3e272TLkz6AeaB0CrdmDfVccCcBjw1SRXPeUd
7VJHNqShwju5wk5JC/0GxcYBuClSpTuidATIpQaW3MtyJsEj6WIkFvkwmis2Pa+If2kkIpHmJNXf
V44P3VY6q4xyuwEFVRAWoJ8FvKL7xTq7HoXXdMF08Yiq4NpIIvANJa8ekKrwJaXZKfvZ+CZfE22g
7JzVUcqXxoPZwL/ZsSgg8/FbQHkokT8PPv87/Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 29312)
`protect data_block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`protect end_protected
| gpl-2.0 | 5cc8f15859cca034502a843be04b5539 | 0.948602 | 1.826483 | false | false | false | false |
FlatTargetInk/UMD_RISC-16G5 | ProjectLab2/NewCombined/IM_tb.vhd | 1 | 3,179 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:15:39 04/13/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab2/ProgramCounter/ProgramCounter/IM_tb.vhd
-- Project Name: ProgramCounter
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY IM_tb IS
END IM_tb;
ARCHITECTURE behavior OF IM_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Instruction_Memory_TL
PORT(
CLK : IN std_logic;
RST : IN std_logic;
BRANCH : IN std_logic;
BRNCH_ADR : IN std_logic_vector(11 downto 0);
RA : OUT std_logic_vector(3 downto 0);
RB : OUT std_logic_vector(3 downto 0);
OP : OUT std_logic_vector(3 downto 0);
IMM : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
signal JMP : std_logic := '0';
signal OFFSET : std_logic_vector(11 downto 0) := (others => '0');
signal RTN : std_logic := '0';
--Outputs
signal RA : std_logic_vector(3 downto 0);
signal RB : std_logic_vector(3 downto 0);
signal OP : std_logic_vector(3 downto 0);
signal IMM : std_logic_vector(7 downto 0);
signal INS_OFFSET : std_logic_vector(11 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Instruction_Memory_TL PORT MAP (
CLK => CLK,
RST => RST,
JMP => JMP,
OFFSET => OFFSET,
RTN => RTN,
RA => RA,
RB => RB,
OP => OP,
IMM => IMM,
INS_OFFSET => INS_OFFSET
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
RST <= '1';
wait for 100 ns;
RST <= '0';
wait for CLK_period*20;
--BRNCH_ADR
-- OFFSET <= "111111111100";
-- JMP <= '1';
--
-- wait for CLK_period;
--
-- JMP <= '0';
--
-- wait for CLK_period*3;
--
-- RTN <= '1';
--
-- wait for CLK_period;
-- insert stimulus here
-- wait;
end process;
END;
| gpl-3.0 | b1832fdc318e2ab4b7456235602a4af4 | 0.569361 | 3.604308 | false | false | false | false |
UVVM/uvvm_vvc_framework | bitvis_vip_avalon_mm/src/vvc_context.vhd | 1 | 1,470 | --========================================================================================================================
-- Copyright (c) 2018 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
context vvc_context is
library bitvis_vip_avalon_mm;
use bitvis_vip_avalon_mm.avalon_mm_bfm_pkg.all;
use bitvis_vip_avalon_mm.vvc_cmd_pkg.all;
use bitvis_vip_avalon_mm.vvc_methods_pkg.all;
use bitvis_vip_avalon_mm.td_vvc_framework_common_methods_pkg.all;
end context; | mit | 294f2b8b5caa1e37d2db613036a09257 | 0.538095 | 5.212766 | false | false | false | false |
freecores/light8080 | vhdl/demo/rs232_rx.vhdl | 1 | 5,352 | --##############################################################################
-- RS-232 receiver, hardwired to 9600 bauds when clocked at 50MHz.
-- WARNING: Hacked up for light8080 demo. Poor performance, no formal testing!
-- I don't advise using this for any purpose.
--##############################################################################
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity rs232_rx is
Port (
rxd : in std_logic;
data_rx : out std_logic_vector(7 downto 0);
rx_rdy : out std_logic;
read_rx : in std_logic;
clk : in std_logic;
reset : in std_logic);
end rs232_rx;
architecture demo of rs232_rx is
--##############################################################################
-- Serial port signals
signal rxd_q : std_logic;
signal tick_ctr : std_logic_vector(3 downto 0);
signal state : std_logic_vector(3 downto 0);
signal next_state : std_logic_vector(3 downto 0);
signal start_bit_detected : std_logic;
signal reset_tick_ctr : std_logic;
signal stop_bit_sampled : std_logic;
signal load_rx_buffer : std_logic;
signal stop_error : std_logic;
signal samples : std_logic_vector(2 downto 0);
signal sampled_bit : std_logic;
signal do_shift : std_logic;
signal rx_buffer : std_logic_vector(7 downto 0);
signal rx_shift_reg : std_logic_vector(9 downto 0);
signal tick_ctr_enable : std_logic;
signal tick_baud_ctr : integer;
signal rx_rdy_flag : std_logic;
signal set_rx_rdy_flag : std_logic;
begin
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
tick_baud_ctr <= 0;
else
if tick_baud_ctr=325 then
tick_baud_ctr <= 0;
else
tick_baud_ctr <= tick_baud_ctr + 1;
end if;
end if;
end if;
end process;
tick_ctr_enable <= '1' when tick_baud_ctr=325 else '0';
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
rxd_q <= '0';
else
if tick_ctr_enable='1' then
rxd_q <= rxd;
end if;
end if;
end if;
end process;
start_bit_detected <= '1' when state="0000" and rxd_q='1' and rxd='0' else '0';
reset_tick_ctr <= '1' when start_bit_detected='1' else '0';
stop_bit_sampled <= '1' when state="1010" and tick_ctr="1011" else '0';
load_rx_buffer <= '1' when stop_bit_sampled='1' and sampled_bit='1' else '0';
stop_error <= '1' when stop_bit_sampled='1' and sampled_bit='0' else '0';
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
tick_ctr <= "0000";
else
if tick_ctr_enable='1' then
if tick_ctr="1111" or reset_tick_ctr='1' then
tick_ctr <= "0000";
else
tick_ctr <= tick_ctr + 1;
end if;
end if;
end if;
end if;
end process;
next_state <=
"0001" when state="0000" and start_bit_detected='1' else
"0000" when state="0001" and tick_ctr="1010" and sampled_bit='1' else
"0000" when state="1010" and tick_ctr="1111" else
state + 1 when tick_ctr="1111" and do_shift='1' else
state;
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
state <= "0000";
else
if tick_ctr_enable='1' then
state <= next_state;
end if;
end if;
end if;
end process;
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
samples <= "000";
else
if tick_ctr_enable='1' then
if tick_ctr="0111" then
samples(0) <= rxd;
end if;
if tick_ctr="1000" then
samples(1) <= rxd;
end if;
if tick_ctr="1001" then
samples(2) <= rxd;
end if;
end if;
end if;
end if;
end process;
with samples select
sampled_bit <= '0' when "000",
'0' when "001",
'0' when "010",
'1' when "011",
'0' when "100",
'1' when "101",
'1' when "110",
'1' when others;
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
rx_buffer <= "00000000";
set_rx_rdy_flag <= '0';
else
if tick_ctr_enable='1' and load_rx_buffer='1' and rx_rdy_flag='0' then
rx_buffer <= rx_shift_reg(8 downto 1);
set_rx_rdy_flag <= '1';
else
set_rx_rdy_flag <= '0';
end if;
end if;
end if;
end process;
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
rx_rdy_flag <= '0';
else
if set_rx_rdy_flag='1' then
rx_rdy_flag <= '1';
else
if read_rx = '1' then
rx_rdy_flag <= '0';
end if;
end if;
end if;
end if;
end process;
do_shift <= state(0) or state(1) or state(2) or state(3);
-- reception shift register
process(clk)
begin
if clk'event and clk='1' then
if reset='1' then
rx_shift_reg <= "1111111111";
else
if tick_ctr_enable='1' then
if tick_ctr="1010" and do_shift='1' then
rx_shift_reg(9) <= sampled_bit;
rx_shift_reg(8 downto 0) <= rx_shift_reg(9 downto 1);
end if;
end if;
end if;
end if;
end process;
rx_rdy <= rx_rdy_flag;
data_rx <= rx_buffer;
end demo;
| gpl-3.0 | bb89a64b44af48cd5b6aa294dbe2dfb2 | 0.537743 | 3.253495 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_lat_norm.vhd | 3 | 25,238 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KY334j5QeF+O+TYvIgQGrMZGICHSKZLBcGjpNeC94cTYcHQebwiZbCjS1kAoxtsigEwKTjS+Qdwe
XQAi1GIcuw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iZWrhonvYItiQF2KLqqDySDJcv8lPb65GNdP3n67Cr3+QElMuoo2E+fWcK+ulT8v671cIpmqCphj
Kv6bj3ey9lToRN9SOZ/KYj/kzTZR8DH9dlGSWRXIKyJO1WYelztYwdqYS4RbSBQh+abHI27mQpNt
lb82bcYMEP61qtUNCvA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fRNH6GyegOrYtz+YGpXkcLG1G0bhM/lm9YT3Sw0hG2MVR1L3CdG8tBfzdwc1XfBWU4/AdTe2Hj8Q
m/F/LUYYEW/ixcqD6E9odLBwIO3e572wuy+VFphCYNE6DwluRQyYSg+IQssZNa8m6ddkoc2HtvuB
WSS6o+TKhhmNFv31toAErHt6J8JUBkmdCyVp0jRfme8hBBL8nLRtSDMF/nX5HzBqhk+bHzpzzACs
NYa71JU7f7BlckX6MHiorx0Bq/gUX3u0dwVSYgBKr6SZGiCGxGfStuxXbe/i98jnPsUxcML6PQNt
wqiwYdUaIcoczXQfwQngXOwfF8ME/6h8Runy8A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3KFcFgRUocQlJbNaCY/GjCe4WVgV2BGJ4/WGHoLPc7duneinkkIurA18/rG410jMoxkyT5sucp67
wkUg1A1z376/1Wk/+EQBaf6XnBDhNTAxrdayuy9JIMwfvMkHGVhHR60C2o0p92IRnKemGPWm8Yav
roKgW/t++pkydxj9G9A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oenfbP09XC7fUh1gTsGQW1sVaIymK3WNnaVzTBtXAj+iLYS8mSabOrXUR8nfAU+n/tCW5gyQBIx5
tQ9ue8Bhgep5R/bbcEkVp5/OqQul+vo4pYXRCJPQ5cCN/IlzZrrq0jRqaC/5FNOaqY+hfSPqvGGV
CJYnBtkDxCDmDAcWTu9EETsUgDmvdIgnjd9SRrUpOmw8g8aebVpRZEw2aNBpLAATPMLWNHyEzRuf
3bNd4pdB+qRsEzQc+fQDnZKuveyWsjRPV9uM/povOd9Tx6NMP0M7hqvQ2TOjqU4sWUQG506JMFzG
qsQTTbH0skeigo8lPPTzXv2uzGBJEgxuMEBe+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944)
`protect data_block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`protect end_protected
| gpl-2.0 | 845cf8485b21372e1c14587677b747d7 | 0.943775 | 1.852466 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_2/part_1/ip/clk_video/clk_video_clk_wiz.vhd | 1 | 7,381 | -- file: clk_video_clk_wiz.vhd
--
-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- Output Output Phase Duty Cycle Pk-to-Pk Phase
-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps)
------------------------------------------------------------------------------
-- CLK_OUT1___192.969______0.000______50.0______237.070____275.507
--
------------------------------------------------------------------------------
-- Input Clock Freq (MHz) Input Jitter (UI)
------------------------------------------------------------------------------
-- __primary_________100.000____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clk_video_clk_wiz is
port
(-- Clock in ports
clk_100MHz : in std_logic;
-- Clock out ports
clk_193MHz : out std_logic;
-- Status and control signals
locked : out std_logic
);
end clk_video_clk_wiz;
architecture xilinx of clk_video_clk_wiz is
-- Input clock buffering / unused connectors
signal clk_100MHz_clk_video : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout_clk_video : std_logic;
signal clkfbout_buf_clk_video : std_logic;
signal clkfboutb_unused : std_logic;
signal clk_193MHz_clk_video : std_logic;
signal clkout0b_unused : std_logic;
signal clkout1_unused : std_logic;
signal clkout1b_unused : std_logic;
signal clkout2_unused : std_logic;
signal clkout2b_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout3b_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
signal clkout6_unused : std_logic;
-- Dynamic programming unused signals
signal do_unused : std_logic_vector(15 downto 0);
signal drdy_unused : std_logic;
-- Dynamic phase shift unused signals
signal psdone_unused : std_logic;
signal locked_int : std_logic;
-- Unused status signals
signal clkfbstopped_unused : std_logic;
signal clkinstopped_unused : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_bufg : BUFG
port map
(O => clk_100MHz_clk_video,
I => clk_100MHz);
-- Clocking PRIMITIVE
--------------------------------------
-- Instantiation of the MMCM PRIMITIVE
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 4,
CLKFBOUT_MULT_F => 30.875,
CLKFBOUT_PHASE => 0.000,
CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 4.000,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT0_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 10.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(
CLKFBOUT => clkfbout_clk_video,
CLKFBOUTB => clkfboutb_unused,
CLKOUT0 => clk_193MHz_clk_video,
CLKOUT0B => clkout0b_unused,
CLKOUT1 => clkout1_unused,
CLKOUT1B => clkout1b_unused,
CLKOUT2 => clkout2_unused,
CLKOUT2B => clkout2b_unused,
CLKOUT3 => clkout3_unused,
CLKOUT3B => clkout3b_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
CLKOUT6 => clkout6_unused,
-- Input clock control
CLKFBIN => clkfbout_buf_clk_video,
CLKIN1 => clk_100MHz_clk_video,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => do_unused,
DRDY => drdy_unused,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => psdone_unused,
-- Other control and status signals
LOCKED => locked_int,
CLKINSTOPPED => clkinstopped_unused,
CLKFBSTOPPED => clkfbstopped_unused,
PWRDWN => '0',
RST => '0');
locked <= locked_int;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf_clk_video,
I => clkfbout_clk_video);
clkout1_buf : BUFG
port map
(O => clk_193MHz,
I => clk_193MHz_clk_video);
end xilinx;
| gpl-2.0 | 573cf4e37a9c4b92c665989accfd7d28 | 0.572822 | 4.264009 | false | false | false | false |
skordal/potato | src/pp_csr_alu.vhd | 1 | 1,177 | -- The Potato Processor - A simple processor for FPGAs
-- (c) Kristian Klomsten Skordal 2014 <[email protected]>
-- Report bugs and issues on <https://github.com/skordal/potato/issues>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.pp_csr.all;
--! @brief ALU used for calculating new values of control and status registers.
entity pp_csr_alu is
port(
x, y : in std_logic_vector(31 downto 0);
result : out std_logic_vector(31 downto 0);
immediate : in std_logic_vector(4 downto 0);
use_immediate : in std_logic;
write_mode : in csr_write_mode
);
end entity pp_csr_alu;
architecture behaviour of pp_csr_alu is
signal a, b : std_logic_vector(31 downto 0);
begin
a <= x;
b <= y when use_immediate = '0' else std_logic_vector(resize(unsigned(immediate), b'length));
calculate: process(a, b, write_mode)
begin
case write_mode is
when CSR_WRITE_NONE =>
result <= a;
when CSR_WRITE_SET =>
result <= a or b;
when CSR_WRITE_CLEAR =>
result <= a and (not b);
when CSR_WRITE_REPLACE =>
result <= b;
end case;
end process calculate;
end architecture behaviour;
| bsd-3-clause | 9359f44cfd9e1a96ad28802acde4e0dc | 0.676296 | 3.057143 | false | false | false | false |
keith-epidev/VHDL-lib | top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_axi_pkg.vhd | 3 | 103,223 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YZSdovA/i5wqHUXbqvaXRsx0zPw1pD2B9v/boxEZZk87AimuUN0Jf+4hIDC1YRTAmzezZVQU96C8
YMyxj4r+ng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TdnWjbY0Dq8GCB6A+8ktmt4auGX93sdgzvonlbovGQjSGHNqXyWaqBx0SrkA8i0wP95jQAZAXXAO
nIjXu0OgLd2nBKyrLL3ZspfQkSQNKLCjB6+RIj/u+1R09LcymXU+Wb405aWl9K8stuozAUOMGb9p
olo3GMJC/li/dzZ9gMc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JYsfzFNzqMNDRiSq8l9y9qJIq6s+oYrjH1KilND4j670xMFBKZVSyJTJfdT1kLMuezH/CkpL+9I/
EvjLwyziiTwEM2ALj11IpYTsGawhzZ2H7+ItPN08uMzrlGoWr7L2bCJWm3cYk7Ahc5PAV2SKrbwO
gngJtZga+Jgi4YEi9eisMWRmN3bHFRYrvM4N0EAWL13dZgC5B5ZC7ud0Ep4ShomD6rgDx5rkhtcr
k8E4kvWEnhPRa438xKbSft9TYRJgrcMvYlkCjN4lA9qdMkMI+XQbaVhdSqmZ+EgmQR7E9oMD8D+D
WTVVPl576wMiAo9AORyESq9eXkuu9wjimjzYKg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VB0rhKR3wJEpRcyvidnAMghx78fWbo9fYXMjGWhD/53cJvuv6gY8M9iXXab2YDuxx4xPQPUhfbh3
2wQoNSbwa0cbw/+7pPWGuXprhqJxMt6IJnz3hjZYRoP1MaE1tSd3yyFUzG1+4cmH7Lvpak6efC6A
Ys+CS3FWs8Jr0ueTT8k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pgqTiCR5x68xml2m4N0FP2q4ZFExwkmyNsf1mTvBXuXUyl9jCwYs955rd4NI9cJtw2FDniTSy6cC
TAWVWU3wqJyrbUhkM217HQAYUot5zqYG7tJ769U4BdnW9BI04r8Utyj5M25HW5FX2/XPxi50SYFW
CUmczxhQ00LiTaPG+92wiOtFMfHfAi3/PJnn4uDC5+YRbMQFLZYCYM3Kzd2vnCOEdSfbO/FcUxvl
g6yqXATqr6mkdXrkGoLvUUSXD7PBye1wTpiIBI+2jnEFqQBCEZmLYKVKeLq9oqaqwbwG+3ll8H7Z
40BNo0xgdPr4Vfww/URnC7ZLpJk1ZcnK/WCnow==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 74672)
`protect data_block
75VLaS3VLwzSM7yszXd3ATmEY5EesHa92ebOggIr9zSq2abXxM1xdMO1dJ+vTTkA0mZn3ZquvJfZ
S9ZNpCoiGiDIu8F+Hh38+ntNe1aQGpRgsxiDj1xK5UMcQkaOyjhLEKOIpU75jeWT8pYzznWZ+Jvz
fumHif8T2nX5xaSUuq6E2sF8cz0s9q/lW/2d4Q+VwA+l8yqaBE1lPlBy0Ti0pxspHYG+W/3cD9hK
mvbjd+jvRswN60H1wRKqp4HQlaLzYeUNGh7OaOqkVhbMy5PGtwuYScujlXiplZ3nfaD8Frku3cET
CPCRta7yZLlYQ/gHsxMIKx/vlCnfOj3NwTKScQbky3X1Cp9MsHXr62uSRodWIZitQHXztKCSIdMY
Atyj1avvxrWSdnsSeVehqL5HXN0Y8Uxk+KBkTJ6XUigQn58NYNXxUOREuKXTSjtbWk3vb+Y+QCOI
GAZhbP8D8LZs7HoGXcXymrYNRNEMq3VdKXh1cm+rEHfv8jhYfKGr5bLWdMANcdqm/u8mmp4+BBFX
n0aTWUN2EADC+YANPb4/7Eog+tPwdOG1sj+rZX7FWhbFxTWdYzfyi9yq3W1hasab+8+fTflqFtuQ
hMLU7yjN+e6RY7XFjYWJUEiO7BorDyuq8X7WP/vbTPjpwyKTgzw87n0U1O3+fQWPrAn4uE/OINF8
L1jzEVVwEUiJH6d0Zq13W5gPo0uvidog/bN8IuCMQz1WxXh8Y016YyThaVsCbhm67qf5wMOuh4ZC
24Ejp65ZK/T0n0kQm9f9vsUQowOey4bc195iM8YaQmgYW2jAEbqQV53Gjpzx8w7DbefCYJtZ6Mk3
YLbw8MdqWK1Z9/JPaKZSyvNzG7jwlPmlHh2hhEFPIZb/MzIr7gyPKNTD+Ewf7mEICqOSz17kRwbG
bEg3PgfoeySm2VEayw6is6SXICHJRJKDLgbkWBmpqABZ/CjeNuv9xyCq7/nMtibzFo2yfTr5kDfB
fa5UWXlrx9A3T4gfmoEBaKbWKUILbBowbQvo76oYYVPCur+ZltbnIknX6KlTAE6rFFFv3Lu/3vEB
a174fhEalv20Cdq/WI7ihIj/sP7q3bZZKmKXdjWXcGaUJR0/D9M8QF5btgVZWmgH9G0Ai83G2IDp
bRNGYhZvKTyWkKIanFPRYIFivl5wZd28vBfn0uv453Q+gn165cXE5Sh1kCditsryFgvKkYT5/pPA
liTa6yCyGJM+nyli1WYtrnvkjyNiPB0QoENeX4vf+R55PEQCH5vRZqttL6xp1/f0xyvxYtWuIbpp
BQUwXSOzPHL7dyOpoeOsZ0V2PY54tnEaYFEM50rU46Vf5pW7oGOV2ULwZ1w+Xi9jA5y1bs0IIDlF
zDRTSU+pP/npLpXVAKUkDMXnCeIJ4Tl1/i5lEvbVpvS//yxY18mHE19oIp+G1WF/LAkw/9eyQed4
OKwn1FV0h+cPP0bjNZ9Hn7UdhB4m+7Zfd30CQgYwQBmvvc7Fl3PhqqWjk8hzCbyG1eWE/0/bBw1q
F2Shu8lWWJEJKgMwL0PgCKYt7SzNhCWoB6tfQTbyVSqjkTAKH9DV7Dksqa853o2Y3YlHaA+eGkSS
WEILzia341iKZMXSsqS8VAlf6AzrDfKlsU52ibnhlK3HDytu/UhliV5z+RTcETG16kxj+jx+qJJ+
dj4dYo8CeyJnWkK/Fveiu0UGt0GDmwXQC++NEKkTvByvqcEx44Ebb3DsxwCdl/fqdBKA18dSWzCt
iFuLvzIvCTkJUpBLaZHVa385BtiLZEk/CHfk5g3lu7ee3rpn1+dqCpnXFo1fevK04rYqU5MnhwXh
d/Xa6h0uXhCGFw/ju3/x9UpqdXzy0ib/gOb9Jjl/kieCKRWCtQhU7BYUg5EuWqUdO3cZmrcO3r3b
SJjhqUC0KbpABIC8H5RCCHCTo4F2Q2UB0UcymKl8c4S01banvn/5TW5RCXCFdceQLSW4D8mxPkbA
pfqowHu1hz/xUIS6CqkOOjh28Zpf8EAvwyXpiklhFZZ9rIrXZTJ29p+mfZLbcmTwLM/O/h41NL+w
UH35ZbkWSJzGtFRkpGTkazlxreQkt+ZLCrTQ13iqz0+EQKq7pL3PGF1w5Lpbdg/2J9zHiiYRfxgj
8Sme0G6i2KeaOOp9OQnkufvgqo8JRycZfQPzNe8Apfjmw4cVlsrTtgu6p5nXab2ztuOmzKWhtV3y
WsWCl4bq4BLhUTK14XaONcyuTjA5LBlyxDKSxPotMWdYnWTPYtVOwd4pORzDpMnlN4/RWOah74/W
mPrJIGn0ndgJRTTNKezIQZc5V6OJaV06l8BOS5bQWJ+a7SAr46+8H5BBO133xpU53byhBduRgCPz
yWwwkQAJwSdnHCh8YPKMjtsgzIwYDswIRan0beFH6/xtxSypTGVkCFzidftnPuOCck0GEu8tmbx1
4xu7esq6sZ4FGqmWznyWNp4i5hX1RK/e9DLZX295R46zs4dFpHad1/X6f+9+sBoAK5LUrwLKs3pE
VyVR2IYgQq3p3+3atEnGTtiNL28kwZy0DAQwTmf2Xz1PtF8o292q+C/Yci0detnHwXwVpqhqL9yH
Mu1ggil0+mIctHfxyFcmzxkXsw98kQ15azYiC2+SbgFt2LMfG+zS1NyV9ZfSYR4rnPyI0cgIxj50
FLUNlmmeDsdwPPAlydfcZJOk/HZQFGEqPCQQZOyXWkVzu26fQGWUONgdu20XA/GfK97RD9xGposH
LrmfXWlSzWVROykWebog+KQZxcg3SrtyTZoANpo1W7eGikAnSGDtLI1g/BKMBwUvd9gxhJCr0wdS
Xyunu37fqr+X0nhBVlMcyQOxuA2Vg3dEcs4mrl+DlACn34MDjAHj0KU4w6v6UIuc9PEv8bwSoBXs
CGdIjuGRsGWsyqXliFgZ5IV5CIlQAf1PLGnI76ZmSHIq7oKfxyayYMV9cDiGeOr1+qA3Hn0BFEyE
m44a14+56GvvJxrDJWmRVgkMRr1RXU/qlPbaYbZFvn9kU3ogkriDXAJ/9TdhnO/Y9jfhDi4d/jct
6OBZrvvoVyp0V7O6+l3rV/t60DeOMDqVZtJi30Ef9ABs9jqLT1MWmoyT8TRchV+H6IYA1uahxq4O
/p4K50gJCWiIKvEFoP9aM+LTaT4Z9myYLjvHHyjC1Hauuaup0CoOOGtGPCkT54QLWPjx2uWVFZur
fzax7/4AxQbNi2RV2rJn8UWFwvSIWfls3Vo2YUu255o9EcW8mSc3/AQVoVK2LT9Lmetso/vmsSJn
qK3KxkUg0OTbqlZ7v2/Zlq22OShmB7RYq8joDTUQBIzxp5eMWg+sklxvlY1fQWXCdhSxBiNDT1zo
7TUwn2e9pbcXU+IbBKhTrDktIWFjbxJ3BFJHLKrRxHpFr0hZKfySaU665I1HgSMog+00Lg6FFPdO
ByVMZBeSOtYLCTNnjV9TNHwKrvxCsfuN/L0A9D6Xg3r/j1riMewX+qGgVFGIw3xvIw++LiMK4Yst
OaUyj3E5WMoX3mEL6nLGpN1ZI9E/GKSoKUNM15ELn98VOY+gFZRSGS5HvjZr50cr2KvZM4eVLrKg
Iu3I+L+WK6aM3k/YMenQ8aOKy3++tXfF1HYy4EC5rtD6NNPL3jwDb1QaXCq/mQflnGC4fmzBA848
fxdz/k5G7JAxq4Lx7R+QuZXIafMPnIPpHAclcwbkQnIrShLs9mmp0KWfmrx5Hj+NVov4KzKLHltV
mh943mDP86LayXc2WvWfoaYK4L3uyzAtnL0o3fcmw02luVuPODUpxxJD6aM3egNbPEJiEvpK1Y0O
MIH0J0bwLY4gAcwLNzZQZbD3nU4xKqkFdoNonkJY0zsBMA8b0urFymTOjV3kHQcXgasoecvW2DFB
NZdfa3XTX91WF6uKudErCX4UrSFoaUmEKsdi6ejBy+el+I/zonOasHudRu7QYhs46/VZ8Iwgf4Ad
NMpN+iRyukHIXIU8g2AJ6osxcRLbbJnmdpSNtF2iA23jB6ylz2m8iBWVCLfcb35aHn3bGIiyF63D
RHuGFt+1Gxsbr8LnzpOMvskKF0AHnjMZKBxSCYnW2Y6Wvt72gcduqaTXQ3Lt1pMRcfEBISASprfL
FU70ApMjaGbtYQek7qxEDC/h7rnft9+EWjBD+uK2pjMSe7X2KuUPQmoMOk6Pmjwes1KcS1VSJUhw
ckUaprZk9NyGeyyC7t+hcQ4XCKbuISOjSJJwe66FQENaDHv8+zfY61XNjsKKsPgX7POUN8zJMHzk
0VKyO+OAL2ubrBTtT6TRzfrNbkU3QXW/bGqugLMoMFn7UwvyXd5a/7zY5WwMjGjdYjovyEnZ4zNv
G34pS+Jc2Gl0rIdxwOgt2bV7IxX6IKeFcoqj89/kCv7HzOcXSAMs8cLnBA0EPtOkUlzPVktlrzOT
USN9Jc5gkY4khiwsyn3QZabGeCDyF2Xl3FU3OJUrtTfxDTnwOVTvqGc6bIi2Y3xMrfc4xzh7txsY
AkkmZ+e843tpVTdrbpwBA+tbRsTuAF5i5G9gzL+aECWLnmzK/cqdqVKyGStjk7CxpxWrrmeP3+AR
4Vop3AS9a9RNg43GNn7V/pi6PZm5T/1afTt2on0StQIM7rJlIJ9oqlZcDdK+rdJr9alfyrglBL05
GCqeuKj2wlpCXSQw2dqQKHSiWShiyVBZapWfOSNARPs4ydNCilMe29PTshw4AP+wvwH7l9ER8Alo
tetFFpRHJFosC1aHvUK9i+pPGn5g7ErQMerbhYyCetjtEFau4SqbN4uT3QjlWINFRl4trXhGz4xa
dBNivkD/4sL+Q1lYDJ2WHRi71kCyoG/zlDC7e7YR3BbbXw7KM7tMii+wqdZumxt7/LfiIKT6AKSa
lRKtqGVXYRcKylnH7rnKbx8cdlV9UfLNYtU6/pQ/THplRQTYMuN561NOJxXtXOTH2HRNFcgcBXHc
kGu3DxbvlOl1hE30R5brm3J2GoQtC+9Bux9nVNdaf3lOo0l3Xg2joAzQD4b9qF45w2VOymSi/PAo
7GN6qTPIcpsH8cpJs93qYKjAsUShDX9DV846g9Aq+wIqtO8dq9EGG7ZCNEGCfW3ymSsLt+WBn72o
jaXY7boRYOf6+KRqlht5lyItaOMfFgaBUJ9jO28Q/866lHsNghzYbkmUCUvO/iAOCE3XzN5lh11I
C8G/36Ss3lyTETTQ2aSdG2uePWNjxX0UapLzZRc2zfC5LZoEqZ+g5hBRK/NR6zVCUE/RBHUXKMn0
szHFgJkz2gk6daARlXBfCDoQENUnMp1tV/GDPVAVRKSKo/IAJI5Z/ICFTuU+VgaXxE+ZjyKl/I++
3/i6bzXqjuKCGcTH9jrXExBsbnFh0yjZRQT/8sn6eGFQl58u1NzdvVPDaZD9iUvJMTXgb+jVatDp
KyE6CEAhPRv2fOCkuVU88OW5HFk5ojAxaiD3ZKvvwKFsVpNsVjL9IeAAbJ9LxzWur8ZvtVfuqxvV
Ox5Lu01/+EQRdQ8wh3vL6MobfWf0XnqQEvbY7I9AtbVDuFKcRyOhTxTGOdsQxcMyQMrZcc4UAw6W
2zOlV71L1SeHwqXqrMuOyEx3VV7osPh4cmjP4WH1QXtewv0aSMFHjPCHxW+btQGlMN9JgOU02noA
KkCvkgHfNkV+kO9uTc+PCn2Azi9vxI71L3yZ6Zpm9AGDeJymxd+jPVdzPxXYXFaL7wyVv6y9Qoqq
uCk4A9vNSKk7c3HcqGyykpcSv3ocl7fvqW5Hw3uWFz5Bkf7067SmvoR3alKmH0Lj65pj6LtaHIxZ
B3BatVCipdOvHmQnFjUX4yecz+kh0mskGTm1FJTzvn1RL2Nd8mB3Ie3iuwrkBgts+etyA9vRghaw
0n4cW9mVs0zriD88jTH203CnK743FtTgzF2AWGyLOftEssn+gMk3zbXziKyHIZ2sf1/CotZwhdES
R4/cFspKkP6VDrCfrneWQpnqvRQa9y3z0CT7+aWvedFx2EHNeUWoMr7sXTnfyW2vSvmjlNa/bdpf
zKppb/DKDuDj5WB6c9tzyAuC4dDjKAGDWf068PPOorUdRRI327ZvP/uetJYmZpS1GsXEhYMrwUKg
hgs9541hrQwekgdWaffifoxVh7i1KWg/pXlsnAqS3iI5B0cMJ9r5rREWiepuzXmNR/ZHcgSu0oWk
hGdi8f87NTfLhZz/DqKnWw4bywJwiqKV5ur3NdR2HWFY4G8DpTy1bEpeFP3RVqPC0mGRUhSgitMD
gKr/jHopjtA4tSt/U/rjf6FUhrFDbwG9WnOW+EzEoY2NG5AIoq4DVwspYkgSZSduAPQ9X7Bk2pC7
RPwBp+GUpb0ThdOFPdh8f401OKvwKGeHcjZ/k4TiHEZfqGTAy/TaOpdF7yhv6beVCHohPVibJg+7
FYJvVl8kdcCdapxwRY+qdl8dtSr4uV32offfiVWvuwk3p5yM/RwCKRb+Dicra8HLP1pAZ01y9gIg
OcTZsv00nYilfc3zNfub5D2iFS/uPEcpGWgxp4h9y44ODxQOcTTkYeBwAu3TsLBTJEF3Os71LV3a
pmdtSZAf/AEqsWa57KRqjYZMOvXiatF/YrPymZZW3C3UeZ0d2kRiCA34LPp1n4ZEWxc7m7du1Gzq
r/MrGkpbuLQxugK/nTuTsG0dPx2DEfxzq/nyNh42R2At1RaSLrNVwaLtB4T62YJQG0Thp4m+vy9B
rbv0JKy/krJY2EeZY/BzD+fiVIN3wkXC2LjGbjFYRAx5zIcafLpMU1DCdayZiecXlcONqZwts5Tw
3NGVbw2NhxpdTRNc3Z0jfCrkooNYbt/igOW0IckpJvuu+z4LHyFL+tIPK8GNRql/UNKWYEwy9H11
vtSiU0vcBBdN3e9wZ1SkpdUcEQlqc+lR5ruqmnjfINH2cenzDFvteN3v2QiITTRoYV6GXRb6/ASm
AM485PyXpo5CYKx1BTolUYfn5dIalbNX8dMaKo8b03UT/nxzCOK9Yiuf4MnvbNI3cRDv17kCWH3g
Inbut/cbApSGPulKslHWE7z/PluTeQCggDxaq5nn7k+z5WwCP+KQnAFpBYYEb7OIoxb+RvrMLid8
8gMeJQ5+ssnPPk5OR/Rmr9sDn0x9wAZi+65zMFti2tsE4yCZnFFMx8/oKb1Dez0QeoH58cld5VuR
ThVvj174iEfDOXJtCoSPxNu+PN/dLPmdOdDFYHIR3QfHJ45t7bnhSumV0JKbQi/dyJvGMgo+HDOc
SGQg/kAdfp47I5tUXrziqXvhVsjvg2tcsCUJfCEFbhYOhEXyPcZfjkeVZ31tyLJ/xqbuI3UcphcZ
SiAGFclHIb+y0kq2eIvQF0UPVE4PdXWSijVlJaSdnPiwUFoUUUeuzGqJptm2LUKMfSXdc30xd5rx
XIOxsVCmPlkR0m0pxyPbhB+qV7u8K96TQmCAi0BYvD2qn7nk94Jz/cdltABrqomkiTXYjkihpm0T
R7KkOMMZ09AQ5Zf7l0gBd7hFkineFpSDJOo9MWq82nMjnIkr4ndX1FmjDcb8uRU3O+KZRcoQXfgW
iIIgcejZ9tzfwZ/kwhswQYkReUrcgjdwXwNGBz8iaCYVXse0L343S44SXqZ9YXc08Qx8ILNx8E2V
lvn/JTox9xEF5xy1itQORCKpmiVjKs0MuWb5GbLPz+jvo9uEmhiTaosa2u8kOmQRNXtBbch/X8v4
zi8K4vB3E9NYH+z0vHbj4DR5GxR4IjRKv+26O+sYUBd5iCNAu+SDHqwifpEABmBkY6Haj//sp+WR
xW9XlBmydU6JLqiNTmYaR5DbkbhgLsoSYLpIr0pSSjez1Oaav9LWwShJEQhl6jXtdvwVSOgEBnis
7iPqHekeXE5XIIu1+EcyqSVIlaAbgJUIQbIMd5DS153oJ7jyhPJubGI8uHc4cEO2NIdgr2HAOfjz
wHeySsuuzJn3tpID9gp2qO8bWcdQ9rFGM/7RYKBTZDHGpUogHORkcHQJWS7ZDtL3qy2UeFHJFRuT
Bx6z7EkQ3VnaUtelk2w/JJAmxPr7yjEy007uL7BQ7rFfYXOiHatCl+0C/W0SRBKF6H6MH/2Qt1ZO
+Zcm5lPgmSiKFTM39rAxUlJWiXp7TF0tvqyNsSW7SFSUM/hkL60xzrfSWZQKjJsy3sFsVWflu6TY
tEj+udBlCoaWJkfFzrCFRkvb2pW6/l7CjFyrnfT4l7twoSR86utpWt6LqNRziKlOUn1eU97DE1Bo
dflb7UTW+TtNG+3Xsy4npoKBiow7AYq/MlV+Zs8HOt36Mah4s4jSzWT4yI3IwbthKCAgGfl04zWC
qWTryHcC7GAqV1EIiPTFniARw3GN+rCzEDko6/yl23L7uvrAHdjp4qpSpuLuroOcdeqIYxwnJWgH
aDepP3MltZqGotpSo8wCEE/buGb4TNVHmgTDDd1p1/zOPdVVtvXvn35Cdoem9WDII+0aIAh4aoqb
03iyIXmqFLTRjRKNcX9ZN6YyPr+s9D34sdcsIF4LzzuV0SD80BM56LDoayZ5eslp2r7AfKbtrGZ2
JB/DvgMOhwwWH2QqD5mUviY5zYhK9dWasOxQ/JxORWWTHf3B9jmh8lGi9FynpA8p7sV1O4+a/ChS
k0xWK3NWmKty+UJCFfYe8W/hyABJCAL/MBykoOhoF5I1/aMIXQNJTrvLIOIAwfcYLBUfu32oju5T
IAu1mpgMZPnI0Qr3iWILkLWII/0RLJ74pO3sKIocBcztObEe2+Se+Z5G3AbPiF7PqUIznvKde7dd
EiZ0FHByMXBXod4W+z97BooSTEe3jQULkbibLxbcVwTPqJiJoeKmx2wV+6jP/Uve+yGEAwCqOh3J
5/jaZBSIED5wwYIHYdiEVyIlBplpt432/3YnIbanoOp7YkEXDdAamjSOW9xKbbPvbDxrNolo7LF8
b7KiztS7LntYgLQpUnNltO+OYwt7vvAFrJ1Zpv2/g0iDteVbpaZUHdNxHSkqknf2k9137rYYvoY3
jgn7lSJE0iR521MleVDn0MDy4VuYSVHSv6s/oaUGvOwDEx+4Wfz0blicFUZq+YxJJ18sxBkXzwDF
4JIGXHIZQxs3+kEeZO/yX4lHwLl2hmRwXzVdO6Dc2UPE6RaWL741zq/zpFBrkblDe38H+7WoUJJD
DAjKP2g5PfI7siQL6VTVr2FneOa/d+uCzxlsqHJ5q5EObkNn4nwKaCWkmzZlBzvsTrDzvSBSVrKx
OSZaeyV4Ya2UYxeF8Wv599ypjNnPzEwhZOcCLA33VmpFboCEgpzUbGJn+4pZvonTuHRbVzMqN8QK
eYebqZN6IbapGruuaMwyOYuFqylrDgoh+eS0jpOwq8Y6IalpwPGbL8Nx+dfmyeZ9OplLDxBqesoL
TgL69qufn2UZmWK2NL18Zdr9LrMni94BOaBqJ+38w9UfW7g/axCdqRtCrZYIQSKxJSN7ZqXoaLbS
Pi2AcgPpo5BBBkRPWDxqV9mmUccZ/csHv2UNM6iO30VhUVK8kFapgefBYAEY5bdt2Iw5fk4zt2il
OYUfr0qBEbB2AGlsNtEY822irzVvxVH7v5tr7Zw5uNQdeMMHbms/RpgkdcU2IuUBdyZHWsz7osxS
GDF4MKPm/jkBDDaX4Mt1H2SP98Fk22m01tkREt6Y06hlt0I/DSl4u+tTmaWeqSV4jeFcH3DgOVFr
BE4UvyQJnAi9tfxwuxnM/Qntj33Nrx1ke+s1o1OF7S/Ao6VqHeK8DybFkEjh5PlxgcyyLv+ZYLea
4e5m8/elKOTE/950Wfh/zGE0bELKSsI/CNz7aGnVjbsohAcDOeUtDDOay1MqZjyQRno29bQvYTjR
ylgGbRVJOlZEOHVY1agN1bJWKQwUF5QiUWGENr1BeKpjw7QMUv1WvpLLxNFrgOrXQ9yMMCDvWGeI
SY0ZuvnpN1N9gb68OJoQwNr86yWiUFTlXncF72YbAcExWRuz9XMTj1/zVbG3x0BldTNLtKLt1Vsk
e7Eq2pT4vR5rm0XdPVtnroYxy27/wAKLClHa42M7luYuEojNWKjAETCqAawetv1V4ZDUhF8AYONj
hgOrOuty/h7mNki5pu19fhlxrnaSCPcvT3DUNNQ7WgKucoCtMQQuQkDj/PdOCHNin1Ac0RclqTx/
H0JmDpGDzuq3FBkJ7mBKj47319+Ag93M7bKYwof8xMXY8IZWJqOfw/fIpTWkwajFYhFUNQPEYoEM
vm8WtOUEXtLTn4163n3kMVYttFLUGVgfFqyZR69r1CFjfLnj9y/PcyX+foNpFQiFBv0qQJoyCwuu
ZI2/5uGl7qqTACycN+WJdXlVhYU/HKm/h5LiG0S+kHSUqzmvKA+PARzKpjw0jsw6jbtcByfGaHq0
2Oq3o7UaPFQzw7PSBXEliDES7XtNIi8HUDzXqQG94LL3LBPDq22nhtuI/dZKhC0p8FNDWQLYemkc
kUwEE20HnOt96CeXHivO0b+MC5MyXyg9MxkW/Z/bNwy/w7B8Cgo8eZa0oElQQwNX0zNz1+Zm0/kN
tWcKyioIExg/hBZ4ud9qS+uNfyFKdKX8IwyV/ReEEtYQNDxnY4ZDUWrfM7EmKwfzBeqg7Ddrmm7s
OecQSbUgHiD58aXLAGkUfUbTdLVeZV9L+LfCkJ2TYF5CCeW9t86o/DzJfZ0EMkHYGU1+Qu+DoJZ9
Y9FzPGTivSxMQajyzkweEaVW4JK2nSFiHrQtd4RQPkLq5zf2lX2u5t01z3lWLWU5rXZlTAlL5uJr
qqjFJ9PATPChdGsMSKY9qZOnaOJbxB0Hy+0iVSl1usGzovwhNAT6BxQUaAfZXCk+V4oF2NZtFv9Q
o5wpdgPQSzydNhgQRQhYNAcJzfS5LjC3gVIhLE+uAj2A7aSEfxYjB60eRW8Wt3hGAF1FE1k6bj9q
i6SdhrtXsK6YJMIvrLHEaSTe1TIQHD4iwwxWoKcCIgRO5Lx0tbRN8dpjOH9OGX4av7+1t2EmscHJ
jlIF6L/dgB7M9NAHCZjb6DcJEoo9rTl7xhoUrVNMqJiQ0AlHIIK/nix7TdoBYSQUSV46Ei6rHZBK
C7EDSjOg14K8HORFMBNz9CkdCUTV+IgS0mrOJOnDIuJ4Fp0BKe/NjH5cuttLK4F+1awasTxor+LR
mPqlfhA6CsbDp9bCOSReUsNVkXLLzcD6hzp3/qiHj57wsDWWf56pqyUn1xS19wcHqyQG0Mndm4AI
XK0cuG1iS0u9kecS1Q5N0HmukvwRTgS/I1UQJ/ZYJIwz38USUZzeNa+Qi8ztiYupJ10+Fyyjj4bf
VeEUohX+kWSMq357f09Cq0/ClhWPQeJXsZ5q1bXSQXu3PARvWieTpQk/xL363TANvjGDOabBxb0k
IX7upB4p+aKOTjvceUEqXTQqQr78NBbgcg6Wdp7itCOvM6eHXJRPR8t3JmrxDkvs4rM6ge2ZRNKt
MPQbLtS68T8O7pi5QdSwN503A0TqwzvIWB1gyerGQhxLoQfVmmQqtRcDYniP5S997Hjyzmbss/EL
6T7VfywSjN9N1V5ESprM5pORqDUE51eTWWPiipfWmMIjKHibE9aP2xJacBXL2AoyFeApJFCTzYpN
uTvzksZQDHxU2oVZ1r6dvhmQLISevLYeDn69TI6eEl6412nBmWmLy+Fn5PCo/PQ/IZFdTLqUXj/+
28tzsqdPH+EsGoaTQ2RwFTo+unel2LO5N0APKktISkJnV8D+ta1UW3eXXVSp9vLLnWV2O7l/zuSd
4Hv/6LPD50PhGMmJLhJawFglOp+tyDFBI+y6KUTitgKnpR48LxpsgSZYRjYSSXIzIQapfAlDij9p
gexpeXZ3Mc0EoT7nKMY4mQDFoq/bpF2Op1Rl8IoTg+o8oeLzkDZ0Dq8DgTLsyN6Oz3ilPQ8FYTLO
AuK1n7ECdMKqaALby9MPqhlCLwghBPy59hecRkty/qbslClTPpwWcj6ZFcRqK59ABu+ZQkwkKc8K
bJc6e2Vw0rv0NgxYnXo6aK1EKKay0XSrvtxbcYItbKpY5X41cvKT9V4cUaQdjA+va7vsharrSQ2u
bZQX2qNHDv09y7MhJeRN7B0CCE+GsRn5CTq5dihCH1XKwAgOakutURudGQtlvWrJAI/I26C30M+8
919MvbKRuaImRCnpkeKrBerM3lrsC3XTi601cCGK5+Ly6Q+0bWrcEUrNVIEVQBByL+jLVWTMsC1M
PEley2k+ghe9dsHxUjt5G16oSYt7uWEhh8TFUHfnL6I2w/R19OdEITZotb0f5QCwLlcYhN4GX4Uj
QCdSfzsVxHFFyRAUZ8O139rXGj5wRIp90C6BqvkmF7fq63JzcJ5mw7VB963j8Qx9r6z2QQJBPUF0
2uiP4V1KSbNDyORlRhZK+A+gsTLDvkHe6/3O3miXT7d8/wOJSZwmlJydXhmSbsu6DE5dLcBIRySS
ktcTG/EGTtHb+5wHnqSoTmJN0HPSj6FOuRYTrR49jxdUswuFSjjPM53a/NNsc4tMMACSS3PteAfB
xADx9x2+onc3fu3y75n5vB+eRfdfnMe/Yp/DuMLG/ApTTNufib7C+opielHE3UVDCQ/WiRczmJ5S
obm8Q0BLYQyZaD9jSE7m9f8ejk3RgyNkS9BiaNlNpe7DK9ymKbftxlnZ0t2G+OGa+GRnsID/KDGr
6YYgdq7HDogrW0WERFJMBVRuBVu05PyLJGEBVUocwGAGK3a91Zf3VpibMyp4u4lJiFSwVb/amvHD
UKAIQOa8ByONq176Tm9DQMMsBY2sOH5SyddBmq6faxrQpez0IhEZ3nyYPtd3PQDNAzOSxLLA1USj
oqLP1UT39AvQoSiyiaUVLt2B4u2ZyPgQ/dXFqrYAf1T+ulHLg4FUWOtX4mtlhcthnfGv+qEc4Uth
DKPQIgY0AlAoDFzHzyD7W1I0tjN1Tp5eNaPaW0JcU6CeeC6tdJZTZrSEaQv1YAYtVgnZZ3CaNt24
C7pm/bu18tVd+8cANRGg7mF6GslOYBsIIvUI2tdZVNcHFw/2+Vxf6Ml2/GUH9CnyuNlvcj3ZjdjE
N79zQhVpS89YTjVVRefhEL1hmwYT/HehO0h02Wip83RlXBqU9i5vtHxs62yd5vx9z3D/th48oz/x
/7+dW3zH6MVUXkOzHbTokuRmNB0IvM9kJSlTgFmMTynnZf6nG38qSnMjB1LyfFRG35OGs0R1zGub
+pKEHAJI1LBjVz2XKyIwqWN2qReYEph4gSY0pbFn0o8VZt1Q/+cHx4QdjamB0s14c2ZWwjVR3i76
HzgTzwxxJY6IMa9rjq7E1dQuC3eD0WyaHs7q6bpAd/DdZwtoCCNjMPyNn+siAObO3ZP0hIxhPbkG
iASao2TnOvGkNNXZe1eSejddcDo3YW9ywXOLVQHGur/06c9/qv/Fs5Cpa8n7Gl5jtnWKeujqFOW3
ZIH17rlnZiP4KHVdqZQF2FO9U3U4iiD52RoTe2KlHP/V8gJQkMRkgnCax2zhypE3XCj2Y5oybpU9
jdw7kxuDb00O79eSeJgM6EayguhhOIzTpX/i2XylO4kszt/K2TdTVDkyvtZXA302Ic5v39EiFd9R
/lXlKZ+ncYVXYs/ptX+YYwiOTrdSMYOlg6w/XroWRdARxZh/X9jWd+UyTOeIsUFnAVDH8qfmCmN7
ECbaXWaSuAXUBHxJDSfPDRxJgTgTz1cFk8IsuzbqqPDjHfD/h5bighXMtpzzQV2bFoxFu9+Q1P1x
a82zhq5mQLqoERqVocB9CkTTcWwaaRqA+pACo7VHhsZLNbznuj3EyO+0ImZAmybP6MtahFHCFIee
dbtpdTLlpwFW2ntTxakqisHdenJm/wRnyY0Q4Q16ps4J4n+RXrjKzdbqYBqgEI1RZ0rjCA1mpubt
YDVouV243GvW3bxz4DUWPZJP4sbECsPoQt7/8jjljrLA4cL4CPiKOXtHs/uVzYcWamDw/Cz0M2oA
DXig+BxdH1NuDsoSdzixGiryE6OLFJmNBeq6b5mlsnzyjRMZpfugtR3fP9ubQgIzQCIxDy2MY6O7
DOpU10Ace3vV+1C/XxUVocaju0fU8eygL+9VJdzXJTppQ01WnTbQahk00539Iv5wXpDQznexcW+o
G82s/f5lFy1K1IyJRRtxdKDod7U6lFQf/A/uGQcQdk0ubOTScan4L8sFrD2K+5peJnUuVn+Qmg25
JpKpjvi6BETYoG4Mn7WLWuOJOx0LtXDm+RebATxKbHvI0J9HitWiBk0U+5dLqTLdoxGfkfu0+Utr
CDhebrRvg0/t1ynJj1iWBXh7TDXpQPtfVhMKD9o6SkPiKSB/JUABTzvDup1YaIH723cmMuc66Lo8
qCLIb1KqoVS3ZX52ezXUyuT7K6W256wYDpI1S4bE9uyTpSP/aBDhXPehP++Tp9knCdzWbevjB3xT
/SFJmremL9Lvgwv1O6Slf/DAWmJDwMmUxKryNGk9AYEYQSZdU+tq1M3VyLBSn40aTsqMNuO/IkpG
349xWhggXAALmLV4aWclDJ0ParFiBpJjdYK6eCOm5IGZxMbJv04rgQEjJUgjExI9U4UV8eMCNtjw
HMR/dhjiDky0fHoHeo695A2by9rLvS2OCr7N+8LA7emnz1h5SxQR/FITKxN6yyzO5D8e4iHSnSm1
p6Jih4X9QPIHwjmnFGlgF/IY83Zc00uFk0vixhNm8vaKNq+oh1pGLjVvR1hgdgGoAfLcqWDujopD
OnbHXHc1K8Tu/WWyUatRS2s3uvhAQJz8Gi5MTLUS84VFzSpuBtmhQNeYO8kvHlxqN4IQD0ga+d0G
MTNYXnj1JyhPi7LUCvwrVWdUSIFwryq0sTaATjY5bFTuB5DBaiMWJmo6s3+kBOtd0h2vbl18dQO0
DFLh1nM0jOU9ZJJYm+Cdzd5lcmIlHgKYv7nV+GfyIEKq4MLxoEGGR7pVbwTg1BxB4yjoimPN7Ar9
GxTQlZ/S5gCnyVxFwrBZmqa+v0L6HmJk/Ic1h4z4IppNTCLi0CDkHv7fY1v4Isyy2/wPUVKoJ7M8
4cicnFwMOUxWoONXBrvFKOUXtw83fua5P6YfnTx+r+heVHVNa2DTh7VhksJa5nv+tM26/DdJWkUx
jmQtd9atOfjTgV8ccejkHlfAUwjOCKmEB0uygAz2ccmLZdm//IR0jvcXQK3DFh6TI5HwVM8HrP9l
fFNdH52DOAoODsf+0EABb5nlUZUDnTzQCTJyQeHMjuL53oHDlEYXj8gNRPR7Qin3Wmjft0qieiES
qgWotuqkhErIm4OUTsoJTeZskMd0dIucJJXVMX3RARLf1rL9oCmV9bzRwNQYmufd/oGDj37nyQDc
zGTNQejh7jeY7aD9Uvu6/mP1MvoNPuBlL5tQjSx5vrs1ZJT70tAAkMH57IickFxbqQkDV/F9HqW3
NfAF8E7X6jkFtA+UfRE0x2JG3/TkK3XAFsigmWzkHexM1TAJsHWXt/VkxDYrHXm4DQq1yGr+v3eK
s+iCbA3vdO/o4Z9GiRWpcp+5gMzJ64m6A0xxZ4K/ubyOSLeqHC/gU5f0f48X8rjwyoj12nJU8uM3
W5/eGFQU3e+9SwqLK969HPD5fAmug9p/9p+994jmwygxXmGMsD0bypJWi/y35bb4F5kdhBmtjlqO
LgfRnbWkj0FyXyw8jcYpik2VzGfjVhgM4VtIMdGwHwe7n0ygDbE/+e4Z6KnzXZpQC0S5srtROETg
nJJXcHymX0NLJ9Hiz3UcThL1aa4scylSKivDC7EjZgwo474ee78PNcvhDp6tvhtPDH1mdB+7GApa
zSHikkb8TcVp5lq7DPtE7zTTZrxh88OPb/ClW+mPH3MeUEzO1hogNmomNzbovLlA69E+wt46BA2L
bMmkiFRY908EXkWOGnemlDY967dk+jni4HOyf8cxz9GKANwW37yilrV3M6y7bjnEDFNOAPbb6lLD
lwRxjMYmSKGsi2T9+ewaKwc6uJL/Yf6iiOxJerPmN5u52wVOS4YeVhcbtBCoGuWER5BhkDf3592F
+QgDJGqgJ1m3qPhd2pTutKGMb4trm8oGP17hCXAos18bUADE4I46f8TGpDLILjpo8fN0OQBIg30R
DGOaNxtqzYymS5cKY4pBNpZNf8A2Gie6UmGNidTRrfh/ZIikDhFin8j3fggS/1Ja4Z2SCyCfWXV4
g509ld2uzT2zAjxUjbPUf8CAzQjePUHiFs06+RolZ+0BYEEr05lPJpCpvYpW6vmaMi4CsCVF5rAD
sauvujS0PBgzOygHy5uR21n9bIFf1QAZmYKL1ruUno1BQBs/QvNlyVhitjOlJXhrUkR+IUEGwf0m
mTK8mgVgBkdQDzU/T6xl0CK8iGmmV2Rb5pbqC2PtO4ekDKb/kdhE+AIyjDrfU4lxowNYVmbNzLb1
QuDf5Ma+IhdXVh438jh4mGmWBt2d0jMpiPaJgiPbLrFEMj9FkuTRz6Z/31EOI4jnxcQx5Cz4XOKW
unCxjnXhGiK/B733jQP6/ZQtnW/aFYpbWTCScUjoE8veGGStUsEDfh4FpxHx9031l4wNHy1K9yox
OF2KQ/MZbb052As+A/FYKlG06Gj06f8RqURjaFeXol5shxAeQZH/em3mQB5tJYa0ewT17AZ8qVO8
BCjwxhwX82ZNhTWmFV9yZ30A8TGMjCsIbGffvobCrN3JibGPxmp8Tp67uCN8g1sUICkr8Cq/nWG+
ohJ8aQiackei3i+OmC4TxcPirbYO/hhTqRn8KQdCkl3kCu1mIdnAzU25fhInH06VnuWdX6rGRR4C
rqcnHbblTdnczcniUouZw74kdERJOhN82Hgzhm9g6KS2uw0pU2iSzeqhXD+IfmNI/a/jCQXKJKax
azydPUrbtJv1lqjQn8gxuUTaBEk1WY2z5/zGq146rsSDvYRzK+eFZnokO6SPR8sxBqeRAlzr8a9n
dWPIt44jzGS/6Y0W9sBLXEAU/QXsBTko/1wwyYdVxgrEOWtt7cmJxgHzy9E6Vdaxf9q/MdWHvgNO
53W4nKqwIFfz++Qf/39thTBgWcD83z/29JrSGdEyWyHc01QHm75F0hgmBIuClL2SZH6cQYvUPHgl
K4YXyxR/Agz1iGgw9QrHLEyqSFLZrmKwg7DwFPLGHiB9wVwP16sVotisKR1UjQ4ZEZhqE4Do6WL0
jsTxogM94V772kXR5IpLKo9FIGWi96PnpvZygZhBRTIL4uKE1u6CHlQ49VR2Tv8ywUjKT9uc/24S
6SN+7fnJ+KcbSC3fao6niCccUDCepgP4B/3hXP6udh2OZNpvQOhbsKtPkmvQYEEBX0XsKorxzmeR
7viJmZEaC3iD+cbtWCEuNeO/aWBESbn0JveyWZEEgyXR99soH8vw009HPIjOJKG88TveMjerHJQG
ZqJ/lle44uMLHJ6cxREY+ZZ/Xg/JfZSHV6iOCabjCXVnYn+iyveMUH8HD4239K2JmRQg/awPI2nD
OcFCwYPAV3z3g54aiYMFGGLXqtH+/d0BByDPRVzEz72TtxaZ1MxGF8iuUpfsc0xugF2pJcQ+jsOZ
HwXZm8XCkYO4xiFzABrjcANrMBVV0W4kiGfl04mVGKtPW1C+jKTU1EHLBgn874U6ff1OqS8fG3ag
9c3txBFobzt0DHAS3hwGpoWbK3HQMD5/V2Ilwpee1MofwbJ3t1Jwox2m5bsXcHStXmn7v3LNlKWm
1mL6uLQ8/ECG7uchvKCbshio2GrQ4CcORPxIuPB+3UdaiNjZoAWhn9Qy5vsvqPeeZEgnwo3Uwmpr
Sk2ofMAeU05eeNDFmI59sipTho3Bn5axolue+8ZER9jIIfI14M9mG99KPHdVWIzl14yKBKb2CPhJ
+sGrvpkFc6Ru1PkLu9kCQ6UeMGYqEsbEB8jxF9jIEbe3sjED4wepGO/lZ6WVu19WJxgIBFLj19LJ
LiNDy+l2YPE4zyZNZkay0aCpsfYbjAhC2jmUOJW6IqjABG8pVRiPiRStdWTbdMz7m1nYehcYgCE9
s5Y+2AJJcitcDhzjlnnA85kybT6W5xpF1UtzGVLQWdEN/hT6muqvAj3Ff4jZrZUxB0OM/oCKaAGh
wybvv06spT0/AHpHK1gS33HydjzwHrqhIQ+QBBuxNc/JeQyyzC/1oFINKtbfXKpnBBzWlhC3Um7C
X2sJtrTdT+7lVJISNYOomc4i83fk6aGd/roEbl2R3nkwdCUocePyQ8wBlVnltr4wWoQP5OMfRnjW
NgUVooJysHKHoEFyBh5JtxFByrtvr5sw7KwjPZWN5QlDWVT4CC0urR3M/7N5IkplxznlknaAOVZv
91weZvfOa4fzXAIL1iYy8W1jKkk85vfMxy1J5a2CIsGJVdt7eESeFyG+3FOuMrlbnbN+/R1akXmb
ZRHeJ/OPTW2IgCvUYf1YtAqWPVYmT02sfcS9/apNAFk71j4rYaF3KND1mLFO1TbQKadPQ4BDtRyJ
9K2G7rkigZbD1R7j3dZBH0QWxrdXtLPgoABsPZS+sbHVVYFCW0MzkAw7kPJKy79RIPm3ZGtP5DJs
IuAP7sNg8RQZkDEAf1QoPcPhTvihMzGda3jvtPm+6RQber/awyl4A4VeiwOnUHDSM2fVmYFSduQT
SMEn8IEgaJJsWeYcO61nz1qQ9cCLXl/YofTzKmxhV2p1onevd7oEJLWQQVYE5yJlKWXwbWjnvkwG
eP6fw9Gl/cC65C9Ps/mgSWcVm6dHZo9iffjPSNsowmZlaUqIyH1FckNwBSvl3AghI00FpAs9K/32
nnF1ValHF4AHeTI93mwCdv1VH1jKEcqfgHPE9wD0xOdo2N9Z7DL52EhkI6WtHxl1+8Dx8ouHFtu3
Qicb6IHiL+v0HDpXZIvh7+nLVrqHirM15wNj/l915ou0ZTOgAW9kT2giXCJoGblMZeEf1ZnF1rlZ
g8tKn0iMAXnOBSh7JU38YvShobSTH37LSYO+DlTllcT63zGy7xNsMz35XhJEeGp/il9g0fCsB5Lk
1OufhoFcxCyo2liYlg4pAPd4HVfMmOjt4b/pgEfizA370hbzgjl8ZKZXYbLVU7+PvltLpxSbdAni
we8Nrn6e0UEu/K0ujQWXeAVgIFObCwR8uoChLcDJ+Pn01lAvsDjgjkFO/8aCTadvtINcOLD5rnXI
XXZnXWlctFAPdmbayoFskA7ZX5O9CjstTZnvtfl1bnuBrceKrV7+ikKm4O+99jScXqPehK5qT9gp
x79XV5rsRE6Q3f5XlZZPV2AaM9oXClKTibZBnfGr3TJ2+tXL2m6+RKuNK/IiN25joQWfm9f0wmiX
1zojE/dsFQ088UGnRI2vEyBV8/JnKpoGiLI2QoEHt50DDyxH210SCVtdBkW2AEvDcpZLuHh7QXsc
IF3/hm4w6xFdldJfnMCNh8S2gjjujUP3HHMmsF1VNwKYQwR3HwOeQkRQckYFNWd+6OX8Uy3fASId
LC3tV7EFic4siSui8A0zSBtxU4pe++7VM4t7e5Bp1Q6W9QIXiiqqUYcWQNe4l8hdHBpmTRWx4lu+
B1ecGEOFiBH37Kp0YjDm7rlOrCsmfbiWae62pmTubgJinzuzKGX+xTgkVsIk5/3Ej3bAqfRhTRni
0dH58bMvQMfHI4bPZmvFlFA711M++w8Ei6+xTT2EJmpFvEbDuXZJjg7zP7CYms+UWAywNn1/cKDa
NjFx5bw9gayCV/gEZvUDQgCy/Zgp5A86Nvvcqjf5xhDMVj2V6yOzsRX2Mk9VXsmQFuf/4LosPYBn
DqmXpUmJt/VEXTn91TYCZpOr6WIllSVQEPs3qHM/NuULRYfu9BHBDf4iaTWi/bdD5vuyqFCg9bwL
7t7U6QFWWPH3rPPiI6ZRpnOQ0GL+STUyeNdViIIOQEQXPGxtjg8uZVdwEcbgGNTiXudtWdmehhj4
8ApTLW3eNOLRx2uU2HuW48F/ai41oBjVyRKZRJt3KjZtileKba234xiOtBhkOI1wlO+ald5lmGPH
jQgbnOJD40X8Y9G51j2uxF8IQaLnboBmksSz8gvDyH19nsg+2VWxAPF3NLWG7J+FidudGiCocqb2
B/MWTZYYDRc2aNhyTWloSBpndWfYQGppSHzLd27UbU1PinwSu3E5915msPMkacTpmPBaKArnzw9N
OPy6l23Zb0bWyFsiXoWQ81Cq2JXB7bKkenW7V7N7HWEWorRM1GMBWkg/ekyq3HXOF3Nqhc3MzRQb
4S80NoOejy1pL9dnkgCH9ZrFGwwx9KnzldjzPijDsBKy/emzz7BCnRptS0NHuZQLvMkbNZ1chQZ5
VZVNvvYYI/PouE5Uk808eZEYPbTkq3yAMm6E2kRTTVBiCIcYZJYS8xZVIn2JU2cn6SAg5vWjkcrq
zGfU6Gt9s26t11eb8NoAc3FCXctLja3KrdtqaYj/PA7cn/ubZfEiPGGuJVg1CJgdKwMa8Og1cQGn
4vnUTpkrXu6R5VK/N6xSgkA08w3HfiF8ofz6VaHX6HQDx5TC6VQELWD1KFmzIP1dM5WgzbYCgFWW
OpJFrVcV+iau2oAsevbPjOMqjZ8YK+shY8DgSfmuOW3cgXD8rSTxTs8wnlxGFCKiNWNlptkwcH3Q
fe1Ad7C5nJRNXAkAG2xvo6+GJ2ap0qWHNIaPHMOVvXHXIiLzvUlNepFZRbk6ML4k/oSVW5WOJnI8
H+FrITC4+nd0JUXBs89vcmVruCT75NxHC+ImwMwdUwKk8GkqLTMheQy/lurg+Qopea5YwULNGFzl
PPwaZnp3kvZHrJzXuOBB+Y6vJt2cinn9eQRWVdb5P1hDRPMB/J+PNtOswMGUlaYJqEObSmVTv24X
2Xt9Qhgt0+1d17W7rx4hbF5xNs4mYoMiuFIzQ0154pkh+RmyWjSsrEuTLkoOWlyc1lyaHaHOJ/MJ
GjPlPoOyMzU7zdgiTMIWR63+xA2j3ZhyMzTWlAM2z0b03ZsAkHy+DQ9o2HXJ73tWVrKIPDn92XoM
S0CsTJpSxDcDmLhayHgoNZxh8PM1dxvnfYTD3MHM2nEM8w8/5Hivcinv5LW6nMMeIqKLYetAeCUz
RtZq0xKPb50K0g59z9UnGac7l0M7WuEPKazOKErxWhtRdHQ5HcNz73qPENZljY1tMzZqXWvOps9U
sDrgM5u8l5V53WxbGRUPYA9v5IQaD9LA6CI2sogG9As/TI2GjHwmsGbK0W1/OYw45x13/aOt/U1z
r9jJ92SYv+mTlxmeVFG0nEUFOxPI5tEB7j3Dro0R8fVP/jyspWjO2cjqRPNTA5GHWOvMzdx5XoqL
Wjyj+4BeOYGU8xY3SvDDCdbwYxr8ruLOG7R+PYNGlO+oEIgFnSAqW63W0LuiLTZW4WUE6CL2rHQd
xJKcP/g4fagDBgE086mVnq775PWB+DHt/2qjls4dpO+3NMlz1u8h0andv+JPALq29O+SZJgi70wC
5ygtAYRCceTCyA7gc+NtOskkfVok02olts5nDYUng4d6LoA+t0xm2AMJ9RFlGKh4tQqGIfddYKuB
PLahlZHZ+3jnO/rKvylC18BpyY3fXblsDYi/NNfkO8qrewK4o9EQrbT/mfI4inI/vnvZ6Wc7nZVj
6smV6J/fNyDIiQKbEkYFuxMKYYU1fkJ7K4IVIK7z2QWmFn+heuozQMxbqWBONXmNsGlerqz9BLm5
N0pLBOZJN6vhFD3cuqamTMSjhuTjjifpulwtodDHgOSEu+TWNsoGKWczEe0L1ePhGanwefQSOVcC
34Mtzos6cA1DXUjp8nnhP+a9YiezI2AqpNCpyt8R6Hc9z8jW4P23IkxSIy4reBWNMlaxNhgSR9n4
iASwt29s0280VhWX61cG202KuGK2+Yvr274QpHcd1q30hhPRyy97ezfgRwHyszJ+a3JDX3801XSJ
l4uooMb63oT9n/foYFDr6yst/HU9mhH13Nji2A1AfiSS79LvP+XuaCe9RKViZrnrVDRhnDoszh57
x62tzxfAIUqRfsdaw2R1lRopm0f6LCaU+AMP7MX4MHf5aUGdV3LSO/qFPdAFsRtkU8Jj0UZTNb44
vN3rzgrLbzeYWZdAKux1KGqgZF9QyZMGafTIcEUJPB808rX0aM9UQxZmJI8SROksgtOpRIvcywmY
JUOkm4VsrNxTDCV0TsEnN/GxcUzDrPRn4byJr0D8r53LPrX/zI1ud1hcXyETOxlb/HiK60so+2Lt
T0WKYxB8rguhAypviu+NiXJUcrzghXinQM+5sT7wqkzGj4OJU27P3mODK8633M2XH4jt8/uY6631
9vNn71zJo2vFsEB4k2dh8qvJ4R8AUHgRrvJBdT3tgDAyTskXSOd02orEiQPOx0XVa4vvYq5Fepbu
br+c/QEbLpCW3D/HEdmju75DzJzOVX6XM2L6oTTFR/gnHtb85Z4/k5OnM3PkqEP3E1t+iqUbKmeC
XzakIXMPT5g69avTTV++jNK6xY6ZEei1j5O1to2z5vaxWr5kX1TzhIYlR95c+7ZUB3dASkhBIVHi
kRu2A+zzyyElHazzHB6bFJ6SP/EQ524RMmvkQaHff8qx8OP1D3R8GbUnlRUM+LKha2P5Dz2P5hLp
5gJHgwFtDE9ub0B3p/X2NUmiAcpkYvFmYlo/1tw+GXjinmS5JGedvpwM5EOkiXEeFVMKMrMQhw9S
zDLGJt0fcvePYmRcbmyeJW8kjvznmMeSurCkLqpAPUPVslZMUuez5yXxpVhnTjP0Tshdqpoqz/ff
KlAgX/2DQaEo9fmS0+BKX9NRI6ShxquCNOp00VVU08HWXWmdmvDJIdiARV9xgk6zA4DYgySpg4Lf
lZs+H/UNWPI9CL+E4029RacxHry6guLx1w0rKwgNu+j9LqREYDbHYpqTnzXRBSwmdwXURwvOTC9J
K6KzGuhxucaswgFGJlFf8R9ILGxqXl0e7iaHXvdFfWBYVEkLBdbYnOHWoDfXFq+mZXtv1royHcQH
DgEk5QbkRYrtNCI9KbaqmsNNBdFbbJrfSNjkKvu1sW7Qjv34Xro7C1rIJyVXlucNIyft8aLyNwG1
AKyrJ+i0LAit/bkDt6Rdv14rb/O11SIEUWJ+Q0fA7W5a7Gq5FN7Rl9Ss9eyvGJL1BdZWf+lruF8z
EG7K0fTxzkGDfdYuWMwpFv0dYwDfiUu+P/TLOzOUh3eAeDHaM+5yG8mAulV1n9iQabwxjcOLYEA6
rHjiEq7PuA2MrJ3v1AOHaY1ASxGI/4Owud7KLwzNABnu9CIg9FdlMZnz+b/JJv+G3ARIv4wHL6XX
satp0v/zzj1qE05j+/W4rtWkB2oT1B25C39K9/G+IMD/KkBiEaLu9exqpLaJJL9EJqNIXgqrQtml
e4ufyP8g5V+1tzuA2+Du+Uwy3oKN+01g5ZiJ8WH5EufZK5fVAnYy4OVt6RBanixVX1n2vWuDF5FF
SJJazJRyn2EQtu3HAubkf6XzSPnklrU/m06zdCNKKT1Ol59c/8IxCyyZ46cmod+y6bLWVsmJ9/3b
wiScqzsBjgh3JtBO+0Ej2fnEToUEaeoxK2vMM9rzae8YXZYwMgMbFUmkphVits+y1A8fPo7JOpgF
paL4zyvI+7LXrQNJEVOmpNUns8tr/d96On2pEjvb7vn4Ir4PdLCCRMN+qbBYlBATKLOoLjh4aWdh
SzdQVm/LBnBPxyVmI0PFEIzni3cBrRLJ7tkwd1wQlRpo4K2soaeq6HtmY3n5lCvKo9y3U/Ub6pqy
gRVjekXRkx1OdKEqvS3VOVjfB7NmL7ylEXPZX5SalMKfSzSe2Pv+c1rKA+x2w46BUsZCf+Uxv+J7
28EfK7+PQ3ogRTt/u4ythTXSrIFIPvNILhtrc8nQVlEBlHOU7b1a50hjtmeXLWbL//Qrd6dEekCy
iOeEo5qln6pXmRVPtxeMaWg7APFYWE3W2Wgo4iVc8V3JD6zURwmnZf5Zhg14n5R7v/Nx+EXGkEFc
vy+0UE8RO9dzJJcOqGreut1sH7B6jBbT6ioDhUNvHzFUcqmqmWRQD98FAIDiX+l7kgQDY3BYf403
nCxrCc4ZJShUPTciRWspv0f6Vq4/ZzCIyxy1C4yhVtxiVRzkiA+ELThSx/kg2ywNxu83d4RqOUcd
9O3CT06hk5OjaVA/qEv5V65VZUXXA7MvmwmY8pw8sZIDCMJQuaDvPwW28GxTr5nPOK9hdbe2earJ
GPAu+Z/OM0VEAAa5etWH8gxNA0rs7LM1rebN6n53c8dTyLcfkczaMB5ySr7gltVDi+vF4HktQGh2
JWiIa7Hi7Op75dQqDhDBAoJxJEvqTtwCWz3gb/kMdJx6klJKlOmBX9NZm5ffPbJRUkrhKSzXk9fq
n8abWf1sWSTXyovtdVhsGUAp9019SyH442aSrePUrNFJzGW6zs3F9nXQDSfZ5bLg4OgJfQ9zRHUQ
55HnjDU3my6P3bji98kWv0yi1y90iRl4fKMKPZrMZIdiLmtVALPJ1d7IYYKhlDmeDbaQyyCTNwUY
m+eOkQpLy4aqRJ8iSol9+0FkH+pl2ckk6tbJtyIxikbTTW4Kyh7wn2uGBY5CCPfK4/sYq36VLsbV
MwZjJnImvv1trLig7JgxT4tPq5MRc+9asNsM771wqaAZEREBTxEvk34LxKdMkiQdTpq4/Ef6ZgmU
XJGZ/XEAgcyelq1ZLz94BGAxuT0lGNKX/1bmaWnLuB+93XRlZgnfjlmr9EWRti7BKv/0j+MJ1v8a
YFvadT32puEyOxNR6c1XT/X36W+ELM10bk+pfu2psJ8qUx10Q9m0MxJAaZSlESoYCPq1M2mUQtDc
4BsbYhPh7hqAnzJj9muo1L5vtQsYkdaPC0wJ0u9ywJxp6ln/wKRiV+EWlzZOWuU0Sq5q0tSdEg8G
BjTCqbsuLaEIMtmuEOB2FiDMcxtSJaKNTJkBUnbN3uLEe79vz5ISZqDx/RAHznKBy/5zFN0HwtcW
S8Z2DdN5svCxwSo/Cx1MOgselojbVbpeFNiCJbBN5NSDHsEiXxGL3I7/1dZ0f7DIzcI/Zoi1c03y
mozy00yH+igElhX2iQflolG2TlaGsfTgcWOk62IBzOgaObOojInyxWvQi4gmyrIkUKFU4RzOoaq7
ypstk2sMIprelhtbDS9kAItWW6Lbu+sBlefImHV4UeFMeUt2jXHqhuzmKxDe2kzxRQmhRF1rpBfL
ccmQWfwxDXGjr/XW8d2bJbt0a5LZ8Y8z4Q4Sd2c7qd7bL7oazueGxslAAuoJA9xFePZDv3mLKfQT
PIM5+oqoFznv21f3R/NjpckQam1NwRZDZtvyfjdHXxB6h7LL81hp8z51rsZjpfN8c3O/rKtCwGYl
i/tA5VPL1/bmKzEDwpQ/witjUi4udB5RWkY6ru4ENWiMh7oCizxFv4quxPnufF7d1MDsbdywHUUd
ux0AeR80yWAhxwqLtudDwZeMhTWkATwXfyl29kshncJZnvWIkZcjds8DdH/5vJw2i86HvwkmrXkB
/kT9hWsUF7ZqxttMsa09SFSInnY+w8iFT0plu2DSv0G3qcYWwJtbBbNJiHtchdVWxv2PDQVYq+xO
WTFt+VcsPjy3hhlvl7W92PV0QoaZyeBJInvDm2H6cUqHJtRBZDu9V2nOPf2yXxRqqLjmnZ5UyyyH
tKZLAyBMTg6gr6ams2QrFUvOKiJOmOumiSVN6FULkNalHRaUd5msdxmLWaT+0gzKWkFj7S7oDvlp
tOGCxQTTgE2iB3ZweGzghJBDfV0nbbDgRDvdb95+tQMq8u72FCc8Y6+gQsNzV1DoDe6Bgo8xb0o4
gRWQYJsVwcmQA2kbwp0IHd6WmQKxjqwjeoGBJazlV1r8T+nPozG0guXuuADgLYkuH72cby0YLtKw
6/zoGliMEu0hUgcCrd2OKI/5dFQp7ndb+ozVc9fsUQTVT4wt7bHz3gDSp3F/pIBPAGzIlEeDiD0u
QJx8uGeX6O5IOlWE6gQspnMYkZW3w9xNzIJjoFSbKcYVMebOitjM/hxBAYzaisq99S03ax6Bd0SV
clen1ujpyAWY41XqodkXGevP5N9xCEeitZdk8YoKLhWYSZCoXFfGIuaWAUJgWUCGBRMiLI2QdaYa
7lwNyS+43ekaxJj94w8tDYvTsrAi13uTfpOOoABz5IDReyUBwZFLMA/ABWai6xnHpdxYKOA4A3gw
x5DcIg1qkoWthLD+Q/FsmeyTPDvIxzgIWSKzrqYv8Bd5QglJYuiARHDtrNwsIRTptpm2BVFoM6tU
OzGp5AHLtyehep4mJMUbPeo3VBxhoV+8RKwrGWhuxU59DpTXgVv+/XD8n8pNAGLAthe2tbAGJVrk
QK/1j6pRrPrMsVeGV3/+n9Ufralganv49ED4pI7+/5i1Tp+/kXHC8jSycfWns5ZGMHc3uA6C91UU
hyjydo/WmNVQPA4fRRvBws0VRRvwY4TgDEzLCowDjaps3aqNdWBSWZgnxosz1t6ATU0UXvDyYG6P
CSi4l3DD4ayICRxSAoFRgqGMYDg1XGIMHgzrgHU8qA7xR/cE7arER8roh1OVVkSW7boMfktmzTE6
EDw4quNBgy8cDjtDe2eq0bmiseGbAZWUX+tVUXkpjjQk1CY4vohyPeb0OTJXl1bSj1BjoGMtci3c
s3H3E/ycIuccJ4j1ZKgf5m81gVngxKF/hSHNeZ2TOetzKNw6TusmXMr8fzr4vBA80aC0OxYzXb+H
WJDhiyU8nZX1Z8Sv9RwUibs1kW806KEb/dMJLTqVw+mJ1Qt0Frh3GTwDch2Z9EWSJuiWxfbDag4N
jwvxyKJB248IKVe7xud9H76pvOMlebBrCbuZOupLQQx24lnNQE58cYUrd5L0FKsLFcZgWwUYDu0O
qNmhIaGOBRlLDIbBOO16TZNsSZ3BxaoiQyXSMzOfktB/5o2eNrcsa74tpfPzDUpJySAHWgktiy2j
KTGgZ8szyuCuO4XE0r6Zp542Lj+XWUzpuFtpPa4ZDqjENVtlzt8K5jzPlZL35ryUAajluROVzOrw
e9kUWc/u69dFCDrqS/n4SFxJwPKs6f86X/zRHuuLe2e2gidoBATrTs5j+6ZsqtxhemrQj7e+Dgt0
zaE8zXuOKgUXXLNlAoGQGPg5aMShdQ1a5MezWy3E5emXViY2w947a8l4OSL5/oWVZy29n8ZlDv7t
gS4+Gjs8BkK0DlWywcPnvAl8RS64EfHr9qJpOnmdyj3sTrO8sQe6cSrKV5zTNzB0j00GonlB+7zo
2mnPSekoVR8QeA86WEtBf3eX+fJAUCDvTmlCSzd7lEsEBFSbOEw5lEG2dJJVtlohwsdXKSmIPGDE
or5/ocvgeUbgGDYoBJ9Mp5tZsJWfX+po9SDwQQ4vx/QbdXAsRjmDnsbuAduFIYW3H2xPkUQS/Su2
XKdKWstetzLMMVcwgGzLNrA7X2xmK77sOh0jopN0NA2zMyy9ITBKXsyEE+LcuZzAZPVYxxfVHRHJ
2LgyRcFDVMnv00KcAcIhWCBUVQVzrHNRrbR/ZnJO6LaddcoZ5iC3KLW+NstVFSdErLZ2sgs/2Jxi
fUKMp6QrWLxzC8jTZcA4dbNjHIewmRfQlpkl2i6Qx02zBwJhwTqZkho2knc2BTg+RUGQVFVCLHLQ
fkEJE4MCkdDFon819ASUdk5uU61PWrTRV8BWL2DOx7/M65WgCWrmIisjmRaOY2vypEtJ6owUpD+T
rOt/L4ZiZV94m/jXtT21oBoiy0c0cYjExNYfpQMrKtxZQk95MqPdqU/6yc9WenVQQwcqrt1EaFAX
Icw8Hg30ZhFxsEkwV1FwedxqKbWe0i3n8LAWqD62jSg3xN00C00anZcigDOSkKDxTFU7fLfPui4G
HrlNHd4AhED8J/Koj1kJVOHWe9eInOFsAiG+A5mA4NT6ZLj5XTvbY2ZGcJ1q28dnmVr3AK2MZGvm
tqBG414YKOZvVbu++S6GSrhNb7tJ1baZgsA/Bh8fb8aGn9+fxj6jg9GFuvVGgL3YfhfZEExL8mUs
9DUr7ll6SFsvf04YjRSQX7/Bk2onN9zQS2yJSQqb8IzL5an0td9vJq0MQ+/I1zua9RxeoBN4IzKE
41n27/KEBraSvy5PyrWN+Oh6Q7zFrvjv+iAB9SACN6zn2cHJRFjjxeQkaYYyGSVjNI1+Po/LJbuK
T8X+SIzDRcG4prom4fC/O37T4rgMdFI+QteJG6Mvk1mJuxXe6AzVBsa5bkGCp45kg/kOHjZzpAQu
BoFJq9fIMzplm9uxrOU4QU/Iw9LY1z2wlNEz3lh0IW1iREURv2w3cBVMmaS9O2T4dqY7fMdsRV5A
X+8nvepd3/DU384OHw2EdPem/sgaZvOhgYPSr8BqAgsIGjVuRO3Il+ZISjQdTmoZ6Vtt5eMdLHP8
/0ugsxCA5f08jgVYvx4XGWdS24MzPREYtBgwAV7AOHAQRryPCMqCjDw1HT4DTueSBiadwWjm51Ga
t8/jw6TaGQaY0aSSvQDZDrXJRvkCrDSupMbMaqdeYvbI56dFmAZGsg2FibHogzzunjnCuh9uhFbD
J0iNOTumwSZnHxNH+F02UoIgCWKWqIFyeVr0UW643+Wn+ah7RZnTaqaPqJMsaN4dI81T1mN2RRjY
aszeKkhmsqpdruQ0vjxVbhdjBTh7kVYQMJ0KB2GnonWtD2WZ5fyvuUW895g3selKaWJXgZWvls9W
Vq8PaA6/BZrYD40qcH5Ygdqz27mvUG2ie4jNnCUV/KOGDbnw48SGlpE3Fsc0HrCDE9cSLNinYucM
giYVc38QoENrSNorGy3f9Ue0EKAMq7F17j5gs7NNhRrLVc96Xes7BpKhRaFXlfcKXyY19tbTTTrU
rUE0RsGtkbsYrvrUwNljlOApYzXCt+uQsWWI4LnTXGoiVUgwomYT6jB0MPmZFWWSUnBFEZJzPimy
hyeQmS9BX2hMBOr4A2tjhRSi27Z7qcbRdoOrWixcVv6cIcH3+AYnS7VQ51KRqUKsYeCZC0NnN6yd
Ha6WS4z5z+Qep64kDL5d5ChkbHpG6LB+avm4fzUkKl1312a45/5fX2f6SiWy6NL85N/+VlIgJaeH
b3WAIjHep0jTUvtjF7dZWH8USomMZi2ZHzOdoutGAsZECP3PnFi30bX5P/4F1dqOuKcwN/glb3hM
bJV7bNDA5RF8GGIBTLeF6/6cwL6RyLZ2sTqBRN8ZNA9lO0RGeodwT8I8V0f6M5OpyXmHh6KGgA8s
VeVmtDsklDAV4vXZTA2VAfovcXdHX35cIjbfmjQdju5ChNYIA9F4n73WDNR0zLePOcvI0c51Ojrr
9kbOReN2MxHB1gDU2j4n5+mRBi9Go8Z3OHCDw852/RudGdxGj2Tm0IZ3EA+8Q++tUGnHhKuLiVbw
xhuNAM/LcXdZqFeXba7+n9qGPBwAHiTlRVxSUYK/2Rd+wUYYH6MhePbuSr/s/3GPlGCYmTrSxF0y
d/KRdwamRDyv3x2igkQ5RpEzLkwGz6ix2GLUhmxfEpWw6q4oJOiFWk0xvokE+4M/KyQmh01xGqIZ
ZLS+O6rvFjo1N7ChxVAnsBpf1g2zAGVU29vWN2Dr3AEusajxdwBTvYLK5YzsxuTRxGL4Xtno7/A7
2riHCr+EevksdfY3vzXlZMiSLihdDdzjymEjPOCmkS3MaS3qZGNi6bE+Zy5GzEbFn2LVujkbYdlb
Kj0Mnhn3pvUWgtlyl4bruKCciUTQ0BN2rZvh2LMhl9S0iaxNNlIZ0qHb+zKowYF1bZnS96HwFP1W
nf4fsqSab9fikAiXWb04nA00NlK/uuu5zjXXHB67ssnc8dO+p6cyUyUVimb7leSJMGku5imdu0Ij
bpEh7fAfBEaoD173ihgRon9bScgY+YvoWCBT4/pR4UnG/6QTw4LaHW/NoE86N/Py/Mn2e3rw+yWR
wv8UESx1UqCX5686ROhRTOJPDshNH1A9XgAkm/3g9QonvjQ1ZSJo1R1Ptnvr8HGij3rqaICwAmrg
WbhC+Oz3ZQkW/FH+8jU8fh3v91uoOuztuKf1mwfImS5cGsZAEJzPVf+H6Dhf+RtbOVPLYzXi68Di
eUTz6baPIHmGenbJeqTuTSFjYKZKu0AGQSj+Xje3/YdcLLo4vAii8qa9aygZgTL9pTvyFTlxeo3O
EB8DbVrh5h3akj/Xy03tJs9SUqD+rgZ1UwDw7UJ/Jo/lvXIfwH6SMsNMi//U5w3LJbY8d6hN+Fj2
g5opo19cQvIOApKf+lhjTxhZVZrvG4kyzbdBNn4clScPKuVl9DP4JZLmCRimmyF2OqX2mPJaryqf
78ZkhZnAYjroI9HMGYeFmCKPg0jBzt3lLC+JpVppfmGY/g4zIf2xvWQtXfSJGT1OzWBXt96LcHn4
5msUZMdOMS3ac/xSZ4MoCUB3SSzTZUmSDspXP0HZI72wofs5N3ITXfzgaXAu113wdqZen8psqAqn
xanv7olnhqU7s2KQ1iGjUhN5CuPpdLg5Wexf/KkLyYTdixMDXN+uOTBwDm8oVZ1q3FGAlpNwR2Yz
kkbFIT8s00J5qi1df1NMPmRGuaBTIWTHn5QCX5ifGsQtboY6BtVkNzL6uSxZ7H3Mt1QNvkAkTlMQ
b9wL4+OcOsK48yLklp1XuWX+qec/DnFs5N26X4+tXUV1JDU70tCn29aLu5/YKBzR3V7Z9uJ2LFTC
+H7lwrUTw5m4mqRQy+5BkbTFHycQQ2gQ4nPNsVI6aqTwa9Ld4bB0krN1wdecNyV1U56GAPSvIU5V
vB0xJxmlgbnFQ19bRr4m9o806OP9pdSKcUmTSJKTOGAsI/DR+jBFTBtK/d7dS15z/uZnNc3bDFui
mtXb9HqUIo1ujGUP3QTzPg9CIgQSW0+6Qjc0Ww+XHP6FcB8iYPK4nk4Rs9qdgFboYp3ZWByc5Swx
wciXnV5ckrKo9OqWc0t5CN5XmehIVwrfLnNLU6rV0OQ6QKKy/KShkOeQvheetLU9hKtL0Uho7V/y
MBp0Sd5IUAo6ZpW8w7XbHwyJe2kmDaOLLDQmv/u1Kcr3c5aQ3PNcIkJg3OM6KeDrPi4yCyBAu0Zn
uTJ7VxjW/vpw2gfLUtOkaBlpRO5waRoly+cgwTJoVtXL3v/9yAIWNdi7PMh6D9Dq/FHZWNwOehVz
wWMGcibK6oOI01hKf2PKIR4rM/uS5Suqkhm6+GmTH1/wP+wAsvMPak8HLOXs8U5Xl0aDER3Cqkdj
kayq2G7VC8QEO07vjLiwPouKqERBGvPHIOuHMmor2BAsRTktb4s6fw4ACf9yWyWyxk1eFMKXni9z
n3uQmDzV3wuR0TGPy8jaJqhUMabjmMFFLaNyEwGpcwZvVSs+uPfPDfsHgUnpXFybYbFSz8oxjeLf
k5Bx4Gu+gEuvmbPNZSNUyxzn/yRssV6fZUv7nZSV7FxysqNYBH4oMwxUYAvd5tuYMPMNRHvFq7zQ
SQuSPS/+hdOApQ/+xjxjFYHdeOxLL9f7CErAY0YgMo+gYeBHIKZB71xDwY9acSzfRWgy3Zb1qV8p
aN+yD+r6xolTOk0TXg3TQW0g/EH5Oj2J8AtpwLWY8i/l3vgvbKiNbmMb4iOfDAWdgpH7OMVndz7S
VSWI62UTQjNfHqPhxk/Cfw5fIU1cIcgC9pT1OKxF9HCQZhyvWyk03SJkGcgoS+5djGROOhC0Emn9
YsSeuGvbkmPUILMuCzzem6RVPI+TSuw0b/nmkPxU3GVaAdQBcxhe1cq8bPc2vkVKntSofvDcsgfT
hYq+Qx9fxaTDIB0u7KyhyML06j6gzvZUGEmWLLpOlyZl+8PlfuqWzBjf+6dsmOWj5ldem0VlYAaY
nfWGIt24xyBKyWyc2Nstxb5v1I7p5q2Mm/zHiWiuO9WMqTNq0znX51YoCtJT0wiQrJaE0ATFfZAK
A/aOJ7trCgemu9Yio9kx4gZymQQ0nQ68+Syo8u0OHvpGPpVZUkf247/yLvNrN922hUl/blmZlZEp
E/28UCD//QtFBh5Ue/6vezLrf6uhf+d2OFCux3EdJdK43mxqPpdH2BH2RH4zrJ6RjIRLizlnjFVX
pvrbq5VRqwHu4qOpDCDG5tqTRMKz5bA7DZrv8PE8Gqi3oM/DUx90xUpCHzbR3MxdUm3hAqo8Dx6n
WZSAlzC2pS3+D/7X7yWawuAsDDtFTnoQsDJ86GEZGa4ev0HHaUrpXcLwyygMG045LyDIE37VLJAv
vNoaz6aSyO/cIahr3LMzoLW/ALQeTSzjRGvU937RVFpkraEn2XbpFI1Do2hudvuIvvuLN20QRycD
OS3va1fWK4Nu8TUukEnzh2kv5l4p8vqt4+jlonacGR38KsJmgr6ncEl4coeyFpWCgG3UBuW7PzSD
fXxrEP8YlsBh/idoPl0X5IMfrYQhZsl73djGEmEVy+8PiHJRTrPKIXGiJNzGR/GKDI7Oj6OqRNLY
Va9nGjHMjs2Z35Cmg5x+/eVK/0k9zFi/MPGGB1xhNMc0MWZZ/lC89mRB8O4PNEmgirdhyiV+ZxXE
lNiGjPsOc3V8PzDPgtstR+GVaKe2y/r80AlgNoSED9UaXWBrtQHfCX4nh+7+6wR4G+cM4NazpvGh
0aUSITiT+G8lOxmQR4INTS6IbPHyfBzjFxE9C5V64/CidINudORWLFPlVE4R6DpkFqIckULeeXMh
mO0RdAPgmXnsF/KQvXZTYE2zjKAFR2LWALLSAR1Nn2hopHiZfoCz4cKq57rvwg1twFBpPJNRg0Xb
W3h/RSE/fVmYJIx/zt+eWn7cNZ0ws6H9/uptbz2OcGgVJ4Qlocn80G/BGI+w3Opa2NNdRJPkXFak
DMJ4vAheOls7Md2FU4wKLezr6J7oxQlAK/vybYJ/LKPD9UuhwcDNcNR7U0+/Afb2R9ekto9dk7AH
4t4Yk7Dmx0lif6QOxL5Y6vyvykEQtUIyFh3hcqHOmEv+QK6pJ/bvJxinwJS21Jdg8/VeDgvdhy6B
D4wp+CgHOt+k7rZC7IDRQzyakCFjceu2LjP2K2mY+9ashGE8+5/Axit9H8UPbIAuY6mjgRgQP3Bs
YCBrmgiFOFO1tPZ3Of110LGeW22+GxEPJU0GH41Npwsm/OcO1gk+0kJm10JHisj2KgXoZq/KSK7c
lmJ/Vw3jj6wTwWAUZ9J1ClAiIe0/AAjUP/Gf4nGMe9J7g4AZK7nzA7gUL4XauCsO17bgp4hS7Cf7
S+BpYFjrBcIg1KaD/k1ad7bRiNgq1YkgTe2wu+ZVpYnw8oPEVUstIHn9isnPBMFOvUOw0V0ospi4
KYAzcDrkvtD14ZoOY72lKqnROj/YTmkULxoEv/t4Ehh/OSDXDr46mi1lFvJBDEEU80iUsLwbKptS
ss2JM3CFGH9xzRN0FewiiExf2GHEJMt5dp7u5q3zYoiOiJI/cgnnjBpESU7+xQ/Fhp7l56gNEUQQ
qV8sCVEj7Gwmjdu67mSzAQRqYfd3OaiOIWaiMOmvxy+mwz0528fJ9lwauqcwfd2I+j0ykNKuqoW5
n6ZRvYlpUEJEl6sOmSO9ZszMDkPcEKIAJYrUhOqESFl760e6J8Ws0xRHRNkNAzx1ugwJIsjmC4pd
RnzUyaSe5jVhUp1Y37n17I1CRGP6z8EXt9ldwOczY4BInoHfcC322FNFIWbk5GjIG/bYZBS/7AIk
tKDtk2wyHbu6Hun+J+eTr2Q4gI8XSEn+ScaHgp9lB8AvgrY9vDiIAFAJzaLG0T2WdzNuMiyNdoxI
XR68eXJzWdcBvOhTfjW+C+0LqjWN9jSD0qrcmVgojP6i3csr4Xx0kI8msY+QoX9hnETjd08KuZ/j
n7xu4PSRad3cN2fVYKWYQ8JpxdDmPwtpMGBvWsCvNw9hPGuYRcfY3YHILeR7tqIssDclnECErXi8
bZ9Yj2lwAxJZX3dM7Gz7jWBo5SE3M+8DP4FCUmz3pQwly8UbUE7AfUB9z21e6zGBJ6yrQK4IT2rM
xOoiKzNJLouR+c3ahlKkPhpn1AfNCkLsMhRV28DXuoP8umI7J2At/4P8p4NPtsV2Xfrl6zVbN475
hE4+WBmwvO3pEJGCvNcBfylgWUF/egyBQKt02cNX78pvDeko0Az4YAMgd9NJsIYBX6Ro5/SvUjuE
p2g8W++siH9dnLk3DYlTHx7hpL0l1fKFMfvEkM9Sxf20te7T3d0XoK4HR0X51SkqHIiJMWUF7pP+
WgGEiRZ01u1l2n5ol73nIhRcQsM/sH4PSI+1D6/pHhyY5uVFkzgdlBoWjsNfBUYg2z2jEkL3y5kI
ybJD3fC4rYEEFZPIWT2qWFtz5CM0sFVNUe+mu71deJJHqbgZOxCXjzfDxDJ5y6g5TZ23fqcDYT26
ORb5fUJh9XhfvwENtucd3n5T7of4DoRBljoS/czO0j6d4EghDGrGUGABLUa/2c6u5VVyB2Oqp3EI
j5rLo/sbP2IJXGpDu7KBMpwGqsxQPlrLeQbflRRD4/KgMGNgaXK+VYNvJvYvbmUK5N7imNd9JIIE
FSqpvWGLe2Hn8YqbpK46piOdqUX+80iIll82KxupmfktOTLDR/CioW1If91Q9qs3G6o93smquX0R
3fu3xYa75UHjPAztNO5dlwKrl90RJ94P9WgIyMqGZGdL1cFd/C4HjSey74R+fHQVhEDr7SVW3FEk
0MBn9aj6rwNw1JtGw7UdSEAOBFbaOizjx2gz1SfNefrOgk99IztsT2Ewqhn3eJ0PmtYaafoDkKtJ
zj3xPqnxfZMRmpmOGyIgKGiRd5+k1MSLWZyUR74m+ga8W7vDh4qiqz4vbgC+RWHe7gUygs8UIyy4
Vrdm+ewsg5aPLDKloy+OK4/BOn8aJpTzhKf0ls5L+DRcGwKBusdKFwNBMdD/Zv27mw576DKWebg7
uZ1o/KexnAcMqQBav1UohREyICzWAnSCapJYkQN01/+s4jumtELNQZa/c0AB33muE8XvhngxfDBy
SpIEA/C5QDhBLq+a9hix9AAaWsJgxs40c1GmUOjJfnKSJIz6kH5x/1nMHHkWp8W8/qhJrRVi85VS
7ewKtbbbuQuqjhuLDb7dtzYyV30OF2lpaQgw1ld4/9zXUe002GKfJpSfGtevX86I4Uep5HLgu2Yw
9QhCB0EIFRAvDHVWCMV/3435SV75IS16ru3sK3BP1xeb1HAqP/hnO+HjMOYkX50FpST7+NF5epM/
XEGxD+73AOQUK9eT88ioxlq39BqPoSF/KuV2rIGAG0A9wY1+760OqTzVCFvKASvGDAbJEL3JG0aJ
KQd/BMma/o3ODe7rKkH7DcJOIS8hkQWEyLychd/v40krCoGUgTl2nFolkmAfQvnW5GdsAfAQuYHK
LPYHPEB6JKOksgCYdnqEKK2vDtbGTnqPvivfg/+EVHBoHqFPvytL7FqM2rj7YdsYFWDl6XGAmYRo
YznyXKCSRKnK7dywZeW/SvwZljEJa8xuUIBXgjXxl96VGA0jlAr0lelZLzKdV9q1x5Eaub7dqZKs
CbrxAyu+odYMPZKJNG3b7aZeYgrZ3WgmEvXxqUCRuGCh5P1YJinS1+JyTyPMqptpPIX3cw4v6wZ/
arDsMNnBQ1T5rTWT2iNwaXLNDAVGvPEsCqEosZFpnCTkwVTDhzioand/2MeISlmLNgnIl5wyjeux
4uAKkwxmOUJPbYuCEMEpIEv2TgjvjfWMPytMhAsbb8oDfqAaDzRv48J2wuNiG2DErabz2pNf8m8n
xBVr6Iv9hzWygN3s6aMvchIr8LRfgFAE1Wof97yd9f/zcAjEhRcKWwGcsjQtmLUbQH3DFFLex185
AF+ETBA1rqwdHiZf9jL0K5UTJCd4N+2byxZFBW6yPaaA8lfOJWj+Rp1Dn32NXrgbmUsr9/OTYfei
vTtA27rMCE2UenqTpwCxe1mDpQ3B9Bm6lE5kixkHvJwFGfNOzkKqtFz976jVhKahFewd0JLWxlky
90b+r2ZrEBplaqldywzWPgpveSv1eT8wdAM9+tgKeuNpZ63KnhkKxMHyicGd2W0n1ALbbnZyIywu
owOfnM1nSo5GFrCUJXekUsAYapc3OZLfvSuDJ0Hkstg2na1uUD2UDi4ls0gw0X1Lut7fZQ7xjgTd
W5uX/E23+wakNv2nFA3dx72e/2oa7XO7ar1ShOeHPGuF0hY9OqqPHKsgRF7lJ3nXheXXb7Us/awi
Z2xTZ/3WTS0SlbmhScAqal05Z8CEEvNqPwQpS9DxydS7gqA8w7YFjkTo1WbS0kd4OP/aqOSriPFt
PnramjwCqk2Ajh+NsEkY8XkzXUQep7yNiJJ1JpnmpGu2zWykU6t9O6AMWq+ISCPGGPa/SMeeOSm7
m50TIUAprjQDC7cjBEHd2Dt502XI7K/I2q0NFWcFBy84X2YfbtVwkDNo/KsuwG1+0BrC902ij26O
wd+ScUHNatRlVqMf7e6ls0xCHE9Q387mmVJ9/pukTPkO02ru/BhRu44AX5R5/Dx2KXBAy4FLbq7C
HbSfezSZvtQEkEeBgq/v1SBvBtGOb7VP8T53S0+dZaUQ5QBVH19bILF73NWVforlWLroh3cMd9Rh
IM87JP6j4LqO5bhfvCQaHCtfQgkPx0qbEjvnIRuJgk/6CdRRSj+/fJKaW3NEWJQzHMLECwU5jvYf
At2RB1RefY1qDAJljPbh9NdEsmMVIi4p88a3LyYnyyKRiDNJusvNR4KsY6Dv/BxYAnYXEAHV80n3
CawkjRstDhhhXR6IAEuRyAJI5+yocBQcizGeA9vam6cnKVPC37RHELgAAyuBjyAcfyHpnlDkm8JB
Sea8N0eB1iJlC+YBTV582CGEcaL9EkLCY0rwr3ELprZAWDREqGoeR8J53Ky2+lZLv60IbcmL4gl/
6ErX18cObO9Chw5L/TgHA9CEK73VI8WIy9nFoyY9HUFjdTDDpnryag5td32veImu4j8miUYCI4CZ
BqzWw8cuAGntre8TaK315VpL2QQwsI76ANGDOWyub8X0CrNYKndQ/POvSHBavSW7gNNob8icAe4e
LzfRrzBQzua1ofFpouelggX3as0B3A9h9DXWVpU5JF7gWdmnO1ABkz9wjiNIeBEsbuXXH/kasQGw
Pq2POvVPtjZmN8e4flsspKyXwqftMw2wzE+IFXegPjprdH+zwtwnZHEO+clV1iaeG9jpnu6Ne1gS
zRAtmmSMUWVJfUq1lG/UHJtpjbEmNLWe+EdtMUnBLXjm7RwLHfFSbC2E7Ydbz3icxWBkBqX/+8Xs
13znW/WZp8LWsT+zxX8rfwwRA3fW51XARsl7yqd1KsT++ANe3+esexXF4Dj1E+i/hm8t0O+n7N9S
wNcfJ/0CPOWVOWvK2dnf3z+aH7MW2sNXXQ7n1wIkg29By7s6EK2bnOxvcDURelCehIQdVhQkBQ86
r80Ha2liCuvxUw0b+cxogrKA+XeJ9PtTj9yBmRrvHfzzQqjmhRoqX7HYbkjHwaKhkJ3KDKbodaEl
ShwHuFw4lrUQtkYtsADGbaefBOA9rU7RoBsN+X/iJ+TNcXBmLl8KOuipIe/XttHr+J2rMa49/gU2
plUWfIXpBQrzbooK/nl49lpVFbEmtzQ1PdJn0woqsarrKxwaqXFDjwDXq3+XsWcg2VlksS55zH1l
rhmpnTSXkAsHPhH+VgfKLZHGcc04PBDtUsvrtBp1TJXZytHmQKmc3TKpyUeu+dWSWw3Fdfd7CrBY
sMD/MSfxlqZNuHPevpBo6EtCtmlZcZ4/Rauk6RF8/dkchuhQUJn1bPOqjLYU2y/9jnEFxDGbL4FD
PwRmd+aqYp8AG16Kus2ptQbrc6a65b3eSpyGOLZhKmGlB48GmrFxd29/EHeprS9M9FFECnTnU5Xs
L3r46GHc+8eFuCLOY7ZItWebC5TEE0XY0q4Pd4WoAIYIr3jl47VLbhnAYWUVqyG3PM7pc+byaSQ/
pDZ4gTtf5WPjGN8NhqNWFoGPeESlvAEv80Ll7YWiz04+g6crFlxOY6xGjRg8C0+vlp37J12a/Xv5
j/9+tV8bSLkrECe6l6IIPHk9Dldc4eGvVTnUVs8NQRVVlTThDor/4iNUosogyCYVGmWOGZ8lf2RS
JW4nn5czJLqzN0Q8ok5rngEU0cFDTlzarVmmYVMCElRk2PMwgaGDr92LGRWtYQ5M0UUUg3cpFrfw
PdGgjnkTfX81Rl7kZqR+D0y3EbYOs2kdFNyyUpQk3uq86u/J4+lczg8vv5RRWkJVuZ35GoikVuXD
4U7s+me967tGTV+hA4AAtwGBJk/xLEacjWoIkakczaZaYgaLC5umFoYatmQ+TJP4mK+LWm3IznQt
KmOLQCsV0387vKtqnj8H+/e8/TMwhx0PeVwrqGgoXu299NlmkANugYWXlx11puWku2LSvdzW/CTH
oryvyvpkdpFGYB6iG5Pdi9WoIWOUBYHHGgEehJM1uqb000SN5ibjAPT+tdDuR9kH8PUIfoB85U2b
4AVB7d9dwya5dZyaaaIu2IUvibNhlrah1jgFS3Vl6xrpxpVXFSKEIvLZTaRru8K+TVOCycrxx9Aw
mrtuvzaPawOaMp4qNuldF+9ROYvnHv200qG0VrddPsVQw/SchFor9TpA6wgMzaMCHLLxdgAjwrHa
aEquXDRpO12+HZjzpJ+KFbSTJUj79AaSXg88E+qkZzjYx6I1XQ05QvWMSGE+TyuWCtLosBzttaus
2EnPL+AuQ65AyTEHbVkCBrfy5mNckUBMAAcHCEnBX+pee4XKEnVzA+CRvdURd4i69ZEbdmtRZznS
7LV3Hm0AWPOPxi1KGVeLNmOAvdWm4zu6kfIxkaQRaVKEs5s8TMYS0Z5cOfdb50aqZvcgiEKPq0mM
zsOSoSqmKmS7s5kyh4Jhe4UmVrAi+N3dAJlEHtL3IvYtONJ7MmOXbmF44bneuBkMVfUKmsN85zb3
KOcv6m6znwHau5JvLSIwIAvgAYiupnGXGad6L+m0RPv2EMrOiC3ae/01kUWiGXOJ5N6VmFE5Y8nl
Z7XwC0e2UsLHCfdbCnhVDcaFl02T4NedSNoJHUtjSeEwcD60yO0LNu7FBSMWtgQeY0xsnr1k7JMz
RPacoWc5+aY5Zx9mFqOES3d1DtBplV6boXBFOow+OOydZEdLMTjlt01mxWXJLb/1wBh8YXWNaUiT
xhuFasey6eAAylvX12qHB043jVzYeygcp/kHc6/boZO5Nuxfk7R74xBBGe4yk+6TI7ySW18kVJvF
r/6+xUF4N8vZbk1O6LFz0BRVVQapClpcUkUtczgEds65VdFPeNIFVqhYt8j7QwF+mq/xoboEFPf9
15fmQq2o3MkfQp8mtHmt023B3ow4Jq/w6CXdFV4OVucejqJYmBBfueCnHFEqJsymCOviUkcHlgFq
ejQlx0Oetkv+ZdVk+Kqcuqu+vLZq0LDstjKlKheaZFrVFCY37vJAAN6PVGDFCSwKn145ErIXMqrv
1O+aoEsHSfTEbEPvACbPR/2/CfKm9lB5sKevvujYbumImM0Yn1DsiP+EuWhqP3kt7/x97fdcmxQl
63aZx5AVO1cQHMLqL24yaEpNooGp9sVB97Gibjhk5HEWylH789dnQR4TbhW3hxJ3Gq3WeVrESdiO
vKbtLLtNm4j7aEVwe/aMmPCQBqlSduvH2HGqX5OevLvUkYqvz/3OVzJiDNCS05kD4r08WA68pfUj
hs3wFgT1mpBk0UGrK4UT5TI5+6CC7Bz9vGPDdkvVGnYxCLVa//rawkF6bcJEc3OqxFeliT/xHRNn
rCPyCPZoNXF26Qoi9X4QbV8HUlM4xDi6E9RkyUWBZy3ANXoF2dPIqco8EjveP1/fimOqIAHdXKEi
Ca1mlLMAB13ta2AHe49z+UEZnmqx5CXQeQcYb3s5uhjusfsBq7uidfZgaDmk0Tit/3EGqv7k/YwW
LQDxjsdxN43AOxb8uHuq8cMnVv63utjko+L8OcPdsVddAiBDFQ0D8TQuRh68l0lQM+O2zHPqyB77
06B77hRRSh+VpSn9OCUhol+UVafQ0ReTNkDfungu3odhCY8xM0lvRZDFLy6PEgaDoF9oMhieFqrx
w+a0vlt86TuOoz0ImU9q4QKS3D2qXDWJcri7bIgWE77UvTjq+X5NZJcEtO2O8YtYevDVW12T+ZjM
ooJOTYoIlqZ8OZqxPkCYNpRZp+nGbib4ySKXzgvh9pNq91c0blsTOathTuc+oTtstqEeIFMgdjiH
DduUbTe/UJIa/TAlrimV+qmbs2085+r+F7OqGUsYclZij5wLBqUj3bRECdlbRydEa7QUbNsrxPX1
brL65so1s/hB21PKe3UixFbKSbvex/q+Z/lnL9iHq2/IRTVmm7OYqQ4F9lwQ+95b1+Eq7GGYtKZr
ZTgNVC5FZNsMKmvdjzlZC32sI0plNg4lbu/p6sG+b4XSAei5l9TTHmcmCu3eL9ENglGelUCJyEmH
Tcbme74xImFzmuWt8bC3nUph+XM7phYJlkR4tUxsDR7d9fhV1Z1QHey6jl+o6PW1Vbbrlb7O6sJs
QUjLI/fQS+JUXCP1eeCQ7xFIeqGZVh7sbdHCVUGeJ3LsNVF56sPoEiYpfRERrt//6RfGMIQDUfdp
ODeajzcJs1R/CCc7YzWiw2bjPD3fEFxlMA7CWDkAC9frSjE1W2/9ZF/mcgLjifihbm95EAgu+yYr
RTfFNBDJRYepfhqklbBNnyqH9EvKG+5DfIOM3A2ucKGDGv9q9LNYpPbbHIiD9iB6N3YSUUw5XUpF
jIjQh2VCZVZt+P/ej3dovD98o4ONL09I0z/HIy4urKQ878lbSgWIa88FxO0eAeV34IyU49Tcx9eB
dGN7S6R7Cj6QrHoQjx6YROoelL3j/etEZcSJa+nSY/z2j5g4zJAi3rzYSOYwJJEEQ6ec2YTflT0i
rnec+BNwZFTIoMl45Pq/zQlAalqr5QmKNafecDI8FzoWKIyNm3Kh2lna37UYw9PgQ6uLnh1EbUHc
oLlpJWhJJrrk7ZSeVpmGsunr+ViX3n1tw+cycta+F9j2NledqS+tkN8UtOMSk64QSj6aqF3lD0EC
sxft/tashI63RoWpoCpoaQfCsjhrvXiZTGFgWhxjNANYr/5259/NuVr3E78zFrAzALLpYPjhr6zl
ukQS8mlBCOat0bQx237ntCW5m47/wFAFHqvLR1LUCI05NF9CeYHL1C3YzXPvA8JTeNbQwXagK40A
MnfwlwIY9rNELZsfaGgohD3187j8zo2D4KZHCCwRDnW1vK8vyWzbtzKmwUtFF2larRp6uqrOGH9/
PIpmFDgrJPSCdd4ncWcUMDeipnQ8SFzIs7FiN/d3Xw/T4mUarJkIWVBGcVZeTPgNuFdyxOxbV4Zf
Fve3fjeNPWlH4o/oS8XOSW+SSlDjq2A89l8WOECdiaqYCe2aW6eLO5DPbYbpes+SAHOifRqUHWDV
egToCyx3m3E0EsLRgU8LCxvgpMTHZH0ZDAPPVVEmc33IDrNLxqTZ5KQ21WQEaqhxSLU2toLAi/8W
dwjULJ/UOuZ7NCCLE6dV18O4xp3LZxTNqyE9VrEjYj2KA94wYAlTQqbmaf22Z3NaocylcHgyhDxn
WFaYAyWqJICccUuSBOE1EVAR6dJDzb0vB7q3bNIAW1aw+RXq4a0fSYhZB+ZPowma3Lj1CUe4lhdn
mbdYvUGOmYpQXSJoU2EVp68h9vRn1NdnxCOiB9eANTfXje4uYfLyHvRe6LuxAjppARN/hUv/ei+7
8nSbF1gjcZ0ei47bACJazhFWP6jfqUOp+EH/T6ZRoJlr76sCVi949gmF5waXm+St/YGurfWgRYau
Bt1sCDYADNms+72mtbkLptTStJuyk3QYIKzmjOCjkxkpDegsNkK4CxXb87B9xQjB7+7ubn9wPoyh
+dcFuE0hvbhmUtHBHg80/wnH4aWs9jjk6LOBU0cn9MXS8SuD8Av0W4dJPbKfVode0vTtUJ9hbaCv
c2zyt3T+j0Ogfn0YdawXojc9WItL2e+aWMfYV56GVIUlVZkG3nOery5Zxo6+k7+ovSBttKFe1Ti+
7Z7E77VRFCrZyQ44cKVG4q36SJeCQmt2LPPZ0BCPOxQmadxAV8wk+hg0OApjs1AbcLOdvoZrEUU+
iCW0MIEX1NPbIDHFs8vFI18quA8YcyQCz/jdcE4bJfHJtvr5FO0iLXAYy7qkaVADWf5eEyuepET7
UpsKd760S56RUXu+20dGQ6CifkBessPnD3Rz4E7C/vFOdz3hknvxBK7W3KNVBM3AF2D/SCXiPdsd
8DyQuSerqpZBLNy5HAPf9wZv2zd+WCfn/rHCb6afhdbXTwHLRQIFRoX8nTy8JplWEIWeUOLU/5pi
bJckVuJlaHaNjBwtm4JDcyik/n3d0+oFJ4GFN/Fo5RZUnLQRXXxtAY5SE8g9TBqs288Ba251ucy1
rugCODd6vjBlFF4GEowSSvYmlDSAp29XeSRtqpvMjPO1pGAgPNxW8J9jfOGjLzo+ezBzo8ppqkP/
NsHfuOS00gxcZ09x7epLD49gcimTj2T4gEeAIWPTHvTygPuQKtbklmzGgDW8eTpn4dii+/t8xDGh
AONXn1uF9ZE1ga4BEBf0izkO+lF2vK1aI+BtO4kJ4M/9T1JiDJdrhVVUh58Ub41jbHixkdTmI2x7
yrqcjHRmwXEyGPE9Vhv2QtGg32LunyhdzAlzVFdqNfX3mIvOXkHiTCI7KPNVjNkEZUUvwsc8eiCJ
AEcodpV9vcQ8n1ydk2foMhh1zaSiFavRkah87cxtHEeujmwbDROiPOPIQZuxPjZ/Cdn/fdDsKxIl
OYFK/JT4vKAhC3npYxixvuvXErutoBZ3rhTQlxh5erYkJBaYa8jtuXn2y+lJvDOAep5biBilIjWw
Z3HgTNrJcXR0YMxr3q7fvcNEF4z7ifmwxn1CEF1yDb1NRBtyqidnmZ0zVb5viz+uuwO6OpcglYBr
tmM9L1c8AUJYbHkVgTHHuLOsKxX2AN1h+Ako6Jpc6+4vI6TNu/+FZ6KpbS1xB5TyYWIpbfGV21Bs
m4By3kTq3R1SrspOydlWRyM4IdPQ/OVBfR8wGutQNwyZQ/23UK7nyJBLXnOMXcgnK3jOqkmLYfCu
pcGPauYLPZXV7qt9vjzRrkDTaSPwuRYNiW/7mnhsvbeCZp/dziSc/5HoVsRaHyqNbRrgEP2xb/il
bWgnraYzeHLISUj83zSY9My6oBjvcP2MquiLvDFm0JJAL8CELWCiYflNymKWRQxMxmBqR+UQThXi
tjnouzxa5ONQZSSOtdc1kcY4iSnrD32hq3FmlEYCryUqSvldkTwnaYKbln9Wxstl/LlgwwoS1lDh
b/UTuh0DL6FhgwdpUwx+zaMtvUglddcF+kUFGLF2ztCo4UYt+syRDSuu+91cBQ2qyXqq/9kIdeHD
L4Oz/wX7hv+QY02KrSC+v/COaBfhK/TGGRyR+FJtkcRklf+wfkgWJwmO/vNWu9x/qxFkg/KmM58i
fuja7yWT9ZNTb6oif3C5WMRvRY2+/xhb89rdJjRb2RaTT/+d8TNuLMcST+Qt+TTATPv3rqefnMyQ
fu0Xaw9h/ETMkizm8yVUUfzfJVbnGFS7EGvpSkx+OXBjEaC/LJ93xo1OT+93x5czSBjONK82VHK4
+2ekb84pB4H5RF/knmOieE9mH0KTmceRwxHlgTWswGw1YkCUnSyjpxHFmUEDfgc0ufJI97Ghwhcp
p7gppPknj2nJAqh3+6rh8wifvWzaut2viJQpErwXJDUcS90ERq/eCCq2AOLHRtbSHSKMA7KFYHv8
FVwAyjpWTNYdsz97yJ3TZ6ccALxmJctfhO5WhXy78nH3JqrrrnYUJrzlx3xfPQo8Pl1YjzHzZEgX
ZpGrgW0b9Bsg44CLTKs/lvoH9Cfa3uuzrCFrNlHa9f5fBQORcJHbvsLnEB6lR0VulQp+09jMVrub
pmUZn6Vqwcy9hYTSk4Li4KHxa4PkBCU4S5q95vD3Cqux5qvPuq0+oH/0FOplKZWVS6PqsyPuMfdr
3X57ms+duD65qQoOKd+gftnnb1qR4A4d5MptlHgUA2OI9okyxBuvZMVIXMfg0Qs7WqVAp/P8R6Ws
hWrwtbOTtsucwnYP7M3rOPItAqnpyXBchvBfcs9hZCLxAzaPPH53dSiaPgPCJE8uJz44WJ/7PGrK
cky1MQTTJjUFfIppNhUntlSwN7rHzVYDPvxxjfjWClWPDLOiVpB3JJSievj0LMnbaOQJ7GkrpKSU
Q+VCx9P3l0Myj90Rry0qdE6xy9QefjNdCpDMC1JiRwIPHOTbuAKdHnaS3UYbIJy8vr9p/W55ouYk
iGpVsJun8ElvQ1/zPkcLb2rYkJp12ylsl40R7/45Aoycn/skoAv/7XKC2Z5zzHzIfNbtydccVWJa
NQcuu8JnIBoOlvSObEhVSLk2avM66zvnyk9WCWoYOrGYn4JGblhunMZawJTJYc76aVkImbBBBrs4
CG54H2IoPnDjHiRzApgHKVQCLEibqI/CUD5tVlusP7+WphaNJUfLpWJykQ1AFUnIdEIBuHHHFBdQ
J/qS1E7K6Ba5yYyjRSHYFDEeaDyFBkxP9E40ZAVNmuqvb/UPTdJskO3RgbqoVvD3deqLm+Jk339d
yqgZTXkcLgjv7aRT7zcl/S2AfOe17UGCYJ7mwjGBSAJwdTEy+mhc2gySofK7Nl6TDW/o4mJCogts
wsJZ4hJxRZdsScraiO8hMQHtg8X3Dc8LWOlanTrrJnni7KmLMbxkSkMKxPlCpMBEDMqV8AhO5uj3
1EZKqWb14teLCSoRcAs08SSD9RkBLQY6aYUuUJOVKyUB1OTeLaR42RJSKZEBHfylRVSDOWUENujf
55lmV0Nr9EzEP9PuBCiYOciyISZG1H0Aa0EoXthmY4Ub5RNDzDxXBbZ3UX9GCFawKjfdGWyb48Nm
Fn8wdVlMjqecTj0uohlTk8ci2a1xCgATbJItEXiZUaSwHtHxFow9ymL5uItz7XWOX45fNCP0ndB3
S5OpHISzJ5DyMWPbSDcBNt8ROPjCftYOQBCnx78hiYCnPC+hhD6FKsVKNNyjF/Z7JtR4WgFNyZhE
uZnQlSqni29HFXFipdfnexqHE/rTj7Pqbmgky0k/jdoKAD3N2mQQy8oUAvrtBDZaM0g3qCjQNbHo
8V9gjFyxwZ6oGwopuNAHzLrrFnkht5IoFBb7ySiAezbcO0SHQ6DsFz7xx/1Zo7/gWTnapNYqWzEM
cWbVxy5WySg1NGwfFJ7H657gZZrHY0gC1F0kLRWXQuERx210ymm2EwgHQ8JP1VaSpsQir30qjNS+
1aexvhjKFy+x7nCZo+JG05tLeZLREl8W43DyoEW9dbAoK8UFHB2+JLHcBL4iI0kLd0yLpGvdmrmF
dec8E99+KYxNf7UsCQbvdMReR7uoo/p0Mo5cJ3N8j3arY5VGM5PatVf65jKgzi+0NZdzXDoiKyEO
Ws4cRsNjGeDz2GAHyyvAR/OHP689psWSgStqP85NJUOli1UNIDMum+zmVb3ntDTgpesewFDqHeiu
pG1C1ueg92MvB8vhF7bCycMaHtQY71OaJT89wahO3C/pqOS+I5XFRahAGX6eCRdzoQ+lRnizlmkt
O5Xl0g1FZjkPr1uvIv/P03LTiTZXaUsNj4vxklakvKI/zUmns6W5jeHPOX5WjJbCCKyJGorl+Fi/
B63dkVNiG9HycB3t7Nx2jQyyXwHzEABj/FRecymJekVuaI+78ipFdSreBrn4q48wR6k3kDJvkrub
q16WdI6ayejAnIwJ6cBd6zQXCteaKaUyK/yLNhlGttdaEiezyeprVHonKBYOSuQsVhRYfn+7aFO6
o5atTqCcTPubZ7qDTxGtobgHPUci3sJug9NwtTJwGCXUYfVZyM084n1exQj9snfvmBL3rfs5Wxuc
VSrrrjs7v/oNvQAhKfoMzoXAhA+MZg2UB/KXXr+wxq4l4j/ilKRerNiIB6KX9samZ5AxCd/6EH2w
v73Opvzwt3jA24T9b0J636Mn1oB6yjaW9wSXC9RWmSoCBzvuN4zKep3X9jWavxmgEuI/llmKKk+O
34Bw4iZiZA0ViKJgXNqtUlQWcxiEvJ9gLKAOahebS01giaTPY7q4Rch1mCl+zesVa6DrIwNS2hXd
LvcZ5Ut8PwykxOhzOZvYVbSLd7Pk7tLl12LGYX0R3rVU7PlWXhP4fkYBxMTua8WAeDFe534Sp8Rc
RY6U3IHxjGALt7delrfZwoWPdi8nJzPOcfqGfCuJPXOwWcc+By5CqqdKObwNEIa/yTcKAr3xShNx
da6FF2g6ZdDoRTIzxaE03mVvxd8ELy+cU17jkJG7PMo1bpDQwiNjwTsXOvwPYije/rygfH0Y3JZa
Xwi5kg5/G/cZgiJlFaKlkJqh94niPI/b1EX3HnhCfSeP6Ea9cT1kYBTvWdO+y5oHvdfeiuS68jzm
zWHR5d2izbh66gVzG+YIIjwyDBRcwvcMCWQIbsLhKYAx4m19LAf5I1S19RQLKGrlEt2lgeo1mu6R
IYqYdy12weT/bnPya/SHGGnGB0ymFWKEl9tpOeFsAOIY9yukQuGTgg+ZX1NyVoBjSfFq7VVdeYrT
r6TyaibP3osZ9GinUH1KSgO0uZUEu1uuzkNk66mz/95rtK61N9go5fX0h5+sy1T3q+mRtXk/TqtI
vRL/5KrXxeYkL+feMABi89Xfrp9y2R3hFwN+tCbKhvv4Qs5IwOq/b80La+an67f/i1qN8/D4lghi
F5Bw5OGxvaFn63Wn/HLAe5IM78WNb71K4xnUJsOJijs+LQlXg26room68yVdqAc/gsNXjGtjnOn1
kILfsQ8xfLJgnAxc8C2WJMwgYTEWPftluRFmu+I/3sdqCjLSQPKMZbFLqtYzgW5zr+viqHgYEhjh
ez3fnX6+WSPkYQSRDW5p0BDe27inVus9Ib+XVw31YUieEyuBt7M6rMTNq1P6nOdcOenFRUgVzavK
OfXhIOyZ/e4VHo5lnObcjkB2xjH0eILetY6za2ni1eMEKEm3dq2tWS9scp2rq70Q9460mSJfr609
cAgMxzDfHgSIt1Vb7OS0T1EFILQf4G2cCUuhuDUtAiMRwmddAES3IE73HvGCBs2RUWJitVCdiXN4
N6BKF05zB1NEpuNQ3GVr0FigvD+vnHNAU5S06atOi5e9n5lLtbZGhm0IceCSfIeqkAebk2wDhmoJ
80cO548Sb+RLreGI1EwJsvJ9VcGsk621ETuwW9MfwpqyGbLOZlaVacpGIaK0LRKj8nFTT8fy8UMy
VRzkVJzQIYmt+OM/ZSTbxBiCq+yxZVnkLOvqMGQxhOMNtvvxyc4vyEsOaR33RD8ljRAY+E52h+jm
REHuBLRRihCr7bx8JDXUt4Pb7tpnSoi0h7kIT9GLm3ST27hfNbgNB105p1n1vuiS3uyYC0do6d1E
TII+JqBnmyKRwvFVSYCcMjyoXwbjKd2/oftpqCjtrcjrj9kkqkeIoL8Ob/Q2k3bTcQpyV7uRkLaE
eswr4xpmlte6BtPZB8eQxHpIeCCiyf5NgNJJ4GhbHkEpTEkOfKzMYb+6VeJQGF0FarKKF8OfQzky
S4d38uQOQR9la/OHUgcY57M2CvQl4EQUaie8I6JPvNYkkjGAeteHzV4nWsVjcP5MDu1Edr39BXwa
BAfAWfl6a1rMPFbfPus1ChPi9gR2fxP9kjDptJFWpeiJGqxEqXkVEmC2LPyTItaCZnOOS2EmPcM7
dkEwigIFpyMiDz8Qy0fplUg5SMfqO33t4TkxAMpUNZtxvU4Nm3sCIUJFPFRXEjoWmt4F7j0iVPQk
TY9OgInaWptqd8Ehz793Aw698ddkbHoT3JF5MLncn0Vy6eSqj2CwN5pGgvrojZ7bWAJVcYH6wTMt
8vyGSYKrgiFqZXNefmq5Vbq3RZJljSXNFZjfbMgWlsShu2AlHh7+9pQIzyvbrRjWzj9sJLegGAkm
h9BhxByqVzIkvpUM19jJFKmZ0bu4mPHNIkCoZWCxR+S6OCDxxSIqSkl7zpp75SqEjuzNEaR2Dpch
iU1Vt7cvRGbhUHaFWv4pAM7vwuL09pVa4nycFW4nFx3rC4PJ0w0XBydXQw+EMC2MTpMBmWRy1X8y
78sP4JHKWizsamoNh4+GywEdBYraT0T53yyv93A/eDxHNmx/K6I4FiRnEvHUld5NAmmxlwAQepiE
ZhnGLWK71v5d7YKGp/wUBvySXXpGHtgSUYba6P01+Dnlt2ke+rJRsAqXWHoSF5UBV4EIYG3BD2ed
cdSAVkv7aW51YRny0LL6rXXBFLAv2vtzPqeufMTbVd5ri1DAvbmc1eTsQ+g9px4kg1oEz7NhVnf1
DCnzSidVEGjmAGoen6FRkBM+50Ic3WmNllZg4uHRRhQoMOMb+UhKwsAt9jnalbY/PVPDgWh1E7lP
se+E0VndZrTYxHahq8onmN5e8GqZa8IOoBJnWt8M7v97nzmNAo2nQpi2nHi0WbF0WQsGmqSxoI9n
uiaIE/KTZL2YSG23luVsty3RcLTFEzt8wrF5tPl4+PZbphbvND+FP9IlXRmBgHFZptBEJ8Pau9pc
B9Bjba1oGeYpfNxDkoFFZYQJnEbiqZQqW51lSNxYEEdQUqL9+ZmyWeQI797SKMs9CQy4A37tGrXL
mpx7h/XvJThsXOBA84f2tZQrQ1ehtQk5+MrB0TKajr28vr2Ov/dXjeD274sxNgasdWLbADbYkhJM
r/7d0QkxXVdMYgWMQZ5JdCy6gfP0OLSqizEpXnbxe6ztZVdGKLQ9xkOW8qXlaJiQ684LO66cVsZd
sOEGJtI9Be8m/3VoUZhlG/AL81INV7MWM7dG3Z+Fd4hv3flhw0aS3W1eAUmrQbl2vf8/jmtH8zbs
/fkdGWI2m6VxCF5nj+rTr4I7Xb3g8JaeX0rdRhkmh1Je7XmrQ81t2DfZEEwh3SHcfJBKEKomKro9
TEa4L1XpRUJaS7BfiEElQOPBcZuOxms5Ou4N8GGdVMWRZmgMvzSKYNhg/Bx+h7f60Nd1uqkhAyRH
fzJea6vyO+7Tus+lUrb0jZo5z2U397g0gLlqfLYTiQQF+x4s65/j0HElrX2o9NWCsypO9LDi9ptH
asb5GUQFbkSzwmeOoOBYScMmLWsDOsR6k5+p+Pa0bqkblOTUgRzI44JZM60K9C98GHYHcrgUUb8Q
7IW1V70dlGK/lF6ag56fYdtfu6bnayFKw7r2YTLmEccwdSM8dlKJEPt7cJN8aatn1K4tNDIC0p7u
kA+NdJYUqqy5sumEDFYOtTX9GR4OUvlodgFTWXiAwszu3cir1OKaPNS/LQ7hbYTOiMRWMiIH29U3
XKjTdII55xqvgD6Co8ujgqmHX7DsiRwbWRp9vVSyz7gWJ7iQIU7Rhax7tuDU3CoBm7ygsye0SDNn
pe5wl2YruDHW+GW6gQj9NHVFtqxpt/Q239SQsnTS1F1PxsmDAmh45ZGz3FkCAjWYsQjEZnTbdjwU
a2RKah7qxXaEpZNXlzWaGcbCvOksre26QAmk3TBCU2R1pTZMwGPM/ogkZhiM5dy2xVr1c6vP8y7P
yB2cxqaQvzarAMUq0diLM6lwEGvxamGdzvuS5UYJ0mjYhb3IOZ5R0+ZVx9R8PPhhenPaFSERsW6g
iwE9LZAvI6DR4oJCrlJYjEKSds6k5XF5nzYLw4DqU+/vGu/lPh94hlUSob9R4b1xyTow7YdZty6f
Gc5gLnqtPJccYvdHjpk7AHHEkgNWS93yq5NC2GTE1n3XUeS1dYkNP9+Bvwc2XhMkXbVh7gbGjWGn
7ayi8zsA0RordDsRL5B7kuCIXFjRHUdRlZBYFELSX4yT1et2dzhCLr1bYZdh/4vvkBylo7azj7sf
w3kXwpzzTss4HiSL1/UqgROAllUKcrfgq96o8Xpdg8pTZnlxG5x6M2bMD5nMuaQEGqHM46JqLrPL
5YlF27wGj/kLNqLwx+RvvRMUHA0mjBDJ43Jl0WhLwOQDcwQ0l/AEys9e0D8+D5SDHZt8bkZb+DO9
/XVVQV1v10WTEoN7vka7SXMDn6fLaED7i2vskZZXvtxPXx/ZQf6AazrXXd9Qutv6g44jDHBIa7rM
JoKXF6Q39PnSx9xl8OyRDuYVm5SXtYB+GK7aMTV2qPY/J0vuxua5AKjg6WQB4wvqJ/jss+IPM/Mx
pdiOEwg6R42WOvFeBDjWv31J3lunKJB4S6kuE5oheFTKIhatbcjTHvXc4MqJgbXmhZmjF0muThqS
hp6wjx/rPzcSLFZevZBD2BRhDE5xCvfE7tI1zolBMuXgg1uwqXxE2nwtQfRFXUpMdbGZqwAX06pC
h1BNihZ77tnkNPikTpIM4RgGtVJQ3LIVV2ToA7r8KkPJQgOAV+S0X6kLy3I5cvR3uO+FNPLozx2t
VQ5UIMlLM0lEoi9PovpPCxN8W0S3u1HMCbaOd+1VVYl9MXlZvE3TFtFNpUdOLGlewC5X1PiZHVOO
YN37uX7udoyN4ZtPHot6xMs/Ns/IAARuIHbXnxsMK6qrzYlKqJEixZNr2/jUho+TOZWpbNfMIFUs
gA6o2NMxv3kbv3A+1/7+dCnRKOzzgsMk6slozyK0VGl8fA2qHypA0urLu9gQgci1Az3AW/m9GFWy
iPIUNpqXxc3rjYvubsg0ozlog9I0VFJQ8fJJRBCddpPypUMyEIyXOLz9sHrLkOI4B23P7NKn2TlK
5VK9qFvscoItdqsYYNAZfA8LGJt1OM9XyKKx3Z404kO+e12Call67Hfx7Op7jNjhtvfYoyOSCQW7
OJMo5PgUwn/ntX61hGG+0tu3jM3E2QGPnsRlvdIScyS3XWTLG/v4yebW15crt8UP4YTvwvPszuvU
Uehttd64PH1PJ3PH23z57YZ+ZL5c80B6iWDZxVCwKWrlamjLCorUXz0slKRcu+wyVR2K+8OmLhLV
rFVxPYFH0EwqE9k/rv4tZwaCPnMrUlK2At74X/1DJUOKQVvF/rCbc+HbxLvr2reg52EZpu2yBgqB
7AEEPe05buXVHxzNMmSxguA9spMF0LiiZJYwitWsB04ZAVl/47FAPzdFT23Ipvw4ylmFuZkGZqFA
yPbzzSg9EH4/OO00M3IrpKgsKDvUnD3zanhpn+kK435Td7XXkk1W53ixemL4LK9Ll0kXD1ZsOp5A
RK7OlMa2BOw8aD1r+g/Kq77vqhBFjiZYtgezE7AA08r771TsGw+ZNKwcao0IudXBV46ipXgVGVtD
Hr/EU2VApNLDTfH9IgTGdxQE/uLxXF70EqUmIXFlNaywctoLLwaNFTtRBn+r8PShYGjPq1fBoPPl
byNAmVOtrI0PPu6L7Grl6NvaA4pw79bYP4+iDR/UiT/qukYozhyPZhxOoHdAWqJM7euFX7oBBN7l
TayeNpCp1UI1UOHPlylywT9cWR5qY4JaniLHm4PBD56zj2tN9aZbkYXo6xiLqMpNFoD7cLYrkipD
JdcrtSnFmPwhhUz0oER/f2WmK3mDlDvcQZkHqMCzFf+udSzb7OGyzeJ9KgUfQct55q+xAQz/QIZs
tzIPSnG65hMnLBh3W+w441GnF5ncjTb/KTdDiz3OWS4MTlAgw7excfuGzsTvCYsMfP7wOuHfw/NK
OAWM36bzcpF5OOVawHaFhwd2ai5suVscRv2NGkBUpqILEjdGc3TDgw/b2SfWnhF2Q++0e9w/tJBM
qDYBOdyDZTBzQQuvohmiI+kQDqD1uUMxoe5YW2hqPwtBijVJlXCy2kbVP+RpJfUcVQAYV7L3z5vV
7MtuT2VAObq1gQgBGXNs8Gu4VF69cXTsw4hhbiP5XJJchUMmWlLz2ZoWRKJTSUFlVmVKEmq5YT8G
eoGgSFT6YJjY5uHPm5e6+37hEJK/z3YCJ/2LM+WHSfdMBshULQpKfWkYNLhabK0OfcPTuB9n1hkj
hvJwxfkPs8G6rw67DlFZIWimx2T2K7fGi06vGxvVIjVyBE5ovv2gmjKT2IE9szZo783hb4k8z/R6
s3c6isTNB2saNeWAzs3Z1cjYSpRirpi55WxYEav0PLhkwt/VR/LsNWOzIfsaTo6YPVD9JD/O2F8R
5tQwCpJaTkRmweht8x7XbFi/VE2oZ1ILIiaCJaQyTR6LPMS1Vd6GNgOX9YaHgsHlWR3c7OijSPt9
8nM6U9fyJWtp4DgXueumiZnzUpCCc6L2UHDtibTaYP9wYhzhunHOKuIWc1Ap9wVhpFXzHayjHBCB
yLU9wIxQ/Q+DjKBVMD2RuSbFUkqol8j1x82zlaFFzbruUafns5tvKXccD3whAiWITHMAYJhQ493V
E49lxiq0PfQRnWe3SguxsqYVXHlAJMy0JMizI5xYMpTzDY63VXzYTt1ybysVkovU/AkxYoV9UDEG
XQ3YD41ni9ltqYKKVscTKO7tHax5atrO5o22OTQVUj/x6J4Aqsy3dAOwyIjsOuKJtk+FjTxi8nVG
UJJ4xuuCb6RCM/XEsn6A8DkvxCSX93rQ7hFNJICjBhmuT6zLutlOqmUzWTzr9gJPuRs98FlurM70
8Pr0zwdoagb9bTio+AV93ckibi/iAjMZUgHFUnlUhbnCKCwXE2Yut1oHoP94Hft3Fe3TEXoj1qub
zipkV7xIbpDRtcoJ3coiDh8TbyJG48EPLTZdCXUkpxtZvmnA3O1AZt2vzT7/WxCWv/SqmElMO61Z
2zvUynoNjgOx4h74+KJ8yKFcfgo2o4IXptUAx9NE7YplKJjo5zOPIzpyswJHeDH1lgYnWX7KY69C
83tKbjzWTpvVBtoq06QzBetObAYlKiKMHD9HrqPxMev+w+wSxNBobODv6Zl7tK24gNiBWjkv+PBI
8BZkyJeCNk9IkBt6jnmEQ10irHQAHhSV9CyWlqV7LFAZaFyA8G/yV/pkBUyssDjg4IojfapH9D7P
ZuQG2/irnGaN4a+lYW8f5yiQvvdMadfQkILwcJucHcD65XSisBA9BprTaVFD/VJQRNvL2fLNwiKP
2KWxvPlucmAFDVMHgcPexBBdO89JLy/KxCo5L45xQHcKMQkBoWvjKVDzPNIVthME6MZEjbkGFk3a
/Sv7TxibAeMtyX0qO4zD9ro9F+50vFzpy5ObtGkoXRc3UkViEvw3agVOtCc7jRweMltIEBmIY362
4dbB6w98ZnzKmPeQzZE8FPmsHwa0pa48IcQgPIK9sVChX6Nzludu3QUZmSz+gEQBlOnoiR5XdcSP
cgFR4wclnuRRM+Zj956YRxYL3wMDd5u0m93oPpK9poPthhoX0wfVJRGTlwXOsreiDgdjitJH5W5v
+LQvGnqa2FsEgp+vtb7CTybxuRJhgN2IX/Dz7NCFT2gdZ/RDiUTIU2V0CPPpqRq8thQ1BVmpAaNb
vJYyQqee8b9gZwu/sPojYaBLh/REWqtfoPSq7/D48lCDRONU/+fcOXRb5M8f5IRQ2Sr6F8zty1qU
7hQ0BVTkd36nB2RaP+67zywWXpRkR6DAyWripXyc9uPNl9609HV3XumQJ+AWlEo6WQGKWBCDO7xc
B8G7SihglT9kfEfpD2hk3pHMg3PvTTMQU96lUSOBKoXDvo9ay3v6Gu9DgaAEiQonEq2Wl3MXHjRj
w09RrOZchPToNQTJ3TJ4OTy2wiLvqXcVog7rhLF3LNzfo09ZOD6eJyJIVTyQU7QTGTHjgKT5DqTT
brvfomP7HWr2wKl0R0P05tBTF/q/V7XgxQjlTfC526D2ZmsUIq5lIjko2xCVs02mrkm2tArJxG7O
6fVtGx/kEAlo+8my4e0Dod1cM6Iw464RIv045K2Hbd3FFRa6onq2y0ckNGcH1vjAH6agtZNyHzuR
Ww4XtE4EYqyLUy92VpxVMmRjsI4yxg3EcdAFEjOSHC2s7k6AGESOLEnCOdXkA5uHMA2N+ve2tvvs
pd77zgUzdPNGmJmGfU0QCX0Mq0S3mRB4kXF99ZbmvWEpnnR8nZZcOsssNRogjHmpulMrJcrMacd+
UxLF3fhna7AD1Kckk0DXJqP/XboIx5e73WXfYYysmpowNysMH9t9EPxbALggFGxDoBzcgB6dMX4D
qanpj7BxhH7VII4XLvmv1hzeq1y1nd4wQfBGGf8M+jScnJVA3ZreV/fs9dfXmVliDM972442hnhD
eq4yqH894lAwuLXY2a1D9XLPZmclFHV7RX7C21PMHDym49I3fmzlOH0WsySm+SDs2SEJcdJOilAd
gJfvjdTlylX2Bg2m0LijKwn5tJ0utEXWTjlZ4YX+7unRD8qYaiB5U4/D9MZIFf3Yu/oREZ88O1KT
o1i/8JpFPXhfnsdnYtokdytJIOBhM885jRS08J0SY+SPPIIJ0NMQzORH8REIVL2/V3z1045WVqji
+LxZUK9SgSj52jPN9R3waHKm5xDLWeHWP0G2rxIih9UkgH6wMCpjgtAoT1eMWj9FEz/FOZ0qV2ny
Nh5HlU/7o/e6wmLc9R39FvmEzIaG3R3LNZcUV0d/CUvSUm1IQBqroqOuLoB1FuybxC96zL2pgMCv
P8KAtvQJPplCJ2+9/j/vcka0GpOz9/ME+DVl7owtpL/kvRXa8z45nE/OzZlBKNR1fWC12ZuJR7ZH
Fsw3ZDbqFJ6DCrzAnupTcVli7n3KNXobi6TzVTTJ93tNoxSIYHxHCLATVhd/0ZMTOVZtume9UOTr
ayJKkJVifc7R4Nee4tjqYzEVl0IZW1eKS6MyxvPiTt82X2HYV2IbVS+QJ1zcCdbbNBzyzIjhECXd
igiF0l1Vu0xf1HCR0vkA81OM+4bWxia2l2MDUJLeDPdEWJTW2xB9llPkk7jt+s7Nb97dQhPmxsuO
2tcwNJFW+rEjXWvhHw/TM0pUyh1os0NFd2hp6bhbBc7R6HymTwAssnl8BnRxK+elNEjqO1+pfYLf
x9xCq+wfuFufDnlhru3SzEPfA+Pdpq2k0L3xkPRyrJ8aKTxfsoXKo2ckiLsOv9Ti7U4HRuh5bJYO
NurByN1k/mjTem4AWdTGhcvHzsxRghf4MCKb5962SvKigiFh2rGvxWeDaYbOBR6KiEYTMTuSW2OW
VAXpv36CSp6vVcqD8we1MFJpc6ahamN/wWD3FzEMhBpnuqakktlBeqc9e6bIvvrKex5gFZahujnv
dI9ehm6uvPnlNKck1qojZIESpckM3raCtgi+dmt07hHPPYdruoYn0shKIR2eXeZkGngb6KdFllg1
1WuITN2V6kYvmw5QmhYVAwW1gvffDwdSoWukjytSmuD+7QB15b5ZEchWzneXH4niAlvLxeApg3uD
RHoKAkW0bqSHdxfhaNNAwvGBo4tKZGIFADuyIYS019QM5aeNKfsfqXf606rjcsTneAaRz67rGKZZ
+TX5QbsSBp109apovR8sJJCuwmOs43YBJT8uiIJ2G9N4MVsiOIV3cPjC1j8+vk8zJO6cUCX6xkAL
PEqH+curo03Tk9rjVlo/SgoFd3k6EtAILVzVfX/QeSsfaYS/VH56KRdEMc5q/8UC1MxWgD13U3Pk
6QPH5qhgfCOux752Yn0QdgCZIslffnqzmqMucM63quW9CHtyW3anIf4rRAoQXgHRIlYs0vQZw15B
f5hgOuoQPaG0hdzOUKxoVw0kTiKxwzQb9/sq8wLSpx93p8qzaurN2vcXDzD7kLLSOIhRLyMkqUtV
MR0KpJA5GmZJ69rfZL2Jl0bKPYRX3f9GZc8W4XhzNIRwDNhq2SFDAcbDr7B6lxCn+W/Nm1Sc03Ut
lhLl6EZpSRVTnzdn34U4f/gxUo6YcwVixsImOdu7CmyKe668Mi4aFpc5oo744KZSPeyFBq+0DMyr
fvesLp07SMVsWM6LAsrgDtxaan3WTXpP9ro9uC22ydEkinS70LAnbmh4yGzoQNDrq+7GchZEicZm
diOULDHlQJd6MF6F8yaiWYdgU8zdSetRep5GYnqEJkgnHUb9u4oGVTXON34p+0AJREuUbIDnPgK5
3YaJNmHqWjgwiz9XZcQ/7FO+LNypnbmj9PacUUrqixgx4CpXCe/PF7B6O89GEWpvJauXl/TK/L5/
DRIRh97w/o0zOelBy48mpjvXSmdQH77DemlRg8yXYPG5/FcviXkuNgUwheswnjgxjjKFjjUPjpLZ
JuBX76pqQ+aiVdFRNqaf3hj7QCiINYhpNGDzWcwBCjD2FC5UMBDHp5JY49YhQot44x7WIRCKDgTg
lqD6YrXwlQQQFVv3TExEltRrMD9kxlp9R8qSelkJx+Ap/GNn2j+6rw1663dDa/RzXP7zdwVogseU
J5cKLrgmDXg5fed0BXY7Fyzw6wFAXbwcjr5LiFQvSMlJkQB38Pb+deYBJ0ucTWXtKaQkr+t3qjov
CIzRaoaHF+vHxBZ8wPJdcagraPUZ9FVTZRtUJkxGUCRmtnsto9sCG1nBgxN1raiMisBHRg4lVFnf
YhdDduQhSiWqPlAKGqY3g0JLnCmIBbUMRO2Rlekn+f/RqfQUcLlOGc18Wp1zZ/fqv1Mc3VjMUayr
EExmgJ9kLM1TzDnyElFDgQbP/9gxOVAJab8INCVhW/zUQDttyXGEdrZ25ZHufdMro86RFOuh4Zul
y4/kBhyBIycw0B8d4kGU0mf/Obdb+9IiNANlQrtQkx2mYK36X6fYj3cUfFEXXLG94Gc42d9OJUK6
pQ+JlhE5xJvSHKTIl3ufsoKYrrtTkM3Fboet3Y0BV5VugiUsVVYxuZz6wIGYVmi47ro/66dSKuVd
yipVQM11ycYcSIjBzWrZcibNUF7R8PO06cbRrbHtHU/MF0ONxB1KjfA4xnY8Izx3Q+F1uQRhrvbs
sp57lX0as+k6Dc4NisI8pN3rn1cfItd3PZl2in1SXuLMHF5jy34iE8DGCK81MPsgSpYhQ5TtLIZg
smSA60cyVN/0+Hei7jBbBwrbHgs4Di1k9C/TpbqCm0kuOZkjVPycboCpU5Pkoa9f/SyP43oTwh0j
m4XM48LwwzQMI1zaQzSUqQMWPrXmc5w0rPKDKRtMlGXkvGt47+GeaLTJWtRPvMsjeBdzNu2oA5XR
eMrm1vyWa4uwDFWskweGb712G+eexW74JyJDSVwf27pfY/u6ANxntQ+Ypj3Ja8TOxzSh4SIYHSaG
jsEj1Cx9d5oHePpzetqeuiOzr1/UfhPxqKdA9vpayKys9pudwVGn0ZqOqCcqtKZ5lypQOR6zQj1D
rEyakr/Ao+YPP8tWeY76LXto/Op4NnRNDlkesFfMiPVK+fo8RDILbLXFaDe55Vkqnap75/7mXXgV
ow8nA86AVjKSA1wtilEJDh18X88pFtI3jMauQ6kdlTaL/gyIxgjR2jR5lXbeMDtwGr6RHGNtWrh0
E9WysLvNwNIqxRRbU+FlCiXyy3cMn3O6Cu2p73/aagbNOy0sdujSCdJ9aYzzOsLbsq+OL8A15GYO
CzRYJGElvSXB8B6SrLusIrl790cBkBpTw84WVKkeemKXTl3zASpXaC3C1N17ZMDdhB2AUcYIw1sR
iT8otxOgjlFMgagZQKXAGNIaVC0dC9x0sGK+Sdj5hCvpP9oIezdT+8cxHYQ/yY3O5GtJ/oVsiCH0
cQhtjLXvJKw1Sa0nxADlDq4lerRcgIUbf0J7nwO5xsVW+tha8UTljPoJ5LtmQdNKJUUMAbX9eEzX
ejBefbbMMna6Be3lKxnb7+kUkIptwbcgnZS9bZSh+Ktviypya2glk8GWG51cHSFKCRVhqMjG/S7P
3wYs/7z3xkv8GkoLAm/0Y32qQUqCMdbYceQUSqQ+W5KqTdk+LMGlmgXWvp3KJWf8fLJ8p/aHy4HG
CMKimHbp63G2DP+T5gIO1EDKjar5G7WXPYNy4n+ojiqLvvSX339bQzwcCNCaxLr7fGRzh+qC/M8e
Tlgix1J6u/DyMl7BpNYDSO9wgXXx0HqRjV5EsUjxh/vsIZjCo43rA3pwioqR3NGgyK8d0984hMja
KF9INPk2bcQPUXXxOhuDvOi1dkNlVTzKIxeEC0I36WT+Mo+82BvWfgqZVuFP/a8dd00MCkiLC7L3
3EcGE5fZN9rBDdQVgMJ+dfeNGwHhhO+6AWdQlpXyN3s/6zMrShnvSzldX1qiitsRUepSHX5uTqm1
gbAqJ+EHqy/5JSKsUV7NMh9e+c8n3PSj6PDKVGECVzOh2+HvN8OiTiNaxu2IF4us9flWdulBI0L8
Hae5hQxVxo1FKcgletL2jkUIEAu3iwg8FrJjRjq/mMOTlvykpdiHYSpIX42OgezygCWJBCn1Npz5
rTs4GdvUzhdIuYqR5gmpoXWPUVPsSi0Aq9Z1gDpUluqVThQYVoLMJUBovE8EzMmBqvTQDjIXeKOg
SG/jWBlTiFiiHl67rXfdJV3BzTpniZKbJYhlTEw7sTpFsRsl3yBx20mvHDofpoydX4YzeTCBUDPg
r96uveI72L7qH7m5y8ORI+bCEV3ygQaPM2/Q/HMGIXv6hMvT7vY4jd7hTkdEOMKwnP3VLcmeobhM
nfaOQ7oSH6NyUytWTdXS1AlojbfJEjEtzOipxIj2J8+R5/Q0MvnomxOvY+RV8Omd7bJ6Wx+d+4sN
XfqU0AmnIk6qB5J/TEm+Ybfk77C6FI3WybUYM4kDZz2wHojG7jWEQdyxNF3cPqmXsD2MXb9Dtt+H
jidQyGPLwIspmmAT1FFjFhcAGSC+ZQ6qpJMn5PoenWuzhz6aR7JyJo5lNC19ogzEHUI+fdrVjmwA
DEaAIzqhHVgiBnF/vIP4lpV2l52tU0fiP4rFsyfI1AfU/9doE7eN5zGRkLUlp9jRS5xOHq0F4o8f
LwxrHk/YyxaZL6LEmKXSE3KqpouW9o5+wAxs2zmJz0EfbMYHPN8aUqG5rHZpLc8bb9RightEGb4S
w1366euZoo/Cnk+Hr9zVj6fVXzmGjR1q+zQwEISVNOb4Q48AUqmuCDzigsIS7OrUh/VlX6quwtjv
xDyvsNqr/sVx5hqnV/VcIrWf6W9IfxemTmSU/supxORqtleD8EN3Nn5LV22Ko0G1rS5kNDVe+IZv
v7tmMp2HcLrO88hjwedq8aCGwj8k789M32bwTO5QozS4TKEyeSAFWSScUU/BDA4bRugXCjzgiAZ/
h8XF36OLA+qXJtQuEDNwg8kIYgJ0tzlZGqvSfnuq65IxgbVltgFWSRrcLHXfru4EYioTl4nj2Kxr
SOZzkU4tn4ham3Wmzjt9oDII2mU+a0hiMN6KTL9V/3CyTtgrRTOumM43FIjc1TZ5GOpLMi8gGpkW
qbia0Mf6GKaNhG22LdJIQv5eynfE5qts9ueNVO8Rh/CfKunqQjogniZThk3HIwygF3vLF6Wrlet0
z6VrYyfI0SaAifo0HqkzQsWvpItQQBkqsueHQSN6AWyo9ZVUwhP/mOS5xKow3GJnroR/zqKlr2sX
1QhMfNrIiBYjaC3sMEvD7dyzyeV8HUhSscLJc5w7Yck7JLaoFoIQqTkC3obynZV9xXWVIueh5I6Z
JmJXcmWq0O3T9JYJgd/RRs+6lDjGwWYu47/kEB5Q+H6nZnP6JH2mM2QtBMh/CrVVCkA4JYN5nY6c
WALZsO4u1hzjn1rRwWiUsFP+UeVenDmWk5RUpXy2K1xzwp5E1Lc7+LBbAr4OI5OH6f/7EmDHIlgq
aHd7Qft5qAdHCu8UByAEpr8j9aTg/pHkDsiDJ7QpEnbgrFEyvIneJbr2YrA1mrrSdwOCFmboCmMK
334m4XW65Y87N8THOWfONXz1FatUgzyikRtU65+9nnPzLUNukN2vuS9Ph2rzjn1qlXrPqZKSenry
NgOYCd7bKOX3Q59Y8wcKNzIg2VMV+Mm7AMCtGzHnDrNbhOs+5O+SKsuwtTm83TP27mAqZb1mtSfc
+VQusVh6SJrRlP4n92DFy77c63SSoDYbofY/U77WaMk7pyX/uNxOGD7uAbqmOknGH6VsRjKXT4G6
u3Qf5W+NSTW5Z6pTPwrc1bYuH4NYDUAzZcQ7TE03hyRwxDxm6aiYJIVYPL+SI/a39XJAl98afjQn
htsPXfIstWXNdz5P+kJy8wW1HdNf+iDJ6eYCfYt3BxQpxZS6nY+22K3iXj8k3WPir/j8mBqCfqgO
hFBK+k2X/zRV932fapNjs4U+M9qXnGRQq/1OFWgDo1NDmBAegI72hwIk+ntaK4Tv2yTR0LJP5lCN
vKIhLp9U4ECJC9bDZsg1Vwz5ZAWpr33SU9WZQdOLXAg1TahrVULzNg14ARFWs7SCdE9WQURZmfM6
6JYGCtjz7370iJOvqy5ifUJu90O5Hd2qkK7n6JtSAXyTl3J4k0QllWwxpdJpK4OIQMC8tCDtixo7
SLA5vyk2T3aZhEpu5SS9ggObDjRBdqBNvaa33s9IBWcQNV5cBsF+OkpVbrScYaI6YtG3XMhXps5/
HP14OHMagZCbVEE0IbW7kspNq1s92GDIv3g4GYQZI1zYWvtlkYgbQezGfgpsCYPknRez32WJfF+z
54cjBec5Yq4b+3goq7oFuw4x8JrAtAl2uFg6dmcjIa6D6aoxHp+SaKy58cRehZ/842sJOAEoDNOB
e7nJBLrn+Bt6LaUrIXGFP7nn3GF5k4B6nOWve4nPHyjOV0n48Y5qOSCQW/IDR0ntd0xI4643gNoS
kUK3+vimZwS7hNQA9dOIJbJMg6NscNlgDI9uMj2OeK024GowBuD2pwlM78HfE8Q1IEZnqlUSNrte
JpVQRMEIiVVTYhwpGMXlNUjCqXL0K6H1JNbfpcfLGJZ0+WbzIMfzH1Cb51DFRNw76aU0VzReO3tE
Qr5bWBIg5NXDTgPFDFGxwKVpKgcZbyKpNgAiouhW2ssmcFIhZpucAgloshlxt4NGvDywKTd9nzWW
K2ceoGQuf4Y2YezH5UwhfjXMrwym7r1Cbw3eHm8sjtVqplzw5QMDzWgBlIYruhCbKj/BoIvw/zQN
YyZFjuKZPOUAMapkrGrtAGL1Z2UTDhCzuQf0ptvrT8E6THO8K2h7vnHR/HbbLlh/j23yfrsCFt3L
aRi6Nk9hqnwfEmRNTPHz3WRfIZkfsgbqWPU7dimd8iqKbhUF2Z8YXel4+ARvfg0LFEJmrrc8BdQ1
cjF9DVVYaMw2qQHHDGv01VdCWq/pCVCKvUiCYIJwRiO51pEHhnqlovjVbdz5wx3U5CXec91+73qw
af/s4MLjSMDin2Xl4JtbNqyqu3/CnY7kxymeFaG+3sz7zPAOyCYWBuWdwQ2cyzHsjKveg3KG5+U2
yOI475pRf9obcws2baS2TcGp4aSl/Tn/uIvDSamJAMm/gWFkpRGj5jb/FB3z1z0XNvxhjtNCiZ+G
0frt+7cxHLGaZkx30PNiP80CX3IDx2U0GIvyG2G0gfW+nYSEkyMt0ewe6366H8pVxsoL127sDd7D
ki0uptYOdTprhMfbSdCAQ4Fe1Oh/VHjFpJnxMdbuZKedUIbM1Vy8U+3tsuBq2ONPpwdomN5lEeCq
eLUYqgmoIu8gGCY4fUKNvD5fCleol2W61oNdehIP/xp6/pjmkispOnJMD93lfywNdsyJHgv8zDyo
RyEY6KjntGuLislLGxDWtxUhMglcG5LrEjY7X2jB/j/Ilsnqd9d8ENPiIHCdTzvTPvnIKnPpPiCN
uyBAjRERfRi1+7BQSCaXIsRjjnYIsCS7Yp3ux3rFmJlBOpJa+26eAikY2xDXPBcvA8/edfNFyxCp
yx1PkAapXzwE1vhrnPn+cQEM7bNSMQoj7bA5SupV31pCiclN7EwffLoIw+bUEzgl0q3pz1uHh2bo
k28LgworE+OavZpvu6CopdoZvODt6PERbxltWp1DxgMNuUiL8+d2mKbZkDiaB+Ef4W6qoL2qKvur
SXCOwwdbzwYcANnfuF+QWIudmZHfmFXxbaVLgL5/ZZIM+NP4jNsCOE7pXuQoUSr2ipw+KIPxhNa3
FVkyZ22hOFvisatToCs62HOYQRXzQPUQnRvxiKEKT/1JqFBP814L9SDIabO+iDbCIzUyFhULVcf2
tD3dKM/jFLOvPgch/zRKijxIgKCAHe17Qa/PhdM8BK/GfxYeuAAKic5djm68u0LmDJvSr4AHWhPx
+PgOZrxwFxG4aDQhLhH+grE18cmNgnutau37k+3gNdvCjEIKg/npswru4kqvlSqKttdWuYlfIgEN
f+pjOakQfXYCdqEFv3mE/sCRrbY8byL+LiwdVNqWjcBtzV2Y5BrplOl6aItn0ji0QJLLmRZHmFSN
DXR//uZksLGrUpQ5yuQupzUdWm4scam9T/xp1v1MCg7x5DqyXQ+3feAHgEempkA+lc/hf60GMam5
tF5g616FqGSY3MbmooDFM5XIBiF+P0e9g/FadISAmbax2b7CheeUTwQHIYQBvQl1oxVX3pBNKcXb
chzUHCmz2mPX0yu2IGy1RqT8M9KhmqtVXwtSHQMlPNXtuiBjFMQh00mkR+s9fHGB4/D62DPCz8kf
O1dA3T6V6zyAa8Xk+KbYxGwRgvSq7Gqr49L+nZoZASEABV7faOUhK69WREK9xUMy0bQyYrXk09Cp
NDSTkqYHLdgekhESfz6MFMp4O1JFDF3pjh1qKUQpe1208yEMuTK1nJtDFsg5WlUCzHbyCN8T6LG8
efXYQcyhYok4G+nkYmbx8tvgcwUJSKHSYVbwI1B2IDdxoQTDX+9cOhDqR+V6M17iTMqOcm+Dvr4E
+U53Vco6C0oyYPwj0u7QZILDurcCd4L0mEThjTpcFOrMun5zca7FBh1XcM9FViQz63w0MowItoVR
k7Z4tfTSG4a9wUXAdaP29w+x9dcQiFEB4Z3xU8xtT/yRjBHwhLP+l9NEkjSQK+ImgxIB8OadKDsB
sonPyDW5dA7SJY2asWbSvWkbOs5IydIMB1/DXhK91Ec0YW38pMO7bzjPeP2i94gkC7rwdzyVvqSM
nZ3iT26BehH5vsVr6RzRCiCciKJtb9B0ChVQSKRqtQAmAssUda1xRySQ4HS+d+W3opIKopeov8DZ
gqEia2ZCxTwZiGG+nc9T6ArlejFBDf1l2aGltoxfBfjoZjd1EKoORX8Ok9+nCqROuDEk4piZi1ZU
3S+Gf2BT4OpeQoTbuu79ZW/ZESSguwv8vlNrzHmXoS5q/iZjTR82ANOUZ7SkmuvBho3dFYEJxET4
SwTQQkTDEoVqVKg1l39lhU9fPFGoTp92I+QiGYdM4vx1lORBGi/kqAj7xdOKJu6NOJo4fpYYGigq
wnnMzVeSZ1RNEdm2EZb0WIVHC+FSKB+W+XHET68e2Z8GXcN+KxZkfnSHNcfdBVnpqvOacB+ge69m
/hcTnyFZKXQi43YnuPG7rPh4aHa+oz6Q6zU4G9ppj1TPPZgksYJGNRLU8TFq1MqetzZ44ZitkIeF
KFBoMJ9j1erKfSJDwFX78u3S+ndA8yFMIjWvw2BcAdg/KH/9Z393mq81BteWGipOHbHGMkMIZNcI
ZOmvvYq2AQu5uMyWkuTCLeMW7pl2dDdqx8IU/kdqRzu1PajhdIa1qxbH6km27DRfo9XDK6x3wY9X
qBcSE6iDiiqNqoOVoSRKXo19SVa6KfSKhw6l9AJI2oZz2IR/4DtV1ifbt/3rHNZ3JGsCbslUnQ66
L/TJO/lky7FDo00Kohq4wVNMpsty5VyUtUzFlvj8nuxGIci6TZ9LIcreHCzweNapXDeDRbFQusQ0
P4K4ZGY61UnCSClQ3UH/Jd7GbiIrecqgUgGCn0y7eaANKPWyrnVLTaD5am86OxLCdLl29MfrNpDr
YALOCkPTBSnraUugF2APk44UHQdBb0HqV2h7IjNBqcdyWj0NC6fQtEnwmmSswOK1TaWFFUTXzEon
yngfMCOIwuI5SOxB9ghRk0NPvzPX4R9Tl0E4xShOWkDF0ZRB0MDr2NM0fLBVUDkeVsvQrN818+D1
4xz/31Clxj/cCFK6VY3qrnk6erEe3M/pRjGJxDuMhovWikarjjDfKVeqd0YAtHor7F5iLH4J+Why
ClnjCEJLlKckZ4hy2fqlMQellh5i5AhRc20CioYhB9181JQM4puB9+Ip39P1lWbmbYH302H4EVXd
jXt7iGnznptz9aqebnwcSCTbLGJT5zpXoJ6MQ/iLdNEaGffwDmWIWktg6jT5WHel38sBUUXoIKYw
tq7nk9bhXwWOPWFdh9fd4RlfEnHpQdA3YR/25q7Sl+SBCykO4iUTVlQ33aejobOyJnJJosxWBigV
cD3nFbcdDdEF/9FtLNyUWhfAGAM8L8pLBjvRmcujxWSpBS+WNDlKDZhl3U1+Bvnm145+8q/L5Zds
BbXtnCfyYeokGqYHNIJ5j5ech/px3IiEw96bOauK8W0l2r9ooOkxEvLmRPzMaqqw39l/kp4Cvq1i
iY3qg1uWHTceD1c9LmjObKCVPuVrF0Ni0SH3kGejxfhvnu+BODEEEduA0QZKqZ3hgEmpmn726qKv
TGF/3AjiVmrWBBuCpUFSiXJwWGdtbm8bau15+FIg4VK7zgCSeVoRdgGdQ6VQ/ECDZXPJ5Vw7QIES
Tg+Uu6RO8Oicv9+ova/tygdKzZxEFSJWnWB2yd/oBW/vuNwQLCE0KVgBgH0qR+wC+goFKa1pmPmn
No7FQ1GrTX5+l88UiZwtG4HGsyp2AhfkK/IfpgsYlbvy5nvIlaL3r/BqP5fsFET+fFin5tdbL7wU
tbCZ4W86Nog1a7daXwKmZFKYieoeJSHMuHtZ1PvY2FRYGCpUtxg5Z17kqJ3/as1+gy+2ENaZ352L
e5i4+MA8XP5uzCEV1ByYn4TGLruDslnVfpxbK5SZFalUJyz0h0RdB4YrJqTKgwNxCuWS8HpyUPN2
pUnBEVHfQnRWyMBHeEY8asTLjgS504El9o5bMPLLi95lq5wCeLRt0rAIoWZFKOgGAm90TbvJIWi3
AMzPn5Bor1C31AHBVHNYFhoBp+wlLjg4xrGXZ2I32tnuOuuKqsU+lWOej1/wtcAAbmlSNXNMpg+F
pmSGZExUc07jdKAxc/EWclo+6SrUMTwkYEZsyLi51XO7iBIpiOhHIi2yND/aJSkhrWJkxuz3JVuz
Jk/eem+DUC951fiMJrvBoNQe/e7pNqMyvOrQyTJmnGqDj2p8NbqGtReiYG42vNLNwWCaYNsTmSYI
4imM90uqieNAArpsNUbR7nRhAWoUJigNLO9zwIyMpQywAZcQxeiOfhChVlWNC6JFP9VaC25j/nnB
I5Wb3jDMTDmuzWKiDITmDezD1ZFiTjUoV4LwNj8FUPgMjiUsvhpR4t510Xd+wOGaJDT4kbU4Csk0
TjWwsB8XM8Q5p/2HwLn28OWvQXsTdeN+toL6O1xR/E34rJAPwcQ2YIg/qSwOJnyDwo5ZL+rhW9li
N5G8lZr+DCrK2j4bTF+LY72cnnWz4QpUkUWYVnxUioJl/CFmyzDoeTcVXoyammUfsfrZLLp7fAjk
n2A1qGg/hvog7EOhD0jLuneIAQ8S9skdrUNhP8SGqpz/vxYEPyiUtQl9tfJnUlVMVcSRG7t+P+vQ
pCSQ2X2hrMggVOByPeVR5GVy0MJBzIs2JiYsSgs0ozYtpMInqIzF7ryMCCIUo2kHmEzRuMTAkIUL
n8MB8Hgtb6vGRZjuiPkdUDFkUHD7INoklxkNhLDSGVj3uge6iB50257isMqVB+Tz+JUmu57VWhvz
ZbNDFXfa64lgKGc4eGuM/vo/d520m3FQr5ztnPMXAHsCNbnG+5vC3YeK9IRQzUXip7LL44VUN/N1
m3wN2K9rtCuw58odACn1zoy+6D49b7DaBbStXzdu+Fom8AQuAO1JsR+s8lz9mPFPx0KZLH7mFco3
QjIxPIF17uiEKGB+rodRfmYfSqN6mZ6Gs3CAjRfRvw9bL6Yx6mDYIrYPGzHfEIH+Q8GgczDgt1QV
lAAuohJ67AvHgLEBD+Wd9SEvteh/Z76DjFY85EB6wl8Ie9tvI6DBFwY76EUB8EMGPodPXbwgGWKj
JRSvWEjLZ0d1wcc1gn9R8DUL7BD/HMHElprWMV2UsnTdRtRLKGSbh3N5UwHV8UOXb5iy/P0wUmz3
HECm0o0t8/7/jawBFh/YRoQ2krLj4MOJ/m/BEU9KrdorfA/T0M+OlDHKvVU/AE0hO8sG6jFSMJ6J
I9SUBh2J0oHIA27nl+RZBTboW976GYWQDYXOjKEgWwYNlpbOORWaF71HSUlbg7ZaL2IL0eK5Bcug
cI32t6BlPFRto2yOo7Kxb1y3mh/MHRKHUA7GDOU8WtPnOBkuHKF+f4CW9yoNeMY/1lUGhBgh9VM7
pzDfMO3kbtVVbFp11hhqYzOoT8RlsGySWgEwEIF7gVrdLwEDTiRxlaCHYRiP3ysoCTcOzKxag5UQ
0b+0V7ClKVkccwGHjXwnco4RWHmYjCtjlER0l4ceRbLU8OUjA9dMMsRJtCjwVnc91g5jeTtd/sdT
PTE+jvfT8/KonYopEJnUz5saD+fbwWc6Ym8Pn+qEtV+g+rAUh50WVam2CGC6WN98pP0ILbGRuEhs
zGT9QiAN25twd3wBi1Ft1rLJRFdYK7DJgbzrmFhjuDrhJzMMpIY1PSIf6gTW7pFAZ37NECcJB8Os
xEKskNehepF7LQ0Ns7QZ6R2v6+yJDhAtVg7qjZeDSx/w3R95CQPcGEyad36v2YTIUJaNhmT0bSBK
EmtB8qVii5T78c86zwUdRlMurny+ldvhqPqxO5yf/139ZYo8yNwy4JupTsC/FSzUIbhWPxP/K0zf
PX2nqERi+uhDrkzqwrmBgSw/qZtBtZpssCFpMMqwgjse/n8pV7t7GSytomGld7vlc7v8SE60Z6ie
0yBnvRHv1lr9gRkPvir2NHHeLy+OntUn6uAnZZD8UJA9TwidfPXVvjfNZ46lhuQWM4MTxjgila+N
P2b+AX4lsCmb8uwgDV0EStPAvHamVPdgB/eJHZp7YY5TN6cuJ0U8KKjvFtJAiQW5P2dCbc3Os1GP
XRNqgrWzyHwYfHLQzHtxW3QVIz4VsNvFOrn8ny+xxNV85wMM18Gd1+fGejfzl62dnTcqa8lpB7Fx
5QqqDjwb59MKND1UKRAvbDZiaA15h91GpfPaTWLeAsDWF+yHfDVzQjrkt9G5J+yNqDr2dbviyy5C
rFxiRQCDsTvPbfCQWmDHHuPtx3b9/q/cMVCBP5BEmOjCREG9B9naRvEubSQb+UfR/Au3+A5KgHf6
J6/yXONgjl25+sHcpZFnvZUMmrwIiw42xjMCDsuvMDdeZy6bnUoMdUMgTVUrqPJRzvMV5Z81kwOq
0AXFsV3QjXm+ZuyWdeVvE77dgSttdu7jULX68owTdL2KEG1hD2Lq8dw+KiRR2KbElztWmFLM4a4Z
4RJQ647jGdOxn9c1QiGD4li9rO9Bs5WQD8wCstGYR+NANYiERtPMBxJ97pQZ9E1YJi8rJoFqfTuk
XAEXrsWuvWUnzuOuLZPhfsJVf/NIwfW7gKU6E9DLtc6FPWc20xW9uNETXfFvHaknhkC3UT48UXBi
Suqwp4SfzkRGRCf6GujKdcus4hu2CJ4BN6n7Wo+7AmRZVsDqCkeEYsbJpd8yJsDLtEM7+QzoF53z
I7PqZPLnNgKyqAf9hMqNi0LsJorSmpfAdbNMTfvhBdx0GLstuKjJYUirzrvEmbXtQhqEXncovQ0e
nlKxC5vpkF+ko/m7lEJYzDJbibvA58mW5fg310ha/j7k7x1Yi0oU5jS1hRfg7lY6OwGII/ibEmfw
nvE4Taws/o3fl/ELlx1GN6xC0MT7Nf5bGS2DBkvKUa3CJi+Pb7RSpy9qA7756rOEbWv5o8IMOK3P
kLsxgsI4rK5VFDS/BizOQ4puP0lDn8EHTRhCGgdtiOE7KmbOsyokYX4DY6qvmfNcORpwi8Xpt9ZJ
J3wOeBS4+nH4tMLJb/0c7tubCc4rqq0yAMqAACIEtwCzi5zQufmWRExt8DiyTI2mG4Xb/q0zrNMY
TlGisyx6hl1/eLdSjsSyBodtru4b1wgpRsj1W48h5pZH5Z7znnFK5eDD/Mr6q8YR7VybmHMh1kiC
9Y65OdgGSvtMaxkhiDAa+cXsePLYHZZKiB0yHnqgbHwiGxVQtjTj3A+3Y9Nt5F6OBFKxcWueHaJ5
EYKhwwuWffRfNI1pewQ6Qh9bHAh9LbMk39VQoUXn1ARmTLuo3hb+AlgsS+rAALs42AJLBVBS2wAw
28TF+L+KcSg5m/e3r1UwLXN9NgmBZIWvpvZaacJ+t1jH1tdfqlgU2SlOAe/EGj0lHJhVnwGI8OSh
v5f7TtxnsRAmsbF/Pak3ygyor1wC1lpBzSu/lCIFVMg2TsS8nbjoYXrvtkNYshEMKLsYXKOh56Dp
HcJm/dTpYDWAfJslyPKY92eI6ZD0BOPkSlQzfm2PuNoy5u1RfjhvfZCdxTFrXPGduhXEuWSiYqHT
SH7L8/qxgNmnjG5zxc3+nT3U/wrkQffLVEC5N/HwPU8x2jR4q6cqqKuZpxvueX8GZirWca9EyU5B
68hMPnilHnEt0wvLrFSWbFs+p2fC16sWPWCi7XYcCf6p7DVsZ6nz8656d2iZL9CgnDkNODCVcrB9
MaWihLM0F5sFm61pXH70SHQp0QqZIUn8lQ6adsvm6Z+BjtfE6IuJo3m49Eg51k5RCPwyiUZsxCUB
ZHs0VdJ07gXazwuNdh4pG2Ow0+rMC4VSI3SeY8NMN+vmHiTOfd4tVQE/K3ooNp1mT2wNrnMKGaip
Z43DM3iBk+EJTX6/OcbWi8NYe9KS42ey829DDzP57UnIZvPgTIyP7wxv78u1Ic+MWSjN1DPFZY/F
BfYOvnoA/cdCYPohEejJtyXzETOfZ9iBZ1D9eMp5aKRmQpvmkdX69X7n9ZPHSt4dmaUTl9LqGui9
LtsXFIrW4E+yFWdeXDSwGTxose0kMO0MyUoGkNrFF9XN8EE+FGKVTI05DWwX15JRMnoKZYcj5Pek
eGJZRsHJOelWuMNRFmo+9TmhTQ1R4lntp8neqIlEmdwC6nNTtdVlJg9zm2KI/VYjyGlr+gE0ZsJx
o2jvQUuivDKD4xtZ5bndQL0HO0qSVxc5nY797hIbPlHQL/aSGXSlmjPVemrdsZpTe9RgXAwKeDae
8TROgikgOq/hQ27AklyrwsNDIBhU7gADnVFOLZSmkCWEE2/kkHcaFuYZ+P4Ei07JIzhlSNlCO+mf
NEFAZ3FbzLZOe3s3n9trf9XmKb5N2LO86sGLSmaHzaacvRdHSji/gd8PgETZY4ksK8a3K2HIiIgb
c2Le9xlULkxWJX6r8PI6ZijCmwDdcgeqQwKFat55qWYi27q8gNq8p8wOrxFfoTYAb9C78F3Mx8ZX
H4nJyuqshWuoIWBGROdOCM/ez7kqf3M1MovFD4AxoPwu2qsASrVJHnemaO+bP38PZp9A96WXIYTD
W53H5cH7CsqPsKg+bb7mISMcHiSxNsr+/zrDrWgBp4Td7REUTLUX/fw3sCX02g71w8Cf9aPeSjFF
LWzbweZPMrx0AE0TN77mo2mkmXqkC7GAy8LE/xdwSiQEX2/3Fs0Y1e4M6PN/idPWlPZUeDZea3J6
HpDPoWXxvTllR5gisaq/wzoNZU/Ht4P8O/tZ3MFBSsbzYaIF6N/9qO6nwmQRB1Xb76d6q4SEvy9U
BSVedQK/ulJLx7YSm+nm/Fkxt0iBNfnxpDpT7F9u/eRXeZrK9PW/QV+GUt4p0IwsvB6SOIILvyRu
rTz+g7nHOJzPY6mEA882e2aFWKkTRxrhNVSuVNQ0N1bSABv2STx6sEMMgdS8FCRuCMfjD+4wkJEh
hmWwkauX7ClZoB7OXuyVfsSZoTEPD9mOC+FG85mfxsB8HfQgV8c33+OszzrfYv3EGQWdWWvQahct
wKEbWyvXWPtzHKvsFPsdz39oG2MMTqH6OaltZrHCozekbCK8/CP0mqkDK5KUtOJs+4MxUCmBxijF
5jkTaFIFufndSugSEbXXBOCc6EO4ctqgiCVnLfAXqlyfAAa2FqD9ZiLy0hcZAvUR+na6xxSLwEMr
oHt/tKfQj/TD6wtHZkhKC5yOOHd/NCiPkaUTfqdDib0WTFBrBcXRQO0EqwFeuCulmqLbbIKGDLEN
n3ixIHMOCLUi6Ktp+NbIFHNaJzI0pD/nY8tmwH0LCNiHZZ8rZzfN/JDbOhuice9C3W0g/s07MhDF
BXqvRVzHZnaotAYbEH4rHYgRBICQcWT6eqT54l82uG2qx2sAEOSDGD0WoTS2LLF59mFH9iDV5L3s
M3ufnc6WZsHKe9lxV5YXL4JNmSj4tj71w1kuKPa2vlMTAXEaF4mk9kM3kHBV1/43v6CqR+9x1HJH
cn76b1cKG1pM7hUSlXtxBP3MfU7hUHi9eIGCmByUrgc5T0ZdevYgURdVl/uWSM+NN6VXJtzdYL1n
7b4YwH//1624865Fj1RA308NYLW2vSa73iFIrk4pmDOLhHc0rR/7zKAu3JQPlDHnN7Vw/yAXQqrK
NsmVNZSaH62yHyRnVNwPFy3k9C//iGLBttniNs/1bnVGUAxG/ZTMbqusxXinI7i3FcqnLE3vKdR+
YRKk/B71x84I5EblKe6ElpXSxf9/iqRL/xJDpVye7T+zBpa4uTzD3Odej7sNViiEoEGw6MFRWHRk
lL7AITdhThjTjVyzoxRpv7rONFH7amhTu4MjPtNhUNXX/cpMsyqmu1OK4dhsx/NNfBLwSeanUDs5
oAsUVpsgzLZnyM3FOWcjNH/2Ou6YDz3fj69sXil9lxMfb9hnYtQ6q+Oo0hEHR/PFWbYcxyyhLTWt
KprVkkvD7xllNDx0+sbfttpVhR31LxHfSkQ4H+WT0Wh47mkrLKBQ4s3Xy95AdSYCvw7HuyFVwfU8
4hCiyaJaNjtK52EJ5/8VMkMBMLxw6lNb7+YoF2zQKlCw0nyd94T/2+lfMLU7VVzzV2pJfAgm2V5/
0dtEh+9lWHLyXaAbupiXpGxCRMBDr4ZOhXdjVBiT3NeBkFffIc3MG8vM2GcIiLCpY5mKPRSXIebq
igCL496rl+z6q036bZeg7yqX5AtgNdtmsRF6jt8Bf3o4JSJWopHLcKOFjhV77uEGOHJSohsj1xlE
b+AYH/vDtKZWCZKNxRhtFLQaDRkIxwk46+zpEe3GPGM8Qbk97idnWgLLX96ZRUXlcysKbRz8yaA7
aQKemFEzsqpMFvDjdtcigbVK2xrMT+mqmOvKN4SfP6Oh0gpSl9cZgY30Tu4AfTZstn+7rBzIg+If
uzG1a49XEnZBWJ++9B34BE8uj41wnOyKwSytGta6oSA2rLdKMCCdJit1df/DIJO/LDXmwBkAPKfi
as0DhhWIjlUQBgKMCNESjGyHq2XhGTdbOkv8/oyPvOK/6TIL4nt+zDSqj+5+MAREFQlPLq+DberV
eJw1ggatq5cNsYo9LhRouGXYPONbYKrLtj52qGfJQaRrq684NYhlHrT3d3BoGfC6Umk+asvkM0mu
gmjEop4+16yR+psWS/hX22uoI6ysUtpmMy9MRc9RxekIJx952jMO4kcymF2DiNlTHA2saOa/WU/E
I34p3oivLGRztVewsXjmT7lZiKwmH6bueM5j5hRT35GfLQbZ+mazEw/2OE2BeUf+AwSQ3FbvyZWx
CW20GTXZZsWfZ3ajiLYIyY5w3VsUAyLQVsnqCes+pUMDqpodfwtnThnDjy1U3zTk5vMz70RWfnCq
cIsZ74CHYtH7ysIh1ZAgx8++7dSyOmCTXkyo3DreztsVJTH/kiAZYxo38aGR77X2q8R/cEOOWD02
9tYEyqD64my/lIpQTR7UxjCcM1OIsq7TO7XCdPmVxAfmiJSW0H8FcbQTwphMQ7C+rqHvoG26ED7P
m7aBbHvy6VDA0kCqZk/iiN1RMwR4tecn1ZNED0JAGF/VWO5raw1VMP34i/hQEnj3J3SaB1tMe2Of
fIRbVcC/GJ3xBvTOlcPx/Tqkf9/3N0VRf6q+d9OyBJLEw20Vvd/OSsJd+JILNct9YksOIHig3zQQ
LwFzKmLkwjxjfN4hPJ+yjgPODYc3tL2seBDzSxutEBPIeiN/7F7HxWtiID9Wiy0A7h7LwygO4PZp
IR33Gu1nA6+h3YsGZHj3BrAu0Qb7Y9PzbbNUgLOYnmUupkaMl0K734I4BLvsOJa9ndDPkPUKBmXB
d/mHkNRozEsBLYXR77VJXfFJLlzwwdOohcTl8hnL5WPHgwbFuWJoMI8lt42mWpVJ8MrZw3fNTkhd
M7PySRsAUwjlz6QNVWYvvIkPFyRdL+DJNq/M/qqtlGM2aBKFX4oUSiQRM4AHTzHtYyZxVsifXGVk
cx0eqN74XzejHjGxvaXjQ5VKdYCJxqBj0py79UpL8FflF6/PtYR8SIBVdoWLeSM2SDDnc7ufUngg
YQWaBuHXXQTPY+QBsB1FkPPRIv7T4LXa8h9E5R9wTWbflozx0ZNoU7hBXqkFjSpxWHQ4TPC3rg9z
hAZSZS7+5CO090TyglKci/v8P4sDgxQ34KQM++o15kockCXUrTLANl2fhCROsj1Dh7NCJQN8xwbl
cYO2pAomNfnMGuMosDWpIDpqQMHSbl1TCrz+2TwwHFR4tAXaoUNyxbs31yTtc6ow9lJ+B0jt3Rly
TqjUKkvPF4XIw8q/SuKY6lMksPfIpJjnwYBEkCUS3paVdSZVUD8T7twE3Uod5sAhpIT6PTq/0Tgr
K5p8E1VgSbM8VM/H8KMEtxWHgUeizEAjQdESpydjoy4tDepJOaCK/G5nRzCwmst9W37cFidn1zBS
fxBIwprj0aEwMnLxB8RFXRJYkr92Ook3pEZJlcxdmsagdbrkn1fomGFHf/Knz566TtLfmgXTNxaZ
41XeMnablMErnTKIYFiDsso14fxZ5TVTkAD8PLCEe1S8E+/svS7T3vp0EKGl3BwRyIugBREOq3Bj
tbimp5u8Y604KiqTjKWYXAE+5UnGkmNcAUNC/lctVWgI87P2RhTHIA18bTesKri77PU2Pi67dyAd
Kx59oerenpiLoIehHjpKhxmFkDrgOidMm9GNM9eAaXf9VS2QQKuwSGMJJ5ZNMBNGghjov15PcKkk
aBWzxA4ck2W+bRcFLzgAZ8We7zMxQQOEgx1AlzQYUuE9cKnLc5woBYPhn63QqlWY5AZfkE7UyhwF
O13irKaWOUuRiXYLEHg59jKwApimGZe56UztBc9m2z6hz6pTiC35LOA6jbSK2y1qUif7w11A8t3x
Y9SqqDnRZE4vtYslCphu9V/A6g1bgem6PuRMV9WQJBPtrcSB95GSlKYWOOcOllcXrCmoDkpMebyx
I2B10pYfoAxHdJzuTrMcDZKyZX5tuMxoP8rXmJ3d1jIrYpR47GOlk36ZiDZoBa2NIweUml2ZGs58
gWfUQhntE+OLtzZlLQy3qOIzWlxWMs0Ga3cfKTv6jydWp4uxQgZZXmJQ2tTYUvLLDjcmNKGFbiQs
3VpkK1X8I/aiew/6f1EPeVnuewdeHJyOOLSEZ187/IFTM0JzDm1na4sgpqUiapixaa4yRz4SObb4
eYkFyyC9F4KFDhZu4iL+1nja1cJY5ab29PVI1McbyJDj1gYQJ7Gig0NblNoHBnQ16eJz2KXWgEDY
oApliJS6N2kJCN7mGuigQo/FaMZFVly+kb+bpNqp/HYtDoui6y+cUl8t3ZxsS6hmPCSw+nS2G3j8
9f9mWqXy28/f4n/xfD2T0uO/HxKO+lc77mLTIax0oSGBk659YfvaPYMf0l35dkmFX7XeHJaG44KL
4xCnOJEbePCizr5JYHleQVQKTM8Rkp1HMuLoYE311+QTRQHMAelGiHq3VSnGTfK731N5Arybbtfr
z1fstgbzgTKbrU03f8/wM2ZumA80p2TCAavQvTuoiKKCYAXs4XctFEsgmuebP+NoGW7uW5r3tId5
cxrQam7/swm2HSH4LmDG25UNyiwWuLvnpf2E7L4cRzi6GE990lG63dp+ryD09ise/tj+0vqRqqGX
CUr8NG9zqWKfJ1RPvOGAqwEX6dz5qac1hTLu6PeJDnidNrZYnPWZMfNkDPx6SKVJK9XTNDzwisG3
i8cl0E+aARSPEL6jglW0h3um4dIf9qG7VVQK/OaxXciBs+fHVOH3c7M30/TYoLK8TG5abS5pOLuu
IuOBWxVJu5neurEtVquTYGDffpVhZFjSRr0H0Ecl3kviWqB3+Q4rf6FxDLywb9Udqekzqdu1QS4f
DL19cDZa7HktlTfevKkrzTQGS3f8hjjhny5CUgD/IweLIqA7PAM3vjex9Y5WmrVMzsl9BeEObQKd
tq5Ss9K3rQ846flkCrzgmTsWPuQ3T5jVc7glj+TlkCBv3mCvKNFdoHfL/zVqGLHY4WN7K5LC64q7
glA1amXJ5WMEc2QEemnv1tjLcKdwDvbNHuYbblXEAVzY4GpYhsH/Zy6ZluWqDgyBLs7bjoPGzYAY
hvQjvp/qJLrIniG2yVAzqpREMjeQWId1fTpd0ZECwSC2A2gWWEac+0MUs1UahkNeM3CElVCCbAQf
xbFqEmf7jfXkfDiCzz5ZDTskflx0vjoiRkB4RNiCJ/lWE767erNR3xBhf7BvBi4cKW+kk7PiVK5j
8rpACfUUuwti7j82SQ1fSrIV+w5O/rG02eVzzUx2qKGu6Z/rf01ALPYzbAWefIAW/sCro90xX5xY
YCQpotXR7AJz8QAvczhNyMUjSbN9YpRmS2DlErSozyTqNy4Og0p7+dkG3xp8aPREu5/0hUYh8cq+
XKSkIL6RXLj/flp5ojeSyi8hjvI4oY7sCGrNjZTZKpgCeDuhZb6eN0xAbRkapMcDi6QgRjgpVRwF
EdKGU9dUo2qKLKm6YCnETm1UA0ZDejgEM4/wFgRzh6lcHrEa8FzirIbn0QCyWv2Ox0VMUyEp9Bqo
j+0E/9CSN4cNYu9xqRiRJTvsYALn7wgJ+5fXSH0flIIT5InHUmYanLn7xumszdfjQaEYucMZXHue
/1xfzlqUmcwrt5tJBAhNw/EBqRTMuWT5FPrIxs+q3WFizU/J2neFgCUih279kp9LipHD0/1Owx1X
NCpqLSsGTubln1vVCg3CFRqEuLPDCSk+B1dYkPiEes3RZ78eOCEk+47wWnC74cXN6YUTVzw75P3I
5KxBLsiSCaSwWWnpW5oFGmqNU9QFX54lkEDsYFN2RkMkwS0KyGdAegr05QQu1LxT+bJVK390C8/0
Anj+aRF3Pi2MiECThb5AQExWlllOLRVIrzP0xBkzX3mmYAIie1DQr7MqrA20NaTnHaMclwD8gyW+
7Y+GkNA0yyMTxkik+iH7Fv+zRkpkYjC9d7vIFkKG6fINemf/WaMzdeelUb/ViN8DsC3vsDG8rS64
B2aR8aYZYqiVOVBBnULr9rN3H4ftg8yF2/a8l0K6raNy3SfS6Y4B56P5DIfzwwkUw/B+m+sY0wbZ
yeW4LU/nqyYPufzvPzZsyOJrNyzxfjJjsHtIL3CSL/IdOsbkBsSPP+9udYCPTvChJyGQHFzZ+EL3
Ju4sXq3N//GK8YZc2W7y77Lc99yqzvWBsRPW8WJUQZM01mSgc+qMaXlrJAhW3Ha5oaTSEBrGt7CK
CkC4BCC2zPyyXxvahyvr2X5Uo0DQNSX9foFoJ7LeI0p4DczMPqy67Dw2Zb9QhkRhQl44H0sKuadv
khce8n2g9/2P00/rAgDoTFkrGnBARMtEhmgSsAuGogiCWuA68QqYa4n8r/oSYSKwvQllW8XWrxLJ
nct9xJUQbRQXHAnKbimGxF3LDVspsGfiGPosMqmWqTLCQyF89vr4i6ukqW69oA4F2BTDQyFBJ2ea
OU5br1c33LyT33tft7INx/mDA73VZPwkK9iREFOHjzcV1i+uVzEoHM8AYsZgkcaCGrrHIUMvno58
p/81dN59Z8y0wzUP6A0ySYQRZgyHGSgsptaHK85MReL/c8svkRqT7FGQaXfeIK9Sv1W7wOK4cHx4
ct6GmNbEkB4qNFV3uS6a0UeF+g90jTu9Q+TqId5VKxyEjd/lyz2VnoZYMaQ8vTGubr23X03pV97u
xPLxd7FV2vfJRzrE4b/7YHwzwzyFJMw/7f8kkfF7bs7FpDzUbDS0fdVBVznlnnIcZmZEankyCmiJ
tLAsbfQRJbOx0pkrxKhJuZLG0OoBeSt7enlSaU6XplaaP9m2ETBdP7r3M7LEZChcJ9iGG+S7sym3
sYjnXonxBxCe1IuUd+OtA22HV/uSMGVN2fXvMrrPDwVnR6NoKZoxtoSv3zgKeqbTEfVmkeoa9/PD
xqrAov+Q01JpRqHYdM3eXjcpa8UQHHPF3BBVT3zWRAB6HfW82ysyZ7JsyRnVdJZQUSo8aP0isWeG
EVfXRgvdkieKbxNp006agKGN93/zVCTdN73OywGBW9yqj9ruwIxF0XmA/tUQC9ZSyWfAhR4Wf9r+
Zo2HrdR43zworqQOYnFMCIlB9BAkWVUs2LSWmCgtNKXaKaUmnG06QlHIvkx3bTPejnD8epRmSKd2
nKZMymsdvAhaczGxJuiJxCP1SponKHobfFwPB0cRHRcaz3GzdbVko66XN1dZFpu9iIadI7Ee4UP0
vlClrZyTFK/uWvQI07boa8E2eNrFP7Q9/PWF8CJHuVq+Ynlf116DoRGqSISInHBV6lpug/qYBrP4
TmwfXCaHCyL7QEa+fF11JVq6RUTn5pBqjTkVh9ZitF4uThxCySayEwxLqbbLBEBVYdwqMr2RWBwy
oASTj/pwEwoUecvnZTeNZKhclRihmcYMgC56eP5ADU7crVTm8SwrbRZQQN6Q7RrLbI++0uIkQUij
tr/pGrYDL9u7L6svCe20FmGIDY3IgHzbkVYGSty5aVBUoYcqzGbd76CzZgFtdH3OM41TzdCoaFIg
BqZVzur4GZ3m8wdsruv3fhILRhxa+SxYfX1Sb1QQHqERYOhq+jkehSAR1hoGMCIR1kCuijeYA9AB
MrdD0Loj4YyQFyx84Lcly6K30LdJt0+B6+hl5P9TzMpHe61S+kd/PqPVXhNam0vUGYmiq0cIj67f
SavqPHaj+eVp6L6uNkCHj2Bp9Pko3pvG9XIllmNTyhxxRA7FKr1LRJ3+fBWu4W06P5CXwOBq/sxE
VBb1bMqDKkx0Q1dANu3YE+K/WMIIMWlQWcuIPFXWBLH+aEPFiHaLL3rJrIdTnSO8Oym1j8QHr/A5
zxo1+YdpcAnzQdQy5EJDgyi9j3O7CWiJuiN5yNdDx8Tsg/xssNK/PvuUGfDAsfxfKBfRW5ywqXc/
uqV2JN4vBvmEtF63F1XFjy1s3qWEokOfSkoOn/J3i4EtaTCN+eJpeeIIoVxvRcBrN5wIsfqefaKv
B6PpdAdxs4HziAN4zdjgyfmtZKQ8aQjK5m4bzLX5hNwHtlM9G52VI7aliPfRbnyGpFyt4q52+ssX
dN+xXf5zdBmrn3kD1EcvhyW0crEhBJrZ73R5dodyWpptdPTA3o4IQGIA8nNTY+OpdQQZZwGzxUJN
KCORb+r3kn1Vik12vMOJdQbB1NlQyJHyhuZZj3ImnRvcit/Kut+lGEiSokzMnVhOr/C5AaTlStk9
ZUCPXdmSmp3f+a5BdBo1dVv12gDguHRdCx8iK8TDzu5CGgho1f7uHjQ2gzO9jMRX4rINLq3gtW5Z
8s4SCEJaZKCJqoigB9aE6gWIGl/HWjzwRXfqrXj4XYUCNGIR8iYr6eb2lCI1zOTGYoj4Cec4ZM2/
oXyklzSCYH+Zdp6Q+zCSkQ23rKdbhaB85O0yhKgqNDPMnFMudNw4sXHYxyVsHA9b3s5iOGGzScPV
e+nl2j/lRBwGDY7Ql49aslJkj3201x9FM8KUnZRqL5e+fnAIOlo6f6RugSyVvHfN6bNwuXWzvXWw
ztDLt/v4z+NKtNXvyKW1P3086lh1KxiQuzTw4kBDC1dmmsiCR3uQILVb4OsVPWizIiDwweqAvJtt
Rnn2us6Vl0hmAF7h9m1mUdRSksNOeTJHvq52ao8h4FBVU9J5S86USEsh4gf6GusX2jHBMYbkK5rf
j86jS3uZ/8UAVzRP7r8Gb7L1nddJprKZ30SVcU65qqDSdMYodHeLARnC+M+FIhpKoOq1cDNC1d43
6t6AAUDWsd9HaKoVBlKGaHoojvz+XMEVAt18TI+xyHB8vMCUi/6sCTk0xGjk6Mk3HQur9INdw9MO
W1y3ofGPDC+zhiezS0XgowV5aZZsF262jhMdry6FkWonzAfePpNuzTJGQYFycAhNtHH8HnWDp2Q+
APM9igHI4BEppM95UhuBjJZfz5+8fqigpwOQa/s8Ittvpdnv9hHMNUOnnK759rI1GmabVuwaj8ez
v+rSNUwW4YfnedxN66VLTI/x8oGFZhikSkbSrRZKbDfsvQg4jZiJbfHLZPhIXuRiIvzWunEZ40El
61D2i2hkvfJhK9W2frOwdn0JMJAGWtMudB1N7wj3y2bJMlpp3Btinc8EO7mJ5O97bWRSGfzatW83
bsxU2stNcmTl1eomZA3b5cFSvwRsopQNylpi//v0qiAeJn7jv9w5zy2l4kJ8ttU7z4bQuPpnqh0z
oSYl4PEA/XRFNhanrzUdD3BDUmVCX3dBR0VqI1rH69Vbmg8TVMAskAk2VKOji7pSmMonJEYW7bZG
6Oyfrq+tl0LFjVdg5hWKluniVIJmhysdmz20RS4mczoIwHd9YVMbzwpn+rEmwLS19bEwcgUUzxq9
syAZw6DcZiGSIWSjeVSl1+4TmDeTychsTTco5czTqWcd562t/+AHzp4MA3WS24GTQLQOSyx7AGih
bXzhXcf2FRCo7Meo1iiWa8IbQQpLMsEQ12fd4f+2jJe5cmYlsMB+VnFIL+QZkWH8TXBnLC2pVK79
zosegpyC7um3lUiRzRirTFArNeBaT9SYeZ6F0lcSfSA6s0H+icoJNSNN7VIa6dwmL0BTsTq/GRU2
y0d6VUw2FqheBiZGuWiIsgiRvn44BFR7KPY7IumJ4ZSsW2jpjCzxuXDt0LcekKZptswIWqKGz2gw
9jY6xnaM3SATZBxoxLQiSRC2Lr2W/P4JZnoiyfvw4ubWOYDbdjRU8uZCv/Qs4MJhimfDSAvd8qdH
JlWYFmnoX1eshnhl8BA5+LRmpUu+pgD5/80wuYizb5Bty5aqgfxyZboLtgjBzU+uxh1ZZkId/FM5
AfXrcTrbIQhuv4xkVqdwWs488o5/C+28Df6P9yzLzNQu121wB/WVXepBZsJxMPc6vm1kP4+L8g3y
38TPBT6ncFdlHsJbYW7EANIlfXeQ7+q4pvBU2vNweFs2glgv9rnDe77FOxcLSujq7piUJluHdpih
Igbnorz1fWde7VdmzVX6KEuVQ0UTNlZLyEaklJdse/WQfK1kEFqObXOlyfSZqiSe906VssGJ96UM
VwmR4fWObVYEuvmEfBvK+j5zhk15QBiCx3bqd8lMCgqhZDrbjjoiZgmKmYDx1h1+NmIxIePeLZPd
+V6Ezh1pk/bGz/pXSfvQNubFNXq48lZFTqmIfY3DLUKT8eJtnWVWcDf00//tsa7MRYEoLhNm5Bof
xSZebpHj6wdWxcRI8gElUPf9LM27Xg2wvIQiI1QSA/b3lSzqykkNKkpVidc3UZgD6it9plrOu6do
IYqREFXnurNymBzDtuzsq4gnE0kQ1AlJHP7w4DuD/nokzKdz2uPm5zeNF/mBktFbBMieDq88V42P
5XLFXtlN5erXq5BETXgmQD8IdwhCrhthMD+ARzrP10rWCZtgPMfx2pXyoutnl+u1y/6GV3mSdLAc
4geYpt24M40gGxfoD1MZTOq3J+MwEzhXffAxW2/j8w/TP5agzoQ3OTGHzMcIuTpJ9vvEp4oEFJAy
gYlUD1Eb1fLpzOYnz3/+Ivvkp8IE5TrLUPlEdUQGoO5BWCNTY5YOhJ/XZA/tVMiH4WmsM3u+PEge
0IeIrzuu9wUaQ9muM3+6ITfIuPAue/YwVkfnu+WL70M92lGCM0udz+a3jQiDAda8Wldm/Kuy7JA3
0afqMZzo6u4oCJ6ThqpvOFrOlCCTRvH/bWq/vACR402yEu/HA0LXf6k+EK+JrLB8zCFKTkJps5aJ
IFuaHG+YM9Gb2lMD8L7SSMWJOPrJMmSU/vx53+sMvLmyPhInbd5Z0Sr90gCVbpN+R65ELQNraUQr
VVxWfyW1sw54tLrkbJZQILBr75yBXBO7k0FLN0Z1mAXWI6oI05vKez/1HlroquxojaupZPnwa7xr
q2FoFPddBp39136OpuVR+Ema3M5f1w9pNQsn5oclMrSK4IPmPtW8oTa+pDOrUSqme4a/wil8ivfT
oYW0ZWj3LPY20fuWlgSmVKI5UKWeNsg8HcxGUOgmObaZHpNYhdAd5SaVURNKuhcEOoXx9yafnNQU
HFX4rkctB0RrybVgVFHuXToNvtHlTp8L/dX/7uHwCEzI60QzbT85lox8GxHaDEBJoRVE8Ko73qxF
QZWgmdpM9cLzzjEib0Hp5R/cUMteYw6RVb6XWMgBqmwthlTtYt5e1ME23iXeRrK3zfHGhL4g5KGm
MQ8tvncHPuYtgCzjHNRXOwLOFPSUiryph4RuSZCO6SZoBqLo6RmGHYTypj5nrnREdyxLbegGmEcI
YV1NM+1ek/Zzi6hI0oBE8Gf9uIvFMsqj+1QMoE+uHb5zMFdpu+UCFD9pG+S1mVfvl1PCf8/dJL80
njzP3lgTt75ZtFY7xAxy06xrLlHmDtp0ttNI/bWMVPidjt94rkbcelI6uT63AIXrSXPSMvj6T8g7
qwb+PtVmxHjevg/4eXiN4kbP5ecvnAExv7wQrSKVw2pYB/Vr6qLMdteZZUBdozIBg+Tmym9c+yu/
j+gmtgGzn3KoOLUTnwzZs2UEJU0lvtFpZ0KOp243ZUl07YtExj6A00GBUE2iDS1cFlKe5P2s81TM
Lizhok9HEittJIs2Xr/0v4B1ADNPJ6PZlOqNAl6EGYaV7FvSFB+/rpPQf6bj534zyv5BHaU54g9C
6IUYf5hh+nPQxDo+G4j7TSCmq5UA0UIiaQFCqQpHYs3lIxwCz+gFThbgNEg4/5yauqry4JGW3XbO
a5PW3tEolz89xHt7KYu5gL20X54iSwnc7oPm/qPIEH6CeymmFFWTZfUYfnby3c6IPiCM6Wnki8gs
zlEQXwbfy98SVZKeCbCb0adndvIS6QJA1AP7ZkbAuJqF9WQdg0t9xi3O1xfl+/Our/UQmRfg1LXY
JVgrpe/hLTBzvBmYymF5PHnZ9CaM9aG6T7JnvEfR9+k1bXkEc/61JnpOqpoZ3oq0NjxuVJmdN4gy
PDpCKyYLqR1d4fwHzIw5DnLm9ya/31egzbnrjvgxKEkPFmbr6NysT40/XjpJ4YDUB3duePyEP7p/
f4CSLuXFn8MWzJRwNikEDgnyAt+b5OWc2uBxdsbmpH6RYEEXiYRwNRhIQ7f04+VpUqtQanxghSw9
N2FCl+FSREqLEFFc3lKaGR45wACFhC1mjaDJydYv9eKJP6DNofzsivEXNxRrBQpUwEBuqXVF/LbZ
mbuEkOqJDxjsPcv0lcjcpozOdSJyvcuwcPuf1ch1TmsMjpOt7oDJTKm/9g+eqMcOM+3g2BF8sl5P
4GYaxWD00F0TNicIt19XyZuEofp9zjX/pnuJ1RrOcWeNIDu8yG1TVTiKL76vaG1hAwGzsPwZKPpu
qh0Jpqiz0Y6lHBA3kT1I8Vyu6kf5YYaPvBJLD4pO+PWtOw3mE/g4EjpbXTpyPrQ3OYO5fQWPnIaR
oTJikTtEci4asBgfmFwpNhAm+jfU6QRB2KY8BNLowXcrHWtki8+mW9KnFEhqMbzwyLpvzp7/r7lX
foGwX71w0svi8AlEXhjvYa2M9JX+2aDfQFopFNQNBs5/eUiPqzlG7GncvEAaMkXTgPCiMim1PUww
dBu6S6quvHN1/BRRlmt78Hi46K626XPTfF5INI8FyvsSBIcNM9fBBInAdvOZCQVdi7IWfkxIYTp1
9afFklohC0lvt4VQaEVa89spGbkNV6MFITv2VNw5KQoN/wfHrFUUaJ9iOvAeJ/8pdKhCq+u3pP5s
UaqYQB3K7UwRzsgbAWeCU+ulfRsYMEvoqUkkD+qLv6XzA3yJvzmzkri0PRAPdvLuEJfg1Os3EOqK
5dboiYccfPqS5aUbs4zqapAESNodt0p47zAAu9Qi2z3lsup457+YTlujNZ2eSyDn8Acr+6b6QOnj
/0L53DpuCsSoNCONq/NiWJ1Me5WGssoE7Lo60p8caf9VY1JoekwCXpqfYztRlW2blcPnRWljUbbv
afBvNZljyWoDEIpeKen8YxYqJ8zTeFRQgNZlJ/efohp9It1L8jdlsW9c8+3poVUpGdljTVwd5eeD
hUHqn/2qz0wO5MxkQyGk/1MLey/75ZSDBmrbi2LRadgf+dJiIvcxe472Izh/sLR0AfCY2pAucpFr
ON6yGb4YfVtEeCdl0mU0uJwPRZS9/UBuNPME1kdo94arMrJdVBZEAJXIOrJa2VHl0Z7NgLPnEknU
16yi41WfKLJxTWSNxEn7uw61+xm6DaV6sZk1eQhr7RgPZPvzID2gSHyV0dNLLJICIwtlo1zUtf14
bhVjSeSVBWfo39dmilsraAHeYtUivm2I9+Wem24ZfiVfJyjnK2qmIqbE5LGqW2WxyLdT1BD8AL5X
AXaMDaz/myBHPDQ9dz1Sd7cU2IYIytNqVeBUHrkIK4oDLJn4QWkoWgUeFRfZsKg4I7OLms2vW6xp
Nov6YB6NuHGPViuwggvW/EbxwuYAEad8jdM0M3rrHLMk9pitCtyTdNiRt7MOdfB8EytT5Ql1T4CJ
n7nyrspsqYjpcrRox4T/sRYk1sp8FramPxC5Iz9b09Z6v8Tn4wplwt4nIJW5tCps02jW7o/A7u2H
UYg/wqTeuc23zKYZYZkLF4gtXZi19P/FBk/SgoZn1QkKCY6fOfVpH2oRCHM1Ax94JAmAs1Rzdl5f
CoJywYRxq8lxvtfqDK+IBOE9sAAtwRFoDpn8B1YBlrW2Mu6QOsQC1RitkJaDGOikLEJ4N0cma1oy
QDTVYlCFvYAI6cZzbHPBgnhUJksMOMLz/9WuxqhMJI7g1D3oGqq6RrQ/JpH2EbIS86VChkxkR185
/cLFAJEc3dfPi3zF/Q7QmdCUjrd1fcSZWUEobSjWliU0X0ky7CrZwrhqHlwxxUrMQi5+ucWe3Ut3
5Wo876iui9EY6NwqC2DwLfDRi0KCbzAcEGoYgN2OZ3xl84AEa0JLU6/IZlNqz2punmuvMt6Z+w81
rM+RNCA0Ay95qmtlQqJbjAGsvi1nLcMD12g/guoUXA5/odfNxkNcnO2ITPaY3eoiM9AOTqvBMIS8
fbbJyfhk1IXfPCXg+0UoTYBQnaIRwI1KTnA0HJ+xd7weT8ypSjNfhe2FMaSQp/lS8KcLvBZ9bnGJ
Mi0JAMrl57CQutO8I0ircPEyuOKCXutkNbtyKzszFPsUbX7USTt7MyENyj2bUiF7Ff4fk4Ft4DEb
uSXqgzaqK1FeKsxpZSGE6qiVpeVjNFAIVf68K7ArJU7czIjgQBCI3Nmq8YcJpJxSH+aq3qrlw/mM
YTVM+TM6Yi59SAQDQxwqce6x8uqdoHD41F9lCAFSvm9Z1DXVoYpic2qrLxCkrmEfECSns43q3nFy
MWX7qAXHdBxzte3xDkMI9ROZ+368Ro0KeJzQV0TygeifTyloVZ/Imfxyoll+1zsP1kVPt3jgx1+/
BV4w95xpvUuDT6Xi3wpgragyLMcXAHZ7Q/LzKUpN2WRRweqsmtnZRqh4Ryo83T/yeVUfBOYmJTF2
SpLKvLneXxJo19lH4zQFkGbo+VWDCUuD2QDH0KuhKR4P2mEsUCL+Z9CD7mCF4ViZwgCxew79Q/A/
9x7rulRkB5sKuZSjPQUPf4tcuJ+Yt1Adlve4i3piKPIxLDOEDjl3L6iISCCvwCrGfUxPNZzZOlzc
sL4lwhHx4v9tvlEjyciAmeIl95U4fnVn4X5lZRSFwts571oRU3hbENw6e68/dp+fHsIYz/bm9dDW
XwauxD8UC7cfbWJ9dkYuC44AGdkpI9Ug6eL8PXEb6VIGX66GsFVQ+Bala2bPi0e6/htFjyXgxxK+
5pzjOF3OE4uBuU2R2Dt91EVsIBP0ywVmh+8tyTskhAgw1nLEnnLSFt3r5GU3yqugLzpYMZTgJUr9
xn+Kl9Bsl1kaOdYvjfHPrkMJBVl+wSmdV80jxqQjahTxz1FV08tuKjX2TeV3XVueYyZs8poDmPUU
EgYwfFbr/AEsFA5zsipQPG76gGyGfZb/iNKynVYgGPc0VJq56TW0KVCxI9GoxfzOT8x6lyOikxT5
EpuzW1A9GOimFJOvdlcDuMW04GhAJJB69Z3Y4bt/taBlcpKpwUZ1iXJ2yYEXylQxTLOFrbgsPMhg
fMCsIMtdeaiS4xufQcBVORyArw8ne/XpqzCEcvSmcPFWmSNKnVy/M5zN/SkNRbma28NxDPenYBpV
K3yeweuGXvptgQ7RBOHIxoGrZNRPxEG4A16KVLaMhoYrnXXVeKgldq874izqwX9/ajEYF7NbtteM
wGaXbQ0MYPPENxx5oHcnsgcpOjTLf5Lcp/NNTjfQvUDWSUqywgUiebiJeL7ruOnDzKM8g1Z+KKN/
Kfwh8UNvajO7rLh+y/z5ogTPiFWFqqeh5Q4x0rVTJ8rgnAdgJLC3ewXwbPUczvsTD7xoWwn/WRcT
VuQswvOMIpKsHT6pZLBE0L5MRJ50f+p2l6VDH4zppb9YO3mh/fSbBHnh4M4GxI8Y0XkVFQhJVJMy
c7kxpIzlyql/u6adB6cw1xgxGeibvN/lABAVI0VxPCpJvV3ttWieUReL6wT4E0Qd4msL0iZK2HtN
/SAz5Ed8slet7Pf2XxWstgwX68SgRRoKjVMi+mD9d15XZNWzXXu1kGYV8IWeB/BiGcUUW25Zs02l
dGMQv5wvMhsjnEXhW0YewSLOdnYArGGDePKTJsKsETb0bO0RJV1eLTGuig+rpUhSLJA1k48DRdlg
Lb58c8W9jq54xSfpKmU+Dzm7QySr466l8PW3eM5ap4GSeGu3cE9JcmpfKq+X2H8w39THwhaWgaP0
k/ksQP0X6dl4GZ0CVcnSRUdVs3iWul2tN4kR+r1SnnhU8m71zkefKEd4dtlycocA7ykYGQ1ieof0
4ZVFRAPEPyc2WucPNc3EYo1wnTtIBDJNpML/h/LKtOlZwGC6fR1+LBTLqkCqJMKF3kLNPcbl+urr
BcCS0S9t/J9BXR0yL7MmqiGvZpCzdqiBRgoD6P4Ga+Fmlzpv7OtOxcUZS9pDS7xOXQxlYqRW14Rh
EhIgGksXUerr0cS3ro9g92s7rhHkBkN3zPknN4WXrFGg306aG4FYnKTAB9OrfaKRdrEDFk3jBNTY
zaLKbGpJRULASYCCrbVK09qYzsnUajnMTMF2FnWAKPPWpVu+f1MqFMOure22EXiq9k8N+v+YFkU/
U6hxy6bjkAFTCSWi/BuqgqB3WFJNfp+QTXweiu0sCwCdHC78rHpI3gWAP7MGI9IM7IGCIEAklNJ5
WEcJudSrcMToNsedHyiIV+FqlmBmu82IGZSc5yH9fLMc05pxRvHmO/BuCD5truQ+Q7GS+bdHDzZ+
t9bKEwuL4bJJDauhVuzpUBWsUPIHuzFMnj7pwVkyqPI0W1yzS0HcKQxXWFNonm7e5PTv61sZTQj6
8v69k2agzGj/OR67ZmmcSTW569dnTyVp/VOGVm2hTuon14D8whEdpdOBALkimJuQpzblLM0gm/no
XDh4qul1HFxhZS0HKNiGJWhMc7ECIgnNBtw9ma0DUJ4b3V6myF97DUwc0wC66tH3I7u44QM9AfYR
HXjxJMAL2T27+7hkcD6v32aGTf3GoOlsezFfOCM/DbNaRYrOrT3I9m54arWauOglPo4Fnfb7St1O
nzctzlX0qXLZRWRDEw14/3Yel1IHpdPvomA3fqqlSxe2p0uFkPTlbGY6O9Vi2SLy4p3pOJNzP00h
ol5Y17ES9aZyazLSg1sxit/1OhNxGd/xinGI9Z0FCKqO1Rgeop/CgqaXXVtWAEieVzxWzgn/Er66
hi2PU3bs3h7CS9rKjtToaSjrFOtQAVB2penBQxcai7QQitzUIOz6vA3aZqU6aj55Vp5+z6yRfOxs
a7ug7S7MaPbf3IRSgWsqwvKc2yW8z2Rg6NohOMgegGu3TrdcVkTDATNQU4uCuVq2+eWEDlSFJBCl
VUyft6vrA3/PlTspPEpBtxWmVewPd8rykXiG+ARTbXoeqrY4HGVgBdttuEN47Txk+LyeP7v0DNqa
+5FyimQYq+3bxrAeBsinPqE7BR3R7z52qksAnvNZb5VBGuPqv96Uu3WCgeNnMA0fBDIjTi70mtK0
d8lyDf8iXWv5v8ZaryAlfeSjd+zE7L8QoDKZPr13wpjdlJjlMf+w87eehEQZ5z3NJArYJ2Mgw0/J
vrKXpMWAkKNRCe/+Y71vMK113dZIhqHCGuHvRbEYnstqZSeDgwO9uhmZQkhc8C3Qe9YZd7+ZLH1v
yYX3xK0EGMFyA5qscY3vKqAcHrCZ5xeFV1ne9p5D5f5XTg1sV4ZXgZn8T71uaIz9+QBe9E99T4oO
YTsuHuMjRtCvdpyXmRBNMct74cDEuh0qOwRh5t1bl7nPymwfoLQjx/z0ZN+uE2H5VyxMpTrClJs2
dajdJAMJ0DoSI1C8JpLVJIW2ewd+47tqXrOnO3JnRrhm0rtzPZXKbcczWIqIT+CWTZGHkxvJqpVd
dW0Vzi8YlIqGkrrKKyjrcpRh5EdVkeDGld866KRMdDhtKIVKHydDjq+30ENGI5QrvR2+HllGeaRb
S1d5oYQk5JTtx6eRexWtAo6wNVX8ZaX8NbVzIjzQguv5ecuB+Fp8nxFnDHEtMgsFgIpRkyukDEsO
TsWA61gEEtvTnfRmtzu83ViQXNnAY/WBIQIwG5ojcjXyyuUMW2j49hPAsxNtDAG2E+1Tmn/3zeUK
xTZto5T6naJi9Zyd8VsuIB6DLXJDd6L557ROhhjYqR8Q5EFdXfgpPDTJKeVECCPVLZAM2T8RiiIC
oVQ7CRPt8Jo+YOOdXIJUST+z6VTNMjcW1F4QZ5ML8904MbeFbhep9/FjUfw5gnFbzDAOi56rdrGY
wAt6mg1RaXSwqWOydYk3WqV2YXYfuXVBYvQionCWzN7m/Zn8dDEz3WRqTHLN8E02oKR4G2Btd1Rf
gYDkgtWCla47DIPYHDQGD+PkLdg8TcOKQzfSu1CiIfyjaWYb5iiGIJmuicIW04YcMru/j0eRyG8i
POxwSQg71snHtcDJR5fe5FitJiFWCMV1MJ7cJcSZVb1Btdr1XfQipXU+Md6hVhoe+pWQ4NS44wF3
xTENVYhT71kc4gfLqPOGG64N+/0A359k+uO8waABMHG99oZyVw0oo1TtdIpRdME9QKm5VyNyZQKJ
w7iXz8i1hgaFtVBIS6BI+sFLqAnke4EKwxbSiaEz8e3b6Gv869Z/HJzg0vN2xIrbIWIiNEebcfgj
Dy9sb4i0c8lw38wCsJFJdf+56WVUGnxi7F4pvoGmOigpmWo7H6Dnv6C5S1j2wieS0UdGjbNnm5v0
YMCXr6uAEAd/RlISxTk0utV1YRQItFItd6W6oAnUeVSjirqhCP0nul1AkYRGbUbCV1wsBZia436m
IPYcD9disc+5yCnm7PBVFNvLod7DnVTdaW3Sgxen194SnPX6QeZsu+RFRKsdTiWDlfWgr78TI+5x
FFHAV3+oEAUT3DGcr558GwD/GHkbiLOcWi/qQeVNhWkdz5xOjshlAGgFeIZfRs6rQYqauofFUQQ+
YcJSHMNd2vnYAuEOD8NBKty5XyDv1drRaZ9s0ShWvTrxgn3ZrlbLVdAO2dP1VeQOajLgL4oqyE98
3lzsjAeLSX54SaW3IFs2KXLiIIcQnDWQwy6q2NQ9S101Bly36swhcAomk93CumG0IHNKI/KHwAd4
i3lkJF29z6ptAJHLeHQHtAHRLV+GmUjlMj19mVE7vmJEhIf6QYkdV8WKblC7X+3n1A/5gTvvHJwq
F/YYY6T8kCc+NpPhEmw34P03xPZvclO1ppusVIQx5f/s2AKdGlAWRstz1azIAWNK0A93NixIDpJY
i0Zc0ZPvOf6GmMXE2jWYUP2+Dq1TJwpyxwW7QJmfrynF0TvK+MY7tsvzYn/X6bmzHl5GExSLPZ5J
SUV3k7MHFMmXav4d1KV09SIRtlRRriWgkJJol8TMgb7bhKVn3EqdJ7+85pKWk+KUsEYbldJ1jRkI
0xUy0SjEKWI+4gZFTUuAsPXOHCIgkItAcir7l4DfzcN5d0FC608DpyVTBUhFWHpuNgZIkai/Tn4W
cxHxkqE2HAObzVn/Rk+IY4EUFPSLMPyoXCFLTRilRrLupS44U9hVM4xu9hPK+Yr77bDSxgj93CDY
T8OH5bkJzO51UssxMYKRksREws5q3cscRLFugGLm7TZuYeRbEVa5YcUhCWCVqszQB9FOEln67JZL
73XuDBeRkaN//KrkG+EudaHz03HMRf8O9FWdN2bWYgnZkndW0SAue2w5znUIqQxt6da4sCJ43wtA
h6abIAZ4OpMg/qkGSH1X5DuMlssXGnHYPJ3bm5mZ0ErM7MxgfsSFC4UIbyJ1HzX1gMZhOyGdp9gW
aNxnjXn7WJADb0prnt3jurzcbhQoWtVyL8MbxLrx/CAAwxsnOrdNhHuj9vqCnaYML5TudLeRuD/o
FHFjXz3CX20eznKePaPsZQMkdAopQeyq4+6AbER5bislIldR9fJuXRxSHuNUkK9q1S2Qeof0fVoe
zLcsI+yiImc5955h6R8znYDUbovUkOy3l2QBK9iwPDJZ7CQKLy6WuBbucX4KGwtUMhHickGlLc0w
xYmT070rY9pzgv3z9bo4aqTj+al4aH6laQGk/Ts8nnlfDHTWYgsueUn1Q0gBnN66lJELSnNd4iSj
UKzaY1AlrhRkKT2e3pvNm+DAKDr1sTFAS7Xi121ElaqwxH/Fg+KVSeAWHwL9zD81A100R7Txv+PT
GFM0datN/RfWMWDKacNT7op8GF+1Psphp594fon738qlnFpb9puD1hUdohzNcZttts/zPMYQ5C5r
aUiioT5dfeamkNZqbYLPwI6PhoU3KqExS74OIuSxgNJc1b/YX+nyp9iozg4ouh8Wbpu+XefsDUA6
pPiIIuuumHMTrDhzlR/EKkUoUu7VEee2kndw1i3XXZd1Gw01yn2t+vj10r+JuppvvWqLj0VxO5nR
VnyZUkCwvNqCiZ4GJZahEsI5MBvi3H9GpoaiddpvgOEarUaxSiJBHRcW7xI0drdJAPcjUBh3ZaPU
/d+2YtztZQiM/DnZ/MxzqMiVd20Y6uU1e/tRUZcPcC+cOvCZK/uBHpEs7dhJ0FTQGBrPv68o7iEf
QM1JkOeXxAABzXFnjUBKt4QEBdN5HSaOdDZ8lrKQzUU5brHPYPBNYZr4MMIOQK+UBcN1ia0M3a/9
dcopL8DaYFJm1hnpWLyGxwqTWqivPviHRVZmpO5jQBlGvrsoNX1YXU+sfxtOoPIEUhFgAnNchFYl
lbiDHCrAaVGkpsKJqn6DNIiv4z35AJtYp5dzCEu3jQK5LzpwfCu485Q8USXRQrAcLFmq3q4HtZpw
lYUvEDgzYyoMEpHwZjjnVEvN9q09tnooi2fkKYxnFBEkrLjOEPSzQNL0KyfKJM3pzR/MlSYM5avb
sx+0DloJeEMA4fDytimyU+9lUSWvZXQ3Mc6P2XpvGoUA7+nNps2gUYfiEJMD0vu2yWmID+MMPzPI
hzlHVckWbhVQTnjbTUyTywzz34P+rZYgivlKlt1rdg5GWY87Mmi1k0o9QYRnWSCQm/PgXhRP77XK
QF+rQ0wHkhCG7JMj5LgzUkw9yLTWuct59Dk/GFkILD6QTmO94qzQyx6F7BzKXrr9UCoXRBI62lZQ
R0X6eirZr9bEjK9H3fE/Z09o7KgzaUTbrvBRRo7r1SmMYKJFFwN1EWcB973/PGcPTbapx0INfPbM
Zjdm74jKGBU/2dJ94zpM/nsskWJgjzT4MtGUa+R+DJm74KOy5hkU7xhm/qaNDdcDvr6cX8S5IkDo
Uu7Icu9GD05JuCy4E4GBjhGBzbJUiJJqTkFPLPoETH2x9R41pNe2w440o+7AH0hiPaZssHMAuJvY
b8WQ29StQLCdv+JorQAwT/9WbXwlNAJEXJ/NLkYd8U3syI5rT+3hhbvpJXFmp8CakcG2y0ZeP5u4
g8yzwbhAnwFBdTMyWHrO4fGIXEJFEHT4WjplDETr4sV42TDYlcJY35ILEO/IwkCuxtRmDw3OfOxQ
X4LPbrcD8k0Iw09rD772uIOE22QCwx+Wz6ubkEgRUWwxgP10UwaXNjLb9+nQbI0m71yPUhy0VLba
DL4dJWF2Y9O3RHUVK+yRQz8f7McPUDYRUIvcFu+niy0NiKaBA2h6cit5Za6Cvhp+TAtkHsykG/2e
OoD2eRgpIkV/DTVgWbHcT9/ZQQLK31sIIvZkou8Wqhw1yOycLdMB6ILJvWUcdhDTO0epY1ZVnb9X
T7Kq0aeFhf+0hwhvE7xrHN5b6UQKIwP1EpVXLM3U0U9ksYOJvAwZIsMP1OAcNgwkz57VMHyBOcfP
iFuXUE8hCx4DE8sJMM2tU+FqXOnsnj799C0ocORdMPv+RS6Mad5r12yWvCN6P1oTKc6f7jMP/TEg
gKqls7radDqYphA8ZT6AorOtq+MdH6gcszDrGGEbtr62IjbnZ3idaohqQjEqECZniiQaQHxmIq2K
XqyUfGiczAmwodrIfmQO6U24FEVw0W2ZS3/4RutTeeUa6vCF8lcRY+QO1JTIr50Vn9eoxHnWo4X7
Gf35Ruor0ricVzi+Ka2aIi9WM1851T2btxEMfDvkgMyahonzoahTNqWzVe24833w2Nj+KtVCQQc8
5PYrfHFGy52AZR42Y7MycSfknJ5JD3F7yPsaKT/gb+8yyA4abl7b9Gnbk7hRGAgFywM9Ckv7UPI8
52wGbaR/VPth3G0+FS+0QPJuVJYeXFcysWFulonx8/bxC1NJTXOefthpOm7AZckJbKZAN7U5WsgU
MkZod3uxqHw+XVmMd+7NBvZQLUPA3+J2zsQSLafGSVOMED9JywQAtI2iHr8DhTM8qoxNZh3hpQIT
enRd4Urn1625hG06l9jOizAhlZg55uFUNbiYUJ8cS5ObWUrjN2Z55PgoVrDhJOIAlQsqHI0SFH6J
W+Z4f+4AwbdcS+BppNdm05DoSSI3bTYvZzNBzpOfc42NIHtIJ/fo1YKWqki9OvE3lZpf/cBN/8LR
GH3blWPPDbiGjKY5Coacpq/GYpCA/SJXpp4L+J8e+eY2d7BlHNQi4vVjFnsuDC1tivliRKVfDlMW
m32wANqHAnyGqpQycKE5SalBM5X3kKPsoKQkT7v8VZXDccqphG+0BeoeVh1zP0LQVRQmHVy+gpz4
mKpDA2Pk3C+P7qooj0Pr/HMTc9BRrWJxP+ZFuurr8U8KYP9HvTq7U/MZytr1Otg7EIGNaEakAOYY
H/+4v36mb1knKN7uPNmcob1RHWsdy1H6/bvE/IPhaYXcEiRVjLmZYrDwRNxnZLznXxPQ8vr1TY3i
vYRiED+8CbB7W+AgbpzeBvQ9ge1KIc+u7L/ZWsI9sck3Bgs4em5UsvBj0SbPX4nLi9VBRzg2Jhvk
sElZcduiuVaA3wBw7ShHRY0G28oiuy3be5Pau2ld0t45dfMTvku34OvtfnOV0P/JwvUmfppQCL+t
OLytlXIgzoJk/tABoim10MF8xHQEGA3AnRzPgDN0k/xp80CYntn6eC+4UYpXj7lqBqdnp5XPH70k
0GHamsBxl5vZdrkfLYlzoT4B2aB0d87sMax6b5815fvMNw4rB/Gs1J7NAZloDNuDVNVDHopp9ahP
nnauesvUyHtqlyUV2Su4PZvht//XKylE5rozmNhvBR8FWzZpqpDIOu0swRtB57zAPVwkJZB4QqAO
KKdBQTWsGmt6bMX07uWuxR+FbEJ5DUbBy/nqvSCiQP4U91a94xUzpyCvucgL5LvWG06SbQ2TAFOQ
bzytjtpDlc+CttUUOeUbFY6p8Vj3/54F0Sek5aeoQ9rs/QExZhEqf/06kUuv0Q2UmlOPN0kgodt6
Bh/Di42e137sVsPnMOAclDkXDvZMAQu/NAnpf3SjyjlCHmYK2VSdlNEoG/RGOGkP8Iw3jkH7FgAn
YCtX1qE6sUSHTLGnU906jT2W0wsIRhoOHydbxIx3At07U+HJ/85EREM/N0Wrbf7jhOah/yyXrJFT
0sEWukTDHob/uunlvHRed31qZe14P76fxjGj4SjUDlRJ7Zzh2MneLuxudeIyRZ+sAw1JAq0Rt/qI
796NWWQOf1pTsQH3B2x4V8nKcmz2bMDRgVGX1E8VesVMDILP/n80Lq2Psm+0KjinHEpPCEdH6ADm
LmbS/A8VyARzIcHNDFJhNCY2Kpd7A0h+1KOin16VeEvHYtK09xt/UBKRaSNHOYzVBpxXhPak6dQX
OnlqQ1h+moQon9VLFoLqitSv/36BwQ2CqD7w2M8RoAfK9/1Wr5qmysDsJxG4FCMgU2nlyJoL9Z4X
fRj+DfAvh/20pJHsu+9CAyfFFWCS96Ai6zALP2taHLFYB86XsyBSlpOoXqM++HCPPq1P4cfhhrj0
B3a+x7rHb8jyzFua+x53Xn7ZaED0ZQllGwd3U2BRc2O4wYwWLYdDBtk70jG9XP9siktLe2rp50/8
6ITLIFuRZU45FbU/L2kxGB7q439xB3EU3ABiNuYWkPrRyG/t5ySQl8pKHnywghfT4xgv1VPVT4+N
Nu/Mkq7SgO9BR+2nl+AaRQ78MlBLy5mZY0SPAzOlRAb8mSkQiDZatIGsm1P0TE3Fjcw187Ra7so0
81kbJCufRKGGi9Q9NnPVkWzRFERIj7lJbV4VBkawS8+THlnS4mtwkp/8HsiiaA+kbjXshyV9/+4Q
DB1BgTi9UWyCdtngL5+BMZ4otvTErAAHCVZH8ODnVsd7OLGFwoGyTg9dZlYN4aJFfwYhEVTm+FBi
37skKcosgoJBKyYnp6MVoq3hX/dB8Jfh+nfQEpyf9il2yi2/XriOmuy69MHUirnEFkiziZ6Zp/x1
Ss9GWZXuPKziPXnX4lZTM57Ehv/sX+zeHNUtyPV1QMkzqFcaI0TFMjlZARhmqno2QmPZNdvZtei6
DobBbdnuwpUaPzoW5psHeTIGsJGwVJH8dJp4XTng/ds8WMOzQSLe/GXBXfXZMHvM3ild/6krHQEW
r8bHkWIKpGZXpX21OynbQ8Q2hJp7gEStG+PFogj0HgDALfSe9JY/fXNRsZDPpPG/Lbj47xauPTxw
I25020gpI3w8EOuH41dcMPO88AKnSfcs3m85wMd/vpipnwQ1YophYWJ+hhFHr+eVVy/JAtXp6vPx
44FNeH8PdI/BXELbbLyhMU3zjZFkts1ZuBzRoaSligavKQ+5E9QOvzjBtLX8ztgX+ijiatr+MnJf
S3UeXdSwp0d6UzoDf5H6NnfBjglUXTXYYIne63iZgloV4LOb+dALhz6oIFk9amDNDKlx3gtprO6Z
jko9lMqKxSLKjphR4g8zzHweNlX1IL687N0TBNlMMvZQO5P6xKpQUN9ZC53ens+b2q+fjuf1RMFv
6T9cxEmJIDdVi8WBl9Cbs3X2K2UAl40G9Eu/R1LM4E1i+yCg6Xk7SIF5/8JOC1BzSoFnfbby5fMI
dU4w5Fto/l15gSeR+wBwda+DZfQEj79u2TArQ3BsdfTSuMhaYD646hEpaA2VxRmtex6FeVJKQsjL
rGqpHlmfZrR1E6Rk8zAaTJ/zK9N4BwV81MSWSLD33YtZ7KPdrv7SrjrUZHgt4oIHLey3m8om4App
aMITDerPWn6pCcIU3SlRAIXVtlJ9bbxKHo9WO0XxhOtmI7PuZ1dezCGOG/RfZOzZs2ZslKcUPkj0
QWBwXL4JT4ZbSEmlUi+CCBWMNcpaT2vxJHrDDlov/JhTys77vludL210bfH7FGtUMtDWotE/zsNV
H/UeqnlILhiM4SxPVeq/JAVBDzjtXhh9DynspJDJCrgLVON7vvKp4S1XMWxkAUNLU7u03xjxTIPh
YlEt5VaaKIFOND/qstDam/XVM703Fpy1nlKlNZYm0NnXTT+xaCU7MWyXr/wCxT0hU7Wa4WKq2UbF
syme7BZo3oS8GJHgIeBBfER8AvINH/i6fWvq8QwhlEOFtt1mPOK7TIMQHHk3Z29shqtpe2j+NpmS
1Lb0YbAJIAidzgj0EnPdQSMKaitBZhb/oa8RexbxEGd08mRTElA+XdIryGZis0vZO4/grSRrzfr/
Q+wxBGjM6QCKqJxGQNPfKMZpN5DkAthNlaV0J6DD6roufklOnSUxnCA5fwsVW+Gt6K1Z/cdR9t7f
J8vbB9ns1oj7ItFQkNE6kUqmVSOFyXFOHgGVx9ziOV27XtlKiRmGgKXHmILBVJ0CLJe2/RjCcwV9
HSXtHWURjE8VuHaHT2Hn/N09YvGIudq+RtGhr0fAPA1RRKMiLXItvq4GEwx00tFICrQ4lemXW7Ao
qL8kN1b0g22TFpZ7LFBPlEsfVi/6HggfAUUwerhbuJyk+eHcYm66VAy6MerS05J30hYk8x6xBRpT
XIAeFZuPlrXtV8Mf57CIPe+hR0Th7Us09LFWsegyrAmYPzb1HULgI2gLHxpXNuMnv4N6tBWiGlAu
P47/pz6YRO1MaEzqoN/cz09kh9Ok0scrRDpVop+BVGoumG9+d8bLEtjKJcLhV8RE/MRGXv/MknYn
wAYPnrNCX2lsi9D1Os7BSvomJTx/vOPbXqObh+BB769dnQsXznhaDBj7qkydQVnQAna3NM6ho+5l
fMsi2t6zonDEhQQeisawG3q9767s08L1StukHa3Z/ny83QP1n0xtuvR9MrRh0WXwZs6Y1UQMtnKQ
8jpevcH1uZFo/VGgX7MDkx+yHgjXZDb/1Soh/gcIAiTiTamboGNohB1mUefoi1cAp3DY4liqiTEs
cZOH6eXhqD3rE5HU3IJW4dtoLIHdouypZA/q7OBcCEv4qMhphDsaCQYSwBLXKhq2/I9LfoaX0FmB
JXdF6LNe+Nwzx5PN3aJATyThdyyhnUetAYjWqxR9V3ko0IlF2LqbjhU7fnFfzx23DNMNaO1pVTq3
tbn8YXYoY1/h75cLfq/K4tr7F3zHaVyo8Nid2/v1jFwALAs3DhonEEA1U8kxG+FXm3GISAC2vkOO
ZzQ6vIePuf1Uyni6xKCLSJRiWquXZzWbFju5XAurJnBJ9i0NyBqWc6U5MwTMJfLC3dgOK+38G0V9
xJWEbd5A94b0ro+uCDws7vZi/P66rTLaj3U2X1EaPLCSyz/hcQe/5trRCGjgZmK4pxmQODtx2rJw
fpyGrsTUl/pWfDqRTpRQuNFnodNf8JKDzbg1H8HGJmf0AQJAk10s7SmoYAr4k0jr/4sx1R4bj3wG
kdUolMhgRzaWuXzms1/iKJ6QK9DH6ElEQWSsxc614ClZm+dv1/lWP/imO0LimOMXYCk423XhJaFG
yhYjSW3rTuukceYQk2aFv3Ul1HRSW44WCb72ma631+jjSIi5yyxSN8v5s+y7jKVUdpNPaTtvNNJ8
XDQGErlXOLChPPfhbEviL8zYnaBQZKwZrNyVVoV/SzYEyeO8HeyYhnyIRDXO/i+VVGGZClCBOrUm
WVTzuzM9yXKcccne7a/L7dsJlwtm9HiGwuovaq82a8Zx4GjBHG15kk7LVdvXF5DCgB3P5phB6Xgz
sluHL9VdKlsQfPSUYN2wrtXaY4+DdAr3+UyVFC+z6XsJXds9AyIqMLH2XdG6nSI7czVGRLOVCMgH
kSjbyx2XTHTvnZ+ClS/JP/CtioJBER5iSCOB4knSld2Vh3OQsrK8A0x4qXshc5NXihZv8JHql5UK
CFUQ0fnCtKg3xhr8x5NJtTBTvXfS2+E935JrVWbfVl0jww17qfeo3rqFa3vcakgsJruJsk6g/LfW
4bOnQr+6aqmMiL/49tpIOxHo7LeClqZlazIhBtQsrDJmaca1S6s8u7a3WyRrf8dwyJVaZBKnp5MJ
+UdefeVEJLlg5yeaWQb3WWlKQlodtdV0FaErbqq2Fpv+iZX0TSQAavzEgdPPLQUQQbHZU17SEiVR
HuF5E5jST1ElAIW3muHbBT0GjtNiWAVkvfIcvjRhAIj3GZuxsBcZjSPRUyPoVGcz/j0aZjEEKEKP
KAqt7Qkjqh0/isoTAodwdFVe2xsZlMtv7p+8o1bEVS+as8vUV2eoGEXw7Es3GfjNBVZfeXl4H0XF
DGwUpRaXkvWudYItEOysAkJLbhSmtJECCQnU0wfnQtfQQNqML2cy/aL7h/TUmtZLrz5g6bI2sGor
1HToGnN+lPO1YESw2jGatFxKRHnJyd0/u0w7Ai/dMeZOhVSqdatlcQQi8mBYfBRceKQ0uyDbxOrM
tWflHh0pTNCWX9QxTwdojU05IXiATR8RUIeMcPxWRX35BpgoUsij8DnevoT7OFxYeKJ8iRbxRSQr
g2eWgq3sEk6VfWBAKnrzCITB7I1NRq6c1ehcFJqTmjo49xZ6km0ZQmbIqkgTr6h5e4mohe122G1o
krqIB+vh41apnURNdmz9kv66YzJBTScNUgSdVDF6uTb3H9L1As6Qn3HtxMgMENQahFJNUSA6XlzO
DliJiS/E1zZHH3q97JmRnlK9w1kP/Ya2jJjOAdwW5oosg+Af7/slZFITmZ+DvrD9oIvVB0vNf4Ni
EuDuolPisbm/i70Atb/6iLRx4SuTndWOrNKjPNvSc2PHniJ3jCQUjfY4xkKxley5SVcfRYMQ7J0a
Mbk4CHpCGACsnnxCZyqll3UX0wYNSlAQXN5TGh0imz4TfHbcTzPf584CLNXRoKxyeRNqty9YHVd0
HT8hMjj3SX9AhvnVWZm1obGMbiLbveYs0kEWqE/jlwJ3W2CbT5PTlm9+NUckEIu6YdRkeNPlAmOV
CEnEchvDbTVE0/tlAiydzuQFdSsL22bj1ZUyo33ORzXz1v61W2fqLC2AGmjhuUPIooWWM1sM5hcd
7+sXU1HXYO9i+lYVvNdj53RY/iLkxgwyugx2bPE7uY7lM4WMc7TAlwAeal1rXO7Klfd5sOimJbNq
s9j1vVF/ZRErwyNubY81NHas4tvw0l1iDXo1Up5f91OVCsfeXfIfjvv3GII0y8Pw4u1t2APSociW
tpZA2B2VC0O8y5Mkfdt74i/5ZEf3qYZQdSG0WOwL1srGnReIypeZHbWbkMTb3mibPSD8RISe8PMi
gg57/+1UbLCADVs5ADIg98QDYZpimQ62Vq0ACwy0dEJcc8/Kn7rrPexmLfA6ABoD1+qGd1myMacc
06brMUctFBZnWt5mogDqHWY4GO0MisSMHx8jnTjcisagGBBto1BjTM7IEzqMZTNoQO80Ulacdh6c
u7DVB5LVFEMqdmnh3dbZaJy6KIpa8pve5jvlSP97dDXoO6+qEZUcbi92YZ3xZomeELQsJQx1bnCw
lREzR36B5TFjSjZG9gbqDk57UeMzbci4v/RVWHVNUblo3NJP+rpYiFXnjTCxVqfHdyoZFvX119nM
yaKm/3K4ftfm1KJb9fUgkUX1l+tCCaihhp8X2gk6SuMk6NY1Y2yyU3F2LSqf/tlN+kvbRt35BUkX
EuuMF3CXYmc5qMzNQE28pM/q1C1QHg8Bypxd80OSHQEH+QKzBZzwcYrR7CRtUD3jWc/fx0svxcmE
OJEwn0HK7RVrDVHp2hWeoM/hFbjztg7MwLas22DmrBTA+BFnBuw9VVIEz3o7VvgUOCJU+eewdLtx
hkL36YFstt9xAaIGYSirQs+FLiMee/WcJkdpFiHbuaUhZoD9jAn//DzE54iXUbKKbq7Rq2Rl90XL
xwt5740dCgcDQaxxAAnNSLAbRQCHMikf6xLN0adunjCvut6473kef/e7GK6tbj4V7e7B4PsWpCXH
zTur/OZPlYfZOZ7UgNoQ/LHVQegp0SuKVk40q6rrog8QXcwjLHmJakg6PMYX+Xu7QhZvdi+UFFOC
mW0QLsFJGK4jzq0OfKHQ5w6jnRvBmjNx/SZnOIdqINNt4KmO8kfVFt7kflsKQ6wRKfKLhIRINVmm
pRs5Sc0WojaHKDaPF7mFz9zg/JdtxW8rwSw8w20b726BIvtBJgLfihNekNQbeglVOKz48B4TGh2z
aAQjMRAumPhy4i8YLkZ4q1s+AmS1olensVuMbwlPG4liFo5RD4ciQkE9+pBJQZKKPWmAWUwlX5jp
g7i5zHpvQgIMjwqL1fWb4FN8RPuPiZ9x9Ku9+5mA+8Wy1dcC394JZDDzmW4xABYqkVNt/MdY8xPk
ZqJypIXtSOTWqWnqTFczmrzaw2V4SLt7mYxzppnPtFReiyGtk+moWSHgY0C6KZoQsuqhsfGrrwX6
YJ7W7oKf5Hec5MF21CuFUkkOBEvt1dfbmWoNlCiu35mi8TaQGyvkdXJeALvHkyLqgiNkq1F5nShW
Aak8tuZOTsLH3WLOhLEMRw06YVS6rQXaSCfxqMYOlh/Y8OqFCFpLJGDcnCrIfgtBs5aiKiQZwkpA
LkOiHKFdrhGlypBPAgHy9pTegmkN0EpLNz46XiThVBzzSDSOEJ2cIYApns1y5USByopv4Xj97Sfi
5koakOEx0oaVEZQPO4FwdlMPKgWfnMvXG/dYv1LH3XX9M0KvaWSodTcKIPj8iP7oFD2o6xea3/NK
zrd/QQELrY7ZeXPH999L2QuwDH2SUBPMbf1hwqGE/JnwENXRseekC+hDEtvnf9jnE1ZD7INejp3I
Nb8HK/ogWfmrcBNQfkdZMrMpZvTQKbY2H/ShrPL+Tw/Cu6CGpEGNuTLqvbwczphVMXhypGaaw43Z
2PAOIzGw9vJ4yV8gJJJfYGKdUFDZHDUCuxCmQ2gdjpEZ/+iJDMRkQiGgP+OG6WC1cswMlqvlhqB2
VpBM1HZjUafXtuYJrt32+J1Btad3lnawcJShkMUKcMp1Veor0aLfusVB/5BgTZDo+rS/wm9/0V4d
LlNJGzbuziw+2ZnQ1yBc49J0pM0C8Hc2eElFXbE0dnTQd406DPaQEkJcGKwUy6iGT+5rlbNHW6Or
9bMR1sYVoY2mgRxOAMIMq4+KpHRbr80UrLPS7ZPLZnPBN+Bu9e6t+3u/nrhfNJBBkFYWeZTjnSro
fU8qYTM1a49uIscPUw0T/CmUXuldMe6q3lBbuuljl94RrEFFAE0OBH3JAwwJgfeOO0OaJ8T11+we
tqqhjg12YcylFmFpePphT2FSAO9ytt74aLuGknkDw7DjrULuzFUol5rhaI4jD8rsHeZ2E0OmLjyx
vk787bnPksgXvDINfGD8zCovntHl5Mt/+6yq9o7V7knYj1SvTHk8ChmYmefEDmVksM/geo1TQJXS
V3aidMkslTKc9e/UVZFVkwBy9KVnHCFTIWOzkryNW625sQvK/xiTGw57ZOmA1QFtnTQA3K5mFPbf
gQ1EaQe2xWeURB7WW9SUGw2yHauTDfehBsnY1069K3pSTYPqsqmNPHgUiVyMkvPii/Q/7zY6SRZ0
xS2z0pw8+YiW+wACfC/h83kct7LWG8SXHYEeu++De+J2uBs9IRMDc9RzsoE7pUExLBzsrN4DfUA6
LA2jORzVGZCizYghMsLjE/TakUgLT2Y5hfBwU6dC0iYFbfhoCvX7yceQ/nQUBSbaq0EjNT+eSuN4
SwBAO4ta2GsSEiCMLIBCCWH/OZguuBZHC0szKHWn0CEcZ6glCKf0ZyraYKQLUAxYU4jsamhLGDxJ
fxI=
`protect end_protected
| gpl-2.0 | 0e96ca9f238d364fe7eb84306973a8c0 | 0.95314 | 1.818523 | false | false | false | false |
UVVM/uvvm_vvc_framework | uvvm_util/src/adaptations_pkg.vhd | 1 | 16,514 | --========================================================================================================================
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
-- contact Bitvis AS <[email protected]>.
--
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
--========================================================================================================================
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.types_pkg.all;
package adaptations_pkg is
constant C_ALERT_FILE_NAME : string := "_Alert.txt";
constant C_LOG_FILE_NAME : string := "_Log.txt";
constant C_SHOW_UVVM_UTILITY_LIBRARY_INFO : boolean := true; -- Set this to false when you no longer need the initial info
constant C_SHOW_UVVM_UTILITY_LIBRARY_RELEASE_INFO : boolean := true; -- Set this to false when you no longer need the release info
-------------------------------------------------------------------------------
-- Log format
-------------------------------------------------------------------------------
--UVVM: [<ID>] <time> <Scope> Msg
--PPPPPPPPIIIIII TTTTTTTT SSSSSSSSSSSSSS MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM
constant C_LOG_PREFIX : string := "UVVM: "; -- Note: ': ' is recommended as final characters
constant C_LOG_PREFIX_WIDTH : natural := C_LOG_PREFIX'length;
constant C_LOG_MSG_ID_WIDTH : natural := 24;
constant C_LOG_TIME_WIDTH : natural := 16; -- 3 chars used for unit eg. " ns"
constant C_LOG_TIME_BASE : time := ns; -- Unit in which time is shown in log (ns | ps)
constant C_LOG_TIME_DECIMALS : natural := 1; -- Decimals to show for given C_LOG_TIME_BASE
constant C_LOG_SCOPE_WIDTH : natural := 30;
constant C_LOG_LINE_WIDTH : natural := 175;
constant C_LOG_INFO_WIDTH : natural := C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH;
constant C_USE_BACKSLASH_N_AS_LF : boolean := true; -- If true interprets '\n' as Line feed
constant C_USE_BACKSLASH_R_AS_LF : boolean := true; -- If true, inserts an empty line if '\r'
-- is the first character of the string.
-- All others '\r' will be printed as is.
constant C_SINGLE_LINE_ALERT : boolean := false; -- If true prints alerts on a single line.
constant C_SINGLE_LINE_LOG : boolean := false; -- If true prints log messages on a single line.
constant C_TB_SCOPE_DEFAULT : string := "TB seq."; -- Default scope in test sequencer
constant C_LOG_TIME_TRUNC_WARNING : boolean := true; -- Yields a single TB_WARNING if time stamp truncated. Otherwise none
constant C_SHOW_LOG_ID : boolean := true; -- This constant has replaced the global_show_log_id
constant C_SHOW_LOG_SCOPE : boolean := true; -- This constant has replaced the global_show_log_scope
constant C_WARNING_ON_LOG_ALERT_FILE_RUNTIME_RENAME : boolean := false;
constant C_USE_STD_STOP_ON_ALERT_STOP_LIMIT : boolean := true; -- true: break using std.env.stop, false: break using failure
shared variable shared_default_log_destination : t_log_destination := CONSOLE_AND_LOG;
-------------------------------------------------------------------------------
-- Verbosity control
-- NOTE: Do not enter new IDs without proper evaluation:
-- 1. Is it - or could it be covered by an existing ID
-- 2. Could it be combined with other needs for a more general new ID
-- Feel free to suggest new ID for future versions of UVVM Utility Library ([email protected])
-------------------------------------------------------------------------------
type t_msg_id is (
-- Bitvis utility methods
NO_ID, -- Used as default prior to setting actual ID when transfering ID as a field in a record
ID_UTIL_BURIED, -- Used for buried log messages where msg and scope cannot be modified from outside
ID_BITVIS_DEBUG, -- Bitvis internal ID used for UVVM debugging
ID_UTIL_SETUP, -- Used for Utility setup
ID_LOG_MSG_CTRL, -- Used inside Utility library only - when enabling/disabling msg IDs.
ID_ALERT_CTRL, -- Used inside Utility library only - when setting IGNORE or REGARD on various alerts.
ID_NEVER, -- Used for avoiding log entry. Cannot be enabled.
ID_FINISH_OR_STOP, -- Used when terminating the complete simulation - independent of why
ID_CLOCK_GEN, -- Used for logging when clock generators are enabled or disabled
ID_GEN_PULSE, -- Used for logging when a gen_pulse procedure starts pulsing a signal
ID_BLOCKING, -- Used for logging when using synchronisation flags
-- General
ID_POS_ACK, -- To write a positive acknowledge on a check
-- Directly inside test sequencers
ID_LOG_HDR, -- ONLY allowed in test sequencer, Log section headers
ID_LOG_HDR_LARGE, -- ONLY allowed in test sequencer, Large log section headers
ID_LOG_HDR_XL, -- ONLY allowed in test sequencer, Extra large log section headers
ID_SEQUENCER, -- ONLY allowed in test sequencer, Normal log (not log headers)
ID_SEQUENCER_SUB, -- ONLY allowed in test sequencer, Subprograms defined in sequencer
-- BFMs
ID_BFM, -- Used inside a BFM (to log BFM access)
ID_BFM_WAIT, -- Used inside a BFM to indicate that it is waiting for something (e.g. for ready)
ID_BFM_POLL, -- Used inside a BFM when polling until reading a given value. I.e. to show all reads until expected value found (e.g. for sbi_poll_until())
ID_BFM_POLL_SUMMARY, -- Used inside a BFM when showing the summary of data that has been received while waiting for expected data.
ID_TERMINATE_CMD, -- Typically used inside a loop in a procedure to end the loop (e.g. for sbi_poll_until() or any looped generation of random stimuli
-- Packet related data Ids with three levels of granularity, for differentiating between frames, packets and segments.
-- Segment Ids, finest granularity of packet data
ID_SEGMENT_INITIATE, -- Notify that a packet is about to be transmitted or received
ID_SEGMENT_COMPLETE, -- Notify that a packet has been transmitted or received
ID_SEGMENT_HDR, -- AS ID_SEGMENT_COMPLETE, but also writes header info
ID_SEGMENT_DATA, -- AS ID_SEGMENT_COMPLETE, but also writes packet data (could be huge)
-- Packet Ids, medium granularity of packet data
ID_PACKET_INITIATE, -- Notify that a packet is about to be transmitted or received
ID_PACKET_COMPLETE, -- Notify that a packet has been transmitted or received
ID_PACKET_HDR, -- AS ID_PACKET_COMPLETED, but also writes header info
ID_PACKET_DATA, -- AS ID_PACKET_COMPLETED, but also writes packet data (could be huge)
-- Frame Ids, roughest granularity of packet data
ID_FRAME_INITIATE, -- Notify that a packet is about to be transmitted or received
ID_FRAME_COMPLETE, -- Notify that a packet has been transmitted or received
ID_FRAME_HDR, -- AS ID_FRAME_COMPLETE, but also writes header info
ID_FRAME_DATA, -- AS ID_FRAME_COMPLETE, but also writes packet data (could be huge)
-- OSVVM Ids
ID_COVERAGE_MAKEBIN, -- Log messages from MakeBin (IllegalBin/GenBin/IgnoreBin)
ID_COVERAGE_ADDBIN, -- Log messages from AddBin/AddCross
ID_COVERAGE_ICOVER, -- ICover logging, NB: Very low level debugging. Can result in large amount of data.
ID_COVERAGE_CONFIG, -- Logging of configuration in the coverage package
ID_COVERAGE_SUMMARY, -- Report logging : Summary of coverage, with both covered bins and holes
ID_COVERAGE_HOLES, -- Report logging : Holes only
-- Distributed command systems
ID_UVVM_SEND_CMD,
ID_UVVM_CMD_ACK,
ID_UVVM_CMD_RESULT,
ID_CMD_INTERPRETER, -- Message from VVC interpreter about correctly received and queued/issued command
ID_CMD_INTERPRETER_WAIT, -- Message from VVC interpreter that it is actively waiting for a command
ID_IMMEDIATE_CMD, -- Message from VVC interpreter that an IMMEDIATE command has been executed
ID_IMMEDIATE_CMD_WAIT, -- Message from VVC interpreter that an IMMEDIATE command is waiting for command to complete
ID_CMD_EXECUTOR, -- Message from VVC executor about correctly received command - prior to actual execution
ID_CMD_EXECUTOR_WAIT, -- Message from VVC executor that it is actively waiting for a command
ID_INSERTED_DELAY, -- Message from VVC executor that it is waiting a given delay
-- Distributed data
ID_UVVM_DATA_QUEUE, -- Information about UVVM data FIFO/stack (initialization, put, get, etc)
-- VVC system
ID_CONSTRUCTOR, -- Constructor message from VVCs (or other components/process when needed)
ID_CONSTRUCTOR_SUB, -- Constructor message for lower level constructor messages (like Queue-information and other limitations)
-- SB package
ID_DATA,
ID_CTRL,
-- Special purpose - Not really IDs
ALL_MESSAGES -- Applies to ALL message ID apart from ID_NEVER
);
type t_msg_id_panel is array (t_msg_id'left to t_msg_id'right) of t_enabled;
constant C_TB_MSG_ID_DEFAULT : t_msg_id := ID_SEQUENCER; -- msg ID used when calling the log method without any msg ID switch.
-- Default message Id panel to be used for all message Id panels, except:
-- - VVC message Id panels, see constant C_VVC_MSG_ID_PANEL_DEFAULT
constant C_MSG_ID_PANEL_DEFAULT : t_msg_id_panel := (
ID_NEVER => DISABLED,
ID_UTIL_BURIED => DISABLED,
ID_BITVIS_DEBUG => DISABLED,
ID_COVERAGE_MAKEBIN => DISABLED,
ID_COVERAGE_ADDBIN => DISABLED,
ID_COVERAGE_ICOVER => DISABLED,
others => ENABLED
);
-- If false, OSVVM uses the default message id panel. If true, it uses a separate message id panel.
constant C_USE_LOCAL_OSVVM_MSG_ID_PANELS : boolean := TRUE;
type t_msg_id_indent is array (t_msg_id'left to t_msg_id'right) of string(1 to 4);
constant C_MSG_ID_INDENT : t_msg_id_indent := (
ID_IMMEDIATE_CMD_WAIT => " ..",
ID_CMD_INTERPRETER => " " & NUL & NUL,
ID_CMD_INTERPRETER_WAIT => " ..",
ID_CMD_EXECUTOR => " " & NUL & NUL,
ID_CMD_EXECUTOR_WAIT => " ..",
ID_UVVM_SEND_CMD => "->" & NUL & NUL,
ID_UVVM_CMD_ACK => " ",
others => "" & NUL & NUL & NUL & NUL
);
constant C_MSG_DELIMITER : character := ''';
-------------------------------------------------------------------------
-- Alert counters
-------------------------------------------------------------------------
-- Default values. These can be overwritten in each sequencer by using
-- set_alert_attention or set_alert_stop_limit (see quick ref).
constant C_DEFAULT_ALERT_ATTENTION : t_alert_attention := (others => REGARD);
-- 0 = Never stop
constant C_DEFAULT_STOP_LIMIT : t_alert_counters := (note to manual_check => 0,
others => 1);
-------------------------------------------------------------------------
-- Hierarchical alerts
-------------------------------------------------------------------------
constant C_ENABLE_HIERARCHICAL_ALERTS : boolean := false;
constant C_BASE_HIERARCHY_LEVEL : string(1 to 5) := "Total";
constant C_EMPTY_NODE : t_hierarchy_node := (" ",
(others => (others => 0)),
(others => 0),
(others => true));
-------------------------------------------------------------------------
-- Deprecate
-------------------------------------------------------------------------
-- These values are used to indicate outdated sub-programs
constant C_DEPRECATE_SETTING : t_deprecate_setting := DEPRECATE_ONCE;
shared variable deprecated_subprogram_list : t_deprecate_list := (others=>(others => ' '));
------------------------------------------------------------------------
-- UVVM VVC Framework adaptations
------------------------------------------------------------------------
constant C_SCOPE : string := C_TB_SCOPE_DEFAULT & "(uvvm)";
signal global_show_msg_for_uvvm_cmd : boolean := true;
constant C_CMD_QUEUE_COUNT_MAX : natural := 20; -- (VVC Command queue) May be overwritten for dedicated VVC
constant C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
constant C_CMD_QUEUE_COUNT_THRESHOLD : natural := 18;
constant C_RESULT_QUEUE_COUNT_MAX : natural := 20; -- (VVC Result queue) May be overwritten for dedicated VVC
constant C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
constant C_RESULT_QUEUE_COUNT_THRESHOLD : natural := 18;
constant C_MAX_VVC_INSTANCE_NUM : natural := 20;
constant C_MAX_NUM_SEQUENCERS : natural := 10; -- Max number of sequencers
-- Maximum allowed length of VVC names
constant C_MAX_VVC_NAME_LENGTH : positive := 20;
-- Minimum width of vvc name and channel displayed in scope.
-- These combined + the length of instance + 2 (commas), cannot exceed C_LOG_SCOPE_WIDTH.
constant C_MINIMUM_CHANNEL_SCOPE_WIDTH : natural := 10;
constant C_MINIMUM_VVC_NAME_SCOPE_WIDTH : natural := 10;
constant C_TOTAL_NUMBER_OF_BITS_IN_DATA_BUFFER : natural := 2048;
constant C_NUMBER_OF_DATA_BUFFERS : natural := 10;
-- Default message Id panel intended for use in the VVCs
constant C_VVC_MSG_ID_PANEL_DEFAULT : t_msg_id_panel := (
ID_NEVER => DISABLED,
ID_UTIL_BURIED => DISABLED,
others => ENABLED
);
type t_data_source is ( -- May add more types of random ++ later
NA,
FROM_BUFFER,
RANDOM,
RANDOM_TO_BUFFER
);
type t_error_injection is ( -- May add more controlled error injection later
NA,
RANDOM_BIT_ERROR,
RANDOM_DATA_ERROR,
RANDOM_ADDRESS_ERROR
);
constant C_CMD_IDX_PREFIX : string := " [";
constant C_CMD_IDX_SUFFIX : string := "]";
type t_channel is ( -- NOTE: Add more types of channels when needed for a VVC
NA, -- When channel is not relevant
ALL_CHANNELS, -- When command shall be received by all channels
RX,
TX);
constant C_VVCT_ALL_INSTANCES, ALL_INSTANCES : integer := -2;
constant ALL_ENABLED_INSTANCES : integer := -3;
constant C_NUM_SEMAPHORE_LOCK_TRIES : natural := 500;
------------------------------------------------------------------------
-- Scoreboard adaptations
------------------------------------------------------------------------
constant C_MAX_QUEUE_INSTANCE_NUM : positive := 100; -- Maximum number of instances
constant C_SB_TAG_WIDTH : positive := 128; -- Number of characters in SB tag
constant C_SB_SOURCE_WIDTH : positive := 128; -- Number of characters in SB source element
constant C_SB_SLV_WIDTH : positive := 8; -- Width of the SLV in the predefined SLV SB
-- Default message Id panel intended for use in SB
constant C_SB_MSG_ID_PANEL_DEFAULT : t_msg_id_panel := (
ID_CTRL => ENABLED,
ID_DATA => DISABLED,
others => DISABLED
);
end package adaptations_pkg;
package body adaptations_pkg is
end package body adaptations_pkg;
| mit | 4c1c3316deeebaffe7c1b742aeb9c417 | 0.591983 | 4.38386 | false | false | false | false |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/fir_compiler_v7_1_viv_comp.vhd | 2 | 13,565 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MnV9f9UsDMs2hXop2aC3egLf0lYkhxJ8K87W10PXKB33t69mzM5h8Nb5q+WTMJPxBlIRkG7iQBB7
QiroV1+gkw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A65Axjxee3hClPEo4TUdcCu9ime7NyL7lJMAQPvTyag3VI9xjsMWZt+acNGm5NbUETSdFP9EWTt7
CpFU05efCyfFIdhyxmvUzVMSmjEPP7o22MPpU1j4FFWpiJCOKAgj2NQ6StysLTOXWw5wMrnx/JeO
ReqaQf4BQIAy1tFPEfI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Otjuv3X78NZzXoHGAtjCw0r11xII+NvthLoO4KYFPCNwZabJzKbU/qPCsP6lSA/oyE8XcSQTtZ6G
oPjPFuUq0UWrzk2d/MRVephmEfol3gDpSQ7DurJh1g3HsbzqfVCY6Q0wUMTDWMZ3azvimCMfjFuS
AETaAo+bT3atPzc+yttRgE9xRa2nOxN/JBmb+GLvM3yyCDJxFxFBw9/zltGhipqypOcSb9zeJQxH
4Il7kaOA3A527LknQSJVW68zEij5g7kvFL0RYkkFWY0H0AkLpGB/nVJ6+Tkrl/fxE20xCbsaOd+Q
p0iWk4J5lxzaWpqguGtp8wZTfj3bsVn9PX4hVA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
20nfYsKqjuHFyP0GMwGwWP4vVAVdVLrOWxJkJ49sWEz3xNKN5vdcQEaEHEPKVxLkAmxIMSIsku9/
pZ9RbMKqkAkRN25DDYG9Ri/6lUeewhV5J/ExMB538mqab/zYN+8vOvbHpRLcfaATQkm0GmA+gAa6
+iQUAVy7rbuXc1AWRKw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hhDPvNxMFNZfBH2OliGtMfyZ87wkAIXkNEVSEZjC2skwM0wHWJbzXzbMsnrthzX5uAevD0j2pddB
gWrX50xTQq/eYxI1eqGtUhIr0d9StlOSNdvzSNoUgkWI2LvMD0cXThMuct2df5O/Bc8lVrmiqgZ3
nTmhuBlXzNpVynU2628bhcer5FGmRE2enld6OXaB2nsQxg3LLE/8DOq49klT5g0Odm3YoUU+6Pf/
lvnCQkQz8dYkF231VNhpTMQB7V3o5W4aY0iNJC/RL9Rb7c+cYHy9jCT22UJuyDgJo1r+fXHv2Vr1
jlLyLhcXbdCRCvp8FZqTcVQzH/Jm4xDx8SKWpQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8304)
`protect data_block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`protect end_protected
| gpl-2.0 | cbbf5c63548c79e7ed4ef775c9c02d3e | 0.932547 | 1.868715 | false | false | false | false |