text
stringlengths
0
128k
Code for counting the number of syllables in the words in a file I have the following piece of code so far to count the number of syllables in the words in the cmudict ( CMU pronunciation dictionary). It counts the number of syllables for all the words in the dictionary. Now I need to replace cmudict with my input file and find the number of syllables for each word in the file which is printed as output. Just opening the input file in read mode does not work as dict() cannot be provided as the attribute to the file. The code is given below : from curses.ascii import isdigit from nltk.corpus import cmudict d = cmudict.dict() # get the CMU Pronouncing Dict def nsyl(word): """return the max syllable count in the case of multiple pronunciations""" return max([len([y for y in x if isdigit(y[-1])]) for x in d[word.lower()]]) w_words = dict([(w, nsyl(w)) for w in d.keys() if w[0] == 'a'or'z']) worth_abbreviating = [(k,v) for (k,v) in w_words.iteritems() if v > 3] print worth_abbreviating Can anyone please help me out? And this code is for printing words with a syllable count of more than 3. So it helps to determine the complex words based on syllable count. Not sure this will solve the whole problem, but: w_words = dict([(w, nsyl(w)) for w in d.keys() if w[0] == 'a'or'z']) should probably be w_words = dict([(w, nsyl(w)) for w in d.keys() if w[0] == 'a' or w[0] == 'z']) since if w[0] == 'a'or'z' means if (w[0] == 'a') or ('z'). The string 'z' is Truish, so the condition is always True. For example, In [36]: 'x' == 'a'or'z' Out[36]: 'z' In [37]: 'x' == 'a' or 'x'=='z' Out[37]: False No the code works fine and displays all the words( from a to z) along with their syllable count. What I need to do is do the same for an input file. In that case w_words = dict([(w, nsyl(w)) for w in d.keys() if w[0] == 'a'or'z']) could be shortened to w_words = dict([(w, nsyl(w)) for w in d.keys()]). Can you post a snippet of the contents of the input file? Oh right! It works for the shortened form too :) The input file is sample.txt which contains the following text : I am flabbergasted by your flamboyance, it is condescending on your part to deny my request while I never abnegate yours in the earnest. Your actions are both conundrumic and melodramatic. For your next errand you are not going to punch my mobile number on your cell.
Our subject is a young man of sturdy enterterprise, possessing good capabilities and a steadfast will, so that his chances for a successful career as a farmer are very promising. Mr. Kindig is a firm supporter of the Prohibition party. J~i AMES W. ROBESON, one of the most prominent and successful farmers of the county, resides on section 7, Palestine Township, ' where be owns a fine farm of 136 acres. Of him it can be said what is true of but a few, that he was born and reared in the community where he still makes his home. His birth occurred in what is now Olio Township, Jan. 19, 1837. The family is of Irish descent. Andrew Robeson, its founder in America, was a native of the Emerald Isle, but in early manhood emigrated to America, and located in North Carolina. His people were numbered among the prominent and highly respected families of his native land, and were followers of the Presbyterian faith. In North Carolina, he formed the acquaintance of a Miss Emerick, who afterwards became his wife. She was born in North Carolina, of German parentage. After her marriage with Mr. Robeson was celebrated, the young couple began their domestic life near Wellsburg, W. Va., and after the birth of their only child — James, father of our subject, removed to Kentucky, settling near Hopkinsville, where their son was reared to manhood. In his early life, James Robeson resolved to study for the ministry, and acting upon that determination became a student under the great Christian minister, B. W. Stone, one of the most eminent divines of the age. On arriving at man's estate, he was united in marriage with Jane Earl, who was born in Kentucky, and reared in the vicinity of Hopkinsville, that State. By his marriage he came into possession of a large number of slaves, but believing it contrary to the teachings of the Bible, to hold in bondage any of the human race, he liberated all but two, to whom he could not give their freedom as he could not vouch for their good behavior. Six children were bom to Mr. and Mrs. Robeson, while residing in Kentucky, and as he did not wish to rear them in the midst of slavery and its influences, he resolved to come to the North, and locate in a free State. He chose Illinois as the scene of his future labors and with his family made the trip across the country in covered wagons, camping out by the roadside at night. At length they reached Walnut Grove, then known as Ross' Point in Olio Township, where he took up his residence. The family moved into a little log cabin, which had been previously erected by one of the early settlers, and began life in this county in true pioneer style. Elder Robeson labored hard to make a home. All week long he engaged in the cultivation of his land, and on Sunday preached the gospel to the people of the community. Some years later, he went to Washington, Tazewell County, where his life was spent in a similar manner, and afterward pur chased a farm southwest of Secor, where he remained for a few years. His next place of residence was at Bowling Green, where he engaged in ministerial duties for about four years, and also engaged in the mercantile business, when he again returned to his farm near Secor. He possessed unbounded energy and great strength of purpose, which enabled him to successfully combat against the trials, hardships and difficulties incident to frontier life. He carried on agricultural pursuits until 1862, when he received and accepted a call from the church at Secor, and also engaged in pastoral duties in other places. In McLean County, he engaged in evangelical work, and his labors were very effective. He did great good for the cause, and through his instrumentality many were led to accept the doctrines which he advocated. He was a man of intelligence, possessing more than ordinary ability, and by his eloquence and earnestness of expression won many hearts. Only a few weeks prior to his death he laid aside his duties and retired to private life. His uprightness and honesty of purpose won him the confidence and love of all; of him it may be truly said that the world is better for his having lived. His loved wife, who was born March 2, 1806, died at "Her home in Secor, Feb. 6, 1876. Like her husband, she delighted in doing good and was ever ready to extend a helping hand to the poor and needy. She was a worthy member of the Christian Church, and her many acts of kindness, performed in a quiet and unostentatious manner, won her the love of the entire community, and her memory will long be enshrined in the hearts of those who knew her. The family circle of Elder Robeson and wife was completed b}' the birth of the following children : Andrew, the first born died in infancy; Polly A. was married and died at the birth of her first child; Martha A., wife of L. M. Wolley, died at Jamestown, Ark., Feb. 21, 1883, leaving six children, all grown; Betsy J. is the wife of Sanford Shortridge, a farmer residing at Mt. Zion ; Hamilton, a farmer in Cruger Township, married Phoebe Jones, I by whom he has seven living children, three sons and four daughters; James W., of this sketch, is the next in order of birth; John E., who is a sue- cessful and skillful painter, and also is proprietor of a hotel in Secor, wedded Mary S. Brown, of Olio Township, who was born and reared in Illinois; C. A. is the husband of Susan Raines, by whom he has three living children, and resides with his family in Secor; Marcus E. is a prominent teacher and attorney in Oklahoma; Albert E. was joined in wedlock with Mary McDowell, of Bates County. Mo., and died at the age of twentysix years. Three sons of the family, John, C. A. and Marcus served their country in the Rebellion, enlisting as privates in different regiments, and John was the only one wounded during the entire struggle. All the children were members of the Christian Church, and are an honor to their parents. James W. Robeson, whose name heads this sketch, lias been a life-long resident of Woodford County. His education was received in a log school-house, his father teaching part of the time, and under the parental roof he was reared to manhood. He has resided in various parts of the county, as his father was called to take different charges, and remained at home until his marriage, which took ' place in Palestine Township. Dec. 19, 1861, Miss Margaret M. Richardson becoming his wife. The lady was a daughter of James M. and Nancy Richardson, who are numbered among the early settlers of this county. Five children were born of their union, two of whom are now deceased — Minnie J. and Martha E., who died in childhood. Tnose living are T. Jay, an intelligent and promising young man. who received his education at Normal and Eureka, and is now a teacher of recognized ability in the county. He intends making the medical profession his life work, and is already pursuing a course of reading in that line; Stella K. and Nannie M. are still at home. The mother of this family, who was born in Palestine Township, Dec. 19, 1841, died at her home Feb. 7, 1888. Her death was caused by cancer,and her loss was sincerely mourned throughout the community. She was one of nature's noble women, and a sincere and faithful member of the Christian Church. Since his marriage, Mr. Robeson has followed the occupation of farming, and is one of the leading and enterprising citizens of the township. He owns a fine farm of 136 acres, upon which he has made his home since 1861. The entire amount is under a high state of cultivation, the improvements are both useful and ornamental, and the stock which he raises is of the best grades. In business enterprises, he is practical and judicious, yet entertains progressive ideas, and has been eminently successful in his labors. In political sentiment, he is a stalwart supporter of the Democratic party, and has held several official positions, including that of Supervisor and Collector. At the age of sixteen years he united with the Christian Church, and throughout life it has been his daily endeavor to live in harmony with his professions. His integrity is beyond question, and his excellence of character has won him many friends, by whom he is held in high regard. \fACOB BARINGER. who resides on section 36, Roanoke Township, is one of the most I prosperous farmers of the county, and is also numbered among its self-made men. His father, John Lewis Baringer, was a native of Stuttgart, the ' capital of Wurtemberg, German}', and married Sabina Elizabeth Tabgerusch, who was also born in the same city. They had two children, Caroline and Catherine, who were born in Germany, and with them, in 1830, they set sail for America. The ship missed its way, and for some days their whereabouts was unknown, but after more than than ten weeks from the time when they embarked, they reached America. AVhile on board the sailing vessel "Orinoco," the mother gave birth to her third child, our subject, Jacob. This was on waters bordering the United States, hence he is practically a citizen of this country. On reaching New York City, he was baptized according to the rites of the Lutheran Church, after which the family continued on their way across New Jersey to Philadelphia, Pa. A short time afterwards the father purchased a farm in Bucks County, but the enterprise proved an unprofitable one, in consequence of which he lost all his money. After nine years spent in the Keystone State, they removed to Ohio, locating on a farm near Dayton, Montgomery County, where they continued to reside until 1846, when once more resuming their westward journey, they came to Wood ford County, 111. For two years Mr. Baringer operated a rented farm, then purchased land of the Illinois Central Railroad Company, in Greene Township. After the parents settled in America, the family was increased by the birth of eight children. Among all their offspring, four besides our subject are now living — Sarah A., who married Joseph Dehm, a merchant of Havana, III.; Catherine, wife of Louis Weber, also a resident of Havana; Rebecca, wife of Milas Armstrong, who is engaged in farming in Greene Township; and Lydia L., who wedded Joseph D. Powell, also a resident of Greene Township. Those deceased are, Mary Ann; Caroline, wife of Joel Kinsser; P^lizabeth, wife of Stephen Armstrong; William II., John L. and John. Our subject was born on the 24th day of July, 1830, as above stated, on the ocean, and remained in Pennsylvania until nine years of age, when with the family he started for Ohio. Their route lay over the Alleghany Mountains, and he well remembers their pausing to rest upon the top of the ridge, and eating dinner in a beautiful spot, near where flowed a large spring of purest water. When fifteen years of age, he became a resident of Woodford County, 111. At first, to a person of his genial and social qualities, the solitude of pioneer life was not pleasing, but his home has now become to him the dearest spot on earth, and he is proud, as well he may be, of his pioneer record. Though the settlements were widely scattered, there were some novel scenes to vary the monotony. Deer were still numerous in the vicinity, and at one time he saw a large drove of forty pass near his home. Foxes and wolves were also quite numerous and afforded fine sport to those who enjoyed a hunter's life. The educational advantages, however, were quite limited. There were no graded schools, but the pupils conned their lessons in a little rude log house, such as were common in the pioneer days, with seats made of slabs, and the windows small apertures made in the logs, while an immense fire-place occupied one side of the building. Mr. Bn ringer, since his school life lias been finished, has largely supplemented the knowledge then gained, by varied and extensive reading, and is now an intelligent citizen, who keeps himself well informed on all the leading questions of the day, both political and otherwise. lie was early inured to hard labor, and from childhood was taught lessons of industry, economy and perseverance. His pioneer experience developed seif-reliance and a strength of purpose which have been of incalculable benefit to him in after life. On the 16th day of January, 1862, Mr. Baringer was united in the holy bonds of matrimony with Miss Mary Ann Cline, daughter of Philip and Annie (Arter) Cline, the former a native of Virginia, the latter of Pennsylvania. Her father was a "blacksmith by trade, and followed that occupation during the greater part of his life. The family numbered six children — Joseph, who resides in Eldorado Springs, Mo.; Samuel, who makes his home in the same place, married Miss Ann Sunderland; Sylvanus died at the age of six years; Mary A., wife of our subject, was the fourth in order of birth; Julia A. is now deceased. She was the wife of James Armstrong, and at her death left a family of seven children. Sarah J., the youngest, resides with her husband, R. S. Campbell, in San Diego County, Cal. Mrs. Baringer is a native of Muskingum County, in the Buckeye State, born Sept. 9, 1830, and was only about six years of age when her parents emigrated to Illinois, settling near Washington, Tazewell County, where she attended the public schools. She remained under the parental roof until her marriage, when the young couple began their domestic life on the farm where they still make their home. In connection with his eighty acres of land in Roanoke Township, Mr. Baringer owns 120 acres in Palestine Township, and nine acres of timberland in Greene Township, the whole aggregating 209 acres. The improvements which he has made upon his land are both useful and ornamental, and indicate the thrift and enterprise of the owner, who is recognized as one of the leading and progressive farmers of the community. His pleasant home is one of the best in the county, and with its surroundings is represented by an engraving elsewhere in this volume. It is elegantly and tastefully furnished, and would do justice to the more pretentious city residences. Refinement and an easy gracefulness are its chief characteristics, and tell more plainly than words of the cultured mind of the mistress and her appreciation of the beautiful. Both Mr. and Mrs. Baringer are members of the Christian Church of Secor, and hold a high position in the social world, where intelligence and merit are the passports to good society. Public-spirited and progressive, he has long been identified with the best interests of the community, and done all in his power to advance those enterprises which are calculated to promote the feneral welfare. The cause of education finds in him a special friend, and for sixteen years he proved an efficient and useful member of the School Board. He does not, however, care to hold public office, preferring rather to devote his entire time and attention to his home and business interests. He casts his ballot with the Democratic party, with which he has affiliated since attaining his majority. He has not only witnessed the growth of town and county, but has been an active participant in its progress and development, and has been prominently connected with its advancement since 1846. Few have longer been residents of the community, and it is with pleasure that we present this sketch of one of Woodford County's honored pioneers. UDWIG TJ ADEN, who resides at his pleasant home on section 2 in Palestine Townafc, ship, is engaged in general farming. He is one of the representative citizens of the community, and is a successful business man. He now owns a fine farm of eighty-two acres, well-improved and cultivated, with good buildings and the best grades of stock. He has there made his home since 1870, and has largely increased the value of his land by the care and labor which he has bestowed upon it. Neatness and regularity everywhere abound, and its well-tilled fields of practical and progressive ideas. Mr. Tjaden was born in Hanover, Germany, in 1834, and is a son of Heike and Schwantja (Rheinders) Tjaden, both of whom were also born in the Kingdom of Hanover, where they resided for many 3'ears. The father made agricultural pursuits his life occupation, being engaged in that business at the time of his death, which occurred in the fiftyfirst year of his age. Ten children were born of the union of this worthy couple, and after the death of her husband, Mrs. Tjaden, accompanied by three sons and three daughters, set sail for America. The brothers all became residents of Woodford County, 111., and the daughters located in Mississippi, the mother making her home with them until her death. She died at the home of one of her daughters in 1889, at the extreme old age of ninety years. Both Mr. and Mrs. Tjaden were members of the Lutheran Church, and their children have embraced the faith of that society. The subject of this notice was reared to manhood in his native land, and for two years served as a soldier in the German army prior to his emigration to America. In 1857, when twenty- three years of age, he bade good-bye to his old home and crossed the broad Atlantic to the land where his life has since been passed. The same year he located in this county, settling in Linn Township, where he engaged in farming. Subsequently, he removed to Cazenovia Township, where he pursued the same vocation, and in 1868 rented land in the township of Palestine. Two years afterwards he purchased the farm on which he now resides, and for almost nineteen years has carried on the work of improving and developing his land. After his arrival in Woodford County, Mr. Tjaden formed the acquaintance of Mrs. Hannah (Stitt) Pickerell, a sister of Samuel Stitt, in whose sketch is given a history of the family. He wooed and won the lady, and in October, 1862, their wedding was celebrated in Cazenovia Township. Mrs. Tjaden was born in Brown County, Ohio, in 1833, and in her native county was reared and educated. Previous to her removal she married George Pickerell, and by their union two children were born — Nancy, who is now the wife of Luther E. Stowell. proprietor of a hotel in Fillmore County, Neb.; and William, who wedded Lizzie Flick, and is now engaged in farming in Fillmore County, Neb. After the death of Mr. Pickerel!, his widow became the wife of our subject, and one child graced their union, Mollie, an intelligent and capable young lad}', who is now keeping house for her father. She was married, Aug. 13, 1889, to John Charles Mezger, of El Paso, 111. The death of the mother occurred at her home in Palestine Township, July 14, 1885. when fifty-one years of age. She was a member of the Christian Church, and a lady whose many excellencies of character and kindness of heart won her many friends. Mr. Tjaden is numbered among the early settlers of this county, and ranks among its leading farmers. He has borne his part in the work of development and progress, and liberally responds when called upon to aid in the promotion of its public enterprises. He is a valued and worthy citizen, who well merits the high respect in which he is held. In politics his views are in accordance with the principles advocated by the Democraticparty, and in religious belief he is a Lutheran. OSEPH BOULTER was born March 5, 1849 In Partridge Township, while it was yet in the hands of the sturdy, self-reliant, enterprising pioneers(his father being among the number), who laid the foundations of its prosperity, and made its present flourishing condition possible. Since attaining man's estate, our subject has placed himself by their side, and has in his turn taken up the work of sustaining and advancing the agricultural interests of this community. His father, Henry Boulier, was born and reared in France, and in about 1836, he emigrated to the United States of America, to better his prospects in life, he and his sister Ann R. being the only members of the family to come to this country. He landed at New Orleans, and thence came up the Mississippi River to Rome, then a mere hamlet, and from there he went to Ft. Clark, as Peoria was then called. He had sold his watch to pay his passage and on his arrival in Partridge Township he was out of money. But with characteristic lightness of heart he did not let that fact disturb him at all, but soon secured work from his brother-in-law, and prudently saving up his earnings, in a short time he had the wherewithal to buy land and become independent. He made a claim to a tract of forty acres, but before lie could enter it some one else did so, and he had to pay that man $2.50 per acre for it. He build a log house on the place, and in j that rude dwelling our subject first saw the light of ' day. He subsequently bought other land adjoining, erecting an excellent set of frame buildings, and lived there in prosperity and comfort till his eyes closed in death in 1872. The maiden name of his wife was Mary J. Sauvage, and she was also a native of sunny France. Her father, Joseph Sauvage was born, reared and married in that country, and followed the trade of a millwright and of bridge-builder there many years. In 1835 he came to America with his family, and after staying in New Orleans a short time he came to Woodford County as one of the pioneers. When he landed in New Orleans he had but two cents in his pocket, and when he attempted to exchange them for some necessity, he found that they were counterfeit. He immediately sought and found employment in the Crescent City, and thus obtained funds to enable him to proceed to his destination in this county. He bought land, and farmed and carried on his trade here some years. Later, he removed to Bureau County, and there bought a farm, and made his home there his remaining days. The mother of our subject, a most estimable lady, is now living in Chilicothe, 111. The father of our subject was prospered in his labors, and became one of the substantial citizens of the township that he had helped to build up. His memory is held in repect not only because he was a worthy pioneer of Woodford County, but on account of his many virtues and his solid worth. ami other kinds of game were plentiful, and betokened the wildness of the surrounding country, and railways have been introduced into the State within his rememberance. He resided with his parents till 1K77, affording bis' father a valuable assistance in the management of his farming interests. In the year just mentioned he established a home on the place where lie now resides. Mr. Boulier and Miss Louisa Hoshor were united in marriage in October, 1875. Mrs. Boulier was born in Spring Bay, Wood ford County, of which her father, Jefferson Hosher, was an early settler. He came of hardy pioneer stock, and was a native of Fairfleld County, Ohio. His father, George Hoshor, was born in either in Pennsylvania or Virginia of German parentage. He was married in Virginia, and moved from there to Ohio in pioneer times, about 1800, and was one of the earliest settlers in Fairfleld County, where he bought a tract of land and established his home in a primeval forest, clearing a farm there, which he made his home till death called him to a higher one. He was a gallant soldier of the War of 1812. The maiden name of his wife was Barbara Mathias, and she died on the old homestead in Ohio at the advanced age of eighty-four years. • Mrs. Boulier's father was reared in his native county, and in 1833, when about twenty years of age, he started out into the world to try life for himself, and journej'ed westward as far as Illinois on horseback. He had fs500 in cash, and he and his brother, William, bought land in Spring Bay Township, on which they erected a saw and grist mill, the first ever built in this section, and they operated it together some time. At length, Jefferson Hosher sold his share in the mill, intending to turn his attention to farming. He became very prosperous and accummulated much property, and owned different tracts of land in Worth and Partridge townships at the time of his death, which occurred on his farm on section 11, this township, Aug. 12, 1872. The maiden name of Mrs. Boulier's mother was Mary Williams, and she was a native of Indiana. Her father, Benjamin Williams, was one of the first settlers of Woodford County, locating here in 1829. His first settlement was made in Worth Township, and there his death took place. The maiden name of his wife was Elizabeth Curry. She was reared in Cincinnati, Ohio, and spent her last days in Spring Bay with her son. Mr. and Mrs. Boulier's happy home circle is completed by the four children that have been born to them — Jessie Winford, Mary Irene, Leslie J., John Stanhope. In his native township our subject is well and favorably known by his elders, and by those who have grown up with him side by side and by many others. Having passed his whole life here, he enjoys an extensive acquaintance, and has many warm friends, and all testify that in all life's relations and in the performance of its duties, he has acted as becomes a man of principle. As a patriotic citizen should, he interests himself in politics, and uses his influence in favor of the good old Democratic party. JAMES L. HOYT, one of the enterprising agriculturists of Cruger Township, owns and operates an extensive farm on section 36. He is a son of Vincent Hoyt, a native of the State of New York. His mother was Lucinda Nida, a native of Ohio. They first settled in Licking County, Ohio, coming from that place to this county in 1864, and locating in what is now Cruger Township, they remained here until their forms were laid beneath the sod. They were the parents of eight children, of whom our subject is the fourth. He was born in Licking County, Ohio, June 10, 1834. Mr. Hoyt passed his boyhood days in his native county, attending the common schools and assisting his parents to the best of his ability until 1855. When he had reached the age of seventeen, he was apprenticed to a carpenter to learn the trade of carpentering, and followed that occupation during the remainder of his stay in Ohio. In 1855 he came to this county and worked at his trade for several years; being a careful, conscientious and skillful workman, and, moreover, taking good care of the dollars he earned, lie soon accumulated a snug sum of money, with which he purchased eighty acres of land. On this estate he has erected a beautiful dwelling, living in it with his family and and keeping his farm in fine condition, although also attending to all the demands of his trade. Besides his homestead he has erected all the other necessary buildings, making them pleasing to the eye, as well as substantial. From time to time he has added to his original purchase until he now has 287 acres in Cruger and Olio townships. One of the red letter days of his life was March 1, 1860, on which date he was married to the lady of his choice, Miss Eliza E. Grove, a daughter of Abraham and Sarah Grove. Mrs. Hoyt is a native of Olio Township, and is the sister of Mrs. David Grafft; for a record of her ancestry see sketch of David Grafft. Mr. and Mrs. Hoyt have seven sons, named respectively: Joseph K., Calvin, Perry, Daniel T., Frank W., Alonzo and James C. The neighbors of Mr. Hoyt, recognizing his eminent executive ability, have elected him Road Commissioner, an office he has held for the last three years, giving the utmost satisfaction by his prompt and energetic measures. Politically he is a stanch Democrat. Mrs. Hoyt by her many good deeds has endeared herself to a large circle of friends, and finds her religious home in the bosom of the Methodist Church, of which she is a consistent member. A lithographic engraving of Mr. Hoyt's pleasant home appears elsewhere in this volume. APT. JOSEPH M. McCULLOCH. This gentleman, a member of the farming community of Wood ford County, residing in Cazenovia Township, is a veteran of the late war, in which he won an honorable military record. He is a fine type of the citizen-soldiers of this country, who after bravely taking up arms in her defense, and nobly winning her cause amid the hardships and perils of life on Southern battlefields, returned to their old homes, and quietly resumed the peaceful pursuits they had abandoned a few years before at their country's call, and have since been important factors in advancing her great agricultural, com- mercial and financial interests in every direction. Our subject has thus materially aided in promoting the prosperity of Wood ford County, and has taken an active part in its civic life, having ably filled some of its most important offices. The Captain comes of good Pennsylvania stock, and is himself a native of the Keystone State, born at Big Spring, Cumberland County. Feb. 23, 1831. His father, William McCulloch, was born in the same place, in the month of March, 1799. The paternal great-grandfather of our subject was a native of Scotland, and removed from thereto Ireland, whence he emigrated to America in Colonial times, and settling in Eastern Pennsylvania, spent the remainder of his life there. The grandfather of our subject, James McCulloch, was born in Kentucky. He was one of the early settlers of Cumberland County, where he secured a large tract of land, and cleared a fine farm from the primeval forests of Pennsylvania, continuing to reside on it till his death in 1825. The maiden name of his wife was Mary Henderson, and she was a native of Kentucky. She died on the old homestead in Big Spring, some years after her husband's decease. There were eight children born to them, namely: John, Eliza, Sarah. Thomas, William, James, Mary J. and Margaret. The father of our subject was reared on the home farm. There were no railways in that part of the country in those days, when he was a young man, and for some eight or ten years he was employed in teaming between his home and Baltimore, eighty miles distant, and Philadelphia, 150 miles distant, driving a six-horse team. Otherwise he was always engaged in farming, having inherited a part of his father's farm, on which he always made his home until his death in 1886. The maiden name of his wife was Jane C. McKee, and she was born in Springfield, Cumberland Co., Pa., in 1808. Her father, Joseph McKee, was born in Ireland. He took part in the Irish Rebellion, and a reward was offered for his arrest, dead or alive. He was secreted for some weeks, but finally managed to escape to America. During the time he was in hiding, he committed to memory the metrical version of the Psalms, which he never forgot. After coming to this country, he located in Springfield, Cumberland Co., Pa,, and there worked at his trade of a wheelright some years. He then bought a farm in the vicinity of Big Spring, and his son carried on the farm, while he worked at his trade. lie made his home on his farm till his death. He was a member of the Associate Reformed Church, and while his family rode to church, he insisted on walking, although the house of worship was three and one-half miles distant. One Sunday morning, while he was on his way to church with a neighbor, he fell dead in the road. The maiden name of his wife was Mary Seouler, and she was born in Cumberland County, of Scotch parentage. The mother of our subject died on the home farm in 1877. There were eleven children born of her marriage, ten of whom grew to maturity — John S., Joseph M.. James, Alexander S., William II., Thomas M., Elizabeth, Mary, Jane and Helen. Captain McCulloch was reared and educated in his native town, and as soon as large enough, commenced to assist on the farm, and gained a good practical knowledge of agriculture in all its branches. He remained on the old homestead with his parents till his marriage in 1853, and then three weeks after that event he started with his bride for Illinois. He located at Low Point, where he resided one year, and then he bought the farm where he now lives. It was a tract of wild prairie at the time with not even a furrow turned, or any sign of a habitation on it. He immediately erected a small frame house, and at once commenced the pioneer task of developing his land into a farm. In 1862 he laid aside all personal aims, imbued with the patriotic desire to aid his country in the hour of her greatest need, and leaving his wife, an exceedingly capable woman and a good manager, in charge of his affairs, he enlisted, in the month of August, in Company C, 77th Illinois Infantry, and when the company was organized he was chosen captain. He commanded his company in the Vicksburg campaign and in the Red River expedition, and under his brave leadership his men did good service on the battlefield. He was with his regiment till April 8, 1864, when he was captured by the Confederates, and for fourteen months thereafter he had the misfortune to be confined in the rebel prison at Camp Ford. His spirit chafed at his enforced imprisonment and inactivity, and at the life worse than that on the battlefield. At last he was paroled, and in July, 1865, he was discharged, glad that the cruel war was over, but sorry that he could not have taken part in its last battles. He returned home to his brave wife, who had so patiently awaited him, sometimes fearful that the rebel prison would not yield him up alive, and yet she had faithfully carried on the farm work, and had maintained the home in all its coziness and comfort. Our subject resumed the management of his farm, and has now the entire tract of 160 acres under fine cultivation, neatly fenced, well improved, and supplied with an excellent set of substantial frame buildings. Feb. 24, 1853, Captain McCulloch was united in marriage to Miss Mary J. Phillips, and thereby secured, ns we have seen, a devoted wife, who looks carefully after the comfort of her household. She was born in Springfield, Cumberland Co., Pa., and is a daughter of Edward and Jane (Adair) Phillips. Mr. and Mrs. McCulloch have four children living, as follows: Eva J. married John Hamilton, of Clearfield, Iowa, and they have three children — Maud, Minnie and a baby, Leigh M.; Hattie P. married John \V. Bean, of Anthony, Kan. ; Zilla B. married the Rev. William Story, of Oxford, Pa., and they have two children, Russell M. and Minnie M.; William E., the only son, is a student of Monmouth College, 111. Capt. McCulloch is a true, noble-hearted gentleman, always pleasant and courteous in his intercourse with others, and very popular among his associates. In business matters he is wide awake and keen, and judicious and fair in his dealings. He has filled various offices of trust in the township and county, and in 1865 he was elected Count}' Treasurer on the soldiers' ticket, and has the distinction of being the only Republican ever elected to that position in Woodford County. In 1873 he was elected County Judge, and so well did he discharge the duties incumbent on him in that responsible office that he was twice re-elected, and served with honor nine years. The Captain cast his first Presidential vote for Franklin Pierce. He, however, differed in his political views very widely from the party that elected that gentleman to the Presidency, especially on the slavery question, so that on the formation of the Republican party he promptly joined its ranks, and was a strong adherent of that party till after the second election of Gen. Grant. He then united with the Greenback party and voted with them till 1884. He had always been an advocate of temperance, and in that year he cast his vote with the Prohibitionists, and in 1888 he was the candidate of that party for the Legislature, and in a canvass of the entire district polled a good many votes. He and his family are among the leading members of the United Presbyterian Church, and our subject is an earnest worker in the Sunday-school. He was for ten years engaged in Sunday-school mission work here, and for many years has been closely identified with the County Sunday-school Association, was Secretary of the same for four years, and is now its President. IMON SWAN. This gentleman, who is a prominent resident of Clayton Township, is the only member of his father's family who makes his home in the United States. The land of his nativity is Ireland, where his mother died. The father, after the death of his life companion, removed to the Cape of Good Hope, where all his children, with the exception of our subject, are now living. In that remote land he was laid to rest, his grave being separated from that of his wife by thousands of miles of intervening waters. Mr. Simon Swan was born in the historic city of Dublin, that place so dear to all the patriotic children of the Emerald Isle, and his birth is recorded as having occurred Dec. 21, 1838. His parents were Miles and Martha Swan, whose family numbered ten children, namely: John, Mary, Frank. Simon (of this sketch), Sarah, Martha. Essie, Patrick, Peter, and one child, Michael, deceased. Our subject came to America in 185G. stopping first at Rochester, N. Y., where he worked as a teamster. In 1857 he followed the course of. emigration westward, and removed to Galesburg, 111., where he worked in a machine shop. He also for a short time made his home in Chicago, and in the autumn of 1857 came to Woodford County, remaining in El Paso. In 1858 he made a permanent change of residence, for then he rented land in Clayton Township, which, with the exception of six years spent in Marshall County, has been his home during all the succeeding years. When the Union called upon patriotic citizens to uphold the banner of freedom,no native son of America responded to the cry with greater enthusiasm than Mr. Swan. Nor did this enthusiasm wane when he was brought into conflict with the enemy. He was one of the bravest, and could always be found in the front ranks in the thickest of the fight. He enlisted in 1862 in Company G, 90th Illinois Infantry, and served during a period of almost three years. His regiment was in many of the fiercest battles of the war, besides participating in various skirmishes. Some of their battles were: Coldwater, Vicksburg, Jackson, Miss., Colliersville, Missionary Ridge, siege of Knoxville, Resaca, Dallas, Kenesaw Mountain, Atlanta July 19, 22, and 28, Jonesboro, Lovejoy Station, Rome, Gadsden, Jennison's Bridge, Ft. McAlister, Savannah, Charleston, Columbia, Bentonville, and others of minor importance. He was mustered out as a corporal. In all these engagements he participated, with the exception of Dallas, Ga. A short time previous to this he had been wounded at the battle of Resaca, May 13, receiving a shot in the left arm. After this accident he was sent to the hospital, but received no other severe injuries during the course of the war. When the war cloud disappeared and once more peace hovered over the nation, he returned to Clayton Township, and began to farm on section 5. He now owns 100 acres of land, all very nicely improved and in good condition. Mr. Swan celebrated his marriage with Miss Jennie Whitton on the the 19th day of October, 1871. She is the daughter of Thomas and Margaret Whitton, and was born in Scotland Dec. 16, 1845. Her parents left their native country, and crossing the Atlantic, settled in Washington, 1)1., where they yet reside. Mr. and Mrs. Whitton had a family of seven children, whose names are recorded as follows: Lizzie, Jennie, Robert, Mary, Richard, Willie and Margaret. Willie is deceased. Of the seven children born to Mr. and Mrs. Swan five yet remain, namely: Maggie, born Aug. 15, 1876; Mattie, Sept. 15, 1878; Frank. Nov. 29, 1880; Elsie, Jan. 15, 1883; and Emmet, Nov. 18, 1888. Thus sun-rounded by his lovely family, and in his pleasant home, Mr. Swan may certainly be regarded as one of those to whom fortune has been kind. He, himself, was prevented from attending school when he was young, and was thus a self-made man, but he has been very anxious to secure the best possible advantages for his children. He is well read and familiar with the principal topics of information and interest, being also noted for his fine penmanship. In politics he supports the Democratic party, and has been School Director for the period of nine years, giving universal satisfaction in this position. It is very fitting that a man who has worked his way from poverty to affluence, and has identified himself with all the important interests of Woodford County, should be represented in the ALBUM, not only by a biographical review, but also by an engraving of his beautiful home. He and his family are highly respected in the community in which they reside, and their friends all trust that they may be spared to pass many years of usefulness in their home circle as well as in the society of their friends. . ETER BELSLY. Partridge Township has no more worthy representative of its flourishing agricultural interests than this gentleman, who was born within its borders, educated in its schools, and in the opening years of a stalwart, vigorous manhood took his place among its busy, shrewd farmers and stock-raisers, and while actively engaged in laying up a competence, has aided in promoting the material welfare of his native township and county. He is the son of a pioneer of this part of Woodford County, who as- primeval wilds. John Belsly, the father of our subject, was born in France in the month of October, 1809. and when a young man he came to America, and locating in Woodford County, was one of the early pioneers of Partridge Township. Heat once set about the building up of a comfortable home, entering a tract of Government land on section 22, on which he erected a log house, in which his children were born. His land was mostly covered with brush and timber, but by hard labor he cleared it, put it under fine cultivation, and at the time of bis death had a highly-cultivated, well-improved farm, and from poverty had arisen to comparative affluence, his only capital when starting out in life having been good health, willing hands, and keen commonsense. When he came here to cast his lot with the early settlers of the county, the country roundabout was still in its primitive condition, and the inhabitants of this sparsely-settled region enjoyed but few of the conveniences of civilized life. Pekin and the other river towns some distance awa}' were the nearest markets till the introduction of railways, when inland towns sprang up in their pathway. The maiden name of his wife, the mother of our subject, was Barbara Huge, and she was likewise a native of France. She now resides with her daughter, Mrs. Kamp, in Partridge Township. She bore her husband seven children, five of whom whom grew to maturity, namely: Kate, Joseph, Peter, Magdalena and Barbara. The subject of this biographical review was born in this township, in the humble pioneer home of his parents, Jan. 14, 1844, and under the influence of its primitive surroundings he grew to a healthful, active manhood, gleaning a good education in the pioneer schools that were conducted in rude log houses, one that he attended being of the roughest description, lacking even a floor. He continued to reside with his parents until his marriage, affording his father most valuable assistance on the farm, and at the same time gaining a good practical knowledge of farming in all Us branches. After his marriage he rented land five years, and then invested in the place where he now resides. He has greatly increased its value by careful cultivation, a judicious expenditure of money, and by the erection of a fine and conveniently arranged set of frame buildings. He has added to his first purchase, and is now the possessor of 260 acres of land that is of unsurpassed fertility and productiveness. Mr. Belsly acknowledges that his present prosperous circumstances have been brought about partly by the assistance of his wife. Her maiden name was Lizzie Kanive, and she is a native of Prussia. She came to America with hur father, Valentine Kanive, when quite young. She is the mother of seven children, of whom one, Joseph, died at the age of two years. The others are John, Emma, Kate, Barbara, Delia and Ida. Those who have watched the course of our subject through life, agree that he has carried himself in every relation as becomes a manly man and an upright citizen. In the management of his affairs he has shown himself to have a good knowledge of his calling, and to be endowed with a clear head, an ability to perform his work well, and other excellent powers. He and his wife are people of true religious convictions, and have trained their children to lead Christian lives, the family being members of the Omishor Mennonite Church. In politics Mr. Belsly uses his influence in favor of the Republican party. 'OHN TYLER, who resides on section 1, is numbered among the prominent and progressive men of El Paso Township, where he has made his home since 1858. Throughout the greater part of his life he has followed farming and stock-raising, and is still engaged in that business. He now owns a fine farm of 200 acres with all modern conveniences, which is considered one of the best in the county. Mr. Tyler was born in the town of Virgil, Courtland Co., N. Y., on the 8th of January, 1831, and is a son of Stephen W. Tyler. His father was born in the eastern part of the Empire State, and was descended from English ancestry. In early youth, he removed with his parents to Courtland County, where he was reared to manhood and married Miss Margaret Saltsman. Mrs. Tyler, whose progenitors were natives of Holland, was born in the Mohawk River Valley, and also came with her parents to Courtland County during her childhood. The young couple began their domestic life upon a farm near the old homes, where they had been reared, and there passed the remainder of their lives. Mr. Tyler died at the age of seventy-five years, his wife surviving until July 2, 1885, when she, too, passed away. Though not members of the Baptist Church, they attended religious services there, and ranked among the best citizens of Courtland County, where they so long made their home. Their family numbered eight children, four sons and four daughters, and with the exception of one who died in youth, all lived to mature years, while six of that number still survive. John Tyler, whose name heads this sketch, was reared and educated in his native county, but on attaining to man's estate, left the parental roof to try his fortune in the West. The 3d of January, 1856, witnessed his arrival in Woodford County, 111., where he has since made his home. From that time he has been prominently identified with the growth and progress of the town and county, and is numbered among its leading citizens. He first located upon a rented farm in Panola Township, where he made his home for two years, then removed to El Paso Township, and purchased a quarter section of land, comprising a part of his present fine farm. Later he extended the boundaries of his land by adding forty acres to the original tract. Though the entire amount was in its primitive condition, he was undaunted by the arduous labors which would be necessary to place it under a state of cultivation, and with a resolute will began the task. By degrees the wild prairie was transformed into richly cultivated fields, until now his fine farm of 200 acres pays a golden tribute to his care and cultivation, while its many useful and beautiful improvements indicate the thrift and enterprise of the owner. Mr. Tyler's life has been one of industry. Indolence or idleness is utterly foreign to his nature, and when he which he strives. She was born in Panola Township, on the loth of February, 1839, and is a daughter of John and Rebecca (Cox) Brewer, who were natives of Ohio. They were also reared and married in the Buckeye State, but subsequently removed to Bloomington, 111., and about three years afterward came to Woodford County, where Mr. Brewer purchased large tracts of land from the Government, in Panola and El Paso townships. The farm on which our subject now resides, was a portion of the laud which he entered at that time. He began life in this county as a farmer, and ere he was called to his final home, had improved a large amount of his land. He was enterprising and progressive, and was recognized as one of the prominent and influential citizens of the community. He did not live to witness the entire development of the county, for about the year 1850, at the age of fifty years, his deatli occurred. His wife then made her home with her children, until called to meet the loved one gone before. She died at the home of her daughter, Mrs. Tyler, in 1880, at the advanced age of eighty years. This worthy couple were members of the United Brethren Church, and were faithful and consistent Christian people, who did what they could that the Master's cause might prosper. By the union of John Tyler and Sarah Brewer, three children were born, one of whom is deceased — Emma, who died at the age of ten months; Lee, who was educated in the schools at El Paso, is now engaged in operating the home farm ; W. B., who for some time was a student in El Paso, and later pursued a course of study in the Chicago Business College, now holds the office of Deputy County Clerk of Woodford County, and is one of the leading young farmers of the community. Mr. Tyler has also held various official positions, and for twelve years has served as Supervisor. He is a Democrat, in politics, and his sons also support the same party. Progressive and public-spirited, he is ,OGER B. DICKINSON, the youngest of a family of ten children, the offspring of Elijah and Mary (Burrus) Dickinson, was born in Cruger Township, this county, Dec. 18, 1840, and was there reared to manhood, receiving his early education in the common school. In 1860 he entered the law department of the Michigan University, but the following year the Civil Waihaving broken out, returned home and enlisted in Company G, 17th Illinois Infantry, in which he served three years, and fought in man}' of the important battles. After the battle of Shiloli, he was promoted from Fifth to First Sergeant, and during the entire time of his service suffered neither illness or wounds. After leaving the army he read law in Peoria for a time, and was admitted to the bar in 1867. He has not followed the profession, however. He was for a time engaged in the manufacture of pumps at Peoria, but in the fall of 1869, returned to his native township, and settled on the old homestead, where he has since lived, giving his attention to farming. In 1877-78, Mr. Dickinson erected the fine brick residence which he has since occupied. He is the owner of over 400 acres of land. He was married near Eureka, Oct. 10, 1866, to Miss Annie, daughter of Richard Jones. She wns born in Williamsport, Pa., and is now the mother of six children — Grace, Jay, Rogie and Eugene (twins); Nell and Robert. The eldest daughter is attending the Illinois State University at Champaign; Jay is a student of Eureka College. In the spring of 1866, Mr. Dickinson was appointed Assistant Assessor in the Internal Revenue Service for Woodford County. He has taken an active part in politics, and in the fall of 1888, was the candidate for State Senator on the Republican ticket. He has been Secretary of Woodford County Central Committee for several years, and has also officiated as School Director. Socially, he belongs to Dan Miles Post No. 270, G.' A. R. The parents of our subject were natives of Virginia, whence they emigrated to Illinois in 1835, and purchased a tract of land in Cruger Township, this county, establishing a homestead in its eastern part. The father entered additional land and labored upon it until his' death, which occurred in July, 1862. The mother subsequently made her home with her children, and died at the residence of William Major, in Olio Township, in the fall of 1868. Eight of their ten children lived to mature years, four sons and four daughters. The father was an active member of the Christian Church, and one of the founders of Eureka College, after which he served several years as President of the Board of Trustees. xp^EORGE MARSHALL CLARK, the son of ml ,— , pioneer parents whom he accompanied to ^^Jj Woodford County in his early childhood, grew with the growth of the county, and since attaining man's estate has been one of its most progressive and prosperous farmers and stock-raisers, and has materially advanced its interests. He has a large, finely improved farm in Cazenovia Township, where he is enjoying life in one of the most charming and cozy homes in the locality. Mr. Clark is also closely identified with the agricultural interests of Iroquois County, where lie owns a large tract of choice farming land, many acres of which are under tillage, and which is provided with necessary buildings and all the accessories of a good farm. The subject of this biographical notice was born in the town of Nelson, Madison Co., N. Y.. March 13, 1841. His father, Thomas Clark, was of New England antecedents and birth, born in Massachusetts Jan. 7, 1805. His father, Bill Clark, is supposed to have been a native of that State, and was the son of a gallant Revolutionary soldier. He was bred to the life of a farmer, and moving to New York at some period of his life, became an early settler of the town of Nelson, buying a tract of heavily timbered land there that formed a part of the primeval forest of that section of the country. That was before the era of railways and canals in that State, and he used to draw his grain to Albany, 1 10 miles distant, to dispose of it.*^ He cleared a farm, and made his home on it till death called him to a better one. Tht father of our subject was but a boy when his parents moved to New York State, and he there grew to man's estate, married and established a home. He rented land and carried on farming there till 1844, when he determined to avail himself of the cheaper lands and fairer opportunities that Illinois offered to enterprising farmers, and in the month of June he set out on his journey to this State, traveling via the Erie Canal to Buffalo, whence he came by boat on Lake Erie to Cleveland, and from there went by canal to the Ohio River, then continued the voyage on that river and up the Mississippi and Illinois rivers to the interior of this State, and landed atLacon, whence he came on foot to Cazenovia Township. Here he bought an eighty acre tract of land on section 22, paying $3 an acre for it. After concluding the purchase of that bit of wild prairie, he returned to his old home in New York, and in the fall came back to this place with a two horse team, bringing his wife, son and another child, and accomplishing the journey in six weeks and two days. There being no house on his place, he and his family were given shelter in the dwelling of a hospitable neighbor near by, and in the fall of 1845 he commenced the erection of a frame house, which on account of sickness he did not complete till 1846. The shingles were from Wisconsin, and were brought here by the roundabout way of St. Louis, while the boards for the house were sawed in a mill in the township operated by horse power. Mr. Clark continued his residence here till his death in 1881, when he rounded out a long and useful life. He was a man whom to know was to respect, as he possessed many sterling qualities of head and heart, and was true to himself and to all with whom he had dealings. In the management of his affairs he displayed wisdom and prudence, thus accumulating a goodly amount of property, and in so doing added to the material wealth of his adopted county, in whose welfare he was always interested. His wife survived him till 1886. when she too passed away from the scenes of her usefulness. Her maiden name was Delency Fidelbra Marshall,and she was born in Cazenovia Township, N. Y., July 9, 1807, a daughter of Simeon and Sarah Marshall. Onr subject and one other child who died in infancy, were the only children born to his parents. He was but three and one-half years old when he came to this State with them, yet lie still retains some recollection of that memorable journey across the country, and has a distinct remembrance of the pioneer life that obtained here in his boyhood and early manhood. For some years after the family came here deer and wild turkeys were plenty, and the prairie continued sparsely settled for a long time. His mother, who was a notable housewife, used to spin and weave all the cloth and make all the garments used in the family. He being the only surviving child, our subject always made his home with his parents, and was their stay and comfort in their dec-lining years. He has been very much prospered in his work as a practical farmer, and has acquired a large amount of valuable property. He has besides his fine, well-appointed farm in Cazenovia Township, 640 acres of land of exceeding fertility in Iroquois County, 250 acres of which are under excellent tillage, and there is a set of frame buildings on the place and two artesian wells. In the month of September 1880 our subject took an important step in his life whereby he secured a good wife in the person of Miss Mary Ellen McFarlin, a native of Indiana, and a daughter of Joseph and Lydia (Davis) McFarlin. Her parents now reside in Martinton Township,Iroquois County. Mr. and Mrs. Clark have one child, Eva. Mr. Clark has accumulated wealth by the exercise of those traits that mark him as a man more than ordinarily gifted with tact, force of character, strength of purpose, and business acumen. It is to such men that Woodford County is indebted for its high standing as a great agricultural centre. Our subject has many pleasant social qualities that commend him to his neighbors and others, and he and his wife are highly thought of in this community. In his political views he was formerly a Republican, and cast his first vote for Abraham Lincoln. But he is now independent, preferring not to be bound by party ties. Mrs. Clark is connected with the Baptist Church as one of its most valued members. The father and mother of our subject are resting in dreamless repose, but have left behind them monuments far more enduring than even Carrara's far-famed marble has ever furnished. We present to their many friends portraits of those valued pioneers, upon whose living features the eye can no longer rest. AVID FILGER, a veteran of the late war, in which he served with honorable distinction, was for many years prominently connected with the business and agricultural interests of Woodford County. But having accumulated a handsome property, he has retired from active business, while yet scarcely past life's prime, and is living at his ease in a beautiful home in Minonk, in which city he established himself when he first came to Illinois to settle in 1861. and where he has ever since resided with the exception of the time when he was serving his country on the southern battle-fields. The subject of this sketch was born in Worcester, Wayne Co., Ohio, March 2, 1834, to Christopher and Sarah (Westenhaver) Filger, natives of Pennsylvania, of German parentage. His father was a farmer, and also a blacksmith, and he was one of the first settlers of Wayne County, Ohio, where he cleared and improved a farm in the wilderness, making his home on it the remainder of his life, which was prolonged until reaching the age of seventy-four. His wife survived him ten years, dying at about the same age. By a previous marriage he had two children — Jacob and Mary Ann. The former resides in Oregon, and the latter died in 1889 at her home in Summit County, Ohio. The mother of our subject reared eleven children, all of whom are living, viz.: John is a commission merchant in Chicago: George is a farmer on the old homestead in Ohio; Simon is a cabinet-maker in Santa Ye, N. M. ; David, our subject; Susanna is the wife of Henry Geary, of Summit County, Ohio; Lydia is the wife of Harrison Swigard, of Marion, Kan.; Sarah is the wife of the Rev. William Whittinton, of the Evangelical Church, in Ohio; Elizabeth is the wife of Henry Myers, of Ashland, Ohio; Abraham and Isaac are twins, the former living in Missouri and the latter in Colorado; and Henry is a farmer in Wayne County, Ohio. Our subject spent the early years of his life on the old homestead in Ohio, where he was born. In 1854 he made his first visit to this State, and after stopping about eighteen months at Tonica, he proceeded further westward, and crossing the Mississippi River, went into Iowa to look after some land he had previously purchased. He subsequently returned to Ohio, and remained at home till 1861, when he came back to Illinois, and established himself in Minonk in the lumber business. He was carrying on a very profitable trade, but in 1862 he threw aside all personal interests to enlist in the defense of the Stars and Stripes, and became a member of Company H, 67th Illinois Infantry. For eighteen long months he fought bravely and well, and was accounted bv his superiors an efficient soldier. At the expiration of that time he was discharged on account of having received a severe wound that rendered him unfit for service, he having been wounded by a piece of shell in the arm at the hotly contested battle of Arkansas Post. He was with his regiment at the siege of Vicksburg. and he took an active part in other important battles. Returning to Minonk after his bitter experience of military life, Mr. Filger resumed his interrupted business as a lumber merchant, and also became a grain dealer, and one year later gave up his lumber trade to pay attention to buying and selling grain, and also dealt in live-stock. He was successfully engaged in both branches of business till 1877, when he dropped the grain trade and devoted himself exclusively to his live-stock dealings. In 1887 he retired from business entirely, with the excep- tion of looking after his property and at that time built his present large, comfortable residence. By his own unaided efforts he has become a man of wealth and influence, and although he has met with some reverses in the pursuit of riches, he has accumulated a handsome fortune. Besides his property in Minonk he has three valuable farms, aggregating 400 acres, all well improved. Mr. Filger was married in the fall of 1863 to Miss Margaret C. Garten. She was born in Putnam County, 111., near Princeton. Since his residence here Mr. Filger's fellow-citizens have availed themselves of his well-known fine business qualifications and his capacity for affairs, by inducting him into the leading local offices. He has been Mayor of Minonk, and a member of the Council, and in both positions displayed that disinterested regard for the welfare of the city and the earnest desire to promote its highest interests, that characterizes any man of true publicspirit, and that won for him the gratitude and respect of the people without regard to party, both Republicans and Democrats joining in praise of his administration. He is a Republican in politics, and is in thorough accord with his party on all the great public questions of the day. Religiously, he is a member of the Methodist Episcopal Church in high standing. He belongs to the Masonic fraternity, and is a Knight Templar. He is also identified with the G. A. R. at Minonk. J" I OSEPH REDIGER, (deceased.) was formi erly a prominent and wealthy [farmer of Woodford County. He was the proprietor 1 of one of the largest and finest farms in Partridge Township, and his death Feb. 7, 1879, while yet in life's prime, was a severe blow to the agricultural interests of this part of the countr}'. He was the son of a pioneer of the county, one of the earliest settlers of the township just mentioned, and as he had to develop his farm from'a wild, uncultivated tract of land, he may be rightly classed among the worthy pioneers of this county, whose names and memories will ever be held in deep veneration by the present and coming generations. Joseph Rediger was born in Butler County, Ohio, May 22, 1835, while his father, who bore the same name as himself, was a native of Germany. He came to America when a young man, and after residing in the county where our subject's birth occurred, for a few years, made his way to Illinois with his family, and settled in the wilds of what is now known as Partridge Township, buying a tract of timber land on section 36. Busily engaged in the hard task of clearing away the forest and cultivating his land, he made his home here till death called him hence. Our subject was but a boy when his parents brought him to this county, and here the remainder of his life was spent. He was bred on his father's farm to agricultural pursuits, affording his father valuable assistance in his labors as soon as he was large enough. At the time of his marriage he left the parental household and established a home of his own on the farm where his family now reside. There was a little log stable on the place and a log cabin, and in the latter humble structure, he and his amiable wife commenced the journey of life together. In the years of hard labor that followed, our subject accomplished as much as mai^ men whose lives are rounded out to a good old age, and when death came to him he had accumulated a large and valuable property. His farm of 500 acres was of exceedingly rich and fertile land, and he had erected a handsome set of frame buildings, and had all the conveniences for carrying on a farm advantageously. December 28, 1856, was the date of his marriage with Miss Ann Eliza Clingman, who was to him all that an intelligent, faithful, devoted wife could be, and gave him the material aid in the upbuilding of a home. She is now living on a farm with her children, enjoying every comfort and luxury that heart can wisli for. She is also a native of Ohio, born April 17, 1833. Her father, John M. Clingman, was born in Northumberland County, Pa. His father, John Clingman, was, as far as known, born in the same State, and was of German parentage or ancestry. He removed from there to Ohio, and was an early settler of that State. lie fol- lowed agricultural pursuits in both Sciota and Ross counties, and spent his last years in that State. Mrs. Rediger's father was very young when his parents moved to Ohio, and there he was reared and married. In 1835 he started westward to seek a home before the introduction of railways in the West, and the journey was made with ox-teams, and having their household goods along, they cooked and camped by the way. The family located in Woodford County, in that part of it now included in Partridge Township. The father purchased a tract of land there, improved a farm, and remained a resident thereon several years. He then removed to Cazenovta and lived retired till his death. When he came here, deer, wild turke3's, prairie chickens, and other kinds of game were very plenty, and as he had had much experience in hunting in Ohio, he was one of the most expert riflemen of his day, and many a wild animal was brought down by his unerring aim. Mrs. Rediger's mother, Margaret (Levis) Clingman, was born in Northumberland Count3T, Pa. During her pioneer life in this country she had to use the primitive method of cooking before an open fire in a rude fireplace, and the first stove that she had was brought from Chicago with a team. Mrs. Rediger's married life was blessed to her and her husband by the birth of five children — Almeda (wife of N. C. Ridenour,) John, Lewis IX, George T. : Margaret is the wife of William B. Herndon, who assists in operating the home farm and resides with his mother-in-law. ARTIN O. HAZEN. This gentleman came l\\ to Woodford County thirty years ago, and Hi establishing himself in Metamora Township as a farmer, has been closely connected with the agricultural interests of this region ever since. He is a man of clear understanding, good, practical sense, and by judicious management has been prospered in his calling. his grandfather, Solomon Hazen, were natives of Hartford, Vt., the latter carrying on farming there till his death. The father of our subject was a carder and cloth dresser by trade. His death occurred in Pomfret, Vt, in 1884. The maiden name of the mother of our subject was Polly Ingraliam, and she was also a native of Vermont. When our subject was very young he had the sad misfortune to lose the loving care of a good mother by her premature death, and then he went to live with some uncles in his native State, by whom he was reared and educated. He early displayed a genius for mechanics, and his relatives encouraging O o o his bent in that direction, he was set to learn the trade of a locksmith. He acquired a thorough mastery of that calling and pursued it in Nashua, and latter in Lowell, Mass., where he built up an extensive business, which he carried on very profitably for some years, till he was obliged to abandon it on account of ill-health, and in 1859 he sought in Illinois a change of climate, and here turned his attention to tilling the soil. He bought 160 acres of land, one-third of which was improved, located in Metamora Township. He lived on that place till 1878, when he sold it at an advance, and invested the proceeds in his present farm, sixty acres of which is included within the city corporation. The location is exceptionally line, and its nearness to a good market increases its value. The land is under admirable cultivation, and is well improved, with an excellent set of buildings, and every needful appliance for facilitating the work necessary for managing the fariii properly. In November, 1852, the marriage of Mr. Hazen and Miss Martha Searle was duly solemnized, and of their happy union one child has been born, Frank Lynn. Mrs. Hazen is a native of Maine, born in Norridgewock, Nov. 28, 1819. Her father, Samuel Searle, was a native of New Hampshire, the town of Mason his birthplace; his father the Rev. Jonathan Searle, having been pastor of the Congregational Church in that town for many years, his life ending there. Mrs. Ilazen's father was reared in Mason, and when nineteen years old he went to Norridgewock, Me., where he engaged in farming several years. He subsequently bought a hotel in Skowhegan, and' carried it on for some years. He finally sold it and bought a home near by and was a resident of that town till his demise. The maiden name of his wife was Betsy Wetherell, and she was a native of Maine, and died there while yet a young woman in 1822. Mrs. Hazen was reared by a step-mother, and resided at home till she was grown to womanhood, when she went to live in Lowell, Mass., and later in Lawrence, in that State, and in that city was married. She is a truly good and kind-hearted woman, and a sincere member of the Presbyterian Church. During his long residence here Mr. Hazen has proved himself in every way worthy of consideration, as he has always conducted himself honorably and in a way to win the respect and friendship of his neighbors. . He is sagacious and far-sighted, and tenacious of purpose, and has displayed sound, practical skill in the prosecution of his calling, so that he has achieved an assured success. HARSEIM, dealer in furniture =. at Secor, III., is one of the leading business men of that, city, where he has made his home since 1864. From that time until 1879 he engaged in carpentering and building, and being an expert workman, his services were generally in demand. He did a good business in that line, but in 1 879 established himself as a furniture dealer, which pursuit he has since followed. He carries a large and well-assorted stock, his prices are as low as anywhere found, and his goods are of the best quality. By his courteous and gentlemanly manner, fair and honest dealing, and earnest desire to please his customers, he has secured a liberal patronage, which he well deserves. He also does repairing, together with everything in that line, and has an undertaking establishment connected with his store. Mr. Harseim was born in Saxony, Germany, on the 3d day of March, 1833, and in the schools of his native country received his education. In his youth he learned the trade of a carpenter and cabinet-maker, and when eighteen years of age accompanied the family to America. Bidding goodby to his friends and childhood home, on the 3d day of April, 1851, he left for Bremen, whence he embarked on a sailing vessel for America. The voyage was made in safety, and on the 3d day of July he landed in New Orleans, whence he came up the Mississippi and Ohio rivers to New Albany, Ind., where five days later the death of the father occurred. Mrs. Harseim, accompanied by her three sons, then came to Illinois, locating in La Salle County, where she passed to her final rest in 1872. Our subject followed his trade for some years in LaSalle County, and there married Miss Ellen Bellinghauscn, the wedding taking place in Peru. The lady was born on the banks of the Rhine, in Prussia, Aug. 2, 1831, and is a daughter of John Bellinghausen, who crossed the Atlantic to America in 1852. Immediately after his arrival on the shores of this country he continued his journey until reaching Peru, III., where he worked at carpentering and cabinet-making for many years His deatli occurred in 1880, at the ripe old age of seventy -six years. He was three times married, his last wife still surviving him. Seven children have been born of the union of Godfred Harseim and Ellen Bellinghausen, but only two are now living; Matilda, who is the wife of William Zink, a resident farmer of Greene Township, by whom she has eight children, seven sons and a daughter; and Mary, wife of John Becker, who resides in El Paso. Mr. Becker is a farmer by occupation, and his wife is a seamstress. They have three children. The deceased members of the family are August, Godfred, Ellen, Lenora and John W. After residing for some years in La Salle County, Mr. Harseim removed with his family to Chicago, and started in business, remaining in that city for a period of about ten years. In the year 1864 he came to Sccor and engaged in business, as elsewhere stated. In connection with his other interests, for the past twelve years he has given considerable attention to the raising of bees, and now has over 300 colonies. Few men have been more prominently identified with I he history and progress of Secor than Godfred Harseim, He manifests a deep interest in all its public affairs, is ever ready to aid in the promotion of any enterprise for the general welfare, and has liberally responded to all calls made upon him for social, educational or moral interests. He and his wife are active members of the German Methodist Episcopal Church, in which he now holds the office of Trustee and Steward, and is Vice-president of the County Sunday-school Convention. In political sentiment, he is a stalwart supporter of the Republican party, and for a number of terms has been city alderman. E C. HOGELUCHT, one of the extensive land-owners of Wood ford County, and a prominent and progressive farmer, residing on section 18, Greene Township, is numbered among the early settlers of the county, where he has made his home since 1855. He commands and receives the respect of his fellow-citizens, who esteem him highly for his standard worth and intelligence as well as his genial and kindly nature. He was born in Oest, Friesland, in the Kingdom of Hanover. Germany, on the 5th day of October, 1830, and is a son of a German farmer, Karl Wilke Hogelucht, who afterwards came to this country. His mother's maiden name was Taetiey A. Radenius, and she, like her husband, was a native of Oest, Friesland. She died when our subject was eighteen years old, he being the only child of the family left to mourn the loss of a mother by death. Mr. Hogelucht was a second time married, and had five children by that union who are now living in America, principally in the Central States. When about fifty-eight years of age he left his native land and crossed the water to make his home with his son. He died on a farm in Livingston County, Illinois, in 1869, at the age of sixty-five years, respected by all who knew him. Our subject spent the days of his boyhood and youth in his native country and was reared to manhood upon his father's farm. He received his primary education in the German "Allgemein Schule," and afterwards pursued a course in a higher school. On attaining his majority he determined to try his fortune in the New World,, but for some time was prevented from carrying out his resolution, being detained as " reserve " in the king's service for three years. However, in 1854, lie bade good-by to home and friends, and on the 8th day of September, embarked from Broke in the sailing vessel " O. Teen." One month later the ship dropped anchor in the harbor at New Orleans, whence Mr. Ilogelucht proceeded up the Mississippi River to Quincy, and from that city traveled overland to Peoria, where he arrived Jan. 10, 1855. He had no capital with which to begin life in his new home but was dependent upon his own resources. In a short time he had secured work as a farm laborer and continued to serve in that capacity for some time, though in 1856 he purchased land in this county. He was able to read and speak the English language on his arrival in America, and it was thus less difficult for him to obtain employment. His life has been one of industry and toil, and being sagacious and possessed of good business ability, he has been successful in his efforts to make a home. In 1861 Mr. Hogelucht was united in marriage with Mrs. Gertie (Johnson) Rodenius, daughter of John Johnson, and a native of Oest, Friesland. At the time of her marriage with our subject she was the widow of Harm Rodenius, and had a family of four children, who remained with their mother until they were married and went to homes of their own. Helen, the eldest, is now the wife of H. Layman, a resident of Greene Township, by whom she has seven children : John, who married Rexta Falcus, and now has a family of four children, is a farmer of Roanoke Township; Hannah became the wife of Albert Sotthoff. a resident farmer of Kansas, and they have six children; Harm, residing in Greene Township, married Wilmke Flor, and five children grace their union. The same year in which he wedded Mrs. Ro denius, Mr. Hogelucht purchased 125 acres of land on section 18, which constituted the nucleus of his present large farm. Since that time he has added to his possessions until he now owns 300 acres. 285 of which is arable land, the remaining fifteen being a timber tract in Roanoke Township. His property has all been secured since he came to this country, and is the result of his industry, perseverance, good management and fair dealing. He has made good improvements upon his land, has divided his his farm into fields of convenient size by well-kept fences, has built a large and commodious barn and lias erected a neat frame dwelling. He has endured the trials and hardships incident to life on the frontier and has borne his part in the work of upbuilding and progress. In 1860 he became a naturalized citizen, since which time he has been a stanch Democrat in politics. He manifests a deep interest in all the affairs of (he country, is a great reader, and is well informed on all the leading questions of the da}', both State and National. For many years he has been a member of the German Lutheran Church of Linn Township, and is a faithful and consistent Christian, who performs cheerfully every duty by which he can promote the Master's cause. Mr. Hogelucht is generous and benevolent, warm-hearted and sincere, and possesses a vein of humor in his nature which makes him an interesting and pleasant companion. He has won a host of friends throughout the county where he is so widely known. We invite the attention of our numerous readers to a handsome lithographic view of the farm, residence and commodious out-buildings of Mr. Hogelucht, on another page of this volume. WILLIAM II. GROVE. It is a blessing which comes not to all, to have a father of whom his children may be proud, and with just pride speak of him as a model by which they may shape their own lives. It is a matter of pride with William H. Grove, that he is the son of a man who wields snc-li an influence with his fellow men. of his son. He resides on section 25, and conducts the farm of his father with marked success. He received a good common school education, and thus was excellently prepared for his life work. Mr. William Grove was especially fortunate in the selection of a life partner, his wife being Miss Mary Ann Lindenfelser, a native of Tazewell County, III. She is of German ancestry, her parents having emigrated to America from their old home in Baden. To them have been born two children. Mr. and Mrs. Grove are held in high esteem among all the people who have the pleasure of their acquaintance, and are welcomed in the best circles of society. Vl'OHN F. VAN FOSSEX. It is said that every man has his hobby, and were it not for this quality of character many ideas and 1>)J pursuits would remain practically undeveloped. We can scarcely call to mind any more important calling or profession than the breeding and training of horses, that animal upon which so many industries depend, and which practically is the only source of income fo; a vast number of people — aside from his strength, beauty and symmetry,which are so pleasant to the eye, and which is utilized as a great source of pleasure to the human race. In this as in all other pursuits there are men who seem particularly adapted to it, and who have the proper view of its importance, making of it an art and a science, and in order to make of it a success they must have for it a genuine love and a pride in it. As a breeder of fine horses Mr. Van Fossen stands probably second to no man in Woodford County, and his efforts in this direction have gained him an enviable reputation. Up to 1881 he had since early manhood been engaged in general agriculture, although always interested in the equine race, but this 3'ear he turned his attention particularly to the breeding of fine horses, and it has lii'en his pride and pleasure to become owner of some very valuable animals. Among these is the Royal Kentucky-bred coach stallion known as "Black Harp," and acknowledged to approach as near perfection in his line as is to be seen. He has been driren a mile in 2:40 without any jockey training, flying over the ground without any effort, and apparently delighting in the exercise. This beautiful animal stands seventeen hands high and weighs 1,400 pounds, while his value is away up in the thousands. His companions of the stable are all blooded animals, and the source of a handsome income to their owner. Mr. Van Fossen has a private track and every other convenience for the development of the best points in horse flesh, training not only his own property but horses belonging to other parties. Our subject was born in Licking County, Ohio, Feb. 5, 1834. His father, \Villiam Van Fossen, was a native of Virginia, and married Miss Mary Fishburn, also born and reared in the Old Dominion. They emigrated to Illinois, locating in Woodford County early tin the forties, and spent most of their days thereafter in Olio Township. The mother departed this life in February, 1887. The father is still living, making his home with Mr. Van Fossen. John F. was a youth of eighteen years when coming to this county, of which he has since been a resident. In 1840 he formed the acquaintance of Miss Millie A. Foster, to whom he was married in Licking County, Ohio, Sept. 15, 1849. This lady is the daughter of Willis and Nancy Foster, who were natives of Virginia, and emigrated to Licking County, Ohio, at an early daj\ Mrs. Van Fossen was born in the latter county Oct. 3, 1840. Both ace members of the Christian Church, and Mr. Van Fossen, politically, gives his sup[x>rt to the Democratic party. His farming operations have engrossed the greater part of his time and attention, and aside from holding the office of Highway Commissioner, he has had very little part in public affairs. His farm comprises 256 acres of choice land, and upon it have been erected first-class buildings, which with their surroundings form a very attractive and desirable home. Each year adds something to the beauty and value of the premises. The farm is the source of a handsome income, and Mr. Van Fossen like- wise realizes generously from his operations as a breeder of fine horses. He lias built up for himself an enviable reputation in this line, and has reason to look upon'the result of his'eflorts with pride and satisfaction. ' OHN W. TOMB. By birth a native of Ohio, this gentleman was reared in Illinois, and since attaining manhood has been associated with the most wide-awake and enlightened agriculturists of Wood ford County. Since he was brought here an infant he has always made his home in Metamora Township, although he has traveled quite extensively elsewhere, and he is the owner of one of its most valuable farms, comprising 320 acres of highly improved land, with a commodious residence on the southeast quarter of section 25. Our subject was born in the year 1849 in Brown County, Ohio. His father, Matthew Tomb, was a native of County Derry, Ireland, and was the son of Andrew and Jane (Wiley) Tomb (see sketch of Andrew Tomb, 8r.) He was reared in his native county and early learned the baker's trade. When he was a young man he came to America, and worked at his trade in New York City for a time. He then went to Brown County, Ohio, where he married, and purchased a farm on which to make a home. But the title proved defective and he lost all that he had put into it. In 1 849 he started for Illinois with a wagon drawn by three horses, accompanied by his wife and three children, and bringing along the household goods so that the little family cooked and camped by the way in the heat of the day, or when night overtook them. When they arrived in Tazewell County, Mr. Tomb's entire outfit consisted of his team, his household goods and twenty-five cents in cnsh. He traded his team for a tract of land, comprising eighty acres of grub land. There was no house on the place, and he rented a log cabin for a temporary shelter, and then began to work by the day to earn money to support his family, receiving thirty cents and one meal a day for his labor. He was a very hard-working man and used to employ all his spare time clearing his own land, and finally built a log cabin on it, chinking it with mud. He was a resident there till 1854 or 1855, when he traded his farm for a tract of wild prairie land in Metamora Township. There were no buildings on it, and he erected a temporary habitation for his family by placing forked stakes in the ground, and extending a pole from one to the other, and with boards standing on end, the top reaching against the pole. He and his wife and children lived in that rude dwelling from March till the following May, when he had completed a small frame house, into which they removed. He sold half of his land at $12 an acre to raise money to improve the remainder. Being a man of extraordinary industry, and possessed of good judgment he was successful in his farming operations, and came to be numbered among the men of wealth in the township, owning at the time of his death 461 acres of fine land, besides a house and five lots in the city of Eureka. His death occurred March 21, 1888, and his memory is now cherished as that of a<pioneer of the county, who did his best to promote its interests and prosperity. He was a man of true Christian principles, and although reared in the Episcopal Church, his wife being a member of the Presbyterian Church, he usually worshiped with her at that shrine. The maiden name of his wife was Mary Tomb, and she was born in Louisville, Ky., a daughter of Matthew Tomb, a native of County Derry, Ireland. She died in the month of November, 1873. Two of her children survive, our subject and his brother Andrew, who holds a Government position as store keeper in the bonded warehouse at Pekin, but has his residence in Eureka. As before related, the subject of this sketch was reared in Metamora Township, having been brought here when an infant, and he gleaned a sound education in the local public schools. He commenced to assist his father in the farm work when he was but eleven years old. He has traveled since quite extensively, but has always made his home here. He pays strict attention to agricultural pursuits, and has met with more than ordinary success in the prosecution of his favorite calling, having acquired quite a large landed estate, and built up a very desirable home. He has erected a fine set of frame buildings, and has all the conveniences for carrying on agriculture profitably. Mr. Tomb was married in the month of March, 1875, to Miss Lydia Crow, who has made him an excellent wife, and has cordially seconded him in all his work. She was born sixteen miles east of Wheeling, West Va., and is the daughter of Abraham and Mary Crow. The attractive home of our subject and his wife is blessed by the two children that have been born to them, Lavinia Aurella and Charles Nelson. Mr. Tomb is in every sense a live, wide-awake man, with quick, keen intelligence, and a good insight into the best methods of conducting agriculture to the best advantage. lie and his wife are people of high standing in this community, and their generous hospitality and genial traits of character have secured them hosts of friends. AMUEL STUMBADGH an old settler and a well-to-do farmer, late of Montgomery Township, departed this life at his home, Jan. 18, 1880. He was born in Columbus, Pa., March 25, 1812, of German ancestry, being the son of Frederick and Eva (Baker) Stumbaugh, the former a Pennsylvania farmer. They were industrious, economical people, and succeeded in securing a fair living in Pennsylvania, but when our subject, who was the youngest of their children, was about six months old, the}' turned their faces Westward, and with all their children, settled near Columbus, Ohio, in the latter part of the year 1812. Neighbors were scarce in those days, and the land which they purchased was wholly unimproved, but by never ceasing toil they made it a pleasant, comfortable and convenient home. They were good people, and kind, obliging neighbors, and were quite aged when their deatli occurred on the farm they had originally purchased. Their last days were soothed by the consolations of religion, they being worthy members of the Lutheran Church. Our subject grew to manhood on the parental farm , and obtained a fair common school education and a thorough training in agricultural pursuits. In 1832 at the age of twenty years he was presented with a horse, bridled and saddled, and in company with some friends, made a journey to Illinois, riding horseback all the way. They stopped for a short time in Tazewell County then pursued their journey to Peoria, where our subject remained two years, then returned to his home in Ohio, but again in 1838, started back to Peoria. When he arrived in that place, then only a small village, he purchased a piece of land in what is now the best part of the city on Main Street. He bought it for a nominal sum and selling it in 1846 at a good profit, invested the proceeds in eighty acres of land in the Township of Morton, Tazewell County. When he secured this land it was not improved, but care and cultivation soon made it a pleasant home, where he resided with his family several years. In the meantime he increased the size of the estate, until he had doubled the original purchase. In 1855 he bought 160 acres of partially improved land, on section 1, Montgomery Township, Woodford County, removing there in 1857. He gave his Tazewell County farm to the two children of his first wife, Levi, and Sarah E. After locating in Montgomery Township, he began operating largely as a stock dealer and farmer, which proved a fortunate venture for him, as he claimed that his large fortune was accumulated thereby. It was no idle boast of his to speak of his fortune being large, for at the time of his decease he was the owner of more than 1300 acres, nearly all highly improved, well stocked with fine cattle, superior horses and other first class animals, and supplied with plenty of good water, and large, convenient farm buildings, beside fruit and shade trees, etc. The family residence is a comfortable, convenient and commodious dwelling, and is situated in the midst of well kept lawns and gardens. The readers of this volume will gain a clear impression of the neat appearance and pleasant surroundings of this on another page. Mr. Stumbaugh was a man of energy and enterprise, and enjoyed a first-class reputation as a business man. Socially, he was an agreeable companion, generous, charitable and upright. He adhered to the principles of the Democratic party, but never accepted office, preferring the quiet of domestic life, unruffled by public cares. In 1840 Mr. Stumbaugh took an important step in life by his marriage with Miss Mary A. Barcus, a native of Ohio, where she was also reared and married. Her parents were natives of Maryland. She and her husband were married in Columbus and took their wedding trip to his farm in Morton Township. Tazewell County, JH1., coming overland in a wagon. Mrs. Mr. Stumbaugh was married a second time in Morton Township, Tazewell Count}', to Mrs. Mary ,T. Merrick, nee Baucher, who came to Illinois in the early days. She was first united in marriage with Dr. Charles Merrick, by whose death in Tazewell County she was left a childless widow. She made her home in that county until her death, which occurred in 1854, leaving to her second husband, Mr. Stumbaugh, one child, Mary E. The little one's advent cost its mother her life, but although deprived of maternal love and care, she grew up a charming young woman, and is now Mrs. William Phillips, of Dodge County, Neb. Our subject was married the third time in Montgomery Township, July 7, 1857, to Miss Nan^y J. McPeak, a native of Tazewell County, 111., where she was born in Fremont Township, Dec. 29, 1835. Mrs. Stumbangli is a daughter of Jonathan and Delila (Sparrow) McPeak, the former a native of Montgomery, Va., and the latter of Kentucky. Mr. McPeak when a young man of eighteen years, (nine to Illinois with a drove of sheep and remained in Tazewell County, making his home with a sister, Elizabeth Hodgens. In a couple of years he had sufH lent money saved to purchase a farm of 120 acres, which he at once commenced operating and had brought it under good cultivation at the time of his marriage. Miss Delila Sparrow was a child of twelve years when her parents removed from Kentucky in 1825 and located in Tazewell County, being among the first settlers of the place. After marriage, Jonathan McPeak and wife began life on his farm, which, except for the improvements he had previously made, was a region of unbroken prairie. Sometime after the birth of their youngest child in Tazewell County, Mr. McPeak and family removed to Montgomery Township, this county, where he purchased a farm on which he resided until near the close of his useful and active life, his death occurring in Eureka, March 11,1887, at the residence of his daughter, Mrs. Stumbaugh. He was born April 25, 1812, and followed the occupation of a fanner all his life, in the pursuit of which he found both pleasure and profit. He accumulated a good property in this State, but he afterwards sold it and purchased for each one of his children a large farm in Bates County, Mo. lie was an honest, upright man, a good neighbor and a member of the Christian Church, where his wife and all his children found their religious home. Mrs. McPeak bade farewell to the cares of this life in Olio Township, Jan. 26, 1870, leaving behind her a good name, which the good Book assures us, is better than great riches. She was the mother of nine children; the deceased are: Minerva, Fleming, Elias E., Amos, and Levi, who died in childhood or youth. The surviving members of the family are: Mrs. Stumbaugh, James G., Louisa A., and James L. James G., an attorney at Foster, Mo., married Miss Fanny West. His education was commenced in the Eureka schools and completed in Chicago, 111. ; Louisa A. is the wife of William Ramsey, and resides in Bates County, Mo., on a good farm; James L. is. married, and lives in Bates County, Mo. His wife's maiden name was Maiy Smith. Since the death of her husband, Mrs. Stumbaugh has resided on a portion of his homestead, which she now owns and operates. She also owns a good property of five acres within the city limits of Eureka, besides other real estate in the city. She became the mother of two children- Delila was the wife of J. W. Barber, and died leaving one child, Mabelle. Mr. Harber has a large agricultural implement manufactory in Bloomington, 111. The other child of Mrs. Stumbaugh. is a daughter, Isabelle, who is the wife of L. W. Myers, a stock and horse breeder of Eureka. Mrs. Stunabaugh is an amiable and -intelligent lady, an agreeable companion and a worthy leader in all good works. «OSEPH SCHROCK, a well-to-do and successful farmer and stock-raiser of Mont• gomery Township, is located on section 22. The village of Congerville, which was begun in the spring of 1888, is situated on a portion of his farm. He owns about 320 acres of land, which is divided into two farms. Some of this land was bought in 1864, in which year he first came to this county, buying wild land and improving it with fences, trees, buildings, etc., and as fortune smiled upon him, purchasing more land, which he also improved until he is now very comfortably situated. Before coming to Montgomery Township, he resided some seven years in Roanoke Township, which was the second place in which he had located after coming to the State of Illinois in 1850. He was a native of France, and on coming to America, resided for a time in Pennsylvania, then moved to Ohio, and in 1850 reached Illinois, in which Slate he remained. Our subject was born March 17, 1828, in the Province of Lorraine, which at that time belonged to France, but has since been ceded to Germany. His father, John Schrock, a native of Lorraine, was of German ancestry, but was educated in French, which lie spoke like a native. He was wedded to a lady of Lorraine, Miss Catherine Saltsman, and when the couple were the parents of two children, Joseph and his sister Catherine, they came to America in 1841, leaving the port of Havre in the spring. The passage across the boisterous Atlantic consumed forty-four days. They at length disembarked in Baltimore. Md., very much fatigued from the hardships they had endured during the long journey. From there they went to Lancaster, Pa., and a year later, in 1832, removed to Ohio, and located on a farm, which the father operated, and in connection with it rented a mill, which he managed very successfully, it being the business in which he was most proficient. While living in Butler County, Ohio, the family was increased by the addition of three children, namely: John, Peter and Magdalena, who were bright and intelligent, and their mature years have not disappointed the fond expectations, which their parents conceived of the little French-Americans. In the autumn of 1850, Mr. Shrock and his family removed to Tazewell County, 111., and located near Pekin, taking up wild land, but subduing it by patient industry until at their decease they were surrounded by numbers of broad acres well cultivated and supporting numerous fine domestic animals. During life they were members of the Old Omish Church, and died in that communion. The father entered into his rest in his seventy-fourth year and the mother in her fifty-seventh. Our subject was the eldest of the five children born to his parents. They are all living and married and residents of Illinois. Our subject was reared in Butler County, Ohio, and attended the common schools of the district through the winter, and in the summer assisted on the farm and in the mill, thus acquiring a practical knowledge of agricultural matters, and getting a good insight into business transactions while an employe in his father's mill. He was of legal age, but unmarried, when he came to this State. While a resident of Tazewell County, he was united in marriage with the lady of his choice, Miss Magdalena Guingrich, a country-woman of his, she having been born in Lorraine in 1830, and was a daughter of Joseph and Magdalena (Gadder) Guingrich, also natives of Lorraine. The parents of Mrs. Schrock were married in their native country and resided there until their family was increased to six children. About that time the}7 concluded they could do better in the United States, and especially secure a better place for their children to grow up and prosper in, so in 1830 they embarked at Havre and after a prosperous voyage landed in New York City. They went directly West as far as Ohio, where they re- sided on a farm for a number of years. About the year 1837 they once more gathered up their worldly effects and following the setting sun at length settled in Farnisville, Wood ford Count}'. They purchased land on the Mackinaw River and industriouslj" set to work to make a comfortable home, but the unavoidable hardships incident to a pioneer life, proved too much for Mrs. Guingrich, and she quietly fell asleep and was laid to rest far away from her childhood's home. She was a good Christian woman and in the prime of life, but was unable to longer endure the trials which befell the brave pioneers. In 1848 Mr. Guingrich went to Oregon Territory, following the overland route, crossing the plains and mountains, he at length reached his destination and took up a claim, which he soon afterward relinquished and removed to Stockton, Cal., where he engaged as a carrier of goods across the mountains. After following this occupation for some time he returned ii\ 1851 to Illinois, coming via water and land. Shortly after his arrival in Tazewell County he purchased a farm, erected a dwelling, and made other improvements, and resided there with his family until his children were all married. He then ceas'fed active work on his farm and made his home with one of his children in McLean County, until death removed him finally from life's activities in 1875. He was then seventytwo years old and died as he had lived, a consistent member of the old Mennonite Church. Mrs. Schrock is the second child of her parents, but losing her mother when still youthful, her education and training devolved upon her father, with whom she made her home the greater part of her time until marriage. Kan. ; Susan is at home. Mr. and Mrs. Schrock are good, intelligent German people, industrious, thrifty and provident, and although not mingling in political matters, yet make excellent citizens, and are worthy members of the New Omish Church. ATTHEW CRAWFORD, deceased, was an honored pioneer of Woodford County. He was actively interested in the development of the agricultural resources of Cazenovia Township, and was a factor in otherwise promoting its material welfare. Here he reclaimed and improved a valuable farm, and established one of the coziest of homes, attractive, and replete with all the modern comforts and conveniences that make life worth living. His widow, with their son, now occupies the homestead. She is possessed of those characteristics that mark a good and true woman, and is held in high regard for her many excellent qualities of head and heart. Mr. Crawford was born in County Galway, Ireland, in the year 1828, a sou of John and Pollen Crawford. He was a child of but five or six years when he came to America with his father and stepmother. The family resided in Chicago for a time when that city was nothing more than a village, with no indications of its present size and importance as the second city in the Union. Later, they moved to Ottawa, where the father became a subcontractor in the construction of the Illinois Canal. After the death of the father, our subject came to Woodford County and commenced life for himself by working on a farm by the month, till his marriage. He then went to live on the Garrison homestead that his wife's father had reclaimed from the wild prairies. Three years and a half later he located on the farm which remained his home the rest of his life, and which is still occupied by his widow and son. At the time of his purchase it was a tract of wild land, with not a furrow turned or any habitation upon it. But by the quiet force of persistent and wisely directed labor he transformed it into a beautiful and highly productive farm, placing the land under fine cultivation, erecting good, substantial, roomy buildings, and living here surrounded by all the comforts of an Eastern home till his earthly career was cut short by his lamented death Sept. 5, 1888. Thus passed from the scene of his labors a truly good man, one whose life was guided by sound principles, and when it was rounded out he left a high reputation as a man of honor, truthfulness and unimpeached integrity. He was a man of clear understanding, good capacity, and a diligent toiler, knowing well how to carry on his work to good advantage, and by fair methods accumulating a comfortable property. A record of Mr. Crawford's life would be incomplete without more extended reference to the faithful and true hearted woman who was so much to him, and to whom he was partly indebted for his success. Mrs. Crawford's maiden name was Margaret J. Garrison, and she was born in Greene County, Pa., March 1, 1829. Her father, George Garrison, was born in the same county, and was a son of Jacob and Margaret (Six) Garrison, natives of Germany, who came to America and spent their last years in Pennsylvania. Mrs. Crawford's father grew to a stalwart manhood in his native count}-, married and continued to live there '.ill 1835. In that year he came to Illinois with his family, the removal being made with teams as there were no railways in this part of the country then, and they took their household goods with- them, and cooked and camped by the way. Mr. Garrison became a pioneer of Woodford County, which then formed a part of Tazewell County, and was an early settler of Cazenovia Township. In those early days the settlements were confined to the timber, the people thinking it would be impossible to live on the open prairie, which was left for the home of the deer, wolves and other wild game, which continued to be plentiful for several years. The land had been opened for settlement by the Government, and was for sale at $1.25 an acre. Mr. Garrison entered a tract of land in Cazenovia Township, and at once commenced the improvement of a farm. He remained a resident here till his death Aug. 10, 1851, when the township lost one of its most trust- worthy citizens. He was also the leader in establishing the first frame school house in Cazenovia Township. The maiden name of his wife was Ma^ Buckingham, and she was likewise a native of Greene County, Pa. (For the history of her parents, Isaac and Sarah (Jones) Buckingham, see sketch of Morgan Buckingham). She survived her husband only a few weeks, dying on the homestead Sept. 3, 1851. They were the parents of six children, namely: Margaret J. (Mrs. Crawford), Sarah. Isaac B., Hannah F., Jacob, and George. Mrs. Crawford was but six years old when her parents brought her to this county, so it may well be said that she grew up with the county, the most of whose development she has witnessed. She attended the pioneer schools, and was carefully trained by her good mother in" all the household duties so that she became in time an accomplished housewife, fully capable of taking charge of a home of her own. She was taught to spin and weave, and aided her mother in the manufacture of all the cloth used for making clothes by the family. She remained an inmate of the parental household till the death of her father and mother. Her marriage with Mr. Crawford was blessed to them by the birth of three children, Mary E.. Charles Oscar, and George A. Mary is the wife of Oscar Shugart, of whom see sketch on another page of this volume. George A., a capable, wide-awake young man, is managing the home farm with marked success. He married Clara M. Hoffrichter Oct. 22, 1888. ELA M. STODUARD, an influential, prosperous and leading business man of Minonk, li holds high rank among the substantial and representative citizens of Woodford County. He is a native of the Empire State, born in Chautauqua County, Sept. 10, 1840, being a son of Simeon A., and Nancy M. (Merrill) Stoddard, natives respectively of Connecticut and Maine. His father was a farmer by occupation, and when a young man, left his native State, and going into the adjacent State of New York, met and married in Wayne County, the mother of our subject. He afterward settled in Chautauqua County, whence, in 1857, he came to Illinois, and settling in McLean County, bought 160 acres of land in Cropsey Township. He was among the earliest settlers in that place, and the first election in the township was held in his house, a sugar bowl, into which sixteen or seventeen votes were cast, being used as a. receptacle for the ballots. Mr. Stoddard remained in Cropsey until 1875, when he removed with his family to Chats worth, where the death of his wife occurred in 1882. She bore her husband eleven children, eight of whom grew to maturity, the following being their record: Nathaniel is a farrier in Rockville, Mo.; Charlotte married Nelson Brigham, of Chicago; George lives in Trinidad, Co'lo. ; Mahala is the wife of Alonzo Straight, of Chatsworth; Julia, who married D. S. Thomas, subsequently died in Carthage, Mo.; B. M.; Simeon Avery, who enlisted in the 129th Illinois Infantry, was killed at the battle of Peach Tree Creek; Nancy is the wife of John T. Wickersham, of Clinton, Mo. Mr. Stoddard is yet living on his homestead in Chatsworth, at the advanced age of eighty-eight years, revered and respected by all. He is a prominent member of the Methodist Episcopal Church. Bela, of whom we write, was reared on his father's farm, and received a common-school education, afterward supplementing it by study and reading, until he acquired a substantial business education. When seventeen years of age, he came with the family to Cropsey, remaining at home until after the breaking out of the late Civil War, when, in 1862, he enlisted for three months in Company K, 7th Illinois Infantry. At the end of the term of enlistment, our subject returned home and resumed farming until 1865, when he came to Minonk. and, in company with D. S. Thomas, opened a store of geneial merchandise. The following year the firm was changed to Stoddard & Newton, and to their other business they added that of dealing in grain, gradually increasing it, and continued thus until 1876, when the firm dissolved, Mr. Newton taking the business of the store, and our subject taking charge of the grain trade. Mr. Stoddard carries on an extensive business, having two elevators in Minonk, and one at Stoddard's Siding, near Chats- worth, and was for sometime interested in the Minonk flouring-mills. He has accumulated all of his property since living here, and has invested largely in real estate, owning about 4,000 acres of some of the most valuable land in Woodford and Livino-. ston counties. In 1868 Mr. Stoddard was united in marriage, to Miss Sarah Bell, daughter of Reuben P. Boll. For further parental history, see sketch of R. P. Bell on another page of this volume. To our subject and his wife have been born four children — Reuben B., Bertel M., Zadel M., and Melite E. Though our subject pays but little attention to politics, his sympathies are with the Prohibition party. He never seeks office, preferring to give his time and attention to his business, although some years ago he served in many of the local offices. Mr. Stoddard is a man of high character, unblemished reputation, and is considered perfectly honorable in every regard, being held in universal esteem. He has a pleasant home, beautifully furnished, over which his amiable wife presides most gracefully, and where their numerous friends are ever hospitably welcomed. £/ OSHUA F.WIIEEL WRIGHT, Postmaster at Roanoke, engaged as a druggist here, is one of the foremost business men of the place. He is derived from an old New England family, and is a lineal descendant of the Rev. John Wheelwright, a native of England, who came to America in the early settlement of the colonies, and secured the title to a large tract of land in New England. That celebrated divine was the founder of the town of Exeter. Joseph Wheelwright, one of his descendants, great-grandfather of our subject, was born on the old colonial homestead in \Vells, York Co., Me., and there his son Aaron, grandfather of our subject, and his grandson James, father of our subject, were born and reared. The two first spent their entire lives in their birthplace, peacefully engaging in agricultural pursuits. The old homestead is still in possession of the family. Moses F. and Aaron Wheelwright own the place, and Moses F. occupies it. The father of our subject was reared and married in the home of his birth, and always engaged in farming. Ho and his brother built a sawmill there, which they operated together for many years. His death occurred on the old homestead, Jan. 25, 1841, and a most worthy man, a good citizen, and an exemplary husband and father was removed from the scenes of his labors, leaving as a heritage to his children and children's children the legacy of a life well spent. Her maiden name was Achsah Furbish, and she was also a native of Wells, Me., a daughter of Moses and Sally Furbish. She was the mother of four children — Aaron, Rebecca, Moses F. and Joshua F., who hold her memory in respect and reverence. Our subject was born in the home that had sheltered his ancestors for so many generations in the pretty and quaint old town of Wells, by the sea in Maine, April 27, 1830. He remained an inmate of the parental household till 1856, gleaning in the meantime a substantial education, he being a thoughtful, studious lad, with a craving for book learning, inherited doubtless from his clerical ancestor aforementioned. He attended the excellent academy at Thetford, Vt., a year, and was still further equipped for the teacher's profession, which he had entered at the youthful age of seventeen. After that he engaged in teaching in Maine, Vermont, New Hampshire and Massachusetts till 1856, and then, well fortified with experience, he came to Illinois, and his services as a teacher were gladly accepted at Pana, in Christian County, where he taught a year, and was then similarly employed at Mattoon, in Coles County, for six months, whence he came to Woodford County, and taught in Washburn a year. In 1859 he returned to his old home in Maine, and was employed at his profession, and also in farming the ensuing five years. . After that he came back to Illinois during the war, and located at Minonk, teaching there one term, and then taking charge of the post-office at that point for some years. In 1873 he came to Roanoke, and established himself in the drug business, which helms continued very successfully ever since. In September, 1873, he was appointed Postmaster, and held the office till 1885, when he was removed on account of offensive partizanship, as he had preferred to lose his position rather than remain silent regarding his political sentiments, and not use his influence for the party that he believed to be in the right. In April, 1889, to the general satisfaction of all concerned, he was re-instated as Postmaster of Roanoke, and is managing the affairs of the office impartially and in the best possible manner. Mr. Wheelwright was married in 1874 to Miss Maria T. Thompson, a native of Locust Ridge, Ohio. Their happy household circle is completed by the presence of the two children born of their marriage, Eddie Newton and Ivy Gertrude. Mrs. Wheelwright's father, Isaac Thompson, was born in Greenbriar County, Va., in 1805, and his father, William Thompson, is supposed to have been a native of the same State. In 1822, the latter, accompanied by his family, emigrated to Ohio, and casting his lot with the pioneers of Brown Count}', he purchased land there and engaged in farming till' his death. Mrs. Wheelwright's father was seventeen years old when the family removed to Ohio, and he bought a tract of wild land at Locust Ridge, equi-distant between Bethel and Williamsburg. He continued to engage actively in agricultural pursuits till his death. The maiden name of his wife was Mary Karhart, and she was a native of Lancaster County, Pa., and a daughter of John and Martha Earhart. She died on the home farm at Locust Ridge. Mrs. Wheelwright was carefully trained by her parents, and was given the advantage of a good education, attending first the public schools of Brown County, and then advancing by attendance at a select school in Clermont County. She was thus well qualified for the teacher's profession, which she entered at the age of twenty-one, and was thus engaged in Brown and Clermont counties, Ohio, till her marriage with Mr. Wheelwright. She is a woman of innate refinement, and an earnest Christian, being a consistent member of the Methodist Episcopal Church. Mr. Wheelwright possesses finetactand excellent business qualities, and inherits from his New England ancestry those sterling traits of character that have won him the confidence and respect of the entire community. He and his wife are people of true culture, occupying a high position among the best people of the town, and the.ir home is rendered attractive to their many friends or to the stranger that happens beneath its sheltering roof, by the genial courtesy and warm hospitality of the host and hostess. It is needless to- say that our subject is as stanch a Republican as in the clays when to stand by his party meant the loss of office. 1 AMES RAMSEY, who resides on section 15, Montgomery Township, is a prominent farmer and early settler of this county. Since 1843 he has resided upon the farm where he still makes his home, and has thus witnessed the entire growth and development, the progress and advancement, which have taken place since that time. He has nobly borne his part in the work of transforming the wild prairie into cultivated farms, and for more than forty-five years has been identified with the county's history. To the men who came to the West in the early days and shared in the trials and hardships of pioneer life, the country owes her present prosperity, and to them is due a debt of gratitude which can never be repaid. They laid the foundation upon which this vast structure has been reared and infused the entire work with their strength. Not the least among that honored band is James Ramsey, find it is witli pleasure that we record his sketch in the volume of his county's history. Our subject was born in Franklin County, Ohio, on the 14th day of December, 1817, and is the eldest in a family of ten children, whose parents nru William and Hannah (Cox) Ramsey. For several generations past the ancestry have been natives of Virginia. James Ramsey, grandfather of our subject, was born in that State, where, on reaching maturity he engaged in agricultural pursuits. Ikwas descended from Scotch-Irish parentage, and in the Old Dominion married Miss Ruth Van Meter, whose ancestors were of the Pennsylvania Dutch. They removed to Ohio in 1805 and settled in Franklin Count}-, near the present site of Columbus, though the city had not then been platted. In the community where they located, Mr. and Mrs. Ramsey both spent the remainder of their lives and died at an advanced age. They are numbered among the pioneer settlers of the Buckeye State, for the country was a wild and almost unsettled wilderness when they left their Virginian home and located within its borders. They were highly respected citizens and Mrs. Ramsey was a member of the Baptist Church. William Ramsey, father of our subject, was born in Virginia, April 23, 1793, and when twelve years of age removed with his parents to Franklin County, Ohio, where he was reared to manhood, and united in marriage with Miss Hannah Cox, a native of the county in which their wedding was celebrated. They began their domestic life in that community and four children were born unto them. In 1827, accompanied by his family, Mr. Ramsey removed to the West, locating in Downs Township, McLean County, III., where he made his home for one year, thence removing to Tazewell County and purchasing a farm near Tremont. He became the owner of a large amount of land in that county and was numbered among its well-todo citizens. He made his home upon his farm in that communitjr until called from the busy scenes of life, his death occurring July, 20, 1862. He was an industrious and energetic man, and though he had to contend with the trials and difficulties of pioneer life he secured a comfortable property for himself and family. Since his tenth year James Ramsey, whose name heads this sketch, has been a resident of Illinois. He removed with his father's family to McLean County, and one year later came with his parents to Tazewell County, where he was reared to manhood. The educational advantages which he received were such as the district schools afforded. He conned his lessons in the typical log schoolhouse, with its rude seats made of slabs, while an immense fire-place occupied one end of the building. As soon as old enough to handle a plow he assisted his father in the farm work, and from that time has been engaged in agricultural pursuits. He remained under the parental roof until 1841, and shortly afterward came to this county, then an almost unbroken wilderness. Its wild and uncullivated prairies were still covered with rank grass, many of the towns and villages had not yet sprung into existence and the few settlements that had been made were widely scattered. Mr. Ramsey could ride for miles across the country without coming across a fence to obstruct his way and impede his progress. The change since that time is indeed great. Even those gifted with a seemingly prophetic instinct could not have imagined, much less realized, the transformation which was soon to be brought about and which has placed Wood ford County in the front rank in this vast commonwealth. On his arrival our subject at once gave hia attention to farming, and is now the owner of some of the most valuable land to be found in this section of the country. His fine farm situated on section 15, Montgomery Township, comprises 420 acres of land, and he also owns an 80-acre tract in Tazewell County. The entire amount is highly cultivated, many useful and beautiful improvements have been made, his barns and outbuildings are mode's of convenience, and his home is a neat and substantial residence. His life has been one of industry and toil. He possesses good business ability and has the necessary energy and perseverance which are so essential to success. The prosperity which has attended him is due entirely to his own efforts, and he is numbered among the selfmade men of the community. After coming to Woodford County, Mr. Ramsey formed the acquaintance of Miss Ann M. Harding, who on March 27, 1844, became his wife. She was born in Leicestershire, England, on the llth day of January, 1818, and is a daughter of William and Sarah (Cragg) Harding, also natives of the same shire. Her father was a contractor and builder and during the greater part of his life followed that occupation. The children of the family, eight in number, were all born in England, and in the fall of 1832 accompanied their parents to the United States. The party embarked from Liverpool in the sailing vessel " St. John," and after six weeks spent upon the water landed in New York City. For four years they resided in Uticn. N.Y., when in 1836 they emigrated to the then wild West, locating in Tazewell County, 111., where Mr. Harding purchased a farm. Some years later he removed with his family to Peoria and retired from active life. He died at his home in that city at the age of seventy-five years, and his wife, who was a member of the Church of England, was called to her final rest at the advanced age of eighty years. Mrs. Ramsey came to America in her girlhood days and had not yet attained to maturity when the family came to Illinois. By her marriage, she became the mother of four children, three of whom are yet living: Julia A. is now the wife of William H. Moobery, a resident farmer of Olio Township; Caroline E. wedded Charles J. Gibson, who is engaged in farming in Cruger Township; Walter C., who married Irene Osborn, resides upon a farm in Montgomery Township, comprising a part of the old homestead; Frances M. died at the age of five years. Mr. Ramsey and his wife are consistent members of the Presbyterian Church and are active workers for its intersts. They have a pleasant home in Montgomery Township, where hospitality and good-will abounds, and have reared a family of children who do honor to their name. In the social world they hold a high position and are greatly respected by all who know them. In political sentiment, Mr. Ramsey is a supporter of the Republican party and has held various local offices of trust. ALV1N S. MILLER. Woodford County has no better representative of its native-born citizens, who are to-day actively promoting its highest interests, educational, moral, and material, than the subject of this biography. A young man. gifted with tine mental attributes and good physical powers, for some years classed among the educators of his native county, he is meeting with encouraging success in the prosecution of his lion-
SQL SELECT, Displaying multi rows from many columns Ok so I have information in a database I need displayed but having trouble calling it correctly. ID Name sid pos ------------------------------- 0 John 71829182 -30, 21, 0 1 John 71829182 -21, 21, 54 2 John 71829182 43, 21, -37 3 John 71829182 98, 21, 21 I need to display all rows that have the same sid row so when echoed out using php it would be like John at 71829182 was seen in these positions -30, 21, 0 | -21, 21, 54 | 43, 21, -37 | 98, 21, 21 I can not figure it out so could use advice. Right now I am just doing a basic query and calling on $row['pos'] If you're interested in a SQL solution, you can use GROUP_CONCAT to combine the rows into a single column: SELECT name, sid, GROUP_CONCAT(pos SEPARATOR '|') positions FROM yourtable GROUP BY name, sid SQL Fiddle Demo Edit, given your comments, this should work: SELECT playername, steamID, position, lastlogin, GROUP_CONCAT(position SEPARATOR '|') positions FROM player GROUP BY playername, steamID ORDER by playername Please not, in your php code, you can no longer call row['position'] but rather should call row['positions'] because the column name has changed. This does not seem to work at all and give me an error. $sql = mysql_query("SELECT playername, SteamID, position, lastlogin GROUP_CONCAT(position SEPARATOR '|') positions FROM Player GROUP BY playername, SteamID ORDER by playername"); while($row = mysql_fetch_array($sql)){ echo 'While'.$row['playername'].' was last seen in these locations '.$row['position'].''; } @JoshuaNightingale: You're missing a comma between expressions in the SELECT list, between lastlogin and GROUP_CONCAT(). And this is 2014. Why are we still using the mysql_ interface, and not PDO or mysqli? You're missing a comma between lastlogin and GROUP_CONCAT. Always test if the statement succeeds with if($sql !== false) @sgeddes: it might be worth mentioning that the length of the string returned by GROUP_CONCAT aggregate function is limited by the setting of the group_concat_mex_len variable, and less directly, by setting of the max_allowed_packet variable. Thanks working great just need to fix a few other things and ill be done this.... You will need to fill in: YOUR_DB_SERVER, YOUR_DB_NAME, YOUR_USERNAME, YOUR_PASSWORD, YOUR_TABLE $db = new PDO( 'mysql:host=YOUR_DB_SERVER;dbname=YOUR_DB_NAME;charset=UTF8', 'YOUR_USERNAME', 'YOUR_PASSWORD' ); $db->query( 'select * from YOUR_TABLE WHERE sid = YOUR_SID' ); $res = $db->fetchAll( PDO::FETCH_ASSOC ); print_r( $res ); This example uses PDO, which most people here will recommend you use to interface with DBs in PHP, due to its robust nature, mainly its ability to avoid SQL injection and support for many DB types. There are a number of other functions you can use to interface with DBs, but they are legacy and will not be around for much longer. In the above example fetchAll is used to get all results; you can also use fetch() for one row and fetchColumn() for one row. PDO::FETCH_ASSOC tells PDO to return an array with the field names bound to the field value. There are other options, see the PHP manual for details. Also mysqli just as robust but can only connect to mysql db's
FOES IN THE HATFIELD. THIS week must be marked not with chalk but with charcoal in the Fasti of the farm, for one of our annual plagues has duly recurred in full vigor. The yellow rattle has got somehow or other into the three-cornered Croft, and nothing seems to be of any use to get rid of it. As a rule, one ought not to speak evil of plants behind their backs ; but for a hungry, persistent, de liberate, designing, importunate parasite, your yellow rattle has really no fellow. There is not a single redeem ing point about it : it is ugly, useless, and uninteresting ; and it makes a wretched living by fastening on the roots of grasses and draining them dry with its horrid clinging suckers. See here : if you pull up a tuft of meadow foxtail carefully, you find the rattle actually engaged in sucking its life-blood at this very moment. Kinse the two stocks together in the basin where the brook runs clear from the culvert for a foot or two to make a drink ing-place for the cattle, and when the soil is washed away you will be able to see the actual mouths by which it fastens itself to the rootlets of its host. The hay in the croft will not be worth much this season : it seldom is ; for rattle dwarfs the grasses terribly, and makes hard, dry, stringy fodder itself into the bargain. There is nothing for it but stubbing the whole patch ; and even that would be very little good, for the soil here ex actly suits its constitution. Curiously enough, just over the hedge in the Fore Acre, there is not a single stalk of it to be seen, even by accident.
Nomograms for predicting the overall survival of patients with cerebellar glioma: an analysis of the surveillance epidemiology and end results (SEER) database At present, our understanding of cerebellar glioma is still insufficient. This study collected information on patients in the SEER database to identify the predictive factors for patients with cerebellar glioma. Data from patients with cerebellar glioma diagnosed from 1975 to 2018 were retrieved from the Surveillance Epidemiology and End Results Database. We randomly divided the patients into a training group and a validation group, established a nomogram based on the training group, and used the validation group data to verify the clinical value of the model. A total of 508 patients were included in this study. Multivariate analysis was performed based on the data before randomization, and the results showed that the patient's age, WHO grade, histological type, and extent were significantly correlated with the survival rate. The C-index of the OS nomograms of the training cohort was 0.909 (95% CI, (0.880–0.938)) and 0.932 (95% CI, (0.889–0.975)) in the validation group. The calibration curve of OS for 3 and 5 years showed that there was good consistency between the actual survival probability and the predicted survival probability. For patients with cerebellar glioma, the age at diagnosis, WHO grade of the glioma, histological type, and extension are the four factors that most strongly affect the overall survival outcomes. Furthermore, our model may be a useful tool for predicting OS in these patients. Gliomas are common intracranial tumours, but gliomas that occur in the cerebellum are very rare, especially in adults 1 . Because of its rarity, there have been few previous studies in this area, so it is necessary to conduct research in this field. Regardless of the patient's age, histology, or pathology, cerebellar gliomas are serious neurological tumours that usually manifest as life-threatening complications, such as compression of the cerebellum and adjacent brainstem or obstruction of the cerebrospinal fluid circulation pathway, resulting in increased intracranial pressure, which leads to serious consequences and even threatens the life of the patient. Some researchers have reported that patients with cerebellar glioblastoma have a poor prognosis, with a survival period of three to seven months 2,3 , while others have observed that cerebellar glioblastoma and brain glioblastoma have similar survival times 4,5 . In this article, we collected information on patients with cerebellar glioma from the SEER database, explored the factors that affect the overall survival time of cerebellar glioma, and constructed a clinical prognostic model of cerebellar glioma to provide risk assessment for clinical patients. Methods Study population. The data of patients with cerebellar glioma diagnosed from 1975 to 2018 were retrieved from the Surveillance Epidemiology and End Results Database. The Surveillance Epidemiology and End Results Database collects information about patients' baseline characteristics and information on tumours, such as histological characteristics, WHO grade, surgery, and patients' vital status, in 9 registries within the United States. By using SEER*Stat software (Version 8.3.9), we retrieved data from 65,369 patients in total. The inclusion criteria included (1) first primary cerebellar glioma; (2) ICD-O-3 site codes limited to the cerebellum (C71.6); and (3) detailed information on sex, race, tumour size, WHO grade, surgery information, histological characteristics, pathological diagnosis, and patient vital status. The exclusion criteria included (1) Included covariates. The data included for analysis were as follows: age (≤ 20 years old was defined as the paediatric group, and > 20 years old was defined as the adult group), race (white, black and other) and sex. The cerebellar glioma characteristic data obtained for analysis were tumour size (size ≤ 3.0 cm, size > 3.0 cm) and tumour extension (cerebellum, brainstem, ventricle and other). Histological characteristics (Pilocytic astrocytoma, anaplastic astrocytoma, ependymoma, glioblastoma, and other). WHO grade (WHO grades III and IV were assigned to high grade, WHO grades I and II were assigned to low grade). The extent of tumour resection (local excision/biopsy, STR, GTR, and resection lobe of brain). Statistical analyses. The variables associated with OS in this study included age, race, sex, year at diagnosis, WHO grade, tumour size, histological type, and extent of surgery. We used the Kaplan-Meier method to assess the overall survival rate and the log-rank test to evaluate the differences between survival curves. Then, we used univariate and multivariate analyses to determine the effect of prognostic factors on OS. Both multivariate and univariate Cox proportional hazard models were used to calculate HRs (hazard ratios) and 95% CIs (confidence intervals). P < 0.05 was considered statistically significant. The population was randomly divided into a validation group (n = 152) and a training group (n = 356). Then, we used the RMS package in R version 4.1.0 (http:// www.r-proje ct. org/) to build a nomogram model to calculate the risk score according to the formula. Calibration curves and Harrell's concordance index (C-index) were used to estimate the accuracy and consistency of the nomogram between the predicted and actual OS rates. Results Patient population and baseline characteristics. A total of 508 patients were included in this study. Demographic information, surgery information and tumour characteristics are described in Table 1. The average age of our study was 25.5 years old, and the average survival time of patients was 73.8 months. In our study, white people accounted for 78.0% of the population, whereas 58 patients (11.4%) were black, and 54 patients (10.6%) were defined as "other race". Patients diagnosed from 2011-2015 were almost unchanged compared to those diagnosed from 2004-2010 (n = 252 (49.6%), vs. n = 256 (50.4%). From the perspective of tumour histology, the majority of tumours were pilocytic astrocytomas (325, 64.0%). Sixty-seven (13.2%) patients had glioblastoma, 29 (5.7%) had anaplastic astrocytoma, 36 (7.1%) had ependymoma, and 51 (10.0%) were defined as the other group. In terms of tumour WHO grade, 52 (10.2%) were assigned to the low group, 67 (13.2%) were assigned to the high group, and 389 (76.6%) were defined as the other group according to the most recent CBTRUS. A total of 75.0% of tumours were confined to the cerebellum, 59 tumours (11.6%) extended to the brainstem, and 31 tumours (6.1%) extended to the ventricle. A total of 24 (4.7%) patients received Local excision/ biopsy only, 152 (29.9%) patients treated with received subtotal resection (STR), 174 (34.3%) patients underwent gross total resection (GTR), and 158 (31.1%) patients had a lobe of the brain resected. The overall survival rate of the GTR group was the highest (P < 0.001). Prognostic factors of OS. Univariate analysis was used to identify significant characteristics in Table 2. www.nature.com/scientificreports/ Kaplan-Meier curves of age, histologic type, tumour WHO grade, tumour extension, and surgery were painted to compare the overall survival of cerebellar glioma patients, as shown in Fig. 2.The results showed that age (P < 0.001), histology type (P < 0.001), WHO grade (P < 0.001), and surgery (P < 0.001) were related to the overall survival of the patients. Then, we randomly divided the data into a validation group and a training group. There were 356 people in the training group and 152 people in the validation group. There was no significant difference between the two groups (Table 3). Next, the nomogram model was built based on the five characteristics of the training group: age, race, extension, tumour grade, histological type, and surgery, as shown in Fig. 3. The 3-year and 5-year overall survival rates were evaluated by a nomogram to compute the corresponding score. The C-index (receiver operating curve) and internal calibration curve were plotted to verify the accuracy and discrimination of the model. The C-index of overall survival rate prediction was 0.909 (95% CI, (0.880-0.938)) and 0.932 (95% CI, (0.889-0.975)), respectively. The receiver operating curve (ROC) and area under the curve (AUC) were plotted. The area under the curve values of the 3-year and 5-year overall survival rates in the training group were 0.935 and 0.941, respectively, and those in the validation group were 0.966 and 0.949, respectively (Fig. 4), which suggested that the predictive model was effective and accurate. The calibration curve of the overall survival rate at 3 years and 5 years showed that there was excellent consistency between the predicted survival probability and the actual survival probability (Fig. 5). Table 1. Patient demographics, tumor characteristics and treatment of 508 patients with histologically confirmed cerebellar gliomas. GTR gross total resection, STR subtotal resection, HIST Histologic type, Anaplastic ast anaplastic astrocytoma, Pilocytic ast pilocytic astrocytoma. Discussion Central nervous system (CNS) tumours account for the majority of malignant and benign neoplasms prevalent across the entire age spectrum 6 . Although the incidence of central nervous system tumours is higher in adults, it is also the main cause of death in children 7 . Cerebellar glioma is a common type of central nervous system tumour in children but is relatively rare in adults 1 . Since the incidence of cerebellar glioma is not high, few studies have focused on this area. Central nervous system tumours, especially tumours of the cerebellum and brainstem, cause the greatest proportion of deaths (37.9% and 16.6%, respectively) 8 . Patients with cerebellar glioma often present with the symptom of intracranial hypertension and some cerebellar symptoms, such as ataxia in walking, unstable standing, dizziness, mental disorders and other symptoms that seriously affect the quality of daily life 2,9-13 . Our analysis of patients with cerebellar glioma demonstrated that age is an important factor affecting the prognosis, such that younger patients are more likely to have a better prognosis. The difference in the prognosis of children and adults may be caused by differences in the molecular mechanism of tumours, but our study did not include the study of molecular mechanisms because there is no relevant information about molecular mechanisms in the SEER database. Ranjith Babu et al. found that patients older than 40 years had poor outcomes, and patients aged over 65 years had the worst survival rate (4 versus 12 months, p < 0.0001) compared to younger patients 14 . Our study found that the prognosis of patients with cerebellar glioma is independent of sex. In terms of race, univariate analysis showed that there was no difference in the prognosis of white and black patients, and the prognosis of the rest of the population was worse, but the difference disappeared after multivariate analysis. Zhuoyi www.nature.com/scientificreports/ Liu reported that whether in univariate analysis or multivariate analysis, the prognosis of tumours has nothing to do with sex and race 15 . Our study found that cerebellar glioblastoma had the worst prognosis, followed by anaplastic astrocytoma, and pilocytic astrocytoma had the best prognosis. These differences were statistically significant regardless of univariate analysis or multivariate analysis. Glioblastoma has a high degree of malignancy, its growth rate is blocky, the boundary is not clear, and the operation is difficult to complete, which is the main reason for the poor prognosis. Xingwang Zhou et al. demonstrated that oligodendroglioma, anaplastic glioma and glioblastoma were risk factors for early mortality in children and pointed out that glioblastoma increases early childhood mortality 16 . Ankush Chandra et al. found a very meaningful study: tumours > 40 mm were associated with decreased survival for patients with supratentorial glioblastoma 17 . However, in our study, the prognosis of cerebellar glioma had little to do with the size of the tumour. This may be because there is no obvious correlation between the size and the degree of malignancy of the tumour. In this study, we found that the higher the WHO grade of the tumour, the worse the prognosis, and the lower the patient's median survival time and overall survival rate. Adams et al. found that the prognosis of high-grade gliomas was much worse than that of low-grade gliomas 18 . Some scholars found that the higher the grade of glioma, the higher the activity level and the higher the tumour angiogenesis 19 . Additionally, malignant high-grade gliomas are diffuse and infiltrating lesions that often infiltrate some important peripheral functional areas, which seriously affect the quality of life of patients 20 . In terms of the extension of the tumour, tumours confined to the cerebellum have the best prognosis, and the prognosis is poor after expansion to the brainstem. It may be that after the tumour has expanded to the brainstem, it is difficult to completely remove because the brainstem is the core part of the brain. Weber et al. found that the extent of surgery and brainstem involvement were associated with poorer survival 14 . The conclusion is roughly the same as ours. Regarding the extent of tumour resection, univariate analysis showed that patients with total tumour resection had the best prognosis and the highest overall survival rate. Univariate analysis showed that patients with total tumour resection had the best prognosis and the highest overall survival rate. However, in a multivariate analysis, the overall survival rate of the patient had little to do with the degree of tumour resection. Our research also has many limitations. First, the data available in SEER database are observational. The allocation of subjects is arbitrary and lacks randomization. Second, we do not have the authority to obtain information about radiotherapy and chemotherapy. Currently, chemotherapy is considered to be the standard treatment for patients with the following diseases: high-grade glioma in the United States. In addition, other important factors are not easily available in the SEER database, which includes the patient's preoperative symptoms, imaging data, family financial status, postoperative neurological function, and postoperative quality of life. Our research www.nature.com/scientificreports/ is a retrospective clinical study, with the general limitations of retrospective studies. Therefore, it is necessary to conduct high-quality prospective studies to verify our conclusions. Conclusion Our study is a large-scale population-based study of cerebellar glioma. It directly compares factors such as age, sex, tumour size, histological type, grade, and resection and found that young age, pilocytic astrocytoma, lowgrade tumours, and confined tumours can significantly prolong the survival time of patients with cerebellar glioma, while cerebellar glioblastoma can significantly reduce the survival time of patients. Our study provides very useful information for further research on cerebellar glioma. www.nature.com/scientificreports/
<h1>Редактирование объекта. План этажа.</h1> <div id="edit-object-container"> <!-- Графика --> <div id="edit-object-pics"> <h2>Фотографии</h2> <div id="fragment-2"> <?php $o_form = new Dune_Form_Form(); $o_form->setMethod(Dune_Form_Form::METHOD_POST); $o_form->setEnctype(Dune_Form_Form::ENCTYPE_MULTI); echo $o_form->getBegin(); $o_hidden_do = new Dune_Form_InputHidden('_do_'); $o_hidden_do->setValue('save_floor'); echo $o_hidden_do; $o_submit = new Dune_Form_InputSubmit('Сохранить'); $o_submit->setValue('Сохранить'); ?> <div id="float-list"> <input name="id" type="hidden" value="<?php echo $this->data['id'] ?>" /> <?php $count = 0; foreach ($this->photos as $key => $file) { $count++; ?> <dl class="float-dl"><dt> <?php echo $key?>. <a href="<?php echo $file->getSourseFileUrl() ?>" target="_blank"> <img src="<?php echo $file->getPreviewFileUrl(400); ?>" /> </a> </dt> <dd> <input type="file" name="pic[<?php echo $key?>]"> </dd></dl> <?php } ?> </div> <?php if ($count < 1) { ?> <p>Добавить картинку: <input type="file" name="new"></p> <?php }?> <p>Удалить: <a href="<?php echo $this->command_path_edit?>?_do_=delete_floor&id=<?php echo $this->data['id'];?>">Да</a></p> <?php echo $o_submit->get();?> </form> </div> </div>
How to find component dependencies in noflo Noflo seems to magically find components as long as they are installed. For example, this one: # Read a file 'package.json' -> IN Read(ReadFile) # Split the file contents by newlines Read() OUT -> IN Split(SplitStr) # Count the packets Split() OUT -> IN Count(Counter) # Send the total count to display Count() COUNT -> IN Display(Output) # Display also file read errors Read() ERROR -> IN Display() Is there any notion of a namespace? This will not run for me, initially because I did not install the strings package and it could not find the SplitStr component. How could I know that this is the package that I am missing? Now it doesn't work because it doesn't know the Counter component. In general, how can I figure out which package is required by each component? Typically component names are in the format libraryname/ComponentName. The older, non-namespaced syntax also works for backwards compatibility reasons but isn't recommended for new graphs. The list of available components along with the packages they belong to can be found here: http://noflojs.org/component/
[Congressional Record Volume 158, Number 66 (Thursday, May 10, 2012)] [Extensions of Remarks] [Page E764] PERSONAL EXPLANATION ______ HON. BILL PASCRELL, JR. of new jersey in the house of representatives Thursday, May 10, 2012 Mr. PASCRELL. Mr. Speaker, I missed the following eleven rollcall votes. Had I been present I would have voted: 1. Aye--Peters (D MI)--Page 3, Line 10- Adds $9 million for International Trade Administration offset by cuts to Cross Agency Support--NASA. 2. Nay--Broun (R GA)--Page 3, Line 10--3% cut to Salaries and Expenses/Administrative Accounts, $847 million, and puts the savings into the Spending Reduction Account. 3. Nay--McClintock (R CA)--Page 3, Line 10--Cuts $277.8 million from the International Trade Administration and puts the savings into the Spending Reduction Account. 4. Aye--Michaud (D ME)--Page 5, Line 17--Adds $38 million Economic Development Administration, offset: Census Account. 5. Nay--Pompeo (R KS)--Amendment No. 3--Cuts funding for the Economic Development Administration, $219.5 million, and puts the savings in the Spending Reduction Account. 6. Nay--Scalise (R LA)--Page 6, Line 7--Reduces spending and overhead of Economic Development Administration and the Department of Commerce to FY08 levels and reduces the Deficit by $18.2 million. 7. Nay--Quayle (R AZ)--Page 11, Line 18--Eliminates funding for Advanced Manufacturing Technology Consortia, $21 million, and puts the savings into the Spending Reduction Account. 8. Nay--Harris (R MD)--Amendment No. 10--Strikes $542,000 increase from National Oceanic and Atmospheric Administration Climate Website and puts the savings into the Spending Reduction Account. 9. Aye--Grimm (R NY)--Page 13, Line 2- Adds $18 million for Regional Information Sharing Systems, offset: National Oceanic Atmospheric Administration Climate Services. 10. Nay--Broun (R GA)--Page 15, Line 13--Cuts $15 million from the Pacific Coastal Salmon Recovery account and puts the savings into the Spending Reduction Account. 11. Aye--Runyan (R NJ)--Page 21, Line 23--Transfers $22.4 million from Department of Justice General Administrative Expenses to the Byrne Memorial Justice Program. ____________________
WDM Signal Detector ABSTRACT A detector includes a light detecting layer and a grating structure. The light detecting layer, which can be a photodiode, has an optical mode that resonates in the light detecting layer, and the grating structure is positioned to interact with the optical mode. The grating structure further couples incident light having a resonant frequency into the optical mode, and causes destructive interference to prevent light having the resonant frequency from escaping the detecting layer. The light detecting layer can be made transparent to light having other frequencies, so that a stack of such detectors, each having a different resonant frequency, can be integrated into a WDM detector that is compact and efficient. CROSS-REFERENCE TO RELATED APPLICATION The present application claims priority from provisional application Ser. No. 61/125,927, filed Apr. 30, 2008, the contents of which are incorporated herein by reference in their entirety. BACKGROUND Systems for detecting and demodulating wavelength division multiplexed (WDM) optical signals have conventionally deployed one of two approaches. One approach spatially separates the frequency components of the optical signal using an optical element such as a diffraction grating so that the frequency components traverse physically different paths to separate detectors. The separate detectors can then decode, demodulate, or otherwise convert the separated frequency components into respective electrical signals. A disadvantage of this approach is that the system must be relatively large to provide space for the separate optical paths and detectors. Another approach employs an array of detectors that are individually much smaller than the cross-section of the WDM signal. A different filter is positioned adjacent to each detector, so that each detector demodulates or converts only a single frequency component that the adjacent filter passes. A disadvantage of this approach is waste of optical power. In particular, the WDM signal must have a cross-section that is large enough to cover the area of multiple detectors, and each detector uses only a small fraction of the light incident on the area of the detector. Resonant grating waveguide structures have been of interest for light separation and filtering. These structures employ gratings to couple specific wavelengths of incident light into waveguides. A simple configuration for a resonant grating waveguide includes a waveguide layer and a grating layer. The grating layer transmits a part of an incident light beam and diffracts a part of the incident light beam. The diffracted part enters the waveguide layer but through interaction with the grating layer can diffract out of the waveguide layer and interfere with the directly transmitted light. A resonant grating waveguide structure is designed to have a “resonance” such that incident light having a resonant frequency is coupled into the waveguide structure with high efficiently, while incident light at a non-resonant frequency passes through waveguide structure unaltered. The resonant frequency and the bandwidth of the resonance generally depend on the features of the grating and the waveguide layer. However, the bandwidth can be made sufficiently narrow for use in optical filters or separators. A detector for WDM signals is desired that is compact and efficient in the use of optical power. SUMMARY In accordance with an aspect of the invention, a detector includes a light detecting layer and a grating structure. The light detecting layer has an optical mode that resonates in the light detecting layer, and the grating structure is positioned to interact with the optical mode. The grating structure also couples incident light having a resonant frequency into the optical mode and causes destructive interference to reduce or prevent transmission of light with the resonant frequency through the light detecting layer. The detector can be made transparent to non-resonant frequencies, so that a stack of such detectors, each having a different resonant frequency, can be integrated into a compact WDM detector. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1A and 1B respectively show a cross-sectional view and a top view of a detector layer in accordance with embodiments of the invention using a PN junction. FIG. 2 shows a cross-sectional view of a detector layer in accordance with embodiments of the invention using a PIN junction. FIG. 3 shows a cross-sectional view of a detector layer in accordance with embodiments of the invention using a PIN junction with quantum wells. FIG. 4 shows a cross-sectional view of a stacked wavelength division multiplexed signal detector in accordance with an embodiment of the invention. Use of the same reference symbols in different figures indicates similar or identical items. DETAILED DESCRIPTION In accordance with an aspect of the current invention, a wavelength division multiplexed (WDM) signal detector can include a stack of detector layers with each detector layer confining a different optical mode and measuring a WDM signal component corresponding to the confined mode. Each detector layer may contain a grating structure able to separate a target frequency component from incident light and confine the separated frequency component in an optical mode residing in or around a photodiode or other light detecting structure. In particular, the resonant light separated from a WDM signal can be trapped for a prolonged period of time (determined by the quality of the resonance) or equivalently can produce a greatly enhanced electric field in the vicinity of the detecting structure. Since only the resonant frequency of light is trapped, the efficiency of the detecting structure for detection of the light having the resonant frequency will be greatly enhanced compared to the efficiency at which non-resonant wavelengths are detected. Each detector layer in a stack can be further designed to transmit most non-resonant light to lower layers of the stack and to absorb most of the resonant light. As a result, different wavelength components of an incident WDM signal are detected at different depths in the stacked WDM detector. The WDM signal is not required to have a beam cross-section that is larger than the area of the WDM detector, and the WDM detector efficiently uses a high percentage of the incident light having each of the resonant frequencies. FIG. 1A shows a cross-section of a detector layer 100 in accordance with an embodiment of the invention. Detector layer 100 includes a grating structure 110 and a detecting structure 120 that may be constructed from multiple layers formed using integrated circuit processing techniques. In operation, a light beam I, which is incident on detector layer 100, may contain light of multiple frequencies but particularly contains light having a frequency sometimes referred to herein as the resonant frequency of detector layer 100. As described further below, detector layer 100 is designed to be highly efficient at detecting light that has the resonant frequency and at transmitting light having other frequencies. Light beam I is preferably a collimated beam but more generally has a beam divergence angle that is within the acceptance angle of detector layer 100. Generally, structures having a broad resonance will have large acceptance angle. Beam I also has an angle of incidence selected according to design of the detector layer 100, but in a typical configuration, light beam I would be incident normal to the surface of detector layer 100 Light beam I is illustrated in FIG. 1A as being incident at an angle that helps to conceptually illustrate interfering rays. Grating structure 110 is transparent but creates a refractive index variation in a pattern with dimensions selected to create interference effects at least for the resonant frequency of detector layer 100. FIG. 1 shows patterned structure 110 as being a separate layer overlying detecting structure 120, but patterned structure 110 may alternatively be incorporated within or underlie detecting structure 120. For example, grating structure 110 may incorporated in detecting structure 120 as a set of holes or doped or oxidized regions, so that an additional or separate layer is not required for grating structure 110. In an exemplary embodiment, grating structure 110 is a transparent diffraction grating. FIG. 1B illustrates a top view of detector layer 100 in an embodiment where grating structure 110 is a rectangular array of regions having a refractive index that differs from the refractive index of surrounding material. Other types of grating patterns could also be employed. For example, a grating with a hexagonal lattice could be used. A line grating might be employed in an embodiment where detector layer 100 measures light with a specific polarization and specific frequency since interference effects of a line grating differ depending on the orientation of a linear polarization of incident light relative to the direction of lines forming the grating. In general, any structure providing a periodic perturbation in refractive index could be used. The pattern required for grating structure 110 can be formed using conventional integrated lithographic masking techniques for patterned etching, doping, oxidation, or other treatment of detecting structure 120 or a separate layer formed above or below detecting structure 120. Grating structure 110 splits incident light I into directly transmitted light T and diffracted light D as shown in FIG. 1A. Detector layer 100 is generally made thinner than a wavelength of the light of interest, so that the amount of light reflected from detector layer 100 is small. Transmitted light T passes through detecting structure 120, but detecting structure 120 is surrounded by material 150 and 160 having a lower refractive index so that diffracted light D can be trapped in a confined optical mode in and around detecting structure 120. Grating structure 110, which is located in detecting structure 120 or outside detecting structure 120 in an evanescent field of the confined optical mode, interacts with diffracted light D causing secondary diffraction. This secondary diffraction directs light S out of detecting structure 120. Directly transmitted light T and secondary diffracted light S, which exit detecting structure 120 can interfere. For light of the resonant frequency of detector layer 100, combination of transmitted light T and secondary light S results in complete destructive interference, so that the escape of light of the resonant frequency through the bottom surface detector layer 100 is limited or prevented. Detector layer 100 thus has a confined mode where light of the resonant frequency remains in detecting structure 120 for an extended period. Light having non-resonant frequencies are not confined in detecting structure 120 and are transmitted through detector layer 100. The dimensions, pattern, and refractive index of grating structure 110 and the thickness and refractive index of detecting structure 120 determine the relative amplitude and phase of transmitted light T and secondary diffracted light S and can be selected to create a resonance at a desired frequency. Rules for selecting the structural parameters need to produce a desired resonance are substantially the same as those known for resonant grating waveguide structures. For example, resonant grating structures such as described by T human et al., “Controlling the Spectral Response in Guided-Mode Resonance Filter Design,” Applied Optics, Vol. 42, No. 16, pp 3225-3233, (2003), which is hereby incorporated by reference in its entirety, could be altered to use detecting structures 120 in place of waveguides. Detecting structure 120 in detector layer 100 is a photodiode including a layer 122 of P-type semiconductor material that forms a PN junction 124 with a layer 126 of N-type semiconductor material. Detecting structure 120 can alternatively employ other light detecting structures that provide similar optical characteristics, e.g., the same optical path length. The total thickness of detecting structure 120 is selected according to the desired resonant frequency of detector layer 100 as described above but typically will be a fraction of a wavelength or about 10 nm to 100 nm for visible light. Trapping of the incident light of the resonant frequency in a confined mode in and around detecting structure 120 greatly enhances the efficiency with which detecting structure 120 absorbs light of the resonant frequency. The enhancement of detection efficiency of the resonant wavelength results because the confined light produces a strong electric field in detecting structure 120 or equivalently, because photons that are trapped in detecting structure 120 have more time to cause photoelectric effects in detecting structure 120. Detector layer 100 is thus highly efficient at absorbing and detecting light having a frequency corresponding to the resonant frequency of detector layer 100. Generally, a best condition for absorption comes at a critical coupling, where the rate of energy transfer between the incident light mode I and the confined mode is equal to the rate of energy dissipation, including scattering and absorption, of the confined mode. Under the critical coupling, the resonant component of the incident light beam will be completely dissipated in detecting structure 120. If the rate of absorption is on the order of the scattering rate by grating structure 110, almost 100% of the incident resonant light can be absorbed in detecting structure 120 to create electron hole pairs. Electron-hole pairs created in detecting structure 120 are swept to respective electrodes by the biasing of junction 124. Detector layer 100 when connected to a circuit (not shown) through contact structures 130 and 132 can thus generate a current having a magnitude that indicates the intensity of the component of incident light having the resonant frequency. One fabrication process for detector layer 100 begins with growing or depositing a layer of N-type silicon to form semiconductor layer 126. Semiconductor layer 126 would generally be grown on a substrate 150 that provides a base layer of a material such as silicon dioxide having a refractive index lower than that of layer 126. Thin layers of semiconductor material such as Ge, SiGe, In_(x)Ga_(1-x)As_(y)P_(1-y) (where x, y depends on the desired resonant wavelength) with insitu doping can be grown or deposited with tightly controlled thicknesses in the required range (e.g., 10 nm to 100 nm) using known techniques such as LPCVD, MOCVD, MBE. The pattern of grating structure 110 or detecting structure 120 may be fabricated using techniques such as photolithography, electron-beam lithography, and nanoimprintlithography, but other techniques could alternatively be used. Layer 126 can uniformly cover an area for reception of light and may further extend laterally for contact structure 130. P-type semiconductor is deposited on layer 126 to form semiconductor layer 122 and to create the PN junction 124. Like layer 126, layer 124 can uniformly cover the area for reception of light and may extend laterally for electrical contacts 132. A layer of dielectric (such as Si₃N₄) about 5 nm to 500 nm thick can then be deposited on layer 122 and patterned using photolithography, electron-beam lithography, nanoimprintlithography, etching, or other suitable processes to form grating structure 110. Alternatively, grating structure 110 can be formed by patterned, etching, oxidation, or other treatment of layer 122 or 114. An insulating material 160 such as an oxide which has a refractive index that is lower than semiconductor layer 122 and different from grating structure 110 can then be deposited on grating structure 110 and semiconductor layer 122. Conventional processing techniques can form electrical contacts 130 and 132 through insulating material 160 to provide electrical connections respectively to layers 126 and 122. FIG. 2 shows a cross-sectional view of a detector layer 200 employing a grating structure 210 within a detecting structure 220. In the embodiment of FIG. 2, detecting structure 220 is a PIN photodiode including a P-type semiconductor layer 222, an intrinsic semiconductor layer 224, and an N-type semiconductor layer 226. Grating structure 210 is formed in detecting structure 220 and particularly in intrinsic semiconductor layer 224 in the illustrated embodiment. Grating structure 210 could alternatively be in dope semiconductor layer 222 or 226. One fabrication process for detector layer 200 begins with depositing a layer of N-type silicon or other suitable semiconductor material to form semiconductor layer 226 on a substrate (not shown) that provides a base layer of a material such as silicon dioxide having a refractive index lower than that of layer 226. Intrinsic layer 224 can then be deposited on layer 226, and grating structure 210 can be formed in semiconductor layer 226 using a variety of alternative techniques. With one approach, a pattern of openings are etched in layer 226 and filled with a material having a refractive index that differs from that of layer 226. Alternatively, areas of intrinsic semiconductor layer 224 can be oxidized, doped, or otherwise treated to alter the refractive index in the regions corresponding to grating structure 210. For example, oxygen ion implantation, which is similar to SIMOX technology for SOI, can be use to create oxide regions. P-type silicon is then grown or deposited on intrinsic layer 224 to form layer 222. Chemical mechanical polishing (CMP) can be applied during the fabrication process to improve planarity if necessary. FIG. 3 shows a cross-sectional view of a detector layer 300. Detector layer 300 includes a grating structure 210, a P-type semiconductor layer 222, an intrinsic semiconductor layer 224, and an N-type semiconductor layer 226 that are substantially as described above in regard to FIG. 2. Detector layer 300 differs from detector layer 200 in that detecting structure 320 of detector layer 300 includes quantum wells 328 in intrinsic layer 224. As is known in the art, quantum wells 328 are regions having lower energy quantum states for electrons or holes, and the presence of quantum wells 328 can increase the detection efficiency of detecting structure 320 by providing regions with a high concentration of electron/hole states that are accessible at the energy of the photons to be detected. FIG. 4 illustrates an example of a WDM detector 400 in accordance with an embodiment of the invention containing multiple detector layers 410-1 to 410-N, generically referred to herein as detector layers 410. Each detector layer 410 may be structurally the same as a detector layer 100, 200, or 300, as described above. Detector layers 410 are formed in a stack on a substrate 420 and separated from each other by layers of transparent insulating material 430. Contact structures 440 are formed through insulator material 430 for electrical connection to detector layers 410. Detector layers 410 differ from each other in dimensions (e.g., thickness or grating structure) or composition so that each of detector layers 410-1 to 410-N has a different resonant wavelength. In particular, if detector 400 is designed to detect or demodulate a WDM optical signal 450 containing components with frequencies f₁ to f_(N), detector layers 410-1 to 420-N have resonances respectively corresponding to frequencies f₁ to f_(N). In operation, WDM signal 450 is directed onto to the surface of detector 400 and passes into a first detector layer 410-1. Detector layer 410-1 captures the component of signal 450 having frequency f₁. Detector layers 410 are all thin (e.g., less than the wavelengths of light in WDM signal 450) and are nearly transparent to non-resonance frequencies. In particular, detector layer 410-1 is transparent the components of WDM signal 450 having frequencies f₂ to f_(N). Detector layer 410-1 when connected to an external circuit thus can produce a signal that is proportional to the intensity of the light component having frequency f₁. The components of WDM optical signal 450 having the other frequencies f₂ to f_(N) are absorbed at different depths in respective detector layers 410-2 to 410-N, so that detectors 410-2 to 410-N generate signals that respectively indicate the intensity of WDM signal components with frequencies f₂ to f_(N). WDM detector 400 has several advantages. In particular, WDM detector 400 is compact and can be fabricated using integrated circuit manufacturing techniques, rather than requiring assembly of separate optical components such as filters or separators with electrical components such as photodiodes. WDM 400 also enables efficient signaling with a WDM optical signal having a beam profile that is smaller than the area of detector 400, and a large portion of the optical energy is converted to electrical signals. Although the invention has been described with reference to particular embodiments, the description is only an example of the invention's application and should not be taken as a limitation. For example, particular orders of layers and doping for specific embodiments of the invention have been described, but it will be understood by those skilled in the art that those configurations can be changed. Various other adaptations and combinations of features of the embodiments disclosed are within the scope of the invention as defined by the following claims. 1. A detector comprising: a first light detecting layer having a first optical mode that resonates in the first light detecting layer; and a first grating structure positioned to interact with the first optical mode, wherein the first grating structure couples incident light having a first resonant frequency into the first optical mode, and causes destructive interference to limit transmission of light with the first resonant frequency through the first light detecting layer. 2. The detector or claim 1, wherein the first light detecting layer comprises a P-type semiconductor layer and an N-type semiconductor layer that form a photodiode that absorbs light from the first optical mode. 3. The detector of claim 1, wherein the first light detecting layer comprises a P-type semiconductor layer, an intrinsic semiconductor layer, and an N-type semiconductor layer that form a PIN photodiode that absorbs light from the first optical mode. 4. The detector of claim 3, wherein the first light detecting layer further comprises quantum wells in the PIN diode. 5. The detector of claim 3, wherein the first grating structure resides in the intrinsic layer. 6. The detector of claim 1, wherein layers adjacent to the first light detecting layer have refractive indices that are lower than a refractive index of the first light detecting layer for confinement of the first optical mode. 7. The detector of claim 1, wherein the first light detecting layer has a thickness that is less than a wavelength of light having the first resonant frequency. 8. The detector of claim 1, wherein the first grating structure resides in an evanescent field of the first optical mode confined by the first light detecting layer. 9. The detector of claim 1, wherein the first grating structure lies within the first light detecting layer. 10. The detector of claim 1, further comprising: a second light detecting layer able to confine a second optical mode; and a second grating structure positioned to interact with the second optical mode, wherein the second grating structure couples into the second optical mode incident light having a second resonant frequency that is different from the first resonant frequency, and the second grating structure causes destructive interference to limit transmission of light with the second resonant frequency through the second light detecting layer. 11. The detector of claim 10, wherein the first light detecting layer and the second light detecting layer are stacked so that incident light having the second resonant frequency passes through the first light detecting layer to reach the second light detecting layer. 12. A WDM detector comprising a plurality of detector layer that are stacked with intervening transparent insulator layers, wherein each of the detector layers comprises: a light detecting structure able to confine a resonant optical mode of the detector layer; a grating structure positioned to interact with the resonant optical mode of the detector layer, wherein the grating structure couples incident light having a resonant frequency of the detector layer into the resonant optical mode of the detector layer, and causes destructive interference to limit transmission of light with the resonant frequency through the light detecting structure, wherein: the resonant frequency of the detector layers differ from each other. 13. The detector of claim 12, wherein each of the detector layers is transparent to light having frequencies other than the resonant frequency of the detector layer. 14. The detector of claim 12, wherein each of the light detecting structures comprises semiconductor layers that form a photodiode.
polkit prompt shows multiple users On 19.09, when you pkexec on NixOS with default settings and your user being part of unix group wheel, you get a prompt whether you want to get admin capabilities via your user or the root user. This is somewhat inconvenient, because you always have to choose the user by clicking on it (or pressing a number key in the terminal); on other systems like Ubuntu, it somehow figures out that these two are identical and prompts immediately for the password. In https://github.com/NixOS/nixpkgs/blob/a7aa5dbb194edff49f045882225b7568737611dd/nixos/modules/security/polkit.nix#L45 we have both [ "unix-user:0" "unix-group:wheel" ] as the default. Setting it to [ "unix-group:wheel" ] only generates only 1 prompt. What is the first one good for, should we just remove it from the default, given that adding users to the wheel is the default way of "making them admins"? Or do we somehow want to depart from the unix group approach of making people admins? These are the patches used in ubuntu and also debian https://git.launchpad.net/ubuntu/+source/policykit-1/tree/debian/patches The default upstream for addAdminRule is polkit.addAdminRule(function(action, subject) { return ["unix-group:wheel"]; }); So seemingly ubuntu and many other distro's aren't doing what we are doing. We use polkit.addAdminRule(function(action, subject) { return ["unix-user:0", "unix-group:wheel"]; }); for probably a specific reason at some point in time. It appears to have been this way for a long time https://github.com/NixOS/nixpkgs/commit/7980c71d9c56cc0b922f8bf27c24141657c4b9ca My recommendation is we just use the default way it is in polkit. As far as I can tell, given that adding users to the wheel is the default way of "making them admins" is how it's done in NixOS. These are the patches used in ubuntu and also debian Right, most notably https://git.launchpad.net/ubuntu/+source/policykit-1/tree/debian/patches/05_revert-admin-identities-unix-group-wheel.patch?id=e82a9d8125f9d70cea47044d2fb4637ff6305725 which does -AdminIdentities=unix-group:wheel +AdminIdentities=unix-user:0 saying On Red Hat derivatives, every member of group 'wheel' is necessarily privileged. On Debian derivatives, there is no wheel group, and gid 0 (root) is not used in this way. Change the default rule to consider uid 0 to be privileged, instead. My recommendation is we just use the default way it is in polkit. Seems reasonable to me as well
Is there a word for an educated person who doesn’t use it? My daughter it doing a report and needs a word for a highly educated person who does not use the education for the greater good of himself/herself or society. Any suggestions? Hi Donna, Welcome to EL&U. What has she come up with so far? Nothing so far. The teacher said they were unable to use the word ‘sloth’. I suggested ‘unemployed ‘ but that isn’t what she was looking for. "under-achiever" is a relatively polite and not terribly judgmental way of desribing a person who doesn't employ all their resources to their fullest. It is not precisely tied to education and, in my opinion, more generally used with aptitude underutilized. Another, which I am also not submitting as an answer because it just misses, is Dilettante https://dictionary.cambridge.org/us/dictionary/english/dilettante . It has more of the pejorative sense of ... er say poorly applied knowledge, but it is more about careless completeness of education that would preclude it being useful even if applied. Noun or adjective? Please edit your question to add an example sentence as required for single-word-requests. Possible duplicate of What do you call someone who isn't doing their calling in life? Merriam Webster unproductive: —not effective in bringing something about: not yielding results, benefits, or profits Used to merely make an unbiased statement of productivity notwithstanding the many possible complex reasons for such which may range from a lack of motivation, burnout, or mental depression.
Angular-cli witch es6 Hi. At the beginning I want to apologize for my bad English. I have a question. Builds advanced applications rest. I use your great angular-cli and I wanted to use the new features of ECMAScript6, for example http://es6-features.org/#GeneratorFunctionDirectUse . My WebStorm showed errors js version. So I set versions of java script on ECMAScript 6. Then also there were errors in the compilation of typescript. So I set file tsconfig target to ES6, and now everything works as it should, the new function ES6 are supported, and so far I do not have any errors. But I doubt whether it's certainly good to use ES6 and why at this moment is set by default ES5? Can you explain this to me? Best Regards Andrew Hi @andrzejpakula I'm afraid proper support for generators needs to come to TypeScript first. There's nothing CLI specific to be expected other than testing the newer version when it comes out, and officially use it by default. We are talking several months here most likely. Allow me to explain why, and feel free to ask if there's anything not obvious: TypeScript Angular CLI supports TypeScript only. TypeScript supports most features of ES6, but not in every target output. It does support generators but only when targeting ES6, not ES5. The support for generators when targetting ES5 is planned but not yet implemented. Ref: https://github.com/Microsoft/TypeScript/issues/3975#issuecomment-266509574 ES6 You cannot change the output of TypeScript to ES6 unless you only target a browser that fully supports ES6 (latest Chrome maybe?) Because Angular CLI does not apply any further compilation to the output of TypeScript. What To Expect So, most likely you'll need to wait until: TypeScript releases a new version that supports generators when targeting ES5 Angular CLI supports that new TypeScript version (At the moment TypeScript latest version is 2.1.x, but Angular itself has an issue with that, which is why Angular CLI only supports TypeScript 2.0.x) As mentioned above, I'm afraid we are probably talking several months here, and nothing specific for the issue from the CLI side. Sorry.
Treatment for patients with severe renal failure has been improved, especially with better surgical procedures and pharmaceutical management. Nevertheless, since these patients require immunosuppressive therapy, they are vulnerable to developing postoperative infections. Among these, urinary tract infections (UTI) are the most frequently observed and have high morbidity \[[@B1]-[@B3],[@B5],[@B6]\]. UTI was observed in 54.2% of 149 patients in Iran \[[@B7]\]. The frequency of UTI has varied between studies. A study in Tunisia has reported a UTI incidence of 43% within the first month and 33% for up to 6 months<EMAIL_ADDRESS>France, Pellé et al \[[@B9]\] have reported UTI in 77% of 177 patients who had undergone kidney transplantation. UTI after kidney transplantation has been associated with patient mortality and graft failure \[[@B10]\]. Nampoory et al \[[@B11]\] have recommended surveillance for UTI for a period of 6 months, to diminish the risk of renal failure. Charfeddine et al. \[[@B8]\] have reported that UTI was observed in all patients with graft rejection and 58% of patients without rejection.. Akinalow et al \[[@B12]\] have described UTI as an important risk factor for mortality in kidney transplantation patients. Cytomegalovirus infections have been well documented as an important cause of graft rejection \[[@B7]\], therefore, recipients always receive antiviral therapy, before and after surgery for prophylaxis. In addition, bacterial infections have high morbidity and mortality and should not be discounted \[[@B7]\]. Several species of bacteria that cause UTI in kidney transplant patients have been isolated. *Escherichia coli*has been reported as the main uropathogen isolated in UTI among transplant patients in studies in Spain, India and Kuwait \[[@B11],[@B13]-[@B15]\]. *Klebsiella*spp., *Pseudomonas*spp., including *P. aeruginosa*, and multiresistant isolates *of E. coli*, may by important etiological agents of these infections Gram-positive bacteria may also be important etiological agents of UTI, and the most frequently isolated have been *Enterocooccus*spp. and *Staphylococcus aureus*\[[@B4],[@B11],[@B14]-[@B17]\]. Less frequently, *Corynebacterium urealyticum*is considered as an etiological agent. Infections caused by *Candida*spp. may be a serious problem in transplant recipients. They might cause infections of the bloodstream that can lead to sepsis. Such infection is caused frequently by catheter colonization and is improved with catheter removal. *Candida albicans*must be diagnosed rapidly because it may have fatal consequences \[[@B18],[@B19]\]. Urinary culture, with microscopic examination and leukocyte esterase stick, has been recommended as a good predictor of symptomatic UTI \[[@B20]\]. Urinary culture in kidney transplant patients has been questionable, as a result of its cost, and it may be replaced with microscopic examination and the leukocyte esterase stick. Nevertheless, it is advisable to carry out urinary culture on kidney transplant patients within the first few months, because of their extreme vulnerability to UTI. Furthermore, urinary culture gives the opportunity of performing an antibiogram, which can lead to appropriate medical treatment. In fact, appropriate antibiotic therapy might give the patients a greater probability of preserving graft function \[[@B21],[@B22]\]. This study aimed to establish the frequency of UTI in kidney transplant patients at Hospital Juárez de México, evaluate the greatest risk factors for developing UTI, and offer the physician and patient a good diagnosis and appropriate antibiotic therapy. This was a prospective, clinical, noninvasive study. Fifty-two patients who underwent kidney transplantation at Hospital Juárez of México between November 1999 and October 2001 were included. Forty-two patients (22 female, 10 male, aged 11-47 years) received a graft from a living related donor and 10 from a deceased donor. A Foley catheter was installed before surgery, and it remains from 10 to 14 days afterwards. After surgery patients received an immunosuppressive treatment with prednisone, cyclosporine and azatioprine. All patients take as prophylactic treatment cefalosporine 2 generation 1.5 g/day for 10 days, aciclovir 400 mg and nitastatine 100 000 u each six hours for three months. Urinary samples were recovered directly from the catheter at 24-48 hours after surgery, and then every third day throughout the hospitalization period. Afterwards, patients were given sterile material and invited to take first morning sterile urine sample to the microbiology research laboratory each then days, when attending the Hospital for its usual medical control or cyclosporine detection levels at the HLA research laboratory. This study commenced following approval of the Research and Ethical Committees of the Hospital Juárez of México. Consent letters were not necessary because this study did not involve any invasive procedures for the patients. Patients were informed orally about the study and voluntary participation was offered after treatment of UTIs. The required sterile specimens were provided by the microbiology research laboratory and the cultures did not incur any financial costs for the patients. Qualitative urinary cultures were done on blood agar, MacConkey agar and Biggy agar. as described previously \[[@B20]\] Cultures were incubated at 37°C for 24 hours. BiGGY plates were incubated for 72 hours. Urinary samples were evaluated with the leukocyte esterase stick, using Multistix 10 SG reagent strips (Bayer Diagnostics, S.A. de C.V., México, D.F.). Microscopic urinary sediment examinations were done after centrifugation of the sample at 1000 g for 15 minutes on a clinical centrifuge (Clay Adams Dynac, Beckton Dickinson Co.).\[Parsippant N.J. 07054\] Epithelial cells, urinary crystals and the number of leukocytes per microscopic field were recorded \[[@B20]\]. Urinary studies were performed every third day and weekly after hospital discharge. It was considered a positive result for Urinary tract infection \[UTI\], when bacterial counts were recorded up to 10^-5^counts, and leukocytes were up to 10 per microscopic field. Positive nitrates value was recorded for Gram negative bacteria. Lower bacterial counts were considered as bacteriuria, and they were not considered for the purpose of this study Positive urinary cultures were processed for antimicrobial susceptibility testing on Mueller-Hinton agar plates, using the Kirby-Bauer disk diffusion method, according to the NCCLS specifications. Gram-negative bacteria were evaluated against ciprofloxacin, aztreonam, ampicillin, gentamicin, cefoperazone, arbenicillin, kanamycin, tetracycline, norfloxacin, aztreonam, trimethoprim-sulfamethoxazole, netilmicin and fosfomicin. *Enterococcus*spp. were evaluated against ampicillin, cefotaxime, penicillin, erythromycin, ceftriaxone, trimethoprim-sulfamethoxazole, and vancomycin. Susceptibility tests were performed employing commercial Sensi-Discs from BD-BBL, Becton Dickson Company Sparks, MD 21152, USA. Studies were evaluated with the *Χ*^2^test. Statistical analysis was performed with Graph-Pad version 2.01 software. Patients were evaluated for UTI from 3 to 150 days (mean 40.75 ± 40.0, arithmetic mode 40 days) after surgery. Four patients could not continue their evaluation beyond the first period as they lived outside Mexico City Other patients, continued voluntarily with microbiological evaluation for up to 3 months. UTIs were recorded in 19/52 (37%) patients. UTI was present as early as day 3 and as late as day 75, with a mean of 19.5 days. Reinfection was observed in 7/19 (36.8%) patients with previous UTI, from day 17 to 65. These infections were more frequent among patients who received a deceased graft compared with a graft from a live donor, as it is shown on Figure [1](#F1){ref-type="fig"}. Female patients were more susceptible to UTI than male patients (11/22, 50% *vs*. 8/30, 22%; p = 0.045). Forty-nine of 52 patients (94.5%) survived for up to 5 years. The graft exit monitoring up to 7 is descrived on (Table [1](#T1){ref-type="table"}). Rejetion within UTI or not UTI, was higher, but these results were not statistically significant (p = 0.2518) (Table [2](#T2){ref-type="table"}). ::: {#F1 .fig} **Urinary tract infections with cadaveric or living donors**. Frequency of UTI among patients who received a deceased or live donor grafts. p = 0.007 (*Χ*^2^test) ::: {#T1 .table-wrap} Characteristics of patients with renal allograft. *Characteristics of the evaluated group* *Number of cases* *Percentage* ***Significant Χ***^***2***^***test*** ------------------------------------------ ------------------- -------------- ---------------------------------------- Women with UTI 11 \[22\] 50% Men with UTI 8 \[30\] 22% p = 0.042 Deceased donor with UTI 7 \[10\] 70% Alive donor with UTI 12 \[42\] 28% p = 0.007 Rejection with UTI 3 \[33\] 9% Rejection without UTI 2 \[19\] 10.5% N.S. p = 0.46 Patient survival after 5 years 49 \[52\] 94.23% Graft function survival to present day 47 \[52\] 90.38% Death and UTI 0 \[5\] 0% ::: {#T2 .table-wrap} Patients with UTI and kidney allograft loss. *Allograft survival to present* *Allograft rejection.* (% ) ----------------- --------------------------------- ------------------------ ------- With UTI 14 3 21.0% **Without UTI** 33 2 6.06% *Χ*^2^test; N.S. p = 0.1709 The first infections developed were caused mainly by *E. coli, C. Albicans*, *Enterocoocus*spp.*, and Enterobacteriaceae*(Table [3](#T3){ref-type="table"}). The secondary infections were caused mainly by *Enterococcus*spp. (3/7, 47%), *E. coli*(2/7, 28.5%) and *Micrococcus*spp. (1/7, 14.28%). ::: {#T3 .table-wrap} Isolated microorganisms from UTI from kidney transplant patients. *Isolated strains* *No. of cases* *Frequency (%)* ---------------------------------- ---------------- ----------------- E. coli 6 31.579 Candida spp. 4 21.053 Enterococcus spp. 2 10.526 A. calcoaceticus var. Anithratus 1 5.263 E. cloacae 1 5.263 K. oxytoca. 1 5.263 K. pneumoniae 1 5.263 M. morganii 1 5.263 P. aeruginosa 1 5.263 Micrococcus spp. 1 5.263 Total 19 100.000 The most recommended antibiotics for kidney transplant patients were ciprofloxacin and ampicillin. Ciprofloxacin resistance was observed among 22% of isolated strains, and ampicillin resistance among 33% of the isolated Gram-negative bacteria. Therapeutic alternatives for these cases were aztreonam, trimethoprim-sulfamethoxazole, netilmicin and fosfomycin. A multiresistant *Enterococcus*was recovered, which was sensitive only to vancomycin. This work demonstrated that, despite prophylactic treatment of kidney transplant patients, UTI was a major postoperative complication. Nineteen of 52 patients (37%) developed at least one episode of UTI. These results are similar to those of Kanisauskaite et al \[[@B23]\], who reported UTI in 37% of 57 patients, and Memikoglu \[[@B4]\], who found UTI in 41% of 136 patients in Turkey. However, these numbers of patients are lower than those reported by Poumard et al \[[@B7]\], who found UTI in 54% of 179 patients in Iran. These works state up the need of including studies of infectious diseases besides the renal function tests after kidney transplantation. In the present study, we found that patients who received a deceased graft were more susceptible to UTI, compared with a graft from a living related donor (70% *vs*. 28%), and may reflect an asymptomatic infection in the cadaver donor which developed in the immunosuppressed patient; in contrast, live donors are evaluated carefully before surgery. Similar results have been reported by Midtvedt et al \[[@B24]\], who reported that deceased kidney recipients had higher rates of infections. In the present study, female sex was found to be a risk factor for UTI, as reported previously \[[@B4]\]. It has been proposed that surveillance of UTI in kidney transplant patients should be performed over a long period. Kumar et al \[[@B21]\] have suggested evaluation over the first 100 days, and Dupont et al \[[@B25]\] have reported that late UTI may damage renal allografts at more than a year after surgery. We found UTI between 3 and 75 days after surgery, therefore, we confirm that surveillance should be carried out for 3 months after kidney transplantation. Our patients have continued to the present day with evaluation of cyclosporine levels at the HLA research laboratory, as well as medical evaluation. The 5-year survival was 94.5% (49/52 patients), and 47 patients have survived to the present. The graft exit up to seven years was 47/52 \[90.2%\]. This result is higher than that reported by Kanisauskaite et al \[[@B23]\] in Lithuania, who had 85% of kidney survival exit. In our patients, *E. coli*was the main agent that caused UTI after kidney transplantation,\[table [3](#T3){ref-type="table"}\] and the infective agent in one of the three patients who suffered kidney allograft rejection, which is similar to several other studies from around the world \[[@B4],[@B11],[@B14],[@B15],[@B19]\]. The second major cause of infection in our patients was *C. albicans*, which was cultured from four patients, two of whom developed reinfection with *Enterococcus*spp. and *E. coli. C. albicans*infection in our patients was more common than that observed by Valera et al in Spain \[[@B15]\]. *Candida*infection can have serious consequences if it is not detected early. Catheter removal and amphotericin B is a good therapeutic option for these patients \[[@B18]\]. Unfortunately, one of the four patients infected with *Candida*spp. suffered graft rejection before day 10. *S. aureus*is one of the most important microorganisms that causes UTI in transplant patients but it was not observed in the present study \[[@B26],[@B27]\]. Among the Gram-positive bacteria, the main organism observed in our study was D *enterococci*, both in primary and secondary infections. One of the patients infected with this bacterium suffered allograft rejection before day 10. These results are in agreement with previously published studies. Alangaden \[[@B13]\] and Leigh et al. \[[@B26]\], have designated *Enterococcus*spp. as an emerging bacterium that causes symptomatic infections, especially in kidney transplant patients. The cost-benefit of urinary cultures has been questioned, especially in asymptomatic UTI in kidney transplant patients \[[@B28]\]. It is thus advisable to conduct additional studies to evaluate the advantages of performing cultures in all post-transplant patients for UTI surveillance. In contrast, it has been reported that multiresistant bacteria might be cultured from kidney transplant patients as a consequence of prophylactic therapy, therefore antibiograms of the infecting microorganisms have been suggested \[[@B1],[@B3],[@B16]\]. Antibiograms were performed for infective bacteria in our study, in order to offer more appropriate therapy to patients. Antibiograms in these patients are useful to reduce employment of antibiotic therapy on unnecessary cases and to improve antibiotic therapy on kidney transplanted patients. Twenty-two percent of our isolates were resistant to ciprofloxacin, which is used widely in kidney transplant patients, although this was lower than the 50% resistance reported by Senger et al. in 2007 \[[@B3]\]. In the present study, ampicillin resistance was observed in 33% of the Gram-negative strains. The main therapeutic options were aztreonam, trimethoprim-sulfamethoxazole, netilmicin and fosfomycin. Cephalosporin showed intermediate resistance in our study, whereas, Lazinzka et al \[[@B29]\] reported that 90% of Gram-negative strains isolated from kidney transplant patients in Poland were susceptible to ceftriaxone and ceftazidime. These result might explain the failure of the employed prophylaxis in patients developing UTI. In fact, it is known that antibiotic resistance is a frequent medical problem due to the injudicious use of these drugs; however an individual antibiogram, as obtained in this study, gave a good therapeutic alternative to patients who presented with UTI after receiving a kidney allograft. Surveillance of UTI in the first 3 months after surgery, using urinary culture, leukocyte esterase stick and antibiograms, is a good way to reduce the risk of UTI in transplant patients and the exit of the graft function, especially those receiving deceased grafts or female patients. In the present study, 3/19 patients with UTI suffered kidney graft rejection, while only 2/33 patients without UTI had graft rejection. This difference was not statistically significant, which differs from previous studies that have stated that UTI might be a cause of graft rejection \[30\]. Nevertheless, it may be worthwhile to advise patients to continue with their clinical and bacteriological evaluation after surgery. Surveillance of UTIs for the first 3 months is a reasonable option for improving graft function free of kidney infections and assuring the quality of life for the kidney transplant population and the loss of graft function, especially for female patients and those receiving suspicious deceased grafts. Antibiograms give a good therapeutic alternative to patients who present with UTIs after receiving a kidney allograft. All of the authors confirm that do not have any competing interests or financial gain or commercial compromise based on the work in this investigation. RRS carried out the bacteriological studies and antibiograms; DDO performed cyclosporine surveillance and post-hospital interviews; RFP and EGJ performed the urinary cultures and microscopic examinations; ABB and REH carried out the kidney transplant surgery; REH has revised the manuscript. MAA designed the study, performed the statistical analysis and prepared the manuscript. All authors read and approved the final manuscript. The pre-publication history for this paper can be accessed here: We thank L.E. Concepción Gonzalez Juárez for taking patients urinary samples.
FamilySearch Wiki:Wiki Project Arkansas Tasks - Cemetery Intro WikiProject Arkansas Wiki Project Arkansas Tasks - Cemetery Records Intro Description This task is beginner task and requires basic experience with copying and pasting in wikitext. New to the wiki? * See Help to request individual mentoring or to join community discussions. * See Editing Help – Online Video Demonstration. * See Editing Articles – Online Class. Questions about editing this project? * See Wiki Project Arkansas Objectives and Guiding Principles. * See Wiki Project Arkansas Style and Guidelines. '''You may wish to print these instructions before you begin editing. ''' To Print: * Go to the top right-hand corner of the page within the the white area. * Locate the word Print or the icon of a printer. Click on the icon. * Print. Instructions 1. Check the Assignment Chart below to choose a county to edit. * If you have questions about editing, go to wiki help links under the heading Description. 4. Mark the Assignment Chart below to indicate you have finished the task. Part A - Adding the Intro Table 3. Scroll to the heading Cemetery Records. 5. Click on the word wikitext in the toolbox at the top left of the edit box. 9. You are going to copy and paste in wikitext the entire table in task #11. * a. Place your cursor before the first word Cemetery in that sentence. * b. Left click and hold the mouse button down as you highlight the entire table to the sign |} * c. Let go of the left mouse button. Now right click and select COPY. * d. Return to your selected county page. * f. Right click the mouse button and select PASTE. * g. The cemetery table should now be in place on the county page. Continue to step 13. 11. 13. Click on the box "Save Page". The task is complete. 14. Follow the directions in the Assignment Chart section below to report your completed task. Cemeteries See Arkansas Cemeteries for more information. Example of a Completed Section See Jackson County, Arkansas Cemetery Records Assignment Chart If you are accepting this task: * 7. Click on the box "Save Page". * 8. Return to Top of Page If you are completing this task:
Second order linear ODE with polynomial coefficients I am currently stuck at solving an ODE of the form \begin{equation} 0=\psi''(x)+(\varepsilon-(\alpha x^2-\beta)^2)\psi(x) \end{equation} where $\alpha,\beta$ and $\varepsilon$ are real parameters. Anticipating $\psi\sim\mathrm{e}^{-\alpha x^3/3}$ for large $x$, I made the Ansatz $\psi(x) = f(x)\mathrm{e}^{-\alpha x^3/3}$ and obtain the ODE \begin{equation} 0=f''(x)-2\alpha x^2 f'(x)+(\varepsilon-\beta^2+2\alpha\beta x^2-2\alpha x)f(x). \end{equation} Actually, I am looking for a normalized solution $\sim\mathrm{e}^{-\alpha|x|^3/3}$, where extra care needs to be taken around $x=0$. (I am a physics student and not so much concerned about mathematical rigor right now.) But any solution would be a first step of course. I tried a variety of things to solve the second equation: A powerseries approach $f(x)=\sum_{n=0}^\infty a_n x^n$. I obtain\begin{equation}a_{n+2}=\frac{(\varepsilon-\beta^2)a_n-2\alpha(n+1)a_{n-1}+2\alpha\beta a_{n-2}}{(n+2)(n+1)}\end{equation} for $n\geq 2$, which does not look very promising. I also checked A. Polyanins and V.F. Zaitsevs Handbook of Exact Solutions of Ordinary Differential Equations but failed to transform the above ODEs into one given in the book. Wolfram Mathematica was not helpful either. I transformed the equation into an system of first order linear ODEs with $g=f'$. However such an approach is only helpful for constant coefficients, right? I am thankful for any ideas or hints. A particular solution would be very helpful of course, as it would allow me to reduce the order of the ODE and find all solutions. Note that, while $\alpha$ and $\beta$ are given, I expect constraints on $\varepsilon$ for (normalized) solutions to exist, i.e. I would also appreciate a solution for special values of $\varepsilon$, e.g. $\varepsilon=\beta^2$. Sorry for any grammatical errors. Thank you for your help! Is there a reason why you expect a closed form solution to exist? Also, I can't see why the power series approach you took 'does not look very promising'. Whats wrong with it? No, there might be no closed form solution, but I thought the equation looks somewhat standard. Well, the power series approach might be helpful, but I thought the recursion relation is too complicated... Do you think I should try to investigate it further? Well, in my opinion, that isn't a complicated recursion. Unless someone comes up with a clever transformation or finds the result in a book somewhere, I would say the power series is your best choice. You could also compute the solution numerically and see if you can glean any information from it. +1 for the good question by the way. If you consider $ε$ as small against the other term, then the WKB approximation gives basis solutions $(αx^2−β)^{-\frac12}\exp(\pm(\frac13αx^3−βx))$ for large $x$, which in the main is $\exp(\pm\frac13αx^3)/x$. Okay, thank you both for the quick reply. I will check both suggestions. Hint: $\psi''(x)+(\varepsilon-(\alpha x^2-\beta)^2)\psi(x)=0$ $\psi''(x)-(\alpha^2x^4-2\alpha\beta x^2+\beta^2-\varepsilon)\psi(x)=0$ Let $\psi(x)=e^{nx^3}u(x)$ , Then $\psi'(x)=e^{nx^3}u'(x)+3nx^2e^{nx^3}u(x)$ $\psi''(x)=e^{nx^3}u''(x)+3nx^2e^{nx^3}u'(x)+3nx^2e^{nx^3}u'(x)+(9n^2x^4+6nx)e^{nx^3}u(x)=e^{nx^3}u''(x)+6nx^2e^{nx^3}u'(x)+(9n^2x^4+6nx)e^{nx^3}u(x)$ $\therefore e^{nx^3}u''(x)+6nx^2e^{nx^3}u'(x)+(9n^2x^4+6nx)e^{nx^3}u(x)-(\alpha^2x^4-2\alpha\beta x^2+\beta^2-\varepsilon)e^{nx^3}u(x)=0$ $u''(x)+6nx^2u'(x)+((9n^2-\alpha^2)x^4+2\alpha\beta x^2+6nx+\varepsilon-\beta^2)u(x)=0$ Choose $9n^2-\alpha^2=0$ , i.e. $n=\dfrac{\alpha}{3}$ , the ODE becomes $u''(x)+2\alpha x^2u'(x)+(2\alpha\beta x^2+2\alpha x+\varepsilon-\beta^2)u(x)=0$ Let $u(x)=e^{kx}v(x)$ , Then $u'(x)=e^{kx}v'(x)+ke^{kx}v(x)$ $u''(x)=e^{kx}v''(x)+ke^{kx}v'(x)+ke^{kx}v'(x)+k^2e^{kx}v(x)=e^{kx}v''(x)+2ke^{kx}v'(x)+k^2e^{kx}v(x)$ $\therefore e^{kx}v''(x)+2ke^{kx}v'(x)+k^2e^{kx}v(x)+2\alpha x^2(e^{kx}v'(x)+ke^{kx}v(x))+(2\alpha\beta x^2+2\alpha x+\varepsilon-\beta^2)e^{kx}v(x)=0$ $v''(x)+(2\alpha x^2+2k)v'(x)+(2\alpha(k+\beta)x^2+2\alpha x+k^2+\varepsilon-\beta^2)v(x)=0$ Choose $k=-\beta$ , the ODE becomes $v''(x)+2(\alpha x^2-\beta)v'(x)+(2\alpha x+\varepsilon)v(x)=0$ Which relates to Heun's Triconfluent Equation.
Page:Popular Science Monthly Volume 26.djvu/805 Rh of all our thoughts and all our acts toward the service of humanity. How much stronger and more efficacious would this state of spiritual unity become if, instead of resting exclusively on the necessary relations of men, it based itself on the sum of our relations with the Universe, and if, while retaining as its object the reign of justice or happiness in human society, it enveloped that object in the broader end of the conformity of our conduct with the action of the power "other than ourselves, which labors to put order into the world," or, as Matthew Arnold defines it in his felicitous and celebrated formula, "the Power not ourselves, that makes for righteousness"! But is not this to attribute to the Unknowable an object, a design, a will—attributes absolutely incompatible with the unconditioned and the infinite? Is it not, in short, to return to the doctrine of final causes which has been proscribed by Evolution? We may answer that, if modern science has cast discredit on the old system of final causes, it has not, it appears, prohibited us from assigning a certain end to the evolution of the Universe taken as a whole; that the tendency toward this end, aside from knowing whether it is conscious or not, intelligent or not, is easily substantiated by the numberless indications of a gradual progress in the development of nature as well as of humanity; and that this tendency toward a determined end contradicts the system of evolution only in so far as it may be copied after the manifestations of our volitional activity. If a person holds that the notions of object, end, tendency, and predetermination are derived from our subjective experiences, we should observe—as Mr. Spencer has done of our notion of force, deduced from the muscular effort—that we are constrained to think of external energy in terms borrowed from our consciousness of internal energy, and that there is nothing to prevent our seeing equally in the notions thus formed the simple symbol of the reality. The essential point is not to forget that here also the Unknowable should be superior and not inferior to our broadest conception of the human faculties. We have, however, no need at this time to go beyond Mr. Spencer's written thought. He affirms that the laws of Nature are the modes of action of the Unknowable, and that the most important of them, the law of evolution, tends, in the existing Universe, to equilibrium, harmony, and co-ordination, which is interpreted in the moral world by a more complete submission to the injunctions of duty, by the introduction of more justice into the relations of men; in short, by the gradual realization of the conditions necessary to the constant progress of the individual and of society. The more, then, man is conscious of his relations with the Unknowable, and the more he comprehends the solidarity that binds all parts of the Universe, chiefly the members of humanity, the more he will grasp the importance of his modest part in the great drama of Evolution, and the more he will
Behrad Tarazi (Earth-1: Pre-Crisis) - Behrad Tarazi's interview Behrad "B" Tarazi, known as Behrad Tomaz in the original timeline, is a Legend. Burger Buddies - Behrad to Zari Powers * Powers via the Air Totem: Behrad stole the totem from his family, allowing him to have powers. * Air Blasts: Behrad is able to fire a strong gust of air blast in order to attack or defend. Abilities * Skilled Hand-to-Hand Combatant: Behrad is shown to be able to fight several triad members. * Skilled Swordsman: Behrad was able to fight triad members using the Hellfire Sword. Current Equipment * Air Totem: Behrad stole the family heirloom and escaped his home era, joining the Legends.
File:Judgment Boy and Twin Tails Girl Dancing.png Summary Description: Judgment Boy and Twin Tails Girl dancing at the bonfire Source: Toaru Kagaku no Railgun Manga Chapter 069 Purpose: To illustrate the subject in question on articles Portion used: A cut-out of the characters. Replaceable?: If another image exists which fulfils the same purpose to a better extent
Menci Clement Crnčić Menci Clement Crnčić (Bruck an der Mur, Austria, 3 April 1865 – Zagreb, 9 November 1930) was a Croatian painter, printmaker, teacher and museum director. He studied painting and drawing in Vienna and Munich, and trained in graphic arts in Vienna, studying etching and engraving. He was the first artist in the Croatian graphic tradition to abandon a strictly linear style and use tonal variation to create contrasting areas of light and shade. Crnčić established himself as a marine artist with a series of paintings of the Istrian peninsula and the Adriatic coast. He was one of the founders of the first private painting school in Zagreb, which grew to become part of the Academy of Fine Arts, Zagreb. He taught there until the end of his life. He became a member of the Yugoslav Academy of Sciences and Arts in 1919, and was the Director of The Strossmayer Gallery of Old Masters from 1920 to 1928. Menci Clement Crnčić is among the founders of modern Croatian painting, contributing greatly to its development. He promoted landscape painting, mainly seascapes, using light, colour, and soft strokes in an impressionist style. He was the founder of modern Croatian graphic art, and played an important role in teaching several generations of Croatian painters. Biography Menci Clement Crnčić was born on 3 April 1865 in Bruck na Muri then in Austria-Hungary (now Bruck an der Mur, Austria). His father, a border official, intended his son for the military, so after elementary school in Vienna, Menci attended a military grammar school. At seventeen he decided to leave military school and study painting. Following two years at the Vienna Academy of Fine Arts, from 1882 to 1884, he stayed in Coburg for a year (1886–1887) painting sets for the theatre. Not having sufficient funds to continue his studies, he lived in Nova Gradiška with his sister Marie, painting landscapes and portraits. Between 1889 and 1892 he continued his art education at the Academy of Fine Arts, Munich under Professor Nicolaus Gysis. After graduating, Crnčić taught painting in the Arts and Crafts School in Zagreb, but in 1894 Izidor Kršnjavi, the Director of the Institute for Education and Theology recognized Crnčić's talent for drawing. He arranged a scholarship for Crnčić to study etching and engraving in Vienna under the tuition of the graphic artist William Unger. For part of that time, due to Unger's illness, Crnčić stayed with the professor and his family in Lovran on the Croatian coast. While there, he painted scenes of the Istrian peninsula and along the Adriatic coast. During his graphical studies (1894–1897), Crnčić was awarded the 1896 Fuger gold medal for best work, and a special prize from the academy in 1897. At this time Crnčić exhibited in Zagreb and other European cities (Budapest, St. Petersburg, and Paris) with other artists of the Croatian Art Society (Društvo hrvatskih umjetnika). He also exhibited regularly at the international exhibition in Opatija, selling many of his paintings. In 1900, Crnčić moved to Zagreb. At his first solo exhibition in 1900–1901 in the Art Pavilion, he showed 39 oil paintings and prints, including several seascapes which remained a favourite theme throughout his life. His work raised interest in the art circles of Zagreb owing to his rich, bright colours and the high quality of his graphics. Over the next few years, Crnčić spent time travelling around Croatia, drawing and painting from nature, and exhibiting his work in Zagreb, Opatija and Vienna. In the summer of 1902, he visited the Plitvice Lakes, and at October's exhibition in Opatija, his painting "Blossoming Barberry" was particularly well received. In December of that year, he held a solo exhibit in the E. Artina Salon in Vienna. Following that show, Crnčić received a grant from the Emperor of 2,000 kruna to create a series of etchings of the Adriatic coast in Primorje and Dalmatia. In the spring 1903 he spent time in Opatija, creating landscapes of Primorje and the Bay of Kvarner characterized by thick impasto brush strokes. Some landscapes of the island of Lošinj were painted in using a pointillist technique. In the summer he was painting the mountainous region of Gorski Kotar. By 1905, Crnčić was travelling to places around Europe in the company of other artists, studying and painting. In 1905 he visited Italy, Switzerland, France, Belgium, Germany and Austria. In 1907 he travelled down Italy to Naples, and in 1908 toured Bosnia and Herzegovina. In October 1906, together with fellow artist Bela Čikoš-Sesija, Crnčić opened the first private painting school in Zagreb, which in 1907 became an art college, and finally grew into the Academy of Fine Arts, Zagreb where he was a professor until his death. At the beginning of 1910, Crnčić married the painter Una Virant. Their son Menci Clement was born in 1911, followed in 1915 by their daughter Branka. Between 1910 and 1915, he constructed a villa in Novi Vinodolski where he painted a number of famous works. He frequently invited his students and friends to visit him in the villa. He held a solo exhibition at the Ulrich Salon in Zagreb in 1911. There he showed, among other paintings, a series of works representing scenes of the Velebit mountains. These introduced a change in his style of painting - instead of dense impasto he began to use thin layers of colour with fine brush movements. Crnčić was among the first members of the Yugoslav Academy of Sciences and Arts in 1919 and was the Director of The Strossmayer Gallery of Old Masters from 1920 to 1928. Crnčić died on 9 November 1930, aged 65. His death came suddenly after he returned one sunny autumn Sunday from a trip to the Sava river bank near Podsused. His last words were: "How beautiful the nature is, tomorrow I must come again to paint it." Legacy Crnčić's early work shows the realism and neutral colours that are characteristic of the Munich circle. These include the 1890 "Girl" (Djevojčica), and the 1891 "Old Man Shelling Corn" (Starac runi kukuruz) which has a strong sense of realism, painted in neutral shades with a distinctive white shirt, and yellow corn. Both paintings are in the Modern Gallery, Zagreb. While studying in Vienna, he occasionally exhibited in Zagreb, Opatija and abroad (Budapest, St. Petersburg, Paris). Crnčić was part of the Croatian Society of Artists in Zagreb (Društvo hrvatskih umjetnika), which was to grow into the Croatian modern art movement. By his first solo exhibition in 1900–1901 in the Art Pavilion in Zagreb, his painting style had changed, with brighter colours appearing, and themes of landscapes and seascapes which were to remain a favourite subject throughout his life. He depicted it in a variety of different moods – in lively colors with foaming white waves, in somber fog, in the glow of the setting sun and under blue skies. Crnčić's most important legacy is his graphical work. Trained in the European tradition, he achieved a high level of professionalism. His portrait work was realistic, solid and balanced. The same realism is present in his larger compositions, such as his coloured etchings of old Zagreb, which are freer in the drawing and painting, and evoke an impression accentuated by color. Works * Old Man Shelling Corn (Starac runi kukuruz) * Portrait of a Girl (Portret djevojčice) * Blossoming Barberry * Calm (Bonaca) * Marina * More (Sea) * Plase * Rain * Sunday in Lovran (Nedjela u Lovranu) * Senj Solo * 1991 Menci Clement Crnčić Retrospective Exhibition - Art Pavilion Zagreb, (Umjetnički paviljon) * 1911-1930 Ulrich salon, Zagreb * 1902 E. Artina salon in Vienna * 1900/1901 Art Pavilion, Zagreb Group * 2009-2010 From The Holdings Of The Museum Of Modern Art Dubrovnik Works From The End Of The 19th to the Beginning of the 21st Century December 18, 2009 – end of February 2010 * 2009 Zagreb - Munich - Croatian painting and Academy of Fine Arts in Munich - Umjetnicki paviljon / Art Pavilion Zagreb, Zagreb * 1926 Yugoslav graphic artists in Zurich and St. Gallen * 1906 Exhibition of The Association of Yugoslav Artists "Lada" in Sofia, Bulgaria * 1903 Croatian Artists exhibits in Zagreb and Prague * 1902 International Exhibition in Opatija * 1894-97 Group exhibitions of the Croatian Art Society (Društva hrvatskih umjetnika) in Zagreb, Budapest, St. Petersburg, Paris. Group exhibits in Opatija Public Collections * Modern Gallery, Zagreb * Museum of Modern Art Dubrovnik, Dubrovnik * Zagreb University Library Print Collection * Museum of Modern and Contemporary Art, Rijeka, Print Collection * Croatian History Museum Print Collection * Albertina collection in Vienna
Category:Greatest Hits Radio Greatest Hits Radio is a network of radio stations owned and operated by Bauer Radio.
// @ts-check /** * @param {string|symbol} a * @param {string|symbol} b * @returns {object} */ const renameProp = (a, b) => ({ [a]: prop, ...props } = {}) => ({ ...props, [b]: prop, }) export default renameProp
#include "Platform.h" #include <stdlib.h> #include "CppUTest/TestHarness.h" #undef malloc #undef free #undef calloc #undef realloc #include "CppUTest/TestRegistry.h" #include <stdio.h> #include <stdarg.h> #include <setjmp.h> #include <string.h> #include <math.h> #include <float.h> #include "CppUTest/PlatformSpecificFunctions.h" #include <windows.h> #include <mmsystem.h> #include <setjmp.h> static jmp_buf test_exit_jmp_buf[10]; static int jmp_buf_index = 0; int PlatformSpecificSetJmp(void (*function) (void* data), void* data) { if (0 == setjmp(test_exit_jmp_buf[jmp_buf_index])) { jmp_buf_index++; function(data); jmp_buf_index--; return 1; } return 0; } void PlatformSpecificLongJmp() { jmp_buf_index--; longjmp(test_exit_jmp_buf[jmp_buf_index], 1); } void PlatformSpecificRestoreJumpBuffer() { jmp_buf_index--; } void PlatformSpecificRunTestInASeperateProcess(UtestShell* shell, TestPlugin* plugin, TestResult* result) { printf("-p doesn't work on this platform as it is not implemented. Running inside the process\b"); shell->runOneTest(plugin, *result); } TestOutput::WorkingEnvironment PlatformSpecificGetWorkingEnvironment() { return TestOutput::vistualStudio; } ///////////// Time in millis static long TimeInMillisImplementation() { return timeGetTime()/1000; } static long (*timeInMillisFp) () = TimeInMillisImplementation; long GetPlatformSpecificTimeInMillis() { return timeInMillisFp(); } void SetPlatformSpecificTimeInMillisMethod(long (*platformSpecific) ()) { timeInMillisFp = (platformSpecific == 0) ? TimeInMillisImplementation : platformSpecific; } ///////////// Time in String static const char* TimeStringImplementation() { return "Windows time needs work"; } static const char* (*timeStringFp) () = TimeStringImplementation; const char* GetPlatformSpecificTimeString() { return timeStringFp(); } void SetPlatformSpecificTimeStringMethod(const char* (*platformMethod) ()) { timeStringFp = (platformMethod == 0) ? TimeStringImplementation : platformMethod; } ////// taken from gcc int PlatformSpecificAtoI(const char*str) { return atoi(str); } size_t PlatformSpecificStrLen(const char* str) { return strlen(str); } char* PlatformSpecificStrCat(char* s1, const char* s2) { return strcat(s1, s2); } char* PlatformSpecificStrCpy(char* s1, const char* s2) { return strcpy(s1, s2); } char* PlatformSpecificStrNCpy(char* s1, const char* s2, size_t size) { return strncpy(s1, s2, size); } int PlatformSpecificStrCmp(const char* s1, const char* s2) { return strcmp(s1, s2); } int PlatformSpecificStrNCmp(const char* s1, const char* s2, size_t size) { return strncmp(s1, s2, size); } char* PlatformSpecificStrStr(const char* s1, const char* s2) { return (char*) strstr(s1, s2); } int PlatformSpecificVSNprintf(char *str, size_t size, const char* format, va_list args) { char* buf = 0; int sizeGuess = size; int result = _vsnprintf( str, size, format, args); str[size-1] = 0; while (result == -1) { if (buf != 0) free(buf); sizeGuess += 10; buf = (char*)malloc(sizeGuess); result = _vsnprintf( buf, sizeGuess, format, args); } if (buf != 0) free(buf); return result; } PlatformSpecificFile PlatformSpecificFOpen(const char* filename, const char* flag) { return fopen(filename, flag); } void PlatformSpecificFPuts(const char* str, PlatformSpecificFile file) { fputs(str, (FILE*)file); } void PlatformSpecificFClose(PlatformSpecificFile file) { fclose((FILE*)file); } void PlatformSpecificFlush() { fflush(stdout); } int PlatformSpecificPutchar(int c) { return putchar(c); } void* PlatformSpecificMalloc(size_t size) { return malloc(size); } void* PlatformSpecificRealloc (void* memory, size_t size) { return realloc(memory, size); } void PlatformSpecificFree(void* memory) { free(memory); } void* PlatformSpecificMemCpy(void* s1, const void* s2, size_t size) { return memcpy(s1, s2, size); } void* PlatformSpecificMemset(void* mem, int c, size_t size) { return memset(mem, c, size); } double PlatformSpecificFabs(double d) { return fabs(d); } int PlatformSpecificIsNan(double d) { return _isnan(d); } int PlatformSpecificVSNprintf(char *str, unsigned int size, const char* format, void* args) { return _vsnprintf( str, size, format, (va_list) args); } char PlatformSpecificToLower(char c) { return tolower(c); }
using System.Collections.Generic; using UnityEngine; public class BaseDictionary_Legacy<TKey, TValue> : ScriptableObject { public delegate void Added(TKey addedKey, TValue addedValue); public delegate void Removed(TKey removedKey, TValue removedValue); private event Added OnAddedElementValue; private event Removed OnRemovedElementValue; public virtual event Added OnAdded { add => OnAddedElementValue += value; remove => OnAddedElementValue -= value; } public virtual event Removed OnRemoved { add => OnRemovedElementValue += value; remove => OnRemovedElementValue -= value; } private Dictionary<TKey, TValue> dictionary = new Dictionary<TKey, TValue>(); public void Add(TKey newKey, TValue newValue) { if (dictionary.ContainsKey(newKey)) return; dictionary.Add(newKey, newValue); OnAddedElementValue?.Invoke(newKey, newValue); } public void Add(KeyValuePair<TKey, TValue>[] newValues) { int count = newValues.Length; for (int i = 0; i < count; ++i) { Add(newValues[i].Key, newValues[i].Value); } } public void Remove(TKey key) { if (!dictionary.ContainsKey(key)) return; var value = dictionary[key]; dictionary.Remove(key); OnRemovedElementValue?.Invoke(key, value); } public void Remove(TKey[] keys) { int count = keys.Length; for (int i = 0; i < count; ++i) { Remove(keys[i]); } } public TValue Get(TKey key) { return dictionary.ContainsKey(key) ? dictionary[key] : default(TValue); } public bool ContainsKey(TKey key) { return dictionary.ContainsKey(key); } public bool TryGetValue(TKey key, out TValue value) { return dictionary.TryGetValue(key, out value); } public TValue GetOrDefault(TKey key) { if (!dictionary.ContainsKey(key)) return default; return dictionary[key]; } public Dictionary<TKey, TValue>.Enumerator GetEnumerator() { return dictionary.GetEnumerator(); } public int Count => dictionary.Count; public IEnumerable<TValue> GetValues() { return dictionary.Values; } public void Clear() { using (Dictionary<TKey, TValue>.Enumerator iterator = dictionary.GetEnumerator()) { while (iterator.MoveNext()) { OnRemovedElementValue?.Invoke(iterator.Current.Key, iterator.Current.Value); } } dictionary.Clear(); } #if UNITY_EDITOR private void OnEnable() { Application.quitting -= CleanUp; Application.quitting += CleanUp; } private void CleanUp() { Application.quitting -= CleanUp; Resources.UnloadAsset(this); } #endif }
Problem List issues If your problem list is not loading correctly after updating leetcode.nvim, it's because i've updated the structure of it. To fix it either go to Menu > Cache > Update or delete .problemlist in leetcode.nvim home directory so it automatically gets updated next time you try to access it. I noticed that my problem list as well as the problem descriptions no longer have color, they're just displayed as plain text. @BenjaminHor Does this still happen when you restart neovim? Yes, I am currently on branch master commit 401582b what is your neovim version @BenjaminHor what is your neovim version? NVIM v0.9.2 Build type: Release LuaJIT 2.1.0-beta3 Can you tell me the name of the theme you are using bluz71/vim-nightfly-colors return { "kawre/leetcode.nvim", build = ":TSUpdate html", -- enabled = false, dependencies = { "nvim-treesitter/nvim-treesitter", "nvim-telescope/telescope.nvim", "nvim-lua/plenary.nvim", -- required by telescope "MunifTanjim/nui.nvim", -- optional -- "nvim-tree/nvim-web-devicons", -- recommended "rcarriga/nvim-notify", }, opts = { -- configuration goes here domain = "com", -- For now "com" is the only one supported ---@type lc.lang lang = "python3", ---@type lc.sql_lang sql = "mysql", ---@type string directory = vim.fn.stdpath("data") .. "/leetcode/", ---@type boolean logging = true, console = { open_on_runcode = true, size = { width = "50%", ---@type string | integer height = "50%", ---@type string | integer }, dir = "row", ---@type "col" | "row" }, description = { width = "40%", ---@type string | integer }, arg = "leet", }, config = function(_, opts) vim.keymap.set("n", "<leader>lq", "<cmd>LcTabs<cr>") vim.keymap.set("n", "<leader>lm", "<cmd>LcMenu<cr>") vim.keymap.set("n", "<leader>lc", "<cmd>LcConsole<cr>") vim.keymap.set("n", "<leader>ll", "<cmd>LcLanguage<cr>") vim.keymap.set("n", "<leader>ld", "<cmd>LcDescriptionToggle<cr>") vim.keymap.set("n", "<leader>lr", "<cmd>LcRun<cr>") vim.keymap.set("n", "<leader>ls", "<cmd>LcSubmit<cr>") vim.keymap.set("n", "<leader>lp", "<cmd>LcList<cr>") require("leetcode").setup(opts) end, } So it just randomly stoped highlighting or after some update? Does the rest of the plugin work? The rest of the plugin works fine for me, it's just the highlighting that has stopped. It's not a big deal to be honest but I wanted to bring it up just in case. If you boot into leetcode.nvim and run :hi can you find any LeetCode... highlights? None whatsoever whereas there are highlights for other plugins. @BenjaminHor can you add branch = "dev" inside leetcode.nvim lazy config and tell me if it changed anything? Fix is on master branch now. Let me know if it fixed your issue.. It looks good, thanks so much!
Wrong mime for some files test.ppt : application/x-ole-storage this must be a application/vnd.ms-powerpoint test.pps : application/x-ole-storage this must be a application/vnd.ms-powerpoint test.ppsx : application/vnd.openxmlformats-officedocument.presentationml.presentation this must be a application/vnd.openxmlformats-officedocument.presentationml.slideshow test.xls : application/x-ole-storage this must be a application/vnd.ms-excel Someone can explain me how to write a custom mime type to add? Especially i don't understand what does means the first part (eg. [0, "PK\003\004"...). @jonnynux perhaps you've already solved this particular problem, but another option could be to use (marcel)[https://github.com/basecamp/marcel] which wraps a lot of the difficult associated with MS office documents. Invalid, this should be fixed in the shared-mime-info database upstream. Invalid, this should be fixed in the shared-mime-info database upstream.
Meteorology op the Week.—A t Chiswick, from observations during the last twenty-eight years, the average highest and lowest temperatures of these days are 43.3° and 31.1°, respectively. The greatest heat, 58°, occurred on the 25th, in 1827 ; and the lowest cold, 9°, on the 22nd, in 1855. During the period 125 days were tine, and on 71 rain fell. PHOTOGRAPHY FOR THE MAY Y. Suitable for Architecture and Landscapes. Two Porcelain pans with lipped corners. (Price, 7§ in. by fi in.. Is. 0 d .; 13 in. by 11 in., 4s.) A slab of 3-16" plate glass four inches larger each way than the size of picture. Can son's negative paper. is dissolving. The paper cut in pieces a little larger than the size of the frame, is suffered to imbibe solution A in the same manner as described at page 125. It must then be hung np till dry. It may be kept in a dry portfolio, and will not be injured by exposure to light. N.B.—The prepared side must he marked in one of the corners. Taking the Picture. The camera is fixed on the stand, and the lens focussed until a perfectly distinct picture appears on the ground glass (or, in the case of an ordinary instrument, the ground glass frame must be re¬ moved after focussing); which must then he drawn into the upper part of the camera. • Its position, on the stand being noted, the camera is taken to the dark room (when the frame is separate from the camera, the frame only need be removed), where a piece of the previously iodized paper is floated on solution B; after five minutes Having covered the lens by means of the stop, the camera (or frame) is removed to the stand ; j and, being placed in position, the cap is withdrawn; or, in the case of a distinct frame, the slide in the back pulled up for a period, varying with the in¬ tensity of the light, of from five to twenty minutes. ! The proper time of exposure will be ascertained by practice. The picture taken, the lens is again stopped, the slide shut down, and the instrument re¬ moved to the dark room. The paper is then j floated with its prepared side on solution C until the image developes itself with intensity. When sufficiently intense, the photograph is washed ; then fixed by soaking in the solution of j hyposulphate of soda (solution d) till the yellows of the picture arc changed to a clear white; then wash well in water. This produces a negative photograph; that is, 1 the natural lights and shades are reversed. To produce a positive picture the negative is j * Wc are indebted for many of our woodcuts, to the courtesy of Messrs. Horne and Thornthwaite, Opticians and , Photographic Instrument Makers, 121, Newgate Street, Lon¬ don. We recommend onv readers to inspect then* stock. .No, CCCCLXXXII, Vt>i, XIX,
In the Matter of Roosevelt Raceway, Inc., Respondent, v. County of Nassau et al., Appellants. Submitted September 19, 1966; decided September 22, 1966. Motion to amend remittitur denied as unnecessary since the Federal constitutional question is discussed and passed upon in the opinion of the Court of Appeals (see 18 N Y 2d 30).
Transistor structure with recessed source/drain and buried etch stop layer and related method ABSTRACT A transistor structure having a recessed source/drain and buried etch stop layer (e.g., a silicon germanium layer), and a related method, are disclosed. In one embodiment, the transistor structure includes a substrate including a substantially trapezoidal silicon pedestal over an etch stop layer; a gate atop the substantially trapezoidal silicon pedestal; a source/drain region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the etch stop layer; and a stress liner overlying the gate and the source/drain region, the stress liner imparting a stress to the source/drain region and a channel of the gate. The recessed source/drain allows recessing without contacting the P-N junction, and allows improved application of stress to the channel. BACKGROUND OF THE INVENTION 1. Technical Field The invention relates generally to semiconductor device fabrication, and more particularly, to a transistor structure having a recessed source/drain and buried etch stop layer, such as a silicon germanium layer, and a related method. 2. Background Art The application of stresses to field effect transistors (FETs) is known to improve their performance. When applied in a longitudinal direction (i.e., in the direction of electrical current flow), tensile stress is known to enhance electron mobility (or n-channel FET (NFET) drive currents) while compressive stress is known to enhance hole mobility (or p-channel FET (PFET) drive currents). One way to apply such stresses to a FET is the use of intrinsically-stressed barrier silicon nitride liners. For example, a tensile-stressed silicon nitride liner may be used to cause tension in an NFET channel while a compressively-stressed silicon nitride liner may be used to cause compression in a PFET channel. Typically, the higher each of these stresses are, the better performance that is achieved. In order to increase each of these stresses, embedding the stress into source/drain regions is advantageous. One mechanism to provide this is to recess the source/drain regions and implement the stress into the replaced material. However, one challenge relative to this structure is not over-recessing (using too much depth of the source/drain extension region) such that the recess becomes too close or connects to the P-N junction of the source/drain region. Over-recessing causes current leakage in the device via the silicide formed in the recess. It is also important to obtain good control of the recess depth since it impacts the performance control of the device and the yield. SUMMARY OF THE INVENTION A transistor structure having a recessed source/drain and buried etch stop layer (e.g., a silicon germanium layer), and a related method, are disclosed. In one embodiment, the transistor structure includes a substrate including a substantially trapezoidal silicon pedestal over an etch stop layer; a gate atop the substantially trapezoidal silicon pedestal; a source/drain region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the etch stop layer; and a stress liner overlying the gate and the source/drain region, the stress liner imparting a stress to the source/drain region and a channel of the gate. The recessed source/drain allows recessing without contacting the P-N junction, and allows improved application of stress to the channel. A first aspect of the invention provides a transistor structure comprising: a substrate including a substantially trapezoidal silicon pedestal over an etch stop layer; a gate atop the substantially trapezoidal silicon pedestal; a source/drain extension region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the etch stop layer; and a stress liner overlying the gate and the source/drain extension region, the stress liner imparting a stress to the source/drain extension region and a channel of the gate. A second aspect of the invention provides a method comprising: providing a substrate including a silicon layer atop an etch stop layer; forming a gate atop the silicon layer; etching to remove the silicon layer stopping on the etch stop layer, the etching leaving the gate atop a substantially trapezoidal silicon pedestal; forming a source/drain extension region; and forming a stressed liner over the gate and source/drain extension region. A third aspect of the invention provides a transistor structure comprising: an n-channel field effect transistor (NFET) and a p-channel field effect transistor (PFET) separated from the NFET by a trench isolation, each of the NFET and the PFET including: a substrate including a substantially trapezoidal silicon pedestal over a silicon-germanium (SiGe) etch stop layer, a gate atop the substantially trapezoidal silicon pedestal, a source/drain extension region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the SiGe etch stop layer, and a silicide formed within tapered surfaces of the substantially trapezoidal silicon pedestal and a surface of the SiGe etch stop layer, a lower surface of the silicide is substantially uniformly distanced from a lowermost P-N junction of the source/drain extension region; a compressive stress liner overlying the PFET and imparting a compressive stress to the source/drain extension region and the p-channel; and a tensile stress liner overlying the NFET and imparting a tensile stress to the source/drain extension region and the n-channel. The illustrative aspects of the present invention are designed to solve the problems herein described and/or other problems not discussed. BRIEF DESCRIPTION OF THE DRAWINGS These and other features of this invention will be more readily understood from the following detailed description of the various aspects of the invention taken in conjunction with the accompanying drawings that depict various embodiments of the invention, in which: FIGS. 1-5 show one embodiment of a method according to the invention, with FIG. 5 also showing one embodiment of a transistor structure according to the invention. It is noted that the drawings of the invention are not to scale. The drawings are intended to depict only typical aspects of the invention, and therefore should not be considered as limiting the scope of the invention. In the drawings, like numbering represents like elements between the drawings. DETAILED DESCRIPTION Turning to FIGS. 1-5, one embodiment of a method of forming a transistor structure 100 (FIG. 5) is shown. Transistor structure 100 may include an n-channel field effect transistor 100A (FIG. 5) and a p-channel field effect transistor 100B (FIG. 5). FIG. 1 shows providing a substrate 102 including a silicon layer 104 atop an etch stop layer 106. Hence, etch stop layer 106 is buried below silicon layer 104. As illustrated, substrate 102 is for a bulk silicon device(s). It should be recognized, however, that the teachings of the invention are also applicable to other substrates, e.g., silicon-on-insulator (SOI). In one embodiment, silicon layer 104 may have a (100) surface orientation, however, this is not necessary. As used herein, “surface orientation” or “crystalline surface orientation” refers to the crystallographic structure or periodic arrangement of silicon atoms on the surface of a structure. Substrate 102 may further include another silicon layer 108 under etch stop layer 106. Substrate 102 may be formed in any now known or later developed manner. In one example, etch stop layer 106 includes silicon germanium (SiGe) epitaxially grown on silicon layer 108, and then silicon layer 104 is epitaxially grown, e.g., by turning off the germanium (Ge). In this case, SiGe acts as an etch stop layer. Where SiGe is used, it may include, for example, approximately 20% germanium (Ge), but other concentrations are also possible. In addition, materials other than SiGe capable of stopping an etch may be employed. Etch stop layer 106 may have a thickness of, for example, approximately 10 nm to approximately 30 nm, and silicon layer 104 may have a thickness of approximately 20 nm to approximately 50 nm. However, the invention is not limited to those thicknesses. FIG. 1 also shows forming a gate 120 atop silicon layer 104. A gate 120 may be formed for each FET 100A, 100B (FIG. 5). Gate 120 formation may include a variety of well known or later developed techniques for generating gate 120. For example, a trench isolation 110, e.g., a shallow trench isolation (STI) or deep trench isolation (DT), may be formed within substrate 102 so that different types of devices can be generated. Trench isolation 110 may include any isolation material, e.g., silicon oxide. Furthermore, a gate oxide layer 122 may be formed, followed by deposition of polysilicon 124 and deposition of polysilicon germanium 126. Conventional lithography to pattern gate 120 may be performed, followed by conventional halo and extension implantation (not shown), including a thermal anneal to remove implant damage. A spacer(s) 128 may be formed for each gate 120. Other techniques may also be employed to form gate 120, and are within the scope of the invention. FIG. 2 shows etching 130 to remove silicon layer 104 (FIG. 1) to etch stop layer 106. Etching 130 may initially include a silicon oxide etch, e.g., a reactive ion etch (RIE) or other appropriate etch, to remove gate oxide layer 122. Next, etching 130 may include an anisotropic etch using a wet etch chemistry. In one embodiment, the wet etch chemistry includes tetramethyl ammonium hydroxide (TMAH) to anisotropically etch silicon layer 104. However, other wet etch chemistries may be employed. For example, potassium hydroxide (KOH) and ethylene diamine pyrocatechol (EDP) can etch Si anisotropically, and may be used if they can be configured to stop on etch stop layer 106. As shown in FIG. 2, etching 130 leaves gate 120 atop a substantially trapezoidal silicon pedestal 134. Substantially trapezoidal silicon pedestal 134 may include tapered surfaces 136 having a (111) or (110) crystalline surface orientation, i.e., where silicon layer 104 has a (110) surface orientation. In this case, silicon pedestal 134 has tapered surfaces 136 angled (α) at approximately 54° relative to a surface 138 of etch stop layer 106. Etching 130 creates tapered surfaces 136 because the wet etch chemistry has different etching rates for different surface orientations. Next, as shown in FIG. 3, a source/drain extension region 140 is formed for each gate 120. This process may include, for example, implanting 144 dopants into tapered surfaces 136 of silicon pedestal 134 and etch stop layer 106, and annealing. Source/drain extension region 140 extends laterally within silicon layer 108. A P-N junction 142 is formed as part of source/drain extension region 140 after source/drain anneal that removes implant damage and activate the dopants. As illustrated, due to silicon pedestal 134, source/drain extension region 140 and P-N junction 142 extend downwardly and outwardly from gate 120 in a steeper manner than conventional devices. In addition, P-N junction 142 is distanced from and substantially follows tapered surfaces 136 and surface 138 of etch stop layer 106. As shown in FIG. 4, this process may further include forming a silicide 150 within tapered surfaces 136 of silicon pedestal 134 and surface 138 of etch stop layer 106. (Silicide may also form atop gate 120.) Note, however, that silicide 150 does not penetrate P-N junction 142. In particular, a lower surface 154 of silicide 150 is substantially uniformly distanced from a lowermost P-N junction 142 of source/drain extension region 140. Silicide 150 may be formed in any now known or later developed manner, e.g., depositing a metal such as cobalt or nickel, and annealing. FIG. 5 shows forming a stressed liner 160 over gate 120 and source/drain region 140. As illustrated and as known in the art, different types of devices require different types of stress liners to improve performance. More particularly, a tensile silicon nitride liner 160A is formed for NFET 100A and a compressive silicon nitride liner 160B is formed for PFET 100B. Tensile silicon nitride liner 160A may include a silicon oxide layer 162 there over. Any now known or later developed techniques for forming stressed liner 160 may be employed. For example, the technique may include depositing tensile silicon nitride liner 160A and silicon oxide layer 162 over all gates 120, etching to remove liner 160A and layer 162 over PF ETs 100B, depositing compressive stress liner 160B over all devices and etching to remove liner 160B over NF ETs 100A. The resulting transistor structure 100 includes substrate 102 including substantially trapezoidal silicon pedestal 134 over etch stop layer 106, gate 120 atop substantially trapezoidal silicon pedestal 134, source/drain extension region 140 extending into tapered surfaces 136 (FIG. 4) of silicon pedestal 134 and into etch stop layer 106, and a stress liner 160A or 160B overlying gate 120 and source/drain extension region 140. Stress liner 160A or 160B impart a stress to source/drain extension region 140 and a channel 170 of gate 120. That is, a compressive stress liner 160B overlays PFET 100B and imparts a compressive stress to source/drain extension region 140 thereof and p-channel 170B of PFET 100B, and a tensile stress liner 160A overlays NFET 100A and imparts a tensile stress into source/drain extension region 140 thereof and n-channel 170B of NFET 100A. Transistor structure 100 includes a recessed, stressed source/drain extension region 140 that does not have silicide 150 penetrating P-N junction 142. In addition, the stress imparted follows the shape of P-N junction 142, thus imparting more stress to channel 170 and further improving device performance compared to conventional devices. The foregoing description of various aspects of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously, many modifications and variations are possible. Such modifications and variations that may be apparent to a person skilled in the art are intended to be included within the scope of the invention as defined by the accompanying claims. 1. A transistor structure comprising: a substrate including a substantially trapezoidal silicon pedestal over an etch stop layer; a gate atop the substantially trapezoidal silicon pedestal; a source/drain extension region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the etch stop layer; and a stress liner overlying the gate and the source/drain extension region, the stress liner imparting a stress to the source/drain extension region and a channel of the gate. 2. The transistor structure of claim 1, wherein the substantially trapezoidal silicon pedestal has one of: a (111) crystalline surface orientation on a tapered surface thereof and a (110) crystalline surface orientation on a tapered surface thereof. 3. The transistor structure of claim 1, wherein the tapered surfaces are angled at approximately 54° relative to the etch stop layer. 4. The transistor structure of claim 1, further comprising a silicide formed within tapered surfaces of the substantially trapezoidal silicon pedestal and a surface of the etch stop layer, and wherein a lower surface of the silicide is substantially uniformly distanced from a lowermost P-N junction of the source/drain extension region. 5. The transistor structure of claim 1, wherein the etch stop layer includes silicon germanium (SiGe). 6. The transistor structure of claim 1, wherein the substrate further includes a silicon layer under the etch stop layer, the source/drain extension region extending laterally within the silicon layer. 7. A method comprising: providing a substrate including a silicon layer atop an etch stop layer; forming a gate atop the silicon layer; etching to remove the silicon layer stopping on the etch stop layer, the etching leaving the gate atop a substantially trapezoidal silicon pedestal; forming a source/drain extension region; and forming a stressed liner over the gate and source/drain extension region. 8. The method of claim 7, wherein the substantially trapezoidal silicon pedestal includes tapered surfaces having one of: a (111) crystalline surface orientation and a (110) crystalline surface orientation. 9. The method of claim 7, further comprising forming a silicide within tapered surfaces of the substantially trapezoidal silicon pedestal and a surface of the etch stop layer. 10. The method of claim 7, wherein the providing includes providing the silicon layer with a (100) surface orientation. 11. The method of claim 7, wherein the etching includes using an anisotropic wet etching chemistry. 12. The method of claim 11, wherein the wet etching chemistry includes tetramethyl ammonium hydroxide (TMAH). 13. The method of claim 7, wherein the etch stop layer includes silicon germanium (SiGe), which includes approximately 20% germanium. 14. The method of claim 7, wherein the source/drain extension region forming includes implanting dopants into tapered surfaces of the substantially trapezoidal silicon pedestal and the etch stop layer, and annealing. 15. The method of claim 14, wherein the providing further includes providing another silicon layer under the etch stop layer, and wherein the implanting includes implanting into the another silicon layer. 16. The method of claim 7, wherein the stressed liner forming includes forming a tensile silicon nitride liner for an n-channel field effect transistor (NFET) and a compressive silicon nitride liner for a p-channel FET (PFET). 17. A transistor structure comprising: an n-channel field effect transistor (NFET) and a p-channel field effect transistor (PFET) separated from the NFET by a trench isolation, each of the NFET and the PFET including: a substrate including a substantially trapezoidal silicon pedestal over a silicon-germanium (SiGe) etch stop layer, a gate atop the substantially trapezoidal silicon pedestal, a source/drain extension region extending into tapered surfaces of the substantially trapezoidal silicon pedestal and into the SiGe etch stop layer, and a silicide formed within tapered surfaces of the substantially trapezoidal silicon pedestal and a surface of the SiGe etch stop layer, a lower surface of the silicide is substantially uniformly distanced from a lowermost P-N junction of the source/drain extension region; a compressive stress liner overlying the PFET and imparting a compressive stress to the source/drain extension region and the p-channel; and a tensile stress liner overlying the NFET and imparting a tensile stress to the source/drain extension region and the n-channel. 18. The transistor structure of claim 17, wherein the substantially trapezoidal silicon pedestal has one of: a (111) crystalline surface orientation and a (110) crystalline surface orientation on a tapered surface thereof. 19. The transistor structure of claim 17, wherein the tapered surfaces are angled at approximately 54° relative to the SiGe etch stop layer. 20. The transistor structure of claim 17, wherein the substrate further includes a silicon layer under the SiGe etch stop layer, the source/drain extension regions extending laterally within the silicon layer.
Gershom Scholem Gershom Scholem (גֵרְשׁׂם שָׁלוֹם) (5 December 1897 – 21 February 1982) was a German-born Israeli philosopher and historian. Widely regarded as the founder of modern academic study of the Kabbalah, Scholem was appointed the first professor of Jewish mysticism at Hebrew University of Jerusalem. Scholem is acknowledged as the single most significant figure in the recovery, collection, annotation, and registration into rigorous Jewish scholarship of the canonical bibliography of mysticism and scriptural commentary that runs through its primordial phase in the Sefer Yetzirah, its inauguration in the Bahir, its exegesis in the Pardes and the Zohar to its cosmogonic, apocalyptic climax in Isaac Luria's Ein Sof that is known collectively as Kabbalah. After generations of demoralization and assimilation in the European enlightenment, the disappointment of messianic hopes, the famine of 1916 in Palestine, and the catastrophe of the Final Solution in Europe Scholem gathered and reassembled these sacred texts from many of the archives that had been disarranged, orphaned, confiscated under Nazi rule or otherwise washed up in Genizah cataloging the flood of fragments and disordered, decontextualized manuscripts into an annotated and relatively organized sequence of texts available to scholars and seekers within the reception of this tradition. Many other Jewish scholars assisted in this process of recovery once it was underway, but it is broadly recognized that Scholem initiated this process of textual and archival recovery and rebirth. As Scholem points out in his memoirs, the canon of sacred Jewish writings from the diaspora and the Middle Ages (re: "Kabbalah") had fallen into such a state of disrepair and oblivion—fragmented and effaced by persecutions from without as well as contortions, conversions and schisms from within Judaism—that many of the "finest writings..." from the major currents of Jewish mysticism could only be found in long block quotations in antisemitic texts, where some "nincompoop who had quoted and translated the most wonderful, the most profound things," had assembled them "in order to decry them as blasphemies." (This was a strong, somewhat exaggerated statement for expressive effect that Scholem attributes to Ernst Bloch in his memoirs—but there he co-signs the sentiment and appropriates it as his own description of the state of affairs in other places.) Due to Scholem's efforts, and those of his students and colleagues, the confused and inscrutable condition of Kabbalistic bibliography and provenance would be significantly remedied after the end of the World Wars and the foundation of the modern state of Israel where Scholem worked as head librarian of the National Library in Jerusalem. Biography Gerhard (Gershom) Scholem was born in Berlin to Arthur Scholem and Betty Hirsch Scholem. His father was a printer. His older brother was the German Communist leader Werner Scholem. He studied Hebrew and Talmud with an Orthodox rabbi. Scholem met Walter Benjamin in Munich in 1915, when the former was seventeen years old and the latter was twenty-three. They began a lifelong friendship that ended when Benjamin committed suicide in 1940 in the wake of Nazi persecution. Scholem dedicated his book Major Trends in Jewish Mysticism (Die jüdische Mystik in ihren Hauptströmungen), based on lectures 1938–1940, to Benjamin. In 1915 Scholem enrolled at the Frederick William University in Berlin (today, Humboldt University), where he studied mathematics, philosophy, and Hebrew. There he met Martin Buber, Shmuel Yosef Agnon, Hayim Nahman Bialik, Ahad Ha'am, and Zalman Shazar. In Berlin, Scholem befriended Leo Strauss and corresponded with him throughout his life. He studied mathematical logic at the University of Jena under Gottlob Frege. He was in Bern in 1918 with Benjamin when he met Elsa (Escha) Burchhard, who became his first wife. Scholem returned to Germany in 1919, where he received a degree in Semitic languages at the Ludwig Maximilian University of Munich. Together with Benjamin he established a fictitious school – the University of Muri. Scholem wrote his doctoral thesis on the oldest known kabbalistic text, Sefer ha-Bahir. The following year it appeared in book form as "Das Buch Bahir", having been published by his father's publishing house. Drawn to Zionism and influenced by Buber, he immigrated in 1923 to the British Mandate of Palestine. It may be considered notable that though Scholem's allegiance to the Zionist cause (or the reclamation of Palestine by the Jewish diaspora) is incontrovertible, his relationship to the manifest ethics of Zionism was more ambiguous and critical than Buber's. After his emigration from Berlin to Palestine, Scholem became a librarian, heading the Department of Hebrew and Judaica at the National Library. In 1927 he revamped the Dewey Decimal System, making it appropriate for large Judaica collections. Scholem's brother Werner was a member of the ultra-left "Fischer-Maslow Group" and the youngest ever member of the Reichstag, or Weimar Diet, representing the Communist Party of Germany. He was expelled from the party and later murdered by the Nazis during the Third Reich. Unlike his brother, Gershom was vehemently opposed to both Communism and Marxism. In 1936, he married his second wife, Fania Freud. Fania, who had been his student and could read Polish, was helpful in his later research, particularly in regard to Jacob Frank. In 1946 Scholem was sent by the Hebrew University to search for Jewish books that had been plundered by the Nazis and help return them to their rightful owners. He spent much of the year in Germany and Central Europe as part of this project, known as "Otzrot HaGolah". Scholem died in Jerusalem, where he is buried next to his wife in the Sanhedria Cemetery. Jürgen Habermas delivered the eulogy. Academic career Scholem became a lecturer at the Hebrew University of Jerusalem. He taught the Kabbalah and mysticism from a scientific point of view and became the first professor of Jewish mysticism at the university in 1933, working in this post until his retirement in 1965, when he became an emeritus professor. Scholem directly contrasted his historiographical approach on the study of Jewish mysticism with the approach of the 19th-century school of the Wissenschaft des Judentums ("Science of Judaism"), which sought to submit the study of Judaism to the discipline of subjects such as history, philology, and philosophy. According to Jeremy Adler, Scholem's thinking was "both recognizably Jewish and deeply German," and "changed the course of twentieth-century European thought." At the time Scholem entered his field of study, Jewish mysticism was acknowledged as Judaism's weakest scholarly link by many of the scholars, publishers and cultural leaders of the Jewish community and gentile German scholars who sponsored Scholem's early career, including Martin Buber, Salman Schocken, Franz Rosenzweig, Robert Eisler, Philipp Bloch, Moses Marx, Clemens Baumker, Fritz Hommel and Walter Benjamin. Scholem told the story of his early research "at the start of my path" in 1922 when he went to Berlin to visit "the only Jewish scholar scholar who had engaged in the study of Kabbalah in the previous generation." Gesturing at the rabbi's library of documents, manuscripts and autographs written by the authors of the Kabbalah, some of which had been inscribed in early modern or medieval centuries, Scholem remarked, "How wonderful it is, Herr Professor, that you have read and learned all this!" to which "...the elderly gentleman replied: 'What! And I also have to read all this nonsense?!" (Though he does not mention the name of the Rabbi in his canonical account of this visit, Scholem explains elsewhere that this scholar—once the Rabbi of Poznan—was Phillip Bloch. ) The analysis of Judaism carried out by the Wissenschaft school was flawed in two ways, according to Scholem: It studied Judaism as a dead object rather than as a living organism; and it did not consider the proper foundations of Judaism, the non-rational force that, in Scholem's view, made the religion a living thing. In Scholem's opinion, the mythical and mystical components were at least as important as the rational ones, and he thought that they, rather than the minutiae of Halakha, were the truly living core of Judaism. In particular, he disagreed with what he considered to be Martin Buber's personalization of Kabbalistic concepts as well as what he argued was an inadequate approach to Jewish history, Hebrew language, and the land of Israel. In the worldview of Scholem, the research of Jewish mysticism could not be separated from its historical context. Starting from something similar to the Gegengeschichte of Friedrich Nietzsche, he ended up including less normative aspects of Judaism in the public history. Specifically, Scholem in his scholarly writing that Jewish history could be divided into three periods: * During the Biblical period, monotheism battles mythology without completely defeating it. * During the Talmudic period, some of the institutions—for example, the notion of the magical power of the accomplishment of the Sacraments—are removed in favour of the purer concept of the divine transcendence. * During the medieval period, the impossibility of reconciling the abstract concept of God of ancient Greek philosophy with the personal God of the Bible, led Jewish thinkers, such as Maimonides, to try to eliminate the remaining myths and to modify the figure of the living God. After this time, mysticism, as an effort to find again the essence of the God of their fathers, became more widespread. Elsewhere, in oral presentation or informal reflection, Scholem inflected the three periods within a different frame of reference: there was the primordial inscription and enunciation of the Law in the Torah and the Talmud, the mystical reflections of the Kaballah, and the post-metaphysical phase of mystical writings represented by (for example) the writings of Franz Kafka or the critical mysticism of his friend and correspondent Walter Benjamin. Scholem often told his students that the modern reader must read Franz Kafka in order to enter into the frame of mind native to the Kaballah, and elsewhere remarked that, "among the peculiarities" Benjamin's writings was its "enormous suitability for canonization; I might almost say for quotation as a kind of Holy Writ." The notion of the three periods, with its interactions between rational and irrational elements in Judaism, led Scholem to put forward some controversial arguments. He thought that the 17th century messianic movement, known as Sabbateanism, was developed from the Lurianic Kabbalah. In order to neutralize Sabbateanism, Hasidism had emerged as a Hegelian synthesis. Many of those who joined the Hasidic movement, because they had seen in it an Orthodox congregation, considered it scandalous that their community should be associated with a heretical movement. In the same way, Scholem produced the hypothesis that the source of the 13th century Kabbalah was a Jewish gnosticism that preceded Christian gnosticism. The historiographical approach of Scholem also involved a linguistic theory. In contrast to Buber, Scholem believed in the power of the language to invoke supernatural phenomena. In contrast to Walter Benjamin, he put the Hebrew language in a privileged position with respect to other languages, as the only language capable of revealing the divine truth. His special regard for the spiritual potency of the Hebrew language was expressed in his 1926 letter to Franz Rosenzweig regarding his concerns over the "secularization" of Hebrew. Scholem considered the Kabbalists as interpreters of a pre-existent linguistic revelation. Friends, colleagues and canonical affinities Scholem's closest peers included, most famously, Walter Benjamin and Leo Strauss. Though his relationship with Franz Rosenzweig was of shorter duration, it was also a deeply influential one. Likewise, though Scholem was never directly introduced to Franz Kafka, he found out later in life that Kafka approved of his comments in a debate. Scholem and Benjamin's discussions of Kafka, spanning decades, played no small role in the elevation Kafka's literary and epistolary writings to canonical status in both secular and mystical literature as writing that was prophetic or premonitory of the Holocaust while also having qualities of a kind of post-metaphysical kabbalah. It is a measure of the depth of Scholem's friendship with Benjamin that he wrote two memoirs at the end of his life: his own autobiography tends to be less read or frequently cited than his "Autobiography of a Friendship" recounting his lifelong relationship and work in partnership with Benjamin prior to the latter's death in flight from the Gestapo in 1940. In addition to his Kabbalah scholarship, a significant portion of Scholem's working life postwar was spent recovering, editing and promoting the literary estate of his dead friend. Martin Buber was a patron, publisher and sponsor of the careers of both Scholem and his best friend Benjamin (despite Benjamin's frequent ingratitude and incorrigible blowing of deadlines and Scholem's occasionally scathing critiques of the elder figure). Though Scholem's relationships with Hannah Arendt, Theodor Adorno, Hans Jonas, and Ernst Bloch were more characteristically turbulent than those relationship just mentioned, the frequent citation of these figures in Scholem's published writings and private correspondence demonstrates that they were among his closest and most influential colleagues. Selected letters from his correspondence with thinkers, critics and philosophers have been published. Though appearing as a friend and protegé late in his career, Cynthia Ozick merits mention among those in Scholem's inner-circle. His late-career relationships with Allen Ginsberg, Harold Bloom, and George Steiner were also significant (though his relationship with Steiner was, characteristically, somewhat combative). Scholem was also friendly with the author Shai Agnon and the Talmudic scholar Saul Lieberman. As a major, unofficial but widely acknowledged figurehead of mystical, historical and theological currents within both Reform and (much more controversially) Conservative Judaism after World War II and the Destruction of the European Jewry in the Holocaust, Scholem's stature within Jewish tradition is roughly comparable to the role played before the First World War and during the interwar period by Martin Buber. Debate with Hannah Arendt In the aftermath of the Adolf Eichmann trial in Jerusalem, Scholem sharply criticised Hannah Arendt's book, Eichmann in Jerusalem and decried her lack of solidarity with the Jewish people (אהבת ישראל "love of one's fellow Jews", ʾahəvaṯ ʾiśrāʾēl). Arendt responded that she never loved any collective group, and that she does not love the Jewish people but was only part of them. The bitter fight, which was exchanged in various articles, led to a rift between Scholem and Arendt though they remained mutually respectful thereafter and continued to work alongside one another on various projects, particularly in their work on the literary estate of Walter Benjamin. In an apparently wrathful moment, Scholem wrote to Hans Paeschke that he "knew Hannah Arendt when she was a socialist or half-communist and... when she was a Zionist. I am astounded by her ability to pronounce upon movements in which she was once so deeply engaged, in terms of a distance measured in light years and from such sovereign heights." Differing perspectives on the appropriate penalty for Adolph Eichmann further illuminate differences between the two authors. Whereas Arendt felt that Eichmann should be executed, Scholem was opposed, fearing that his execution would serve to alleviate the Germans' collective sense of guilt. Awards and recognition * In 1958, Scholem was awarded the Israel Prize in Jewish studies. * In 1968, he was elected president of the Israel Academy of Sciences and Humanities. * In 1969, he received the Yakir Yerushalayim (Worthy Citizen of Jerusalem) award. * In 1977, he was awarded the Bialik Prize for Jewish thought. Literary influence Various stories and essays of the Argentinian writer Jorge Luis Borges were inspired or influenced by Scholem's books. He has also influenced ideas of Umberto Eco, Jacques Derrida, Harold Bloom, Italian philosopher Giorgio Agamben, and George Steiner. American author Michael Chabon cites Scholem's essay, The Idea of the Golem, as having assisted him in conceiving the Pulitzer-Prize winning book The Amazing Adventures of Kavalier and Clay. The same essay influenced, and is cited by, Bruce Chatwin's Utz. Chaim Potok's The Book of Lights features a lightly disguised Scholem as "Jacob Keter." Selected works in English * Major Trends in Jewish Mysticism, 1941 * Jewish Gnosticism, Merkabah Mysticism, and the Talmudic Tradition, 1960 * Arendt and Scholem, "Eichmann in Jerusalem: Exchange of Letters between Gershom Scholem and Hannah Arendt", in Encounter, 22/1, 1964 * On the Kabbalah and Its Symbolism, 1965 * The Messianic Idea in Judaism and other Essays on Jewish Spirituality, trans. 1971 * Sabbatai Sevi: The Mystical Messiah, 1973 * Kabbalah, Meridian 1974, Plume Books 1987 reissue: ISBN 0-452-01007-1 * On Jews and Judaism in Crisis: Selected Essays, 1976 * From Berlin to Jerusalem: Memories of My Youth, 1977; trans. Harry Zohn, 1980. * Walter Benjamin: the Story of a Friendship, trans. Harry Zohn. New York: Schocken Books, 1981. * Origins of the Kabbalah, JPS, 1987 reissue: ISBN 0-691-02047-7 * Zohar — The Book of Splendor: Basic Readings from the Kabbalah, ed., 1995 * On the Mystical Shape of the Godhead: Basic Concepts in the Kabbalah, 1997 * The Fullness of Time: Poems, trans. Richard Sieburth, 2003 * On History and Philosophy of History, in "Naharaim: Journal for German-Jewish Literature and Cultural History", v, 1–2 (2011), pp. 1–7. * On Franz Rosenzweig and his Familiarity with Kabbala Literature, in "Naharaim: Journal for German-Jewish Literature and Cultural History", vi, 1 (2012), pp. 1–6. * On the Possibility of Jewish Mysticism in Our Time, 1994.
Neuroimaging-pathological correlations of [18F]THK5351 PET in progressive supranuclear palsy Recent positron emission tomography (PET) studies have demonstrated the accumulation of tau PET tracer in the affected region of progressive supranuclear palsy (PSP) cases. To confirm the binding target of radiotracer in PSP, we performed an imaging-pathology correlation study in two autopsy-confirmed PSP patients who underwent [18F]THK5351 PET before death. One patient with PSP Richardson syndrome showed elevated tracer retention in the globus pallidus and midbrain. In a patient with PSP-progressive nonfluent aphasia, [18F]THK5351 retention also was observed in the cortical areas, particularly the temporal cortex. Neuropathological examination confirmed PSP in both patients. Regional [18F]THK5351 standardized uptake value ratio (SUVR) in antemortem PET was significantly correlated with monoamine oxidase-B (MAO-B) level, reactive astrocytes density, and tau pathology at postmortem examination. In in vitro autoradiography, specific THK5351 binding was detected in the area of antemortem [18F]THK5351 retention, and binding was blocked completely by a reversible selective MAO-B inhibitor, lazabemide, in brain samples from these patients. In conclusion, [18F]THK5351 PET signals reflect MAO-B expressing reactive astrocytes, which may be associated with tau accumulation in PSP. Electronic supplementary material The online version of this article (10.1186/s40478-018-0556-7) contains supplementary material, which is available to authorized users. Introduction Tau positron emission tomography (PET), which provides the topographic distribution of tau aggregates in the brain, would be useful for the diagnosis of Alzheimer's disease (AD) and for the assessment of tau burden in the clinical trials of antidementia drugs. Most studies on a tau PET radiopharmaceutical ([ 18 F]AV1451) showed a robust difference between the control subjects and the patients with AD [16]. Regional distribution of [ 18 F]AV1451 was correlated with tau neuropathology in MAPT R406W mutation carriers [37]. Tau PET also has been considered potentially useful for antemortem assessment of non-AD tauopathies, such as progressive supranuclear palsy (PSP), corticobasal degeneration (CBD), and some variants of frontotemporal lobar degeneration (FTLD) [44]. [ 18 F]AV1451 PET studies have shown elevated tracer retention where tau pathology was observed frequently in patients with PSP and CBD. However, several reports have highlighted the discrepancies between antemortem PET and postmortem in vitro binding studies, particularly in non-AD tauopathies. In vitro autoradiography validation studies demonstrated that [ 18 F]AV1451 failed to bind to 4-repeat tau lesions in PSP and CBD [18,24,38]. Recent progress in the development of second-generation tau tracers successfully reduced the off-target binding in the basal ganglia and brainstem. However, to our knowledge, no tau PET radiopharmaceutical has been fully validated against neuropathology to date [23,43]. [ 18 F]THK5351 was one of the first-generation tau PET radiotracers that was designed originally to detect tau aggregates in the form of PHF-tau in AD [11]. Clinical PET studies in PSP and CBS patients have demonstrated prominent [ 18 F]THK5351 retention [2,14,19] in the midbrain and basal ganglia where tau pathology was observed frequently at autopsy [20,45]. [ 18 F]THK5351 binding in these areas is associated closely with disease progression because the amount of tracer retention was correlated positively with clinical severity of PSP [2]. However, recent studies have suggested the existence of off-target binding to monoamine oxidase-B (MAO-B). A single oral dose of selegiline, a selective irreversible MAO-B inhibitor, substantially reduced [ 18 F]THK5351 binding in the brain of patients with PSP as well as AD [29]. In an autopsy case of AD, regional [ 18 F]THK5351 binding was correlated significantly with MAO-B density as well as tau level. Therefore, [ 18 F]THK5351 PET signal reflects the combination of tau pathology and reactive astrocytes in the AD brain [10]. However, what an [ 18 F]THK5351 PET signal reflects in the PSP brain remains unclear. We examined imaging-pathology correlation in two autopsy-confirmed PSP patients who showed prominent tracer retention on an antemortem [ 18 F]THK5351 PET scan. Materials and methods The ethics committee of the Tohoku University Graduate School of Medicine approved this study, and informed consent for neuroimaging and autopsy was obtained for each subject. PET and MRI scans and image analyses PET images were acquired using an Eminence STARGATE PET scanner (Shimadzu, Kyoto, Japan). After intravenous injection of [ 18 F]THK5351 (185 MBq) or [ 11 C]PiB (296 MBq), dynamic PET images were obtained for 60 ([ 18 F]THK5351) or 70 ([ 11 C]PiB) minutes. T1-weighted magnetic resonance images (MRI) were obtained using a SIGNA 1.5-Tesla machine (General Electric, Milwaukee, WI, USA) according to a previously described method [14]. Standardized uptake value (SUV) images of [ 18 F]THK5351 (40-60 min after injection) and [ 11 C]PiB (50-70 min after injection) were obtained by normalizing tissue radioactivity concentration by injected dose and body weight. The regional SUV-to-cerebellar cortex SUV ratio (SUVR) was used as an index of tracer retention. SPM12 software (SPM12; Wellcome Department of Imaging Neuroscience, UCL, London, UK) was used to coregister the PET images on the MRI image. PMOD Ver. 3.7 software (PMOD Technologies GmbH, Zurich, Switzerland) was used to draw regions of interest (ROIs) on the coregistered MRI image. Quantification of tau and glial fibrillary acidic protein (GFAP) immunoreactivity Microscopic images from each section of paraffin-embedded blocks were captured and a threshold of optical density was obtained by Image J software (National Institutes of Health [NIH], Bethesda, MD, USA). Tau and GFAP immunoreactivity was defined as total percentage of area covered by tau and GFAP immunostaining in each ROI. In vitro autoradiography of [ 18 F]THK5351 The brain sections were dried and were dipped in PBS for a total of 25 min and, then, preincubated in PBS containing 1% bovine serum albumin (BSA). Then, the brain sections were incubated for 30 min at room temperature with [ 18 F]THK5351 (370 kBq/mL). After incubation, the sections were washed sequentially with PBS containing 1% BSA for 5 min, followed by PBS for 5 min twice. The dried sections were exposed to an imaging plate (BAS IP MS 2025 E; GE Healthcare, Little Chalfont, UK) overnight. Autoradiographic images were obtained from Typhoon FLA-9500 (GE Healthcare). To account for the binding to MAO-B in brain tissues, the reaction was incubated in the presence of MAO-B inhibitor, Lazabemide (1 μM). After post-fixation in 4% paraformaldehyde for 30 min, adjacent frozen sections were immunostained with anti-tau AT8, anti-MAO-B (1:400, Sigma-Aldrich Corp., St. Louis, MO, USA), and anti-GFAP 6F2 antibodies. In vitro binding assay of [ 3 H]THK5351 The reaction mixture contained [ 3 H]THK5351 (1 nM; specific activity, 2.96 TBq/mmol; radiochemical purity, 98.9%; Sekisui Medical, Inc., Tokyo, Japan) and brain homogenates (0.5 μg), in a final volume 200 μL. Nonspecific binding was defined in the presence of 2 μM unlabeled THK5351. The mixture was incubated at room temperature for 2 h, and separation of bound from free radioactivity was achieved by filtration under reduced pressure (Multiscreen HTS Vaccum Manifold, Multiscreen HTS 96-well 0.65 μm filtration plate; Millipore, Billerica, MA, USA), followed by three washes with PBS containing 0.1% BSA. The filters were incubated in 2 mL scintillation fluid (Emulsifier-Safe; Perkin Elmer, Boston, MA, USA), and a β counter (LS6500 liquid scintillation counter; Beckman Coulter, Brea, CA, USA) was used to count the radioactivity. Quantification of MAO-B and GFAP by enzyme-linked immunosorbent assay (ELISA) Brain MAO-B levels were quantified using a human MAO-B ELISA kit (Ab157393, Abcam, Cambridge, UK) with MAO-B standard (M7441, Sigma-Aldrich Corp.). Extraction of MAO-B was performed according to the manufacturer's instructions. For the quantification of GFAP, brain homogenates were extracted with Tris-HCl buffer containing 0.1% Triton-X as described previously [13]. A human GFAP ELISA kit (BioVendor, Asheville, NC, USA) was used to quantify the GFAP levels. Statistical analysis Spearman rank correlation coefficients were calculated to examine the association between radiotracer binding, histopathology, and biochemical data. Statistical significance was defined at P < 0.05. GraphPad Prism software (GraphPad, San Diego, CA, USA) was used to perform this analysis. Case reports Subject 1 An 84-year-old right-handed male presented with memory disturbance and disorientation. One year later, standing and gait became unstable with progression of extrapyramidal signs and PSP was diagnosed clinically. PET scans were performed 2 years after the diagnosis of PSP. At the time of the PET scan, he was bedridden and the Mini-Mental State Examination (MMSE) score was 1 of 30. Neurologic examinations revealed limited vertical eye movement. The PSP rating scale score was 82. A brain MRI showed significant midbrain atrophy. A typical "hummingbird sign" was observed in the sagittal section. He died of aspiration pneumonia 295 days after the PET scan. Detailed clinical information has been described previously [14]. Subject 2 A 73-year-old right-handed male presented with memory disturbance. Mild cognitive impairment was diagnosed clinically 3 years after the first symptoms appeared. He gradually presented with speech impairment, stereotypical behavior, and change of food preference, and progressive nonfluent aphasia (PNFA) was diagnosed. We did not perform DNA sequencing to confirm a mutation in the MAPT gene. One year later, he presented with unstable gait and was prone to falls. At the PET scan, he was bedridden and the MMSE score was 1 of 30. An MRI showed diffuse brain atrophy prominent in the right anterior temporal, hippocampus, amygdala, and caudate nuclei. He died of aspiration pneumonia 79 days after PET scan. Neuropathological examination Brain weight in subject 1 was 1580 g. Autopsy revealed severe atrophy in the midbrain tegmetum and pons, and subthalamic nucleus, and relatively mild atrophy in the frontal cortex, but not in the basal ganglia. Neuropathological examination revealed tau pathology in neuronal and glial cells consistent with PSP. Globose tangles were observed in the midbrain, pons, medulla, subthalamic nucleus, and nucleus basalis of Meynert. Moderate numbers of tufted astrocytes were observed also in the amygdala, motor cortex, and superior frontal gyrus. Neurofibrillary tangles were observed in the entorhinal cortex and subiculum, which corresponded to age-related Braak stage II [1]. The tau immunoreactivity density appeared greatest in the medial temporal regions, followed by the basal ganglia and frontal cortex. Small cerebral infarctions were observed in the putamen and the cerebellum. Gliosis and neuronal loss were observed also in the substantia nigra. However, amyloid-β, α-synuclein, and TDP-43 pathology were absent in this case. These characteristics were consistent with the diagnosis of definite PSP. Brain weight in subject 2 was 920 g. Autopsy revealed severe atrophy in bilateral temporal lobes, including the hippocampus and amygdala. Brain atrophy was obviously observed in the tegmentum of the midbrain and pons. There was neuronal loss in the pigmented neurons of the substantia nigra and locus coeruleus. Brain atrophy was observed also in the globus pallidus, hypothalamic nucleus, and cerebellar dentate nucleus. AT8 immunostaining revealed abundant tau burden, including neurofibrillary tangles, globose tangles, tufted astrocytes, coiled bodies, and neuropil threads in the temporal, cingulate, frontal, striatum, globus pallidus, and subthalamic nucleus. In addition, thorn-shaped astrocytes, typical in aging-related tau astrogliopathy, were observed in the temporal lobe gray and white matter [21]. Sparse amyloid plaques were observed in the cerebral cortex (Thal phase for Aβ plaques: 2, CERAD: Sparse). Both α-synuclein and TDP-43 pathology were absent in this case. Gliosis was severe in the bilateral hippocampus and amygdala. Astrocytosis with neuronal loss was prominent in the temporal cortex, followed by the frontal and cingulate cortices. Three-and four-repeat tau-immunohistochemistry studies, respectively, revealed that these tau lesions were composed of four-repeat tau. The distribution, isoform, and morphology of tau immunoreactive lesions were consistent with atypical PSP [17], which was diagnosed as PSP-FTD. In vivo-in vitro correlation analyses Biochemical analysis revealed the presence of sarkosyl-insoluble tau (68 and 64 kDa), corresponding to 4-repeat tau protein in both cases (Additional file 1: Figure S1). In subject 1, sarkosyl-insoluble tau was high in the parahippocampal gyrus and hippocampus, moderate in the globus pallidus and putamen, and low in other areas (Fig. 2a). The parahippocampal gyrus contained 3-(60 kDa band) and 4-repeat tau, suggesting that they are age-related tau. AT8 immunohistochemistry was positive in the brain sections from the same tissue (data not shown). In subject 1, in vivo [ 18 F]THK5351 binding was correlated significantly with sarkosyl-insoluble tau levels determined by Western blot analysis (r = 0.67, P = 0.039; Fig. 2b). In addition, we found a strong correlation between in vivo [ 18 F]THK5351 binding and MAO-B levels (r = 0.78, P = 0.0096; Fig. 2c). A significant positive correlation was observed also between in vivo [ 18 F]THK5351 binding and GFAP level (r = 0.67, P = 0.039; Fig. 2d). In vitro [ 3 H]THK5351 binding assay using brain homogenates also demonstrated a strong correlation between in vivo [ 18 F]THK5351 retention and in vitro tracer binding in subject 1 (r = 0.92, P = 0.005; Fig. 2e). Tau and GFAP immunoreactivities in the brain sections were measured quantitatively for correlation analysis between in vivo tracer retention and histopathology (Fig. 3). We observed positive correlation trends between in vivo [ 18 F]THK5351 retention and tau loads (r = 0.48, P = 0.06), and between in vivo [ 18 F]THK5351 retention and GFAP immunoreactivity (r = 0.49, P = 0.05). In vitro autoradiography In vitro autoradiography of [ 18 F]THK5351 in frozen sections demonstrated high tracer binding in the globus pallidus as well as putamen in subject 1 and in the frontal cortex in subject 2 (Fig. 5), which was consistent with in vivo PET results (Fig. 1). These bindings were displaced completely after treatment with MAO-B inhibitor, Lazabemide [10]. The spatial pattern of [ 18 F]THK5351 binding was similar to that of MAO-B immunostaining, suggesting that the target of [ 18 F]THK5351 binding was MAO-B-positive astrogliosis rather than the tau aggregates in the PSP brain. [29]. Furthermore, the regional [ 18 F]THK5351 binding was correlated significantly with density of MAO-B in our autopsy case of AD [10]. In this study, we expanded the imaging-pathology correlation analysis to autopsy-confirmed PSP cases showing two different clinical phenotypes, Richardson syndrome (PSP-RS) and PNFA. A patient with PSP-RS showed remarkable [ 18 F]THK5351 retention in the globus pallidus and midbrain [2,14]. The spatial distribution of [ 18 F]THK5351 retention in this patient was similar to the topographical distribution of tau pathology in cases of classic PSP-RS [45]. Postmortem examination of this patient confirmed the existence of 4-repeat tau aggregates in these regions. However, imaging-pathology correlation analysis indicated a significant correlation between in vivo [ 18 and neurofibrillary tangles in the PSP brain [14]. However, the fixation of tissues and use of alcohol in the differentiation process may affect the tracer binding (i.e.,., diminishing the natural binding sites and/or yielding artificial binding sites) in in vitro autoradiography experiments. In this study, we performed in vitro autoradiographs of fresh-frozen sections without using alcohol and found a substantial amount of tracer binding to MAO-B. Fresh-frozen section results showed good agreement with antemortem [ 18 F]THK5351 PET analysis. These results highlighted the importance of appropriate experimental procedures in the validation of PET radiopharmaceuticals. Discussion [ 18 F]AV1451 PET studies in PSP cases have shown high tracer retention in the globus pallidus and midbrain, as observed in [ 18 F]THK5351 PET. However, the postmortem in vitro autoradiography did not show any significant binding of [ 18 F]AV1451 in these brain regions [18,24,38]. The discrepancy between in vitro and in vivo PET results may be explained also by the same technical problems as those observed in THK5351. Most of these studies used high concentrations of ethanol in the differentiation process of autoradiography [5,25,33,46]. High binding affinity of [ 18 assay [42]. [ 18 F]AV1451 binding to MAO may be overlooked by the use of ethanol. Retrospective investigation of [ 18 F]AV1451 PET in patients with Parkinson's disease showed no significant difference between conditions before and after treatment with irreversible MAO-B inhibitors (selegiline and rasagiline) [9]. Therefore, the dominant off-target binding substrates of [ 18 F]AV1451 would be MAO-A or other unknown molecules. We observed a significant correlation between tau pathology and GFAP in both of our subjects. Tau pathology in PSP includes neurofibrillary tangles, tufted astrocytes, coiled bodies, and threads pathology [45]. A postmortem study reported that the density of GFAP correlated with that of neurofibrillary tangles, but not with tufted astrocytes in PSP, suggesting the greater contribution of neurofibrillary tangles to astrogliosis in PSP [40]. MAO-B is expressed dominantly in the mitochondrial outer membrane of astrocytes. Since elevation of MAO-B levels in the brain has been implicated in several neurodegenerative diseases, MAO-B is an attractive target as a molecular imaging marker of astrogliosis [7]. Recently, a postmortem study in parkinsonian conditions, including PSP, demonstrated that MAO-B levels elevated remarkably in the midbrain of PSP and positively correlated with astroglial markers, such as GFAP, vimentin, and Hsp27 [41], which was consistent with our observation. MAO-B PET imaging using [ 11 C]L-deprenyl-D2 showed elevated tracer retention in the brain of several neurodegenerative diseases including AD [6,15,34]. Other investigators have reported the elevation of tracer binding in prodromal AD, but not in symptomatic AD [3,30,36]. However, many postmortem studies have shown the elevation of MAO-B levels in the postmortem brains of AD [8,26,35]. As discussed previously [41], this discrepancy might be explained by the low sensitivity of [ 11 C]L-deprenyl-D2 PET. Recently, second-generation MAO-B PET tracers, such as [ 11 C]SL25.1188, have been developed and showed reversible binding to MAO-B [31,32]. Furthermore, the development of [ 18 F]labeled PET tracers is ongoing [12,28]. Our study strongly supported that [ 18 F]THK5351 PET dominantly reflected the binding to MAO-B in patients with PSP. Therefore, [ 18 F]THK5351 PET would be useful for in vivo assessment of astrogliosis in PSP. Future research should proceed with development of PET tracers for selective detection of astrogliosis and sensitive detection of 4-repeat tau in the human brain. -In PSP-RS cases, ischemic changes observed in the putamen might induce reactive astrocytes. Recently, reactive astrocytes have been categorized into two different types depending on the gene expression: A1 astrocytes highly upregulated many classical complement cascades and are toxic as observed in neuroinflammation; A2 astrocytes upregulated many neurotrophic factors and are protective as observed in ischemia [22]. The GFAP showed elevated expression in both reactive astrocytes. Conclusions Our imaging-pathology validation study demonstrated the binding of [ 18 F]THK5351 to MAO-B-positive astrogliosis in the PSP brain. Therefore, [ 18 F]THK5351 PET may be useful to assess astrocytosis in non-AD tauopathies. Additional file Additional file 1: Figure S1. Immunoblot analysis of sarkosyl-insoluble tau in the study subject and an AD case detected by T46 (anti-tau C-terminus). The study subjects contained dominantly 4R tau (64-and 68-kDa tau). (PPTX 334 kb)
new Vue({ el: '#app', data: { mobile: "", msg: "", users: [ "Android JS", "Vue", "React", "Angular", "Phonon" ] }, methods: { sendMsg() { if(this.mobile.length < 10){ alert("invalid mobile number"); }else{ app.sms.send(this.mobile, this.msg); } }, remove(el){ for( var i = 0; i < this.users.length; i++) { if ( this.users[i] === el) { this.users.splice(i, 1); i--; } } } } })
gltfpack: Improve texture file handling behavior Previously, when targeting .gltf files without texture compression, gltfpack left texture URIs untouched in the file. This meant that the users were required to copy the texture files by hand to the output folder so that the textures could be discovered (or somehow reference the original folder in the loader). When using texture compression, gltfpack would instead save the files into the output folder. This meant that the output glTF file was complete, however when some textures were compressed and some weren't using selective (slot-specific) compression, this still meant that some files needed to be copied by hand. When targeting .glb files, all textures we embedded in the output, compression or not. So the only way to guarantee complete glTF output was to use .glb destination... however, this meant that for .glb outputs textures could not be kept external, which could be a problem if the textures are shared between separate .glb files. This change reworks the flow so that by default we guarantee that the output asset is complete, by copying files to the output folder (when target asset type is .gltf) or embedding them (when target asset type is .glb). Compression will just result in a different output file extension for textures that are compressed. A new option, -tr, allows to keep the original paths without copying/embedding. When target asset type is .gltf, specifying -tr allows to revert to the old behavior. When target asset type is .glb, specifying -tr effectively keeps the textures unembedded (relying on the user to copy them). So the default behavior is now more correct, and -tr exists to satisfy special cases. When texture compression is enabled, the meaning of -tr is unclear. While we could support it by, for example, compressing the files next to the original files, for now we explicitly forbid the combination - if this gets requested, it should be easy to add. Notably, we currently use the same logic for determining target image paths as we do for compressed textures. This means we inherit the (potentially problematic) behavior of not preserving the relative texture paths, for example textures/Material_1.png ends up as Material_1.png. This can be improved separately, but this change will detect and flag the problem. Fixes #487 (gltfpack now does the right thing) Fixes #605 (using -tr allows to output a .glb asset with external textures) (since this is a significant behavior change I'll keep it open for a week or so and do more testing and/or tweaks in the mean time) I've tested this more extensively over the last few days and this seems like a strict improvement per reasoning above. Because the new -tr option allows to revert back to previous behavior with the only case that's not 100% covered being the use of partial texture compression (which currently enforces copying even for uncompressed textures as -tr is unavailable), this should be safe to merge.
A Vertical Metal Oxide Semiconductor Field Effect Transistor (MOSFET) and a Method of Forming the Same ABSTRACT A vertical metal oxide semiconductor field effect transistor (MOSFET) and a method for forming a vertical MOSFET is presented. The MOSFET comprises: a top contact; a bottom contact; a nanowire (602) forming a charge transport channel between the top contact and the bottom contact; and a wrap-around gate (650) enclosing the nanowire (602) circumference, the wrap-around gate (650) having an extension spanning over a portion of the nanowire (602) in a longitudinal direction of the nanowire (602), wherein the wrap-around gate (650) comprises a gate portion (614) and a field plate portion (616) for controlling a charge transport in the charge transport channel, and wherein the field plate portion (616) is arranged at a first radial distance (636) from the center of the nanowire (602) and the gate portion (614) is arranged at a second radial distance (634) from the center of the nanowire (602); characterized in that the first radial distance (636) is larger than the second radial distance (634). TECHNICAL FIELD The present invention relates to a vertical metal oxide semiconductor field effect transistor (MOSFET) and a method of forming the same. BACKGROUND III-V MOSFETs integrated on Si substrates, such as InAs and InGaAs, are considered to extend Moore's law, as they offer the possibility to decrease the supply voltage and therefore power consumption, while operating with a high performance. Continuous scaling of the MOSFET gate length has led to an increase in the off-state power consumption due to leakage. Vertical vapor-liquid-solid (VLS) grown nanowires in III-V materials offer an interesting option as channel material in vertical III-VMOSFETs, as the vertical growth direction allows high degree of freedom for the material selection. Thin nanowires can relax stress related to lattice mismatch by lateral expansion. One major driving force for the development has been the possibility to integrate high mobility and direct band gap III-V materials on Si. Self-aligned gate technology is essential to reduce the parasitics innanowire MOSFETs that otherwise will limit the drive current andtransconductance. For vertical nanowire MOSFETs, a process has been described (WO/2016/207127) where the top metal first is deposited to define the top ohmic contact and subsequently used to etch a recess gate below the metal. The process technology allows an accurate positioning of the gate electrode along the vertical nanowires. However, dielectric spacer layers are also essential to separate the gate electrode from the source and drain regions to reduce the parasitic capacitances. There is therefore a need for developing new nanowire MOSFETs to improve device performance, and in particular to reduce leakage currents. SUMMARY OF THE INVENTION It is an object of the present inventive concept to at least reduce the above problems by providing a vertical metal oxide semiconductor field effect transistor (MOSFET) and a method of forming a vertical metal oxide semiconductor field effect transistor (MOSFET). It is another object to provide such a vertical MOSFET and method for forming the same that allows for a reduced leakage current and hence an enhanced control. According to a first aspect, the above and other objects are achieved bya vertical metal oxide semiconductor field effect transistor (MOSFET).The MOSFET comprising: a top contact; a bottom contact; a nanowireforming a charge transport channel between the top contact and the bottom contact; and a wrap-around gate enclosing the nanowirecircumference, the wrap-around gate having an extension spanning over a portion of the nanowire in a longitudinal direction of the nanowire,wherein the wrap-around gate comprises a gate portion and a field plate portion for controlling a charge transport in the charge transport channel, and wherein the field plate portion is arranged at a first radial distance from the center of the nanowire and the gate portion is arranged at a second radial distance from the center of the nanowire;characterized in that the first radial distance is larger than the second radial distance. By means of the present vertical MOSFET it is possible to decrease the output conductance, to increase the breakdown voltage of the MOSFET, andto suppress the tunneling leakage current. The top contact may be formed prior to the wrap-around gate. The top contact may be formed using a method for a vertical gate-last process.The top contact may be directly connected to a top portion of thenanowire. The top contact may be indirectly connected to the top portion of the nanowire. The top contact may be in electrical contact with thenanowire. The top contact may be a first ohmic contact to the nanowire.The top contact may be an ohmic contact. The top contact may comprise a metal contact. The top contact may comprise a semiconductor contact region. The top contact may be in electrical contact to a drain. The top contact may be the drain. The bottom contact may be formed prior to the wrap-around gate. The bottom contact may be directly connected to a bottom portion of thenanowire. The bottom contact may be indirectly connected to the bottom portion of the nanowire. The bottom contact may be in electrical contact with the nanowire. The bottom contact may be a second ohmic contact tothe nanowire. The bottom contact may be an ohmic contact. The bottom contact may be in electrical contact to a source. The bottom contact maybe the source. Thus, it is to be understood that the function of top contact and the bottom contact may be interchanged. The nanowire may form a charge transport channel between the top contact and the bottom contact. In other words, the top contact and the bottom contact is electrically connected via the nanowire. The nanowire may form a charge transport channel for charge carriers. The nanowire may bea semiconductor nanowire. The nanowire may be a III-V semiconductornanowire. The nanowire may alternatively be a group IV nanowire. Thenanowire may comprise InAs, InGaAs, GaSb, Si, GaA sSb, or combinations thereof. The nanowire may have a homogenous material composition. Thenanowire may be homogenous in doping level. The nanowire may comprise annular layers of varying doping levels. The nanowire may comprise an outer annular layer of higher doping level than an inner annular layer of the nanowire. The nanowire may be a core-shell nanowire. The core andthe shell may be formed by different materials. The core and the shell may alternatively be of the same material but differ in doping concentration. The nanowire may be used as a transistor channel. The wrap-around gate encloses the nanowire circumference. The wrap-around gate is located below the top contact. The wrap-around gate is located above the bottom contact. It is to be understood that “above”and “below” are positions relative the longitudinal direction of thenanowire. The wrap-around gate may be a layered structure. The wrap-around gate may comprise a metal layer. The wrap-around gate has an extension spanning over a portion of thenanowire in a longitudinal direction of the nanowire. The wrap-around gate comprises a gate portion and a field plate portion for controlling a charge transport in the charge transport channel. The charge transport in the charge transport channel may be controlled by applying a gate voltage. In other words, the transport of charge carriers between the source and the drain may be controlled by applying a voltage to the gate. The gate portion and the field plate portion maybe integrally formed. The field plate portion and the gate portion maybe formed as two separate elements. The field plate portion and the gate portion may be in electrical contact with each other. The field plate portion and the gate portion may be formed by different materials. The different material may be different metals. The different metals mayhave different work functions. The gate portion may be stepped. The gate portion and the field plate portion may form a stepped structure. The field plate portion is arranged at a first radial distance from the center of the nanowire. The field plate portion may be arranged at a first radial distance from the center of the nanowire by means of a separating dielectric layer having a second thickness in a radial direction of the nanowire. The gate portion is arranged at a second radial distance from the center of the nanowire. The gate portion may be arranged at a second radial distance from the center of the nanowire by means of a separating dielectric layer having a first thickness in a radial direction of thenanowire. The wrap-around gate may be formed as a stacked structure,generally referred to as a gate stack. The separating dielectric layer may form part of the gate stack. An advantage of arranging the field plate portion at the first radial distance from the center of the nanowire and the gate portion at the second radial distance from the center of the nanowire may be additional control of an electrical potential of a portion of the charge transport channel. This as the electric field within the charge transport channel is dependent on the radial distance to the center of the nanowire. In other words, the potential of a portion of the charge transport channel enclosed by the wrap-around gate may be tailored by varying the first and second radial distances. The separating dielectric layer having a second thickness and the separating dielectric layer having a first thickness may be made of thesame dielectric material. The separating dielectric layer having a second thickness and the separating dielectric layer having a first thickness may be made of different dielectric materials. The first radial distance is larger than the second radial distance. In other words, the field plate portion is arranged at a larger radial distance from the center of the nanowire than the gate portion. An advantage of the first radial distance being larger than the second radial distance is that the tunneling distance between the gate portion and the drain for the charge carriers is increased when a gate voltage is applied. In other words, the effective gate length of the verticalMOSFET may be increased and a reduced electric field on the drain side.An advantage of an increased tunneling distance between the gate portion and the drain for the charge carriers may be a decrease of the output conductance when a gate voltage is applied. An advantage of an increased tunneling distance between the gate portion and the drain for the charge carriers may be an increased breakdown voltage when a gate voltage is applied. An advantage of an increased tunneling distance between the gate portion and the drain for the charge carriers may be a suppression of the tunneling leakage current. A reduced impact ionization may further be achieved. Higher operating voltages may further be applied tothe vertical MOSFET. The field plate portion may be displaced relative to the gate portion along the longitudinal direction of the nanowire. A material composition of the nanowire may vary along the longitudinal direction of the nanowire. An advantage of varying the material composition along the longitudinal direction of the nanowire may be that the bandgap of the nanowire may be tailored along the longitudinal direction of the nanowire. An advantage of varying the material composition along the longitudinal direction ofthe nanowire may be a reduction of the off-state power consumption. In other words, a lower gate voltage may be needed in the off-state of theMOSFET. The material composition of the nanowire along the longitudinal direction of the nanowire may vary gradually, which may be advantageous in that properties of the vertical MOSFET may be further improved. Thenanowire may comprise a graded heterojunction. The nanowire may comprise a graded In_(x)Ga_(1-x)As heterostructure. The material composition of the nanowire along the longitudinal direction of the nanowire may be segmented, which may be advantageous in that properties of the vertical MOSFET may be further improved. Thenanowire may be formed by axial segments of varying material composition. The nanowire may be formed by axial segments of varying doping. The nanowire may be an axial heterostructure nanowire. Thenanowire may have segments of two or more different materials and doping levels. The material composition of the nanowire may vary such that a bandgap ofthe nanowire is larger adjacent to the field plate portion than adjacent to the gate portion. In other words, the field plate portion may be arranged in a way that the wrap-around gate is further away from the center of the nanowire in a region with higher bandgap, whereas the wrap-around gate is closer to the center of the nanowire in a region with narrower bandgap. An advantage of varying the material composition in such way is that the additional tailoring of the bandgap of a portion of the nanowireadjacent to the field plate portion increases the effective gate length.A further increase in tunneling distance between the gate portion andthe drain for the charge carriers may thereby be achieved. A suppression of the tunneling leakage current is therefore achieved. A material composition of the nanowire may comprise In_(x)Ga_(1-x)As. An advantage of the material composition of the nanowire comprisingIn_(x)Ga_(1-x)As may be the possibility of changing the bandgap of thenanowire. The wrap-around gate may comprise a high-k dielectric. The high-kdielectric may comprise Al₂O₃, HfO₂, ZrO₂, SiN_(x), SiO₂, or combinations thereof. An advantage of the high-k dielectric may be an increased gate portion capacitance. A radial extension of the nanowire may be smaller at the gate portion than at the field plate portion. In other words, the gate portion may be recessed. The recess may be formed by etching of the nanowire. An advantage of a recessed gate portion may be a reduction of a parasitic resistance. An advantage of a recessed gate portion may be additional tailoring of the electric potential when a gate voltage is applied. The nanowire may have a radial extension in a range of 2.5 nm to 25 nm. The gate portion may have an extension in the longitudinal direction ofthe nanowire in a range of 10 nm to 500 nm. The field plate portion mayhave an extension in the longitudinal direction of the nanowire in arange of 10 nm to 1000 nm. Preferably, the gate portion is 20-50 nm andthe field plate portion is about 50 nm. A ratio between the first radial distance and the second radial distance may be in a range of 1.1 to 5, which may be advantageous in that properties of the vertical MOSFET may be further improved. According to a second aspect the present disclosure relates to a method for forming a vertical metal oxide semiconductor field effect transistor(MOSFET) on a substrate having a vertical nanowire arranged on a first major surface of the substrate, the method comprising: forming a dielectric layer covering outer surfaces of the nanowire and a portion of the first major surface of the substrate adjacent to the nanowire;forming a first horizontal sacrificial layer covering the dielectric layer around a bottom portion of the nanowire; removing exposed portions of the dielectric layer using the first sacrificial layer as an etch mask; removing the first sacrificial layer; forming a gate stack layer covering remaining portions of the dielectric layer and exposed portions of the nanowire, wherein the gate stack layer comprises a high-kdielectric; depositing a metal layer covering the gate stack layer;forming a second horizontal sacrificial layer covering the metal layer around a bottom portion of the nanowire; removing exposed portions ofthe metal layer using the second sacrificial layer as an etch mask;removing exposed portions of the gate stack layer using the secondsacrificial layer as an etch mask; removing the second sacrificiallayer, thereby exposing a wrap-around gate enclosing the nanowirecircumference, the wrap-around gate being formed of remaining portions of the gate stack layer and the metal layer; and forming a top contact at a top portion of the nanowire. According to the present method, a vertical MOSFET is formed on a substrate having a vertical nanowire arranged on a first major surface of the substrate. The vertical MOSFET may be formed on a semiconductor substrate. For instance, the semiconductor substrate may be Si or III-V compound material. The nanowire may be grown directly on the substrate.The nanowire may be grown on an epitaxial layer deposited on the semiconductor substrate. III-V or group IV nanowires may be grown using metal organic vapor-phase epitaxy using the vapor-liquid-solid method on electron-beam defined Au particles with typical sizes of 5 to 50 nm.Alternatively, other methods to grow the nanowire may be used, such as growth on substrates with dielectric masks. The dielectric masks may be made of SiO₂ or SiN_(x). The nanowire may also be defined by etching ofa semiconductor wafer in a top-down process. Furthermore, the nanowiremay be exposed to a regrowth step, where one or more masks are used along the nanowire to allow for semiconductor material deposition inone, two, or more areas along the nanowire. The nanowire may behomogenous in material composition. The nanowire may be homogenous indo ping level. The nanowire may be formed by axial segments of varying doping. The nanowire may be formed by axial segments of varying material composition. For instance, the nanowire may have a 200 nm long undopedbottom section followed by a 400 nm long highly doped section. According to the present method, a dielectric layer is formed. The dielectric layer covers outer surfaces of the nanowire and a portion ofthe first major surface of the substrate adjacent to the nanowire. The dielectric layer may be deposited by atomic layer deposition (ALD). The dielectric layer may be deposited by chemical vapor deposition (CVD).The dielectric layer may be SiO₂. The dielectric layer may be SiN. The dielectric layer may be 50-100 nm thick. According to the present method, a first horizontal sacrificial layer is formed. The first horizontal sacrificial layer covers the dielectric layer around a bottom portion of the nanowire. The first horizontalsacrificial layer may be a photo resist layer. The first horizontalsacrificial layer may be made of hydrogen silsesquioxane (HSQ). Thefirst horizontal sacrificial layer may be 100 nm thick. According to the present method, exposed portions of the dielectric layer are removed using the first sacrificial layer as an etch mask. The exposed portions of the dielectric layer may be removed by wet etching chemistry. The exposed portions of the dielectric layer may be removed by dry etching chemistry. According to the present method, the first sacrificial layer is removed. According to the present method, a gate stack layer is formed. The gate stack layer covers remaining portions of the dielectric layer and exposed portions of the nanowire. The gate stack layer comprises ahigh-k dielectric. The high-k dielectric may be deposited by ALD. The high-k dielectric may be Al₂O₃, HfO₂, ZrO₂, or combinations thereof. According to the present method, a metal layer covering the gate stack layer is deposited. The metal layer may be deposited by metal evaporation. The metal layer may be deposited by sputtering. The metal layer may be deposited by CVD. According to the present method, a second horizontal sacrificial layer is formed. The second horizontal sacrificial layer covers the metal layer around a bottom portion of the nanowire. The second horizontalsacrificial layer may be made of HSQ. According to the present method, exposed portions of the metal layer using the second sacrificial layer as an etch mask are removed. The exposed portions of the metal layer may be removed by wet etching chemistry. The exposed portions of the metal layer may be removed by dry etching chemistry. According to the present method, exposed portions of the gate stack layer using the second sacrificial layer as an etch mask are removed.The exposed portions of the gate stack layer may be removed by wet etching chemistry. The exposed portions of the gate stack layer may be removed by dry etching chemistry. According to the present method, the second sacrificial layer is removed, thereby exposing a wrap-around gate enclosing the nanowirecircumference. The wrap-around gate is formed of remaining portions ofthe gate stack layer and the metal layer. According to the present method, a top contact is formed at a top portion of the nanowire. In general, features of this aspect of the inventive concept provide similar advantages as discussed above in relation to the previous aspect of the invention, why said advantages will not be repeated in detail to avoid undue repetition. The method may further comprise: forming a coating on an upper portion of the nanowire prior to forming the dielectric layer. According to the present method, a coating on an upper portion of thenanowire may be formed prior to forming the dielectric layer. The coating may be a metal. The coating may be a semiconductor. The method may further comprise: etching the nanowire, subsequent to removing the first sacrificial layer and prior to forming the gate stack layer, using the coating and/or the dielectric layer as an etch mask,thereby locally decreasing a radial extent of the nanowire. According to the present method, the nanowire may be etched, subsequent to removing the first sacrificial layer and prior to forming the gate stack layer. The nanowire may be etched using the coating and/or the dielectric layer as an etch mask, thereby locally decreasing the radial extent of the nanowire. The nanowire may be etched by etching an oxide formed on the nanowire. The nanowire may be etched by atomic layer etching. An upper surface of the second sacrificial layer may define a height ofthe wrap-around gate. In other words, the height of the wrap-around gate is determined by the thickness of the second sacrificial layer. A further scope of applicability of the present disclosure will become apparent from the detailed description given below. However, it shouldbe understood that the detailed description and specific examples, while indicating preferred variants of the present inventive concept, are given by way of illustration only, since various changes and modifications within the scope of the inventive concept will become apparent to those skilled in the art from this detailed description. Hence, it is to be understood that this inventive concept is not limited to the particular component parts of the device described or steps ofthe methods described as such device and method may vary. It is also tobe understood that the terminology used herein is for purpose of describing particular embodiments only, and is not intended to be limiting. It must be noted that, as used in the specification and the appended claim, the articles “a”, “an”, “the”, and “said” are intended to mean that there are one or more of the elements unless the context clearly dictates otherwise. Thus, for example, reference to “a unit” or“the unit” may include several devices, and the like. Furthermore, the words “comprising”, “including”, “containing” and similar wordings doesnot exclude other elements or steps. BRIEF DESCRIPTION OF THE DRAWINGS The aspects of the present inventive concept, including its particular features and advantages, will be readily understood from the following detailed description and the accompanying drawings. The figures are provided to illustrate the general structures of the inventive concept.Like reference numerals refer to like elements throughout. FIG. 1A-G illustrates a process flow describing how to fabricate afield-plate on a vertical nanowire. FIG. 2A-H illustrates an alternative process flow describing how tofabricate a field-plate on a vertical nanowire. FIG. 3A-C illustrates yet an alternative process flow describing how tofabricate a field-plate on a vertical nanowire. FIG. 3D illustrates a SEM micrograph of a vertical nanowire MOSFET. FIG. 4 illustrates measured output characteristics of a verticalnanowire MOSFET with a field-plate and graded InAs/InGaAsheterojunction. FIG. 5 illustrates measured transfer characteristics of a verticalnanowire MOSFET with a field-plate and graded InAs/InGaAsheterojunction. FIG. 6A is a schematic illustration of a pre state vertical nanowireMOSFET. FIG. 6B is a cross section taken along line ϕ₂ in FIG. 6A. FIG. 6C is a cross section taken along line ϕ₁ in FIG. 6A. FIG. 7A-B present alternative layouts of a pre state vertical nanowireMOSFET. FIG. 8A is a graphical representation of bandgap for a nanowire ofhomogenous material composition. FIG. 8B is a graphical representation of bandgap for a nanowire of graded material composition. FIG. 8C is a graphical representation of bandgap for a nanowire of segmented material composition. DETAILED DESCRIPTION The present inventive concept will now be described more fully hereinafter with reference to the accompanying drawings, in which currently preferred variants of the inventive concept are shown. This inventive concept may, however, be implemented in many different forms and should not be construed as limited to the variants set forth herein;rather, these variants are provided for thoroughness and completeness,and fully convey the scope of the present inventive concept to the skilled person. A vertical MOSFET 600 will now be described with reference to FIG. 6A-C.The vertical MOSFET 600 shown in FIG. 6A comprises a vertical nanowire602. The material composition of the vertical nanowire 602 in FIG. 6Avaries along the longitudinal direction 601 of the vertical nanowire602. More specifically, the vertical nanowire 602 comprises a graded material composition, in this case a graded In_(x)Ga_(1-x)Asheterostructure, where the Ga content is gradually varied. The verticalnanowire 602 therefore comprises a graded heterojunction. The Ga content of the vertical nanowire 602 is varied such that a bandgap of thevertical nanowire 602 is larger adjacent to the field plate portion 616than adjacent to the gate portion 614. In other words, the field plate portion 616 is arranged such that the wrap-around gate 650 is further away from the center of the vertical nanowire 602 in a region with higher bandgap, whereas the wrap-around gate 650 is closer to the center of the vertical nanowire 602 in a region with narrower bandgap. The vertical nanowire 602 may comprise other material compositions, such asIn As, InGaAs, In_(x)Ga_(1-x)As, GaSb, Si, or combinations thereof. The vertical nanowire 602 may be a III-V nanowire or a group IV nanowire.Also, the doping level of the vertical nanowire 602 may be homogenous.The material composition of the vertical nanowire 602 may also be varied along the longitudinal direction of the vertical nanowire 602 in segments. For example, the vertical nanowire 602 may be formed by axial segments of varying material composition and/or by axial segments of varying doping, where segments have two or more different materials and doping levels. The vertical nanowire 602 may be an axial heterostructurenanowire. Band gaps for nanowires 602 of different material compositions will be described in relation to FIG. 8A-C. The vertical nanowire 602 in FIG. 6A has a constant radial extent 632.Typically, the radial extension 632 of the vertical nanowire 602 is in arange of 2.5 nm to 25 nm. The vertical nanowire 602 may be etched suchthat the radial extent 632 of the vertical nanowire 602 is varied. The radial extent of the nanowire may for example be smaller adjacent to the gate portion than adjacent to the filed plate portion. The nanowire 602 forms a charge transport channel between a top contact and a bottom contact of the MOSFET. The vertical nanowire 602 in FIG. 6Ais used as a transistor channel. In order to increase readability of FIG. 6A, the top contact and the bottom contact of the MOSFET are not shown. It is to be understood that the top contact may be connected to atop portion of the vertical nanowire 602, and that the bottom contact may be connected to a bottom portion of the vertical nanowire 602. Inthe vertical MOSFET 600 shown in FIG. 6A, the top portion of thevertical nanowire 602 is connected to a drain, and the bottom portion ofthe vertical nanowire 602 is connected to a source. In other version,the top portion of the vertical nanowire 602 may be connected to the source, and the bottom portion of the vertical nanowire 602 may be connected to the drain. In other words, the vertical nanowire 602 forms a charge transport channel between the source and the drain. The vertical MOSFET 600 in FIG. 6A further comprises a basis 612, a wrap-around gate 650, and a dielectric layer 618. The wrap-around gate650 encloses the vertical nanowire 602 circumference. As is shown in FIG. 6A, the wrap-around gate 650 spans over a portion of the verticalnanowire 602 in a longitudinal direction 601 of the vertical nanowire602. The wrap-around gate 650 comprises a gate portion 614 and a field plate portion 616 for controlling the flow of charge carriers in the charge transport channel. Typically, the gate portion 614 has an extension in the longitudinal direction of the vertical nanowire 602 ina range of 10 nm to 500 nm, and the field plate portion 616 has an extension in the longitudinal direction of the vertical nanowire 602 ina range of 10 nm to 1000 nm. Preferably, the gate portion 614 is 20-50nm and the field plate portion 616 is about 50 nm. The charge transport between the source and the drain may be controlled by applying a voltage to the gate portion 614. In the depicted MOSFET 600 in FIG. 6A the gate portion 614 is arranged below the field plate portion 616, however, the gate portion 614 may be arranged above the field plate portion 616. The gate portion 614 and the field plate portion 616 are integrally formed in the form of a stepped structure in FIG. 6A. However, the gate portion614 and the field plate portion 616 may be formed as two separate elements. In case the gate portion 614 and the field plate portion 616are formed as two separate elements, they may be made of different material, such as metals with different work functions, and they may bein electrical contact with each other. In FIG. 6A, the gate portion 614and the field plate portion 616 are arranged adjacent to one another.The field plate portion 616 may, however, be displaced relative to the gate portion 614 along the longitudinal direction of the verticalnanowire 602. The wrap-around gate 650 in FIG. 6A is a layered structure with a material composition that comprises a high-k dielectric layer 614-2 anda metal layer. The high-k dielectric layer 614-2 in FIG. 6A and FIG. 6Ccomprises Al₂O₃. However, the high-k dielectric layer 614-2 may compriseHfO₂, ZrO₂, both in combination with each other, with Al₂O₃, or alone.In the example depicted in FIG. 6A, the dielectric layer 618 and the high-k dielectric layer 614-2 are made of different dielectric materials. However, the dielectric layer 618 and the high-k dielectric layer 614-2 may be made of the same dielectric material. The thickness of the dielectric layer 618 may be different from the thickness of the high-k dielectric layer 614-2. In the above section the layer 614-2 has been referred to as a high-kdielectric layer, i.e. a layer having a high dielectric constant as compared to silicon dioxide. The skilled person, however, realizes thatthe high-k dielectric layer 614-2 may alternatively be formed by another dielectric material, such as silicon dioxide. In FIG. 6A, the high-k dielectric layer 614-2 of the wrap-around gate650 of the MOSFET 600, is explicitly shown only adjacent to the gate portion 614. However, the high-k dielectric layer 614-2 is a layer ofthe wrap-around gate 650. In case the radial extent 632 of the verticalnanowire 602 is varied, the radial extent 632 of the vertical nanowire602 is typically smaller at the gate portion 614 than at the field plate portion 616. In other words, the gate portion 614 may be recessed. The field plate portion 616 is separated from the vertical nanowire 602 by means of the dielectric layer 618. FIG. 6B shows a cross section 660 along the plane 626 of the verticalMOSFET 600, i.e. in a plane perpendicular to the longitudinal direction601 of the vertical nanowire 602. As is shown in FIG. 6B, the field plate portion 616 is arranged at a first radial distance 636 from the center of the vertical nanowire 602. The first radial distance 636 is defined by the radial extent 632 of the vertical nanowire 602 and a thickness 638 of the dielectric layer 618. FIG. 6C shows a cross section 640 along the plane 624 of the verticalMOSFET 600. As is shown in FIG. 6C, the gate portion 614, comprising the high-k dielectric layer 614-2, is arranged at a second radial distance634 from the center of the vertical nanowire 602. The second radial distance 634 is defined by the radial extent 632 of the verticalnanowire 602 at the gate portion 614. In the example shown in FIG. 6A,the first radial distance 636 is larger than the second radial distance634. Typically, a ratio between the first radial distance 636 and the second radial distance 634 is in a range of 1.1 to 5. FIG. 8A is a graphical representation 810 of bandgap for a verticalnanowire of homogenous material composition. In the example depicted in FIG. 8A, the material composition is InAs. Also, the graphical representations 810, 820, 830 in FIG. 8A-C are bandgap diagrams of vertical nanowires including a wrap-around gate void of field plate portions. FIG. 8A-C are included to discuss how the bandgap structures of the vertical nanowire may enhance the effect of the field plate portion 616 in the vertical MOSFET 600. In the following, portions 814,824, 834 of the bandgap diagrams extend along the longitudinal directions z 811, 821, 831 of the vertical nanowires. The portions 814,824, 834 of the bandgap diagrams corresponds to actual portions along the vertical nanowires where a respective gate portion is present,similar to the gate portion 614 in FIG. 6A. Charge carriers in a valence band 816, 826, 836 of the respective portions 814, 824, 834 of thevertical nanowires may tunnel to a respective conduction band 818, 828,838 of the vertical nanowires in a direction 812, 822, 832 asschematically illustrated in FIG. 8A-C. From FIG. 8A it is clear that charge carriers may tunnel from the valence band 816 of the portion 814of the vertical nanowire to the conduction band 818 of the verticalnanowire. In other words, the charge carriers may tunnel over a tunneling distance 815 as illustrated in the figure. In case thevertical nanowire comprises a graded material composition, as is the case in FIG. 8B, a tunneling distance 825 may further be increased. Thisis exemplified in FIG. 8B, where the vertical nanowire comprises gradedInGaAs by which the Ga content is increased to further reduce the tunneling probability for the charge carriers. As a result of the tailored bandgap along the nanowire a tunneling distance 825 is achieved which is longer compared to the tunneling distance 815 for thehomogenous material composition shown in FIG. 8A. Thus, charge carrier sin a valence band 826 of the portion 824 of the vertical nanowire in the case shown in FIG. 8B must tunnel a longer tunneling distance 825 in a direction 822 to a conduction band 828, than in the case shown in FIG.8A. To this end, a corresponding tunneling current for the case shown in FIG. 8B is smaller than a tunneling current for the case shown in FIG.8A. As discussed above, the field plate portion may be arranged in such away that the wrap-around gate is further away from the center of thenanowire in a region with higher bandgap, whereas the wrap-around gate is closer to the center of the nanowire in a region with narrower bandgap. An advantage of varying the material composition in such way is that additional control of the bandgap of a portion of the nanowire by the field plate portion may be achieved. An increased effective gate length may thereby be achieved. An alternative to the graded material composition shown in FIG. 8B is shown in FIG. 8C. FIG. 8C is a graphical representation 830 of bandgapfor a vertical nanowire of segmented material composition. The bandgapdepicted in FIG. 8C is different than the bandgap structures shown in FIG. 8A and FIG. 8C, with a tunneling distance 835 from a valence band836 of the portion 834 to a conduction band 838 of the verticalnanowire. In order for the charge carriers in the valence band 836 ofthe portion 834 of the vertical nanowire to tunnel to the conduction band 838 of the vertical nanowire, the charge carriers must tunnel the tunneling distance 835 in a direction 832. A reduced impact ionization may further be achieved. The tunneling distance 835 in FIG. 8C is larger than the tunneling distance 815 in FIG. 8A, and therefore the corresponding tunneling current for the case shown in FIG. 8C is smaller than for the case shown in FIG. 8A. A reduced leakage current and hence an enhanced control may therefore be achieved for the vertical MOSFET. Thus, introducing a field plate portion in each respective wrap-around gate for the vertical nanowires may further increase the tunneling distances 815, 825, 835. In other words, by providing a verticalnanowire comprising a varying material composition in combination with a wrap-around gate including a field plate portion, the tunneling distance of the bandgap of the vertical nanowire may be increased and the performance of the vertical nanowire MOSFET thus improved. With reference to FIG. 1A-G, a method for forming a vertical MOSFET on a substrate 101 having a vertical nanowire 102 arranged on a first major surface 103 of the substrate 101 will be described. The substrate 101 in FIG. 1A-G is a semiconductor substrate, in this case Si. Alternatively,the semiconductor substrate may be a III-V compound material. The vertical nanowire 102 shown in FIG. 1A-G has a coating 108 of a metal on an upper portion of the vertical nanowire 102. Alternatively,the coating 108 may be a semiconductor or may not be present at all. The vertical nanowire 102 in FIG. A-G has been grown directly on the substrate 101. Alternatively, the vertical nanowire 102 may be grown onan epitaxial layer deposited on the semiconductor substrate. The vertical nanowire 102 may be grown in several different ways, depending on the material composition of the vertical nanowire 102. For example,III-V or group IV nanowires may be grown using metal organic vapor-phaseepitaxy using the vapor-liquid-solid method on electron-beam defined Au particles with typical sizes of 5 to 50 nm. Other methods of growing vertical nanowires are known in the art, such as growth on substrates with dielectric masks. The vertical nanowire 102 may also be defined by etching a semiconductor wafer in a top-down process. The verticalnanowire 102 may also be exposed to a regrowth step, where one or more masks are used along the nanowire to allow for semiconductor material deposition in one, two, or more areas along the vertical nanowire 102. The vertical nanowire 102 in FIG. 1-G has a varying material composition, in this case the vertical nanowire 102 is formed by axial segments of varying material composition. The vertical nanowire 102 may also be formed by axial segments of varying doping. However, thevertical nanowire 102 may be homogenous in material composition and/or doping level. In FIG. 1B, a dielectric layer 118 is formed by means of atomic layer deposition (ALD). Alternatively, the dielectric layer 118 may be deposited using chemical vapor deposition (CVD). The dielectric layer118 covers outer surfaces of the vertical nanowire 102 and a portion ofthe first major surface 103 of the substrate 101 adjacent to thevertical nanowire 102. In this case, the dielectric layer 118 is SiO₂.Alternatively, the dielectric layer 118 may be SiN. The dielectric layer118 may have a thickness in a range 50-100 nm. In FIG. 1C, a first horizontal sacrificial layer 152 is formed, covering the dielectric layer 118 around a bottom portion of the nanowire 102. In FIG. 1C, the first horizontal sacrificial layer 152 is a photoresistlayer, and may be hydrogen silsesquioxane (HSQ). The material composition of the first horizontal sacrificial layer 152 is such thatthe first horizontal sacrificial layer 152 may be used as an etch mask when exposed portions of the dielectric layer 118 are removed. Exposed portions of the dielectric layer 118 are then removed, using thefirst sacrificial layer 152 as an etch mask. For example, exposed portions of the dielectric layer 118 may be removed by wet or dry etching chemistry. After the removal of the exposed portions of the dielectric layer 118, the first sacrificial layer 152 is removed,resulting in FIG. 1D. Subsequent the removal of the first sacrificial layer 152, the verticalnanowire 102 in FIG. 1D-1 may be etched using the coating and the dielectric layer 118 as an etch mask. The radial extent of the verticalnanowire 102 is thereby locally decreased. The vertical nanowire 102 maybe etched by etching an oxide formed on the vertical nanowire 102 or by atomic layer etching. Subsequent to etching the vertical nanowire 102, a gate stack layer 110has been formed, as shown in FIG. 1D-1. The gate stack layer 110 covers remaining portions of the dielectric layer 118 and exposed portions ofthe nanowire 102. The gate stack layer 110 in FIG. 1D-1 comprises ahigh-k dielectric, deposited by means of ALD. In this case, the high-kdielectric comprise Al₂O₃. Other examples of high-k dielectrics compriseHfO₂ and ZrO₂. The high-k dielectric may also be a combination of Al₂O₃,HfO₂ and/or ZrO₂. A metal layer has been deposited by means ofsputtering in FIG. 1D-1, covering the gate stack layer 110.Alternatively, the metal layer may be deposited by means of CVD or metal evaporation. In FIG. 1D-2, a second horizontal sacrificial layer 162 has been formed,covering the metal layer around a bottom portion of the nanowire 102. Inthe example shown in FIG. 1D-2, the second horizontal sacrificial layer162 may be HSQ. Exposed portions of the metal layer are then removed using the secondsacrificial layer 162 as an etch mask. The exposed portions of the metal layer may be removed by dry or wet etching chemistry. After the removal of the exposed portions of the metal layer, exposed portions of the gate stack layer 110 are removed using the second sacrificial layer 162 as an etch mask. The exposed portions of the gate stack layer 110 may be removed by dry or wet etching chemistry. In this example, the exposed portions of the metal layer and the exposed portions of the gate stack layer 110 are removed in the same process. FIG. 1E is then reached by removing the second sacrificial layer 162. Upon removal of the secondsacrificial layer 162, a wrap-around gate 150 is exposed, enclosing thevertical nanowire 102 circumference. The wrap-around gate 150 is formed of remaining portions of the gate stack layer 110 and the metal layer. A height of the wrap-around gate 150 shown in FIG. 1E is defined by an upper surface of the second sacrificial layer 162. In other words, the extension of the wrap-around gate 150 along the longitudinal direction of the vertical nanowire 102 is determined by the thickness of the second sacrificial layer 162. In FIG. 1F, a spacer layer 192 has been formed, and in FIG. 1G a top contact 194 has been formed at a top portion of the vertical nanowire102. In the example shown in FIG. 1G, the gate portion 114 is arranged above the field plate portion 116 of the wrap-around gate 150. The field plate portion 116 is separated from the nanowire 102 by remaining portions of the dielectric layer 118. An alternate method of forming a vertical MOSFET will now be described in relation to FIG. 2A-H. The transistor structure may alternatively be fabricated using five general steps. In a first step, the top spacer deposition to be used as a field-plate is initiated. A sacrificial layer is deposited on the sample covering the lower part of the nanowires, see FIG. 2A. The spacer material is deposited with 50-100 nm thick ALD or CVD deposited dielectric, such asSiO₂ or SiN, see FIG. 2C. Anisotropic etching is used to remove the dielectric film on the surface planar to the substrate and finally thesacrificial layer is removed, see FIG. 2D. In a second step, see FIG. 2E, the bottom spacer is defined by spin-coating the sample with HSQ and defining the thickness of the layer by exposure and development. The thickness of the bottom spacer is thinner than the sacrificial layer in step one. In a third step, the gate is formed and the gate stack deposited, see FIG. 2F. In this step, the formed spacer layer may be used as an etching mask to form a recess gate by wet or dry chemical etching.Alternatively, the nanowire semiconductor nanowire is not etched. The gate stack is then deposited by a number of steps including ALDdeposition of high-k dielectrics (such as Al₂O₃, HfO₂, ZrO₂, etc., or combinations thereof). The metal gate is deposited by metal evaporation or sputtering or CVD deposition. The metal gate is defined by deposition of a second sacrificial layer that is deposited on the sample and usedto etch the gate stack on the upper part of the nanowire to define the gate length. In an alternate fourth step, metal is evaporated to increase the thickness of the layer covering the bottom spacer. The transistor process is then completed in a fifth step by contacting the gate and the transistor top ohmic contact separately as well as the formation of the bottom electrode, see FIG. 2H. A benefit of the presented embodiments is that a field-plate is formed in that the gate metal will act on the channel with various distances from the center of the nanowire. This distance is, preferably, defined by post-growth pattering. It is understood that various processing techniques can be used for deposition and etching. Examples of conditions can be found in the literature. A further benefit is that the top ohmic contacts may be formed prior tothe gate definition process using the gate-last process. Alternatively,the contact may be processes at the end, as described above. The person skilled in the art realizes that the present invention by no means is limited to the preferred variants described above. On the contrary, many modifications and variations are possible within the scope of the appended claims. Additionally, variations to the disclosed variants can be understood and effected by the skilled person in practicing the claimed invention, from a study of the drawings, the disclosure, and the appended claims. In the claims, the word“comprising” does not exclude other elements or steps, and the indefinite article “a” or “an” does not exclude a plurality. The mere fact that certain measures are recited in mutually different dependent claims does not indicate that a combination of these measured cannot beused to advantage. In the following, further aspects of vertical MOSFETs will be described. As discussed a field-plate is introduced into the transistor design. The field-plate consists of a wrap-gate surrounding the III-V nanowirechannel, where the distance from the metal gate to the middle of thenanowire is altered along the vertical gate direction. The field-plate is preferable formed subsequent to the top metal definition and is aligned to semiconductor heterostructures formed within the nanowire. The radius of the gate metal may vary along the vertical nanowirechannel. The top ohmic contact may be fabricated prior to the gate formation using a gate-last process. The top metal electrode may first be deposited and then used as an etching mask to reduce the diameter of the nanowire transistor channel prior to gate definition and the gate includes a field-plate. The vertical nanowire MOSFETs, fabricated with field-plates, may be usedin digital applications where one or several nanowires are connected in groups forming circuits. The vertical nanowire MOSFETs, fabricated with field-plates, may be usedin RF- or millimeter wave applications where one or several nanowires are connected in groups forming circuits. The vertical nanowire MOSFETs, fabricated with a field-plate, may beused in mixed-mode or memory applications where one or several nanowiresare connected in groups forming circuits. Vertical Tunnel Field-Effect-Transistors (FETs) fabricated with the field-plates, where the nanowire consists of an axial heterostructurepn-junction and the gate may be used to aligned the junction to implement the Tunnel FET. The nanowire MOSFETs may be fabricated on semiconductor substrates (suchas Si or III-V compound material). The nanowires with 5 to 50 nm diameter may be grown either directly on the substrate or on anepitaxial layer deposited on the semiconductor wafers. III-V or group IVnanowires (such as InAs, InGaAs, GaSb, Si and combinations thereof) maybe grown using metal organic vapor-phase epitaxy using the vapor-liquid-solid method on electron-beam defined Au particles with typical sizes of 5 to 50 nm. Alternatively, other methods to grow thenanowires may be used, such as growth on substrates with dielectric(SiO2 or SiNx) masks. The nanowires may also be defined by etching ofthe semiconductor wafer in a top-down process. Furthermore, thenanowires may be exposed to a regrowth step, where one or more masks are used along the nanowires to allow for semiconductor material deposition in one, two, or more areas along the nanowires. The nanowires may be arranged in certain configurations, such as in double row arrays with 50to 200 nm spacing or in geometrical patterns such as hexagonal patterns.The nanowires may further be homogenous in material composition and doping level or they may be formed by axial segments of varying doping or material composition, such as a 200 nm long undoped bottom section followed by a 400 nm long highly doped section. For TunnelFETs, the nanowires may consist of GaSb/InAs pn-junctions where the gate is located in the direct vicinity of the heterojunction.In a perfect configuration, the gate is aligned to the heterojunction,but overlap and under lap of 0 to 50 nm may be considered as well. Thenanowires may further contain radial heterostructures or doping variation formed in core/shell heterostructures, such as an intrinsicInAs (or InGaAs) core overgrown by a highly doped InAs (or InGaAs)shell. Nanowires may in this context refer to semiconductor rods consisting of one single material or alternatively of core/shellnanowires where a second material has been epitaxial grown on the side facets of the first nanowire with the goal of providing enhanced functionality such as channel access resistance, reduced strain for transport enhancement, or surface passivation. Alternatively, we may also consider axial heterostructure nanowires where segments of two or more different materials and doping levels have been combined within thenanowire. These nanowires may be used as transistor channels in verticalMOSFETs where an upper electrode is formed on the top of the nanowireand serves as an ohmic contact to the nanowire. The electrode may consist of a metal contact as well as a semiconductor contact region.The gate is located below the upper electrode whereas the third electrode is located below the gate or on the substrate in connection tothe nanowire. The third electrode serves as a second ohmic contact tothe transistor. The transistor nanowire channel may consist of a graded heterojunctionwhere the material composition is varied in such a way that it has the largest band gap closest to the substrate. One example being a gradedInxGa1-xAs heterostructure with the highest Ga concentration close tothe substrate. The field-plate may be arranged in a way that the gate is further away from the middle of the nanowire in the region with the higher band gap (such as a higher Ga concentration), whereas it is closer to the middle of the nanowire in the region with the narrower band gap (such as lower Ga concentration). Below the gate and the adjacent graded heterostructure mentioned above, other segments may be included in the nanowire, including material with a narrower band gap,such as InAs. To fabricate the transistor structure four major process steps may be performed as exemplified below: In a first step, the bottom spacer deposition is initiated by depositing50-100 nm thick ALD or CVD deposited dielectric, such as SiO2 or SiN. In a second step, the field-plate spacer layer is formed by deposition of a sacrificial layer, for instance a photo resist layer, which is about 100 nm thick. This layer is used as a mask to etch the abovementioned dielectric by wet chemistry or by dry etching chemistry. Since the lower part of the nanowire is protected by the sacrificial layer,the etching occurs on the upper parts of the nanowire only forming the basis of the field-plate structure. The sacrificial layer is then removed. In a third step, the gate is formed and the gate stack deposited. Inthis step, the formed spacer layer may be used as an etching mask to form a recess gate by wet or dry chemical etching. Alternatively, thenanowire semiconductor nanowire is not etched. The gate stack is then deposited by a number of steps including ALD (Atomic Layer Deposition)of high-k dielectrics (such as Al2O3, HfO2, ZrO2, etc., or combinations thereof). The metal gate is deposited by metal evaporation or sputteringor CVD (Chemical Vapor Deposition). The metal gate is defined by deposition of a second sacrificial layer that is deposited on the sample and used to etch the gate stack on the upper part of the nanowire to define the gate length. The metal gate is defined along the nanowire in such a way that it connects to the graded heterostructure. Inparticular, the metal gate surrounds part of, or complete,heterostructure. The transistor process is then completed in a forth step by contacting the gate and the transistor top ohmic contact separately as well as the formation of the bottom electrode. The transistor channel may consist of a graded heterojunction where the material composition is varied in such a way that it has the narrowestband gap closest to the substrate. One example being a graded InxGa1-xAsheterostructure with the lowest Ga concentration close to the substrate.The field-plate is arranged in a way that the gate is further away fromthe middle of the nanowire in the region with the higher band gap (suchas a higher Ga concentration), whereas it is closer to the middle of thenanowire in the region with the narrower band gap (such as lower Ga concentration). A pre state vertical nanowire MOSFET will now be described. The gate is arranged relative to a centre of the nanowire, i.e. a central long axis,at a distance r1. The field plate is arranged relative to a centre ofthe nanowire at a distance r2 The radius r2 may larger than r1. The field plate and the gate may be uniformly formed. The field plate andthe gate may be formed as two separate elements. The two separate elements may be in electrical contact with each other. The gate and the field plate may be formed by different materials such as different metals having different work functions. The gate may be stepped. The gate and the field plate may form a stepped structure. A dielectric layer arranged between the gate and the nanowire may have a first thickness t1. A dielectric layer arranged between the field plate and the nanowire may have a second thickness t2. The second thickness t2may be larger than the first thickness t1. The first and second dielectric layers may be made of the same or different dielectric material. As distance from the surface of the nanowire to an inner surface of the field plate may be larger than the distance from the surface of the nanowire to an inner surface of the gate, the distances being measured in a plane perpendicular to the central long axis of thenanowire. The gate and/or the field plate may be arranged to enclose the nanowirearound its circumference. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by oneof ordinary skill in the art to which this invention belongs. It will be further understood that terms used herein should be interpreted as having a meaning that is consistent with their meaning in the context ofthis specification and the relevant art and will not be interpreted inan idealized or overly formal sense unless expressly so defined herein. The foregoing has described the principles, preferred embodiments and modes of operation of the present invention. However, the invention should be regarded as illustrative rather than restrictive, and not as being limited to the particular embodiments discussed above. The different features of the various embodiments of the invention can be combined in other combinations than those explicitly described. It should therefore be appreciated that variations may be made in those embodiments by those skilled in the art without departing from the scope of the present invention as defined by the following claims. 1. A vertical metal oxide semiconductor field effect transistor (MOSFET)comprising: a top contact; a bottom contact; a nanowire forming a charge transport channel between the top contact and the bottom contact; and a wrap-around gate enclosing the nanowire circumference, the wrap-around gate having an extension spanning over a portion of the nanowire in a longitudinal direction of the nanowire, wherein the wrap-around gate comprises a gate portion and a field plate portion for controlling a charge transport in the charge transport channel, and wherein the field plate portion is arranged at a first radial distance from the center ofthe nanowire and the gate portion is arranged at a second radial distance from the center of the nanowire; characterized in that thefirst radial distance (636) is larger than the second radial distance.2. The vertical MOSFET according to claim 1, wherein a material composition of the nanowire varies along the longitudinal direction ofthe nanowire. 3. The vertical MOSFET according to claim 2, wherein the material composition of the nanowire along the longitudinal direction ofthe nanowire is varied gradually. 4. The vertical MOSFET according to claim 2, wherein the material composition of the nanowire along the longitudinal direction of the nanowire is segmented. 5. The verticalMOSFET according to claim 2, wherein the material composition of thenanowire varies such that a bandgap of the nanowire is larger adjacent to the field plate portion than adjacent to the gate portion. 6. The vertical MOSFET according to claim 1, wherein a material composition ofthe nanowire comprises In_(x)Ga_(1-x)As. 7. The vertical MOSFETaccording to claim 1, wherein the wrap-around gate comprises a high-kdielectric. 8. The vertical MOSFET according to claim 1, wherein a radial extension of the nanowire is smaller at the gate portion than atthe field plate portion. 9. The vertical MOSFET according to claim 1,wherein the nanowire has a radial extension in a range of 2.5 nm to 25nm. 10. The vertical MOSFET according to claim 1, wherein the gate portion has an extension in the longitudinal direction of the nanowirein a range of 10 nm to 500 nm, and wherein the field plate portion hasan extension in the longitudinal direction of the nanowire in a range of10 nm to 1000 nm. 11. The vertical MOSFET according to claim 1, wherein a ratio between the first radial distance and the second radial distance(634) is in a range of 1.1 to 5. 12. A method for forming a vertical metal oxide semiconductor field effect transistor (MOSFET) on a substrate having a vertical nanowire arranged on a first major surface of the substrate, the method comprising: forming a dielectric layer covering outer surfaces of the nanowire and a portion of the first major surface of the substrate adjacent to the nanowire; forming a first horizontal sacrificial layer covering the dielectric layer around a bottom portion of the nanowire; removing exposed portions of the dielectric layer using the first sacrificial layer as an etch mask;removing the first sacrificial layer; forming a gate stack layer covering remaining portions of the dielectric layer and exposed portions of the nanowire, wherein the gate stack layer comprises a high-kdielectric; depositing a metal layer covering the gate stack layer;forming a second horizontal sacrificial layer covering the metal layer around a bottom portion of the nanowire; removing exposed portions ofthe metal layer using the second sacrificial layer as an etch mask;removing exposed portions of the gate stack layer using the secondsacrificial layer as an etch mask; removing the second sacrificiallayer, thereby exposing a wrap-around gate enclosing the nanowirecircumference, the wrap-around gate being formed of remaining portions of the gate stack layer and the metal layer; and forming a top contact at a top portion of the nanowire. 13. The method according to claim 12,the method further comprising: forming a coating on an upper portion ofthe nanowire prior to forming the dielectric layer. 14. The method according to claim 13, the method further comprising: etching thenanowire, subsequent to removing the first sacrificial layer and prior to forming the gate stack layer, using the coating and/or the dielectric layer as an etch mask, thereby locally decreasing a radial extent of thenanowire. 15. The method according to claim 11, wherein an upper surface of the second sacrificial layer defines a height of the wrap-around gate.
<?php declare(strict_types=1); /* * This file is part of the SolidWorx Lodash-PHP project. * * @author Pierre du Plessis <[email protected]> * @copyright Copyright (c) 2018 */ namespace _\internal; use function _\map; use function _\sortBy; function baseOrderBy(iterable $collection, array $iteratees, array $orders): array { $index = -1; $iteratees = arrayMap($iteratees, baseUnary('\_\internal\baseIteratee')); $result = map($collection, function ($value) use ($iteratees, &$index) { $criteria = arrayMap($iteratees, function ($iteratee) use ($value) { return $iteratee($value); }); return ['criteria' => $criteria, 'index' => ++$index, 'value' => $value]; }); return map(sortBy($result, function ($object, $other) use ($orders) { return compareMultiple($object, $other, $orders); }), 'value'); }
namespace Maxstupo.Fsu { using System; using System.Collections.Generic; using System.Diagnostics; using System.IO; using System.Linq; using System.Reflection; using System.Text; using CommandLine; using CommandLine.Text; using Maxstupo.Fsu.CommandTree; using Maxstupo.Fsu.CommandTree.Parameters; using Maxstupo.Fsu.CommandTree.Providers; using Maxstupo.Fsu.Core; using Maxstupo.Fsu.Core.Detail; using Maxstupo.Fsu.Core.Processor; using Maxstupo.Fsu.Core.Utility; using Maxstupo.Fsu.Providers; using Maxstupo.Fsu.Utility; public class Program { private string Title => Assembly.GetEntryAssembly().GetCustomAttribute<AssemblyTitleAttribute>().Title; private ColorConsole console; private FsuEngine fsu; public Cli cli; private CommandInterpreter commandLine; private IEnumerable<ProcessorItem> results; public Program() { System.Console.OutputEncoding = Encoding.UTF8; System.Console.Title = Title; } private void Init(Options options) { console = new ColorConsole(System.Console.Out) { Level = options.Level }; fsu = new FsuEngine(console); fsu.Pipeline.Simulate = true; fsu.PropertyProviders.Add(new ExtendedFilePropertyProvider()); fsu.PropertyProviders.Add(new CompositePropertyProvider()); fsu.PropertyStore.SetProperty("cd", new PropertyItem(Directory.GetCurrentDirectory()), Persistence.Runtime); string[] fallbackItems = options.FallbackItems.ToArray(); fsu.FallbackItems = fallbackItems.Length > 0 ? fallbackItems : new string[] { Directory.GetCurrentDirectory() }; cli = new Cli(console); cli.OnCommand += Cli_OnCommand; commandLine = new CommandInterpreter(console, true); commandLine.MessageProvider.Set(StandardMessages.NoCommand, "No command called '&-a;{0}&-^;' was found."); commandLine.MessageProvider.Set(StandardMessages.NextHelpPageTip, "Type '!help {0}( {1})' to read the next page."); InitCommands(); } private void InitCommands() { Command cmdOpen = new Command("Open", "open", description: "Opens a result with the default application."); cmdOpen.Parameters.Add(new ParamDef("index", null, typeof(int), description: "The index of the item to open.")); cmdOpen.OnExecuted += OpenResult; commandLine.Register(cmdOpen); Command cmdClear = new Command("Clear", "clear", Aliases.Create("cls"), "Clears the window."); cmdClear.OnExecuted += data => console.Clear(); commandLine.Register(cmdClear); Command cmdExit = new Command("Exit", "exit", Aliases.Create("q", "quit", "stop"), "Exits the fsu shell."); cmdExit.OnExecuted += data => cli.IsRunning = false; commandLine.Register(cmdExit); Command cmSim = new Command("Simulate", "simulate", Aliases.Create("sim"), "Enable/disable simulation mode."); cmSim.Parameters.Add(new ParamDef("value", string.Empty, typeof(string), "True to enable simulation mode, leave blank for toggle")); cmSim.OnExecuted += data => { string value = data.Parameters.Get<string>("value"); if (string.IsNullOrWhiteSpace(value)) { fsu.Pipeline.Simulate = !fsu.Pipeline.Simulate; } else if (bool.TryParse(value, out bool simulate)) { fsu.Pipeline.Simulate = simulate; } data.Output.WriteLine(Level.Info, $"Simulation mode is {(fsu.Pipeline.Simulate ? "&-a;on" : "&-c;off")}&-^;"); }; commandLine.Register(cmSim); Command cmdPersistent = new Command("Persistent", "persistent", Aliases.Create("p", "pstore"), "Enable/disable persistent property store."); cmdPersistent.Parameters.Add(new ParamDef("value", string.Empty, typeof(string), "True to enable persistent mode, leave blank for toggle")); cmdPersistent.OnExecuted += data => { string value = data.Parameters.Get<string>("value"); if (string.IsNullOrWhiteSpace(value)) { fsu.PersistentStore = !fsu.PersistentStore; } else if (bool.TryParse(value, out bool persistentStore)) { fsu.PersistentStore = persistentStore; } data.Output.WriteLine(Level.Info, $"Persistent store mode is {(fsu.PersistentStore ? "&-a;on" : "&-c;off")}&-^;"); }; commandLine.Register(cmdPersistent); Command cmdPurge = new Command("Purge", "purge", Aliases.Create("clean"), "Clears the property store."); cmdPurge.OnExecuted += data => { fsu.PropertyStore.ClearAll(); data.Output.WriteLine(Level.None, "&-c;Property store purged!&-^;"); }; commandLine.Register(cmdPurge); Command cmdStore = new Command("Store", "store", Aliases.Create("summary"), "Displays a summary of properties within the property store."); cmdStore.OnExecuted += data => { if (fsu.PropertyStore.Count == 0) { data.Output.WriteLine(Level.None, "&-c;Property store is empty!&-^;"); } else { data.Output.WriteLine(Level.None, "\n------------- Property Store Summary --------------"); foreach (KeyValuePair<string, PropertyItem> item in fsu.PropertyStore) data.Output.WriteLine(Level.None, $"{item.Key}: {item.Value.Value}"); } }; commandLine.Register(cmdStore); } private int Start(Options options) { bool hasSpecificScriptFile = options.FileToEvaluate != null && File.Exists(options.FileToEvaluate); // Run a script. if (!string.IsNullOrWhiteSpace(options.ScriptName) || hasSpecificScriptFile) { if (!hasSpecificScriptFile) console.WriteLine(Level.Info, $"Running script: &-6;{options.ScriptName}&-^;"); string filename = hasSpecificScriptFile ? Path.GetFileName(options.FileToEvaluate) : $"{options.ScriptName}.fsu"; string filepath = hasSpecificScriptFile ? Path.GetFullPath(options.FileToEvaluate) : Util.GetFirstExistingFile(Path.Combine(Directory.GetCurrentDirectory(), filename), Path.Combine(Path.GetDirectoryName(Assembly.GetExecutingAssembly().Location), filename)); string name = hasSpecificScriptFile ? Path.GetFileNameWithoutExtension(options.FileToEvaluate) : options.ScriptName; if (filepath != null && File.Exists(filepath)) { console.WriteLine(Level.Debug, $"Executing script: &-6;{filepath}&-^;"); fsu.PropertyStore.SetProperty("_filepath", new PropertyItem(filepath), Persistence.Runtime); fsu.PropertyStore.SetProperty("_filename", new PropertyItem(filename), Persistence.Runtime); fsu.PropertyStore.SetProperty("_name", new PropertyItem(name), Persistence.Runtime); if (options.ScriptParams != null) { int i = 0; foreach (string arg in options.ScriptParams) fsu.PropertyStore.SetProperty($"_{i++}", new PropertyItem(arg), Persistence.Runtime); } foreach (string line in File.ReadLines(filepath)) { Cli_OnCommand(null, line); } } else { console.WriteLine(Level.Warn, $"&-c;No script found!&-^;"); return -1; } } else { Run(); } return 0; } private void OpenResult(CommandData data) { int index = data.Parameters.Get<int>(0); List<ProcessorItem> items = results.ToList(); if (index < 0 || index >= items.Count) return; ProcessorItem item = items[index]; if (File.Exists(item.Value)) Process.Start(item.Value); } public void Run() { cli.Run(); } private void Cli_OnCommand(object sender, string input) { if (input.StartsWith("!")) { commandLine.Process(input.Substring(1)); } else { results = fsu.Evaluate(input); } } [STAThread] static int Main(string[] args) { #if DEBUG if (Debugger.IsAttached) args = "-l Fine".Split(' '); #endif Parser parser = new Parser(with => { with.HelpWriter = null; with.CaseInsensitiveEnumValues = true; }); ParserResult<Options> result = parser.ParseArguments<Options>(args); int exitCode = 0; Program program = new Program(); result .WithParsed(options => { program.Init(options); exitCode = program.Start(options); }) .WithNotParsed(errs => { DisplayHelp(result, errs); exitCode = -1; }); #if DEBUG if (Debugger.IsAttached) { Console.Write("Press any key to continue..."); Console.ReadLine(); } #endif return exitCode; } static void DisplayHelp<T>(ParserResult<T> result, IEnumerable<Error> errs) { HelpText helpText = HelpText.AutoBuild(result, h => { h.AdditionalNewLineAfterOption = false; h.AddEnumValuesToHelpText = true; return HelpText.DefaultParsingErrorsHandler(result, h); }, e => e); Console.WriteLine(helpText); } } }
pliate of limo was introduced by substituting sulphuric acid for muri atic acid in the precipitation of the sulphur from its base. I may mention that this adulteration is easily detected by the microscope, the crystals of sulphate of lime being very apparent. It is not easy to discover any other method of excluding such adulterated articles from commerce, unless by the acquisition of a scientific knowledge of chemistry by the druggists of this country. — R. D. T. It was agreed, on the recommendation of Council, that the office bearers of sections shall in future be elected at the end instead of the beginning of the session. The Sectional Secretaries were therefore requested to summon their several sections for this purpose. It was also agreed that the next session should be opened with a conversa tional meeting, and a Committee was appointed to make arrangements for the meeting — Mr. Wm. Murray being Convener. The following recommendation of Council was also agreed to : — viz., that a grant of money, not exceeding £5, be made from the funds of the Society, to a Committee for the purpose of investigating the Che mistry and Physiology of Digestion — the Committee to consist of Dr. Andrew Buchanan, Dr. Andrew Anderson, Mr. Stenhouse, Dr. R. D. Thomson ; and Dr. John Findlay, Convener. The following minute of Council was submitted and approved of: — " That Mr. Liddell, Dr. Watt, and the Assistant Secretary, be appointed a Committee to prepare a Historical Account of the Origin and Progress of the Philo sophical Society, to be prefixed to the first volume of the Proceedings of this Society." Thb invention of printing in relief characters was among the earliest and most obvious methods employed for the instruction of the blind. By means of the sense of hearing alone, persons born blind, or who have been deprived of their sight, have frequently acquired a high degree of knowledge, and have distinguished themselves in literature and science. But in the education of the blind in early life, it was felt to be of the utmost importance to bring the sense of touch into play as an auxiliary to that of hearing ; for in this way alone could we place the blind in circumstances fitted for carrying on the work of self-education after their leaving our charge. A great deal of ingenuity has been displayed in the formation of characters.
// https://blockly-demo.appspot.com/static/demos/blockfactory/index.html#szwuog import config from '../../../../../common/const'; import { translate } from '../../../../../../common/i18n'; import { expectValue } from '../../shared'; Blockly.Blocks.read_ohlc_obj = { init: function init() { this.appendValueInput('OHLCOBJ') .setCheck('Candle') .appendField(translate('Read')) .appendField(new Blockly.FieldDropdown(config.ohlcFields), 'OHLCFIELD_LIST') .appendField(translate('value in candle')); this.setInputsInline(false); this.setOutput(true, 'Number'); this.setColour('#dedede'); this.setTooltip(translate('Read a field in a candle (read from the Candles list)')); this.setHelpUrl('https://github.com/binary-com/binary-bot/wiki'); }, }; Blockly.JavaScript.read_ohlc_obj = block => { const ohlcField = block.getFieldValue('OHLCFIELD_LIST'); const ohlcObj = expectValue(block, 'OHLCOBJ'); return [`Bot.candleField(${ohlcObj}, '${ohlcField}')`, Blockly.JavaScript.ORDER_ATOMIC]; };
Remove duplicate characters from 2 strings I need to remove the duplicate characters from an string. I achieved it using BitSet like this private static String removeDup(String s1, String s2) { BitSet bitSet = new BitSet(26); char[] s1Chars = s1.toCharArray(); for (char s1Char : s1Chars) { bitSet.set(s1Char); } char[] s2Chars = s2.toCharArray(); StringBuilder sb = new StringBuilder(); for (char s2Char : s2Chars) { if (bitSet.get(s2Char)) { //System.out.println("Duplicate " + s2Char); } else { sb.append(s2Char); } } return sb.toString(); } Although this method works is there some more better and optimal way to do in terms of time and space complexity? Thanks E.g. Input: "hello", "world" Output: wrd How much faster do you think this can be done? You make exactly 1 pass over each string (which you'd need at a minimum), and your bitSet ops should be O(1). @ScottHunter in my opinion i thought that it i designed a good solution but thought that may be there can be some trick which i don't know and that's why thought to ask it here The easiest improvement in terms of speed would be to ditch the debug printing - console output is actually relatively slow compared to the other things you are doing. @AJMansfield Thanks i forgot to remove it before pasting the code, was testing it locally on small data Just to make sure I understand what you are doing, you are filtering all characters that appear in s1 out of s2, right? One issue with your implementation is that it requires a number of bits of storage equal to the highest character value in the string, and only works for BMP characters. Note that the character a actually corresponds to a char value of 97. Where you are allocating the BitSet, you pass it a size parameter of 26, but this is pointless; a value of 256 may however give you some small performance increase. If you were to use this on strings containing CJK ideographs, you could potentially use up to 8 kiB of storage with that BitSet. If you instead use a sparse lookup table such as a Set<Character>, you can reduce the storage requirements dramatically, but that increases the runtime from O(n) to O(n log n). Another possibe improvement would be parallelizing the algorithm. Howeverm adding parallelization would only make it faster for very large strings, and could make it significantly slower for smaller strings. In java-8, it could be done as: private static String removeDup(String s1, String s2) { Set<Integer> points = s1.codePoints().collect(Collectors.toSet()); return s2.codePoints().parallel().filter(c->!points.contains(c)) .collect(StringBuilder::new, StringBuilder::appendCodePoint, StringBuilder::append).toString(); } This also has the advantage of working for characters outside the BMP.
Array query PHP + mongoDB - voting system ips can you maybe help me please? I'm making my voting system in php + mongodb, and I would like to keep the ip addresses which already voted. What would be to best way? I was thinking about doing it like this: $ip=$_SERVER['REMOTE_ADDR']; $ipData = array('$push' => array('ips' => $ip), '$inc' => array('votes' => 1)); $collection->update(array( '_id' => $id), $ipData); Is this the best way to do it? How would you than compare all the elements of the ips array to see if the ip already didn't voted? The list will look like (<IP_ADDRESS>, <IP_ADDRESS>, <IP_ADDRESS>). Thank you! Use a Unique Index and a UPSERT: $collection->ensureIndex(array('ips'), array('unique' => true)); @mrkva: Yes. Also, the UPDATE should be an UPSERT instead (read the link I posted - I'm running out of juice on my netbook!). One more question: how would you query from such array than? compare the user's ip with the array of IPs using the PHP function in_array() http://php.net/manual/en/function.in-array.php First of all, there was no need to vote down, because if you read his question carefully, that is what he asked "How would you than compare all the elements of the ips array to see if the ip already didn't voted?" I would do store them in a database, create a unique index or search before inserting a new vote + ip. I answered him according to what he asked! Sorry, but there is. I read the question carefully, but maybe you didn't? It is also tagged mongodb... If he has half a million IPs you would retrieve them all and, if PHP doesn't run out of memory, check for duplicates using in_array which should be pretty slow. Even if he doesn't use unique indexes, the least you could suggest is issuing a query limited to one result on the database for that specific IP, in_array() is just crazy here.
Ejector pump ABSTRACT An ejector pump ( 100 ) includes a chamber having a gas mixing portion ( 108 ) and a diffuser portion ( 112 ). An inlet ( 10 S) conveys a gas stream into the gas mixing portion, and an outlet ( 114 ) conveys the gas stream from the diffuser portion. To provide a motive fluid for the pump, a stream of plasma is ejected through a nozzle ( 116 ) into the gas mixing portion ( 108 ) of the chamber. Reactive species contained within the plasma stream react with a component of the gas stream to provide simultaneous pumping and abatement of the gas stream. The present invention relates to an ejector pump, and to a pumping arrangement comprising an ejector pump. Ejector pumps are an established technology for pumping gases over arange of pressures. Within the ejector pump, the gas to be pumped becomes entrained within a high velocity stream of air or other motive fluid at a relatively low pressure, and transported through an orificeinto a relatively high pressure region of the to pump. With reference to FIG. 1, a known ejector pump 10 comprises a main body12 provided in fluid communication with a suction chamber 14 having an inlet 16 for receiving a gas to be pumped. The suction chamber 14 house sa nozzle 18 for receiving a stream of motive fluid and ejecting the stream at high velocity into the suction chamber 14. The increase in the velocity of the stream of motive fluid as it is ejected from the nozzle generates a low pressure, or vacuum, within the suction chamber 14,which causes gas to be drawn through the inlet 16 and become entrainedwithin the stream of motive fluid flowing from the nozzle 18, into the main body 12 of the pump 10. The main body 12 comprises three main portions, a converging mixing portion 20, a throat portion 22 and adiverging diffuser portion 24 leading to an outlet 26 of the pump 10.The gas mixes with the motive fluid with the mixing portion 20, passes through the throat portion 22 and enters the diffuser portion 24,wherein the velocity of the mixed stream is reduced, thereby increasing its pressure. This enables the pump 10 to exhaust gas from the outlet 26at a higher pressure than the gas entering the pump 10 from the inlet16, and so the ejector pump 10 is thus capable of boosting the pressure of the gas passing therethrough. An ejector pump can be used as part of an exhaust system for pumping a wide variety of gases. PFC gases such as CF₄, C₂F₆, C₃F₈, NF₃ and SF₆are commonly used in the semiconductor manufacturing industry, forexample, in dielectric film etching. Following the manufacturing process there is typically a residual PFC content in the gas pumped from the process tool, and so the PFC gases require treatment in a separate abatement tool to convert the PFCs into one or more compounds that canbe more conveniently disposed of, for example, by conventionalscrubbing. This can significantly increase the cost of the exhaust system. It is an aim of at least the preferred embodiment of the present invention to provide a pumping arrangement that can provide both pumping and abatement of a gas to stream. SUMMARY OF THE INVENTION In a first aspect, the present invention provides a pumping arrangement comprising an ejector pump and a backing pump, wherein the ejector pump comprises a chamber having a gas mixing portion and a diffuser portion,an inlet is for conveying a gas stream into the gas mixing portion, an outlet for conveying the gas stream from the diffuser portion, and a gas abatement device for ejecting a stream of plasma through a nozzle intothe gas mixing portion of the chamber to provide a motive fluid for the pump and decompose a component of the gas stream, and wherein the backing pump has an inlet connected to the outlet of the ejector pump. In a second aspect the present invention, an ejector pump is provided comprising a chamber having a gas mixing portion and a diffuser portion,a first inlet for conveying a gas stream into the gas mixing portion, an outlet for conveying the gas stream from the diffuser portion, a second inlet for receiving a stream of reactive fluid, and a device fo rejecting a stream of plasma through a nozzle into the gas mixing portion of the chamber to provide a motive fluid for the pump and within whichthe reactive fluid stream becomes entrained to form reactive species for reacting with the component of the gas stream. In a third aspect, the present invention provides a pumping arrangement comprising an ejectorpump as aforementioned. BRIEF DESCRIPTION OF THE DRAWINGS The present invention will now be described with reference to the accompanying drawing, in which FIG. 1 illustrates schematically a known ejector pump; FIG. 2 illustrates schematically an example of an ejector pump accordingto the present invention; FIG. 3 illustrates one embodiment of a plasma generator of the pump of FIG. 2 in more detail; FIG. 4 illustrates another embodiment of a plasma generator of the pump of FIG. 2 in more detail; FIG. 5 illustrates schematically the plasma stream emitted from the nozzle of the pump of FIG. 2; FIG. 6 illustrates schematically another example of an ejector pump according to the present invention; and FIG. 7 illustrates a pumping arrangement including the ejector pump of FIG. 2 or FIG. 6. DETAILED DESCRIPTION OF THE INVENTION In a first aspect, the present invention provides a pumping arrangement comprising an ejector pump and a backing pump, wherein the ejector pump comprises a chamber having a gas mixing portion and a diffuser portion,an inlet for conveying a gas stream into the gas mixing portion, an outlet for conveying the gas stream from the diffuser portion, and a gas abatement device for ejecting a stream of plasma through a nozzle intothe gas mixing portion of the chamber to provide a motive fluid for the pump and decompose a component of the gas stream, and wherein the backing pump has an inlet connected to the outlet of the ejector pump. The gas stream entering the inlet thus becomes entrained within theplasma stream and conveyed through the chamber towards the outlet. Underthe intensive conditions within the plasma, one or more components within the gas stream are subjected to impact with energetic electrons causing dissociation of those components into reactive components of the gas stream. These components can react with one or more reactive species added to the plasma stream, or with reactive species already present within the plasma stream, to produce relatively stable, low molecular weight by-products that can be readily removed from the gas stream in a subsequent treatment. The pumping arrangement preferably further comprises a booster pump having an outlet connected to the inlet of the ejector pump. When usedin combination with other components of the pumping arrangement, such asa booster pump and/or a backing pump, the ejector pump may either reduce the number of pumping stages required for the booster pump, and/or reduce the capacity requirement of the backing pump. The backing pump may be advantageously provided by a liquid ring pump.As the gas stream is caused to come into contact with the pumping water of the ring pump, any water-soluble components of the gas stream are washed into the pumping water and thus removed from the gas stream before it is exhaust, at or around atmospheric pressure, from the pump.For example, compounds such as CF₄, C₂F₆, CHF₃, C₃F₈, and C₄F₈ can be converted into CO₂ and HF within the ejector pump, which can be taken into solution in the liquid ring pump. Other examples are NF₃, which canbe converted into N₂ and HF, and SF₆, which can be converted into SO₂and HF. The liquid ring pump can thus operate as both a wet scrubber and an atmospheric vacuum pumping stage for the gas stream, and so a conventional wet scrubber is no longer required, thereby reducing costs.Furthermore, unlike a Roots or Northey-type pumping mechanism, any particulate or powder by-products contained within the gas stream do not have a detrimental effect on the pumping mechanism of the liquid ring pump, and so there is no requirement to provide any purge gas to the atmospheric pumping stage. The reactive species are preferably chosen to convert a component of the gas stream into a different compound. For example, one or more components of the gas stream such as SiH₄ and/or NH₃, may be converted into one or more compounds that are less reactive than said component.Such gases may be present where the ejector pump is configured to receive gas streams exhaust from different process tools, or where different process gases are supplied to a process tool at different times. Conversion of SiH₄ and NH₃ gases can inhibit the formation of reactive gas mixtures within the gas stream. For example, SiH₄ can be treated to form SiO₂. As another example, the reactive species may be chosen to convert a component of the gas stream into a compound that is less reactive than said component with the liquid of a scrubber provided downstream fromthe ejector pump. For example, whilst F₂ is soluble within water, it may react with water to form insoluble compounds, such as OF₂. Conversion ofF₂ into HF within the elector pump can inhibit the formation of such compounds. In a further example, the reactive species may be chosen to convert one or more water-insoluble components of the gas stream into one or more water-soluble components. Examples of liquid-insoluble compounds areperfluorinated compounds, such as CF₄, C₂F₆, CHF₃, C₃F₈, C₄F₈, NF₃ andSF₆, and hydrofluorocarbon compounds. By providing a technique in which reactive species are formed from are active fluid for subsequent reaction with such components of the gasstream, it has been found that the energy required to cause the destruction of the component in the gas stream, and the efficiency of that destruction, can be radically improved. For example, H⁺ and OH⁻ions formed from the dissociation of water are capable of reacting with,for example, a PFC contained in the gas stream at ambient temperature,and thus at a much lower temperature than would be required if the water had not been pre-ionised. Further advantages are that a relatively cheap and readily available fluid, such as water vapour or a fuel, for example methane or an alcohol, can be used to generate H⁺ and/or OH⁻ ions, asthe reactive species, and that the reaction can take place at sub-atmospheric or atmospheric pressure. Two different techniques may be used to form the plasma stream using adc plasma torch. In the first technique, the plasma torch receives astream of reactive fluid. An electric arc is established between electrodes of the torch and the reactive fluid is conveyed along the arc to generate a plasma flame containing the reactive species. This flame is subsequently ejected into the chamber through the nozzle to form the motive gas for the ejector pump and react with the component of the gasstream. In the second technique, the plasma is generated from a source gas different from the reactive fluid. For example, an inert ionisable gas,such as nitrogen or argon, can be conveyed along the arc to generate theplasma flame for election into the chamber through the nozzle. A stream of reactive fluid impinges upon the plasma to form the reactive species within the plasma. The reactive fluid may become entrained within theplasma flame upstream from the nozzle, so that a plasma containing there active species is ejected from the nozzle. Alternatively, the reactive fluid and the gas stream may be separately conveyed into the chamber through respective inlets, with the reactive fluid becoming entrainedwithin and dissociated by the plasma flame within the gas mixing portion of the chamber to form the reactive species within the chamber, which species subsequently react with the component of the gas stream. Thus,in a second aspect the present invention provides an ejector pump comprising a chamber having a gas mixing portion and a diffuser portion,a first inlet for conveying a gas stream into the gas mixing portion, an outlet for conveying the gas stream from the diffuser portion, a second inlet for receiving a stream of reactive fluid, and a device fo rejecting a stream of plasma through a nozzle into the gas mixing portion of the chamber to provide a motive fluid for the pump and within whichthe reactive fluid stream becomes entrained to form reactive species for reacting with the component of the gas stream. In a third aspect, the present invention provides a pumping arrangement comprising an ejectorpump as aforementioned. In order to improve the operating efficiency of the pump, means may be provided for shaping the plasma stream ejected from the nozzle. Forexample, a magnetic field may be generated to modify the shape theplasma stream elected from the nozzle independent from the pressure ofthe gas stream passing through the chamber. A pressure sensor may be provided upstream or downstream from the ejector pump for providing a signal to the shaping means indicative of the pressure of the gasstream, with the shaping means being configured to use the received signal to adjust the size and/or strength of the magnetic field. Features described above in relation to the first aspect of the invention are equally applicable to the second aspect, and vice versa. With reference to FIG. 2, a first example of an ejector pump 100comprises a main body 102 provided in fluid communication with a suction chamber 104 having an inlet 106 for receiving a gas stream to be pumped.The main body 102 comprises a chamber having three main portions, a converging mixing portion 108 provided adjacent the suction chamber 104,a throat portion 110 and a diverging diffuser portion 112. An outlet 114conveys the pumped gas stream from the diffuser portion 112 of theejector pump 100. A nozzle 116 is located in the suction chamber 104 for ejecting a stream of motive fluid into the mixing portion 108 so that, in use, the gasstream entering the ejector pump 100 through the inlet 106 becomesentrained within the motive fluid, passes through the throat portion 110and enters the diffuser portion 112, wherein the velocity of the mixed gas stream is reduced, thereby increases its pressure. In the ejector pump 100 illustrated in FIG. 2, the stream of motive fluid is in the form of a plasma stream ejected from the nozzle 116 for converting one or more of the components of the gas stream into one or more other compounds. A device in the form of a plasma generator 118 located upstream from the nozzle 116 forms the plasma ejected from the nozzle 116. In the preferred examples, the plasma generator 118 comprises a dc plasma torch118. FIG. 3 shows in more detail the configuration of one arrangement for the plasma torch 118. The plasma torch 118 comprises an elongatetubular electron emitter 120 having an end wall 122. Water coolant 124is conveyed through the bore 126 of the electron emitter 120 during useof the torch 118. The bore 126 of the electron emitter 120 is aligned with a nozzle 128formed in a start electrode 129 surrounding the end wall 122 of the electron emitter 120 and substantially co-axial with the aperture 130 ofthe nozzle 116 of the pump 100. The start electrode 129 is mounted in an insulating block 132 surrounding the electron emitter 120. A bore 134formed in the block 132 conveys a stream of plasma source gas 136, forexample, nitrogen or argon, into a cavity 138 located between the end wall 122 of the electron emitter 120 and the start electrode 129. In operation of the plasma torch 118, a pilot arc is first generated between the electron emitter 120 and the start electrode 129. The arc is generated by a high frequency, high voltage signal typically provided bya generator associated with the power supply for the torch. This signal induces a spark discharge in the source gas flowing in the cavity 138,and this discharge provides a current path. The pilot arc thus formed between the electrode emitter 120 and the start electrode 129 ionisesthe source gas passing through the nozzle 128 to produce a high momentum plasma flame of ionised source gas from the tip of the nozzle 128. The flame passes from the nozzle 128 of the plasma torch 118 towards the nozzle 116 of the pump 10, which provides an anode for the plasma torch118 and defines a plasma region 142. The nozzle 116 has a fluid inlet144 for receiving a stream 146 of reactive fluid. In use, the reactive fluid is dissociated by the flame to form reactive species within theplasma region 142. These reactive species are thus emitted from the bore130 of the nozzle 116 within the plasma flame. FIG. 4 illustrates an alternative arrangement for generating the plasma stream. In this arrangement, the stream of reactive fluid 146 is conveyed directly to the plasma torch 118. As shown in FIG. 4, there active fluid stream is conveyed into the bore 126 of the electron emitter 120. The reactive fluid stream passes from the end of the electron emitter 120 into the cavity 138, where it is ionised by theplasma flame created from the source gas 136 to form a plasma stream containing the reactive species and which is injected from the nozzle128 into the plasma region 142. In this arrangement, water coolant 124is conveyed within a jacket 150 surrounding the electron emitter 120. Returning to FIG. 2, the plasma stream thus generated by the plasma generator 118 is ejected from the nozzle 116 into the converging mixing portion 108 of the pump 100. As shown in FIG. 5, as the plasma stream152 enters the mixing portion 108, the plasma stream 152 en trains and mixes with a gas stream 154 providing directional momentum to the total gas stream which passes through restriction 110. The reactive species within the plasma stream 152 can react with one or more of the components of the gas stream 154 to form different compounds. Forexample, where the reactive fluid is a source of H⁺ and OH⁻ ions, forexample, water vapour, and the gas stream contains a perfluorocompound,for example, CF₄, the plasma generated by the plasma generatordissociates the water vapour into H⁺ and OH⁻ ions within the plasma region 142:H₂O→H⁺+OH⁻which ions subsequently react with the perfluorocompound within the body102 of the pump 100 to form carbon dioxide and HF as by-products:CF₄+2OH⁻+2H⁺→CO₂+4HF A typical gas mixture for performing a dielectric etch in a process tool may contain differing proportions of the gases CHF₃, C₃F₈, C₄F₈ or otherperfluorinated or hydrofluorocarbon gas, but whilst the chemical reactions of the H⁺ and OH⁻ ions with these components of the gas stream will differ in detail, the general form will be as above. As another example, where the reactive fluid is a source of H⁺ and OH⁻ions, for example, water vapour, and the gas stream contains NF₃, theNF₃ becomes dissociated within the plasma to form N₂F₄, which reacts with the H⁺ and OH⁻ ions to form N₂ and HF:4NF₃→N₂+4F₂+N₂F₄N₂F₄+2H⁺+2OH⁻→N₂+4HF+O₂ As the plasma stream/gas stream mixture passes through the throat 110 ofthe body 102 and enters the diffuser portion 112, the velocity of the mixed stream is reduced, thereby increasing its pressure, typically by around 100 mbar when compared to the inlet pressure at 106. As illustrated in FIG. 5, means 160 may be provided for generating a magnetic field to modify the shape of the plasma stream 152 to improve operating efficiency. The converging and diverging walls of an ejectorpump are generally shaped to provide optimum efficiency only at a particular pressure, and so by modifying the shape of the plasma stream152 independently from pressure, efficiency may be optimised over arange of pressures. The means 160 may be provided by a permanent magnet,electromagnets, current carrying coils, superconducting magnets or other suitable device or devices for generating the magnetic field. FIG. 6 illustrates a second example of an ejector pump 100′ in which a plasma stream is used as the motive fluid for the pump 100′. In this example, instead of the reactive fluid being conveyed to the pump upstream from the nozzle 116, as in the example described above, in this second example the reactive fluid is conveyed into the pump 100′ from a second inlet 170 located downstream from the nozzle 116. In this second example, the plasma generator 118 may be similar to that shown in FIG.3, with the exception that the inlet 144 is no longer required. Similarto the gas stream entering the pump 100′ from the inlet 106, there active fluid is drawn through the inlet 170 due to the reduced pressure within the suction chamber 104. The reactive fluid becomesentrained within the plasma stream within the mixing chamber 108,wherein the reactive fluid dissociates into the reactive species for reaction with one or more of the components of the gas stream entering the pump 100′ from the inlet 106. FIG. 7 illustrates a pumping arrangement including the ejector pump 100(or the ejector pump 100′) for evacuating an enclosure. The ejector pump100 is located downstream from one or more high capacity secondary or booster pumps 200 (one shown in FIG. 7, although any suitable number maybe provided) each having an outlet connected to the inlet of the ejectorpump 100 and an inlet connected to a respective enclosure 250. Each secondary pump 200 may comprise a multi-stage dry pump, wherein each pumping stage is provided by a Roots-type or Northey-type or screw type or ball and socket type pumping mechanism. Alternatively, one or more of the secondary pumps 200 may comprise a turbomolecular pump and/or a molecular drag mechanism, or regenerative mechanism (with either a peripheral or a side wall pumping mechanism) depending on the pumping requirements of the respective enclosure 250. The secondary pump 200 draw a gas stream from the enclosure 250 andexhausts the pumped gas stream at a sub-atmospheric pressure, typically in the range from 50 to 150 mbar to the ejector pump 100. The ejectorpump 100 receives the pumped gas streams, converts one or more of the components of the gas stream into other components, and exhausts the pumped gas stream at a pressure of around 150 to 250 mbar depending the pressure of the gas exhaust from the secondary pump 200. In the arrangement shown in FIG. 7, a backing pump 300 has an inlet connected to the exhaust of the ejector pump 100, the backing pump 300pumps the gas stream exhaust from the ejector pump 100 and exhausts the gas stream to the atmosphere. Where the backing pump 300 is provided bya liquid ring pump, any components of the gas stream which are soluble within the pumping liquid of the liquid ring pump, which is usually water or other aqueous solution, are washed into the pumping liquid asthe gas passes through the liquid ring pump. Consequently, the liquid ring pump operates as both a wet scrubber and an atmospheric vacuum pumping stage for the pumping arrangement. As an alternative to providing a backing pump 300, the ejector pump 100may be configured to exhaust the gas stream at or around atmospheric pressure. This will, however, require the density of the motive fluid within the ejector pump, and thus the density of the plasma flare, to increase, which would require a high powered plasma torch.Alternatively, or in addition, two or more ejector pumps 100 may be provided in series connection to one another or in parallel to increase capacity for receiving the gas stream exhaust from the secondary pump(s)200 and exhausting the gas stream at atmospheric pressure. The gasstream is subsequently conveyed to a wet scrubber to take the HF into aqueous solution, or to a solid reaction media for reaction with the HF to form a solid by-product which can be readily disposed of. While the foregoing description and drawings represent the preferred embodiments of the present invention, it will be apparent to those skilled in the art that various changes and modifications may be made therein without departing from the true spirit and scope of the present invention. I claim: 1. A pumping arrangement comprising: a backing pump; and anejector pump comprising: a chamber having a gas mixing portion and adiffuser portion, an inlet for conveying a gas stream into the gas mixing portion, an outlet for conveying the gas stream from the diffuserportion, and a gas abatement device for ejecting a stream of plasma through a nozzle into the gas mixing portion of the chamber to provide a motive fluid for the ejector pump and decompose a component of the gasstream, wherein the gas abatement device comprises the nozzle, means for generating a plasma from a source gas, and means for receiving a stream of reactive fluid which impinges upon the plasma to form within theplasma reactive species for reacting with the component of the gasstream, and wherein the backing pump has an inlet connected to the outlet of the ejector pump. 2. The pumping arrangement of claim 1,wherein the plasma stream ejected through the nozzle contains reactive species for reacting with the component of the gas stream. 3. The pumping arrangement of claim 1, wherein the source gas comprises an inert ionizable gas. 4. The pumping arrangement of claim 1, wherein the pump comprises a second inlet for receiving a stream of reactive fluid for becoming entrained within the plasma stream and forming within theplasma stream reactive species for reacting with the component of the gas stream. 5. The pumping arrangement of claim 4, wherein the reactive fluid becomes entrained within the plasma stream upstream from the nozzle. 6. The pumping arrangement of claim 1, wherein the gas abatement device further comprises means for generating from the reactive fluid a plasma containing reactive species for reacting with the component ofthe gas stream. 7. The pumping arrangement of claim 2, wherein there active species are chosen to convert a component of the gas stream into a different compound. 8. The pumping arrangement of claim 2,wherein the reactive species are chosen to convert a water-insolublecomponent of the gas stream into a water-soluble component. 9. The pumping arrangement of claim 2, wherein the reactive species are chosen to convert a perfluorinated or hydrofluorocarbon component of the gasstream into a water-soluble component. 10. The pumping arrangement of claim 2, wherein the reactive species comprises at least one of H+ ion sand OH− ions. 11. The pumping arrangement of claim 1, wherein the gas abatement device comprises a dc plasma torch for generating the plasma.12. The pumping arrangement of claim 1, further comprising means for shaping the plasma stream ejected from the nozzle. 13. The pumping arrangement of claim 1, further comprising at least one device for generating a magnetic field for shaping the plasma stream ejected fromthe nozzle. 14. The pumping arrangement of claim 1, wherein the backing pump comprises a liquid ring pump for receiving the gas stream from theejector pump and removing one or more liquid-soluble components from the gas stream. 15. The pumping arrangement of claim 1, further comprising a booster pump having an outlet connected to the inlet of the ejectorpump.
Hughes’ Adm’r & Heirs & Others against Standeford’s Administrators. Chancery. [Mr. Hanson for plaintiffs: no appearance for defendants.] From the Circuit Court for Bourbon County. October 10. Statement of tha-case- Judge Ewing delivered the opinion of the Court — Judge Marshall did not sit in this case. Thomas Hughes, as commissioner, appointed by a decree of the Bourbon Circuit Court, on a petiti&n, under the statute,made for that purpose, sold the interest of his children in a tract of land, on the 13th of February, 1824, for twenty seven hundred and eighty seven dollars, seventy five cents, payable in two instalments pf twelve and eighteen months; and Samuel Patterson became the purchaser, and executed bond, with Osborne Plenley as his surety. There were seven children, having each an equal interest in said land. While the petition for a sale was pending, in the name of said children, and after the decree, but before the sale, two of said children, Gabriel and William, arriving at age, .sold their interest in said land — the former to Standeford, and the latter to Allen, and deeds of conveyance for the same, were duly executed and recorded. Said deeds recited the pendency of said petition, and the decree thereon, and the appointment of Hughes as commissioner to make sale thereof; and expressly provide, that said vendees are to stand in the place and stead of the said vendors, and are to receive their proportions of. the sale money. By decree, upon petition, the estate of infant heirs is directed to he sold. After the decree, and before the sale, two of the infants, having become of age, sell and convey their respective interests — reciting the proceedings and decree, and stating that the purchasers were to take their places, and to have their proportions of the sale money. The commissioner afterwards sells the whole land — the previous sale by the two heirs being known to him, and the purchaser from them assenting to the sale. The deeds of the two heirs, so made, passed nothing in the land itself — hut only their right to shares of the proceeds of the com’r’s sale.— This rightpassed to the personal, representatives of their vendee, upon his death, 8/- his heirs were not necessary parties to a suit for its recovery. Thomas Hughes had actual notice of said sales by-Gabriel and William. And after collecting the first instalment, under some pretence of indebtedness on the part 'of said Gabriel and William to him, took an acquittance from them, for their portions of the sum collected,, as well as the instalment to be collected- Allen having transferred his interest to Standeford,. and he having died, his administrators file their bill against Thomas Hughes’ administrator and his sureties in the bond as commissioner, and against Patterson and his surety, and Allen, and the said children of Plughes, interested in said sale; and a decree was rendered h> their favor against Hughes’ administrator, and his sureties in said commissioner’s bond, for three hundred and ninety eight dollars, twenty five cents, with interest thereon at the rate of six per cent, per annum from the 13th day of February, 1825, till paid, together with costs, out of any assets in the hands of the administrator, subject to a credit for said Gabriel and William Plughes’ equal share of costs, &c. expended in effecting a sale of said land. And that Patterson and Henley pay to the complainants the sum of three hundred and ninety eight dollars, twenty five cents, with interest from the 13th of August, 1825, till paid. The first question presented upon this record, is — are-the heirs of George Standeford necessary parties? We think not. The deed from Gabriel Plughes to him, was made after the decree for the sale of the land, but before the sale was made; so also, was the deed from William, Plughes to Allen; and the interest of Allen in the proceeds of the sale was transferred by Allen to Standeford, after the sale. Though the deeds to Standeford and Allen, per forma, transfer the legaHitle to them, yet the title, by the wording of the deeds, is vested, subject to the decree for a sale, and clearly imports the assen-t of Standéford and Allen, that the sale was to go on, by the commissioner, in the same manner as if no transfer of the legal title were made to them, and the title was to vest in the purchaser, by the sale and conveyance to him by the commissioner; and Standéford and Allen were each to have the one-seventh part of the money arising therefrom. An executor or adm’r, failing in his suit, fairly brought, is not liable for costs.— Against an ex’r or adm’r deft, the same judgment or decree is to be given for costs, as is given for the demand sued upon. The Chancellor, in decreeing interest, should follow the practice in suits at law. Where the demand is of such a nature, that a judgment at law upon it would he for the debt with interest till paid, the decree may be to the same effect. Where the judgment at law would he for a sum in gross (as in assumpsit,) it is error to decree current interest.- The assent to the decree and to the proceedings under it, 'which were to take place, are clearly expressed in the deeds, and may be regarded as imparting as complete authority to the commissioner to go on and complete the sale, as if a direct authority had been given. Besides, Standéford stood by when the sale was made,encouraged bidders, and used active means to effect an advantageous sale. The sale and conveyance having been made by the commissioner, under those circumstances, in the lifetime of Standéford, the only claim which he had at his death, was a claim for the money — the proceeds of the sale, which passed at his death to his personal representatives, and not to his heirs. They were, therefore, not necessary parties. Second. We recognize no error in the decree for costs against the administrator of Hughes, to be levied of tire assets in his hands. Though an executor, or administrator plaintiff or complainant, who fails in a suit without his fault, brought in his fiduciary character, upon a •promise made to the decedent, is not liable for costs, yet, it is well established that an executor or administrator defendant is liable for costs, to the same extent that Ire is liable for the debt of the decedent, to be levied out of the assets in his hands. 1 Lit. Rep. 395, Lot vs. Parrish's Executor; 1 J. J. Marshall, 366, Scroggin's Administrator vs. Scroggin. Third. But the decree is erroneous in giving to the complainants accruing interest after its rendition, against the administrator of Thomas and John Hughes, and George Hughes, the surviving surety. When a Court of Law would give judgment for current interest, if such court had jurisdiction of the case, a Court of Equity may, and ought generally, to give it. For, as a Court of Law would give its judgment for the aggregate amount of principal and interest in damages, which would not bear interest, so the Chancellor should decree in parallel cases. 3 Marshall, 482, Samuel vs. Minier; 485, McAlexander vs. Lee; 1 Lit. Rep. 100; 3 J. J. Marshall, 654; 6 Monroe, 170. Could a suit have been maintained at all at law, in the names of the complainants against the administrator of Thomas Hughes, it must have been an action of assumpsit, for so much money had and received by his intestate, for the use and benefit of the complainant’s intestate; in which a recovery would have been had, for the aggregate amount of principal and interest, in damages, which would not have carried accruing interest. Fourth. It also appears that Susan Bledsoe, one of the seven children, who were interested in the proceeds of the sale of said land, was never served with process, and did not appear to said bill. She is a necessary party to the same. For the two last errors, it is decreed by the Court, that said decree be reversed, and remanded, and proceedings be had not inconsistent with the principles here settled.
from django.db import models class EventPublishedManager(models.Manager): def get_query_set(self, *args, **kwargs): return super(EventPublishedManager, self).get_query_set(*args, **kwargs).filter(is_published=True) class Event(models.Model): title = models.CharField(max_length=50) created = models.DateTimeField(auto_now_add=True) date = models.DateTimeField() is_published = models.BooleanField(default=True) no_time = models.BooleanField(default=False) message = models.TextField() objects = models.Manager() published = EventPublishedManager() class Meta: ordering = ('-date',) def __unicode__(self): return u"%s @ %s" % (self.title, self.date) @models.permalink def get_absolute_url(self): return ('event-detail', (self.id,))
Board Thread:Off Topic/@comment-<IP_ADDRESS>-20131026082705/@comment-13446185-20131119120451 Psychomantis108 wrote: Sure animation can be damn expensive but not a as nearly expensive as shows like GoT. The best thing about animation is there is almost no limitaion to what you can do.
Coronavirus disease 2019 (COVID-19) was initially identified in Wuhan, Hubei Province, China, causing alarm on a global scale as of December 2019. [@JR210141-1] Although the initial wave of the 2019 corona virus and its various variants outbreak have passed, it is present in different parts of the globe. However, opportunistic invasion danger of fungus infection is still quite high. This may be the outcome of a COVID-19-related sickness, which can cause immunological suppression, higher ferritin levels, excessive iron loading, acidosis, endothelium damage, and the requirement for several wide-ranging antibiotics to treat or prevent subsequent infections. In terms of the incidence of invasive fungal infections (IFIs) and the number of persons with diabetes mellitus, India is ranked second among all other countries. In India, particularly in COVID-19, IFIs are probably prevalent. In two to three individuals of COVID-19 individuals, immune suppression causes a decline in T cells like CD4+ and CD8 + . Significant fungal infections were common in critically ill hospitalized patients. [@JR210141-2] Mucorales fungi-like Rhizopus, Mucor, Rhizomucor, Cunninghamella, and Absidia are responsible for angio-invasive infections known as mucormycosis. [@JR210141-3] Nowadays, the term zygomycosis is used to describe IFI brought on by Zygomycetes. Zygomycetes are molds that reproduce sexually by producing zygospores and have aseptate or pauciseptate, irregularly branched ribbon-like hyphae. They were reclassified as Mucorales and Entomophthorales, respectively, even though these species were previously separated into two orders, Mucorales and Entomophthorales. Entomophthorales molds are rare infections that are generally prevalent in tropical regions and cause chronic sinus and skin conditions that hardly ever affect internal organs. [@JR210141-4] Mucormycosis is prevalent in India with 0.14 / 1000 individuals, which is approximately 80 times greater than the wealthy nations. [@JR210141-5] Depending on where the disease is found, mucormycosis can present differently clinically. [@JR210141-6] Most patients with invasive mucormycosis are immunosuppressed or observed associated with chronic diseases like diabetes mellitus, blood-related malignancies, or have recently undergone a transplant. [@JR210141-4] Patients who have COVID-19 and other variants may be more vulnerable to fungal infections. Mucormycosis is affecting when hyphae enter the circulation and induce thrombosis and necrosis. [@JR210141-6] Mucormycosis infection affects the rhino-orbitocerebral system, skin, and lungs. Although it can also be brought on by another angio-invasive fungus including aspergillosis and *Pseudallescheria boydii* , mucormycosis is the primary cause of the neurological clinical condition known as a rhino-orbitocerebral syndrome. The rhino-orbitocerebral syndrome symptoms show as pain in the face, facial numbness, headache, eye pain, and diplopia with several other eye-related disorders; blackness on the skin and mucosa, along with ulcer and palate drainage. *Mucor* damages necrotic tissue and thrombosis in nearby arteries of the nervous system like the internal carotid artery and cavernous sinus [@JR210141-7] ( [Table 1](#TB210141-1){ref-type="table"} ). ::: {#TB210141-1 .table-wrap} ###### Diagnostic and therapeutic pathway for invasive fungal coinfection COVID-19 patients associated with invasive fungal infections ----------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------- -----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------  1. Severely ill ones (admitted to ICU, required mechanical ventilation, long duration of hospital stay)\  2. And/or with an immunocompromised state **Invasive fungal infections (IFI)** **Risk factors** **Diagnosis** **Treatment** Invasive mucormycosis Trauma, diabetes Mellitus, GC use, allo HSCT, SBT, prolong neutropenia, HM 1\. Direct microscopy using fluorescent brightener and histopathology with special stains (PAS, GMS)\ 1\. Surgical treatment: If possible\  Typical findings: Nonseptate, ribbon-like hyphae (at least 6--16µm wide)\ 2. Primary Prophylaxis: Posaconazole\ 2. Culture: Routine media 30°C and 37°C\ 3. First-line treatment: amphotericin B lipid complex, liposomal amphotericin B, posaconazole oral suspension Typical finding: cottony white or grayish black colony.\ 3. Molecular Identification: PCR-based assays, HRM target gene:18S, ITS,28S or rDNA Invasive candidiasis Parenteral nutrition, Broad spectrum antibacterial drug use, Invasive examinations 1\. Direct Microscopy using Calcofluor or Blankophor\ 1 Echinocandin (caspofungin, Micafungin, anidulafungin)\  Typical finding: Pseudohyphae\ 2 Triazoles (fluconazole, voriconazole, itraconazole)\ 2. Culture: Blood or other sterile samples\ 3 Amphotericin B and its liposomes  Typical findings: cream like\ 3. Serology: Mannan and anti-mannan IgG tests, CAGTA, BDG\ 4. Molecular identification: PCR-based assays, target gene: r-DNA, ITS\ 5. New methods: T2 magnetic resonance and MALDI-TOF technology Invasive aspergillosis GC use, COPD, prolonged neutropenia, allo-HSCT, SBT, inherited immunodeficiencies, CF, HM 1\. Direct microscopy using Calcofluor or Blankophor and histopathology with special stains (PAS, GMS)\  1. Triazoles (voriconazole, posaconazole, isocoanazole, itraconazole)\  Typical findings: acute angle branching septate hyphae\  2. Amphotericin B and its liposomes\ 2. Culture: 37° C for 2--5 days, morphological features\  3. Echinocandin (micafungin, caspofungin) 3. Molecular Identifications: PCR-based assays, target gene: Ben-A, CAL, and ITS\ 4. GM test: Serum and BALF Invasive Cryptococcosis HIV infection (CD4 \< 200 cells/µL), allo-HSCT, SOT 1\. Direct microscopy: CSF mixed with India ink, narrow budding encapsulated yeasts\ 1\. Induction Phase: Amphotericin B deoxycholate and flucytosine followed by fluconazole; alternative for fluconazole+ flucytosine or amphotericin B deoxycholate + fluconazole.\ 2. Culture: 30°C for 7 days, in aerobic conditions, mucoid creamy colonies\ 2. Consolidation phase: fluconazole\ 3. Serology: CrAg, LAT, EIA, LFA\ 3. Maintenance phase: fluconazole 4. Molecular identification: Pan fungal PCR, DNA sequencing, multiplex PCR, isothermal amplification, probe-based micro-assays, target gene: IGS1, CAP5, ITS Abbreviations: BALF, bronchoalveolar lavage fluid; CF, cystic fibrosis; COPD, chronic obstructive pulmonary disease; COVID-19, coronavirus disease 2019; CSF, cerebrospinal fluid; EIA, enzyme-linked immunoassay; GM, galactomannan; GMS, Grocott-Gomori\'s methenamine-silver; ICU, intensive care unit; HIV, human immunodeficiency virus; HM, haematological malignancy; allo-HSCT, allogeneic hematopoietic stem cell transplantation; LAT, latex agglutination test; PAS, periodic acid-Schiff; PCR, polymerase chain reaction; SBT, solid body transplantation. It is recommended to employ computed tomography (CT) or magnetic resonance imaging (MRI) to see the brain, intracranial arteries, and paranasal sinuses. The first imaging method often used a CT scan that can detect bone dehiscence or disintegration. MRI can detect vascular invasion, an intracranial tumor, and the optic nerve. [@JR210141-8] Potassium hydroxide (KOH) and Calcofluor, two fungus-specific stains/culture, histopathology, and molecular diagnostic with polymerase chain reaction (PCR), are used in the research. [@JR210141-9] Therapeutic management of fungal infections acquired by COVID-19 and its other variants with reference to standards are required to deliver antifungal medications and surgical management. Moreover, this opportunistic infection is also associated to acquire promptly metabolic abnormalities management that increases the mortality risk of mucormycosis by 50%. Diagnostically, it is necessary to record MRI or CT scan to observe the brain, intracranial arteries, and paranasal sinuses. The first imaging method often used, a CT scan, can detect bone dehiscence or disintegration. MRI can detect vascular invasion, an intracranial tumor, and the optic nerve. [@JR210141-8] KOH and Calcofluor, fungus-specific antibiogram and molecular diagnostic test should be ordered before confirming the diagnosis. Medical management of this opportunistic infection required liposomal amphotericin B/amphotericin deoxycholate and standard amphotericin B/amphotericin deoxycholate that are effective and have more unfavorable side effects. [@JR210141-10] Amphotericin is commonly infused over a period of 1 to 4 hours at a rate of 0.3 to 1.5 mg/kg/day. Before starting intravenous therapy, a 1-mg test dosage should be given. People with adequate renal function can receive amphotericin B over the course of 1 to 2 hours. [@JR210141-11] Two other drugs for the treatment of mucormycosis include posaconazole (300 mg twice a day for 3 days, then 300 mg daily, orally) and isavuconazole(200 mg twice daily on the first day followed by 200 mg daily). [@JR210141-12] Isavuconazole demonstrated efficacy comparable to amphotericin in an open-label research. [@JR210141-13] For the oral formulation, a long shelf life of up to 180 days is available. [@JR210141-14] Antifungal drugs including caspofungin, voriconazole, and fluconazole cannot treat mucormycosis ( [Table 1](#TB210141-1){ref-type="table"} ). Osteomyelitis abscess, debridement, and various sorts of necrotic tissue removal can all be handled surgically. The surgical team should include an ENT specialist, an eye specialist, a dental surgeon, and a neurosurgeon, depending on the area that is impacted. Mucormycosis is frequently treated with orbital debulking and functional endoscopic sinus surgery. Since medications cannot reach necrotic tissue, early surgery is better than late surgery. Patients with mucor require substantial surgical help in order to have a decent prognosis. Depending on how the patient reacts to the treatment, a check endoscopy or surgical inquiry may need to be repeated in some circumstances. Uncertain and dependent on the specifics of each case, the length of therapy will be determined. Amphotericin therapy is recommended for 4 to 6 weeks. For a few more weeks, posaconazole or isavuconazole might be used as maintenance therapy [@JR210141-15] ( [Table 1](#TB210141-1){ref-type="table"} ). The most common yeast species are identified on mucosal surfaces, such as respiratory, digestive, and urinary systems. The most commonly isolated pathogens included *Candida albicans* , *Candida glabrata* , *Candida krusei, Candida parapsilosis* , and *Candida tropicalis* . The most common cause of invasive candidiasis appears to be *Candida albicans* . [@JR210141-16] COVID-19 patients, who are severely ill and treated with antiretroviral medicines, parental meals, and intrusive testing, as well as those with persistent neutropenia and other physical limitations, may be at higher risk of *Candida* -type infection. The primary cause of fatal illnesses and one of the components of the human mycobiome are thought to be *Candida* species. The deleterious effects of severe COVID-19 are increasingly being recognized as invasive yeast infections. [@JR210141-17] The risk factors for the illness have expanded, and now include persons who have undergone solid organ and hematopoietic stem cell transplantation (HSCT), used immunosuppressive medications, had HIV infection, were prematurely born, were older, underwent surgery, or had cancer. [@JR210141-18] The most frequent clinical symptom of invasive candidiasis is bloodstream infection with a *Candida species* (candidemia), which is an important source of rising sentinel events and death for hospital-admitted patients. [@JR210141-16] Septic shock is a clinical sign of candidemia in affected persons. According to one study, people with *Candida* spp. ocular shock is more likely to experience renal and hepatic failure and had lower levels of lactic dehydrogenase than those with bacterial shock. Ones connected to internal and external candidiasis include abscesses, peritonitis, pancreatitis, and cholangitis [@JR210141-19] ( [Table 1](#TB210141-1){ref-type="table"} ). Without initially understanding the extent of the disease, the results of an invasive candidiasis diagnostic test cannot be properly interpreted. Invasive candidiasis can manifest as either one of two forms, candidemia, or severe candidiasis. [@JR210141-20] The detection limit for live *Candida* in blood cultures is equivalent to or superior to that of PCR. Cerebrospinal fluid (CSF) samples are reliable indicators of candida meningitis in patients. Nonculture diagnostic techniques for invasive candidiasis include mannan, anti-mannan antibody, and *C. albicans* germ tube antibody. Initially, diagnosis with non-culture for invasive candidiasis was serum tests for *Candida* antigens and anti- *Candida* antibodies. Most *Candida* antigens have limited diagnostic use due to low serum concentrations and fast circulatory clearance. Numerous cell wall components such as mannan and 1,3-D-glucan (BDG) are the most efficient targets. [@JR210141-21] The T2 *Candida* panel is an additional diagnostic marker, and BDG is an essential part of the *Candida* cell wall and the fungus infection. T2 *Candida* nanodiagnostic panel has received Food and Drug Administration approval for the detection of candidemia. To find *Candida* in whole blood, T2 *Candida* employs an automated method that makes use of K2 EDTA Vacutainer collection tubes and a customized equipment platform (T2Dx) [@JR210141-22] ( [Table 1](#TB210141-1){ref-type="table"} ). A higher mortality rate has been observed in COVID-19 patients with IFIs. Timely identification and treatment are essential for a positive clinical result as compared to individuals who did not get antifungal medication. Invasive candidiasis therapy in COVID-19 patients is the same as for people without the virus. [@JR210141-23] Echinocandins, azoles, and polyenes are now the three steps of therapy for candidiasis. Additionally, the pyrimidine analogue flucytosine has a unique place in the management of *Candida endocarditis* and intermediate candidiasis. [@JR210141-24] [@JR210141-25] Pharmacological regimen for invasive *Candida* infections involves echinocandins firstly, then liposomal amphotericin B, fluconazole, posaconazole, voriconazole, and isavuconazole. [@JR210141-17] [@JR210141-18] [@JR210141-19] [@JR210141-20] [@JR210141-21] [@JR210141-22] [@JR210141-23] [@JR210141-26] [@JR210141-27] [@JR210141-28] COVID-19 patients who have high-risk factors for life-threatening infections are particularly susceptible to *Aspergillus* consequences. [@JR210141-29] [@JR210141-30] Allogeneic hematopoietic stem cell transplant (allo-HSCT), solid body transplantation (SBT), inherited disability, use of gas chromatography (GC), chronic neutropenia, chronic obstructive pulmonary disease (COPD), SBT, and diseases (acute respiratory distress syndrome \[ARDS\]) resulting from viral infections tend to secondary problems such as noninvasive aspergillosis even with a well-defined immune system. [@JR210141-31] [@JR210141-32] Hypoxic risk of internal defenses and autoimmune illnesses brought on by ARDS are two possible causes for this [@JR210141-33] ( [Table 1](#TB210141-1){ref-type="table"} ). Distinguishing *Aspergillus* species from other filamentous fungi ( *Fusarium* species and *Scedosporium* species) can be challenging; histopathologic tests based on spotting specific fungal areas in fluid or tissue, where there is suspicion of fungal infection, may reveal a critical septate hypertension feature of *Aspergillus* species. Additionally, Grocott-Gomori\'s methenamine-silver and periodic acid-Schiff of organized tissue will help. [@JR210141-34] Therefore, we need to have descriptive evidence of cultural or nontraditional techniques, including (i) direct testing of optical light, Calcofluor or Blankophor light, which may increase sensitivity and clarity of detection similar to *Aspergillus* ; (ii) culture in fungal sources at 37 °C 2 to 5 days, if positive, morphological features of *Aspergillus* can be detected under a microscope or DNA sequences can be used in laboratory indicators for accurate diagnosis, though low culture is seen and side effects do not exclude immunoassay (IA) diagnosis; (iii) molecular testing based on ribosomal DNA sequence (rDNA) can also be used to detect *Aspergillus* tissue or bronchoalveolar lavage fluid (BALF), especially PCR trials may be used to detect *Aspergillus* spp. and conversion of CYP51A resistance to A. Fumigatus, although these methods are not limited by laboratory conditions or reagents; [@JR210141-35] (iv) serum and BALF GM tests are also recommended as early and accurate diagnosis using negative diagnostic procedures, especially in non-neutropenia patients, who have the benefit of minor injury and long-term functionality. Sometimes these blood sample tests are less sensitive than respiratory sampling cultures [@JR210141-36] ( [Table 1](#TB210141-1){ref-type="table"} ). Typically, triazoles (itraconazole, voriconazole, posaconazole, and isavuconazole), amphotericin B, and its liposomes are used for the treatment and prevention of IA (micafungin or caspofungin). However, drug monitoring is advised. Besides, the interaction between azoles and other medications ought to be taken into account, carefully. The majority of individuals may select triazole medications to treat IA ( [Table 1](#TB210141-1){ref-type="table"} ). *Cryptococcus neoformans* or *Cryptococcus gattii* are the pathogens that cause crypto coccidiosis, an invasive tuberculosis that is still spreading around the world. [@OR210141-37] Individuals with cryptococcosis are frequently immobile, and many of them had previously tested positive for HIV. However, there have also been accounts of patients who are believed to be frail. [@JR210141-38] Meningoencephalitis has been linked to infections with *Cryptococcus neoformans* in individuals who are not responding. [@JR210141-39] However, it occurs less frequently in impotent individuals, such as HIV patients linked with CD4 and COVID-19. The most typical symptom of cryptococcosis is meningoencephalitis, which is caused by immunological diseases such as T-lymphocyte 200 cells/ *μ* L, allo-HSCT, SBT, or others [@JR210141-17] [@JR210141-39] [@JR210141-40] ( [Table 1](#TB210141-1){ref-type="table"} ). *Cryptococcus* species findings that include *Cryptococcus neoformans* and *C types* led to the finding of cryptococcosis. Gattii, an amalgamation of clinical as well as laboratory validation, is often used to make the diagnosis of cryptococcosis. Histopathology, serology, cell detection, and exact microscopy are techniques used to confirm culture infection. A little amount of frequently found blended yeast can be added to a sample of CSF to develop a specific structure for *Cryptococcus* spp. to diagnose cryptococcosis. Cultural samples need to be incubated in Sabouraud dextrose agar at 30° C for 7 days while being examined every day under aerobic circumstances. Additionally, cultures may take longer to grow in individuals on systemic antifungal therapy. The green coin of the coin is thought to be *Cryptococcus* serum, CSF, BAL, or diseased tissues can be used to collect and quantify the capsular polysaccharides of *Cryptococcus* . There are now three different cryptococcal antigens tests available: lateral flow immunoassay (LFI), enzyme-linked immunoassay (EIA), and latex agglutination test (LAT). The BAL, pleural fluid, and sputum samples used in respiratory samples, such as testing, are not suitable for these rapid, sensitive, and accurate procedures. [@JR210141-41] In rare instances, cell identification is necessary to validate cryptococcosis diagnosis when other diagnostic techniques fail to work. Pan-fungal PCR, DNA sequencing detection, multiplex PCR, isothermal pathway magnification, and investigative microarrays are some of these molecular processes. Lumbar and CSF testing, further including antigen, are advised for patients as soon as cryptococcosis is diagnosed ( [Table 1](#TB210141-1){ref-type="table"} ). Drugs in the ensuing forms are suggested as desirable ones in the intake phase of flucytosine or amphotericin B deoxycholate followed by fluconazole; these are additional fluconazole treatment alternatives ( [Table 1](#TB210141-1){ref-type="table"} ). Depending on the fungus that is infected, IFI puts patients who have co-morbidities at a significant chance of dying. IA is most frequently seen in neutropenic patients, receiving chemotherapy, has severe hematological conditions, are receiving long-term corticosteroid therapy or biotherapy, have solid tumors or HSCT allografts, or have chronic respiratory conditions. Pneumocystosis is a contagious illness that can affect people with lymphopenia, HIV, hematological problems, severe or persistent respiratory infections, and lymphopenic individuals. Most cases of infectious mucormycosis are associated with individuals who have diabetes, severe hematological disorders, solid organ transplants, chronic respiratory illnesses, persistent burn injuries, or post-traumatic stress disorder. The number of COVID-19 patients being managed is growing swiftly, and real-time testing is being used to quickly provide tailored medication. Aspergillosis, pneumocystosis, and mucormycosis have significantly different first-line therapies, and these therapies will be avoided wherever feasible. Preventive strategies like antifungal chemoprophylaxis and natural remedies might be taken into consideration to lower morbidity and death based on the epidemiological data that is currently available ( [Table 1](#TB210141-1){ref-type="table"} ). We predict that the widespread COVID-19 cofungal infection might be failed to notice or would have made an incorrect diagnosis. Additionally, as a potentially fatal infectious condition, patients with COVID-19 have excessive suppression of inflammatory cytokines and impaired immune response by having reduced CD4 T and CD8 T cell counts, which allowed for the discovery of a fungal coinfection. Additionally, COVID-19 patients often have other autoimmune conditions such as chronic neutropenia, HSCT, GC, SBT, hereditary conditions, or herbal medicines. The tumor may also encourage a joint fungal infection. The revised diagnostic information (histopathology, extremely tiny tests, culture, (1,3) -bD-glucan, galactomannan, PCR tests, matrix-assisted laser desorption/ionization-time of flight \[MALDI-TOF\] technology, etc.) and noninvasive mycosis therapy suggestions are outlined here. We advise that it is wise enough to consider risk factors and different forms of incurable mycosis, the benefits and drawbacks of diagnostic procedures, clinical settings, and the requirement for standard or unique care for COVID-19 patients. To help doctors and lab experts manage aspergillosis, candidiasis, mucormycosis, or cryptococcosis as associated illnesses in patients with COVID-19, we have supplied a tabulation table ( [Table 1](#TB210141-1){ref-type="table"} ). A quick explanation of the types of mucor infections that affect different organ systems in the human body has been provided in the form of a diagram ( [Fig. 1](#FI210141-1){ref-type="fig"} ). ::: {#FI210141-1 .fig} Fungal infections affecting major organs of the body. We would like to thank intern Dr. Abdul Malik, Dr. Hashim Khan of Teerthanker Mahaveer College of Pharmacy, Teerthanker Mahaveer University, Moradabad, Uttar Pradesh, India, and Dr. Gulnaz Bano, M. Pharm, PhD (Faculty at SPER, Jamia Hamdard) Hamdard Nagar, New Delhi, for contributing and enriching this paper with their time and knowledge. **Conflict of Interest** None declared.
limit page request with php I have this code that prevents users from making multiple requests on a page and it records ip address in a text file along with a string of numbers i assume its a time stamp but im not sure looks like this <IP_ADDRESS> - Requested on:<PHONE_NUMBER>how do i change that to readable time with a date? $ipLog='log.txt'; //Logfile $timeout='1'; //Wait Time $goHere=$headers; //Page To Access $register_globals = (bool) ini_get('register_gobals'); if ($register_globals) $vis_ip = getenv(REMOTE_ADDR); else $vis_ip = $_SERVER['REMOTE_ADDR']; function recordData($vis_ip,$ipLog,$goHere) { $log=fopen("$ipLog", "a+"); fputs ($log,$vis_ip." - Requested on: ".time()."\n"); fclose($log); // // // exit(0); } function checkLog($vis_ip,$ipLog,$timeout) { global $valid; $ip=$vis_ip; $data=file("$ipLog"); $now=time(); foreach ($data as $record) { $subdata=explode(" - Requested on: ",$record); if ($now < ($subdata[1]+600*$timeout) && $ip == $subdata[0]) { $valid=0; echo "$timeout min wait."; break; } } } checkLog($vis_ip,$ipLog,$timeout); if ($valid!="0") recordData($vis_ip,$ipLog,$goHere); Not related to your question, but if you have control over your PHP settings, do yourself a favor and check out http://php.net/manual/en/security.globals.php When file has been saved, save a date fputs ($log,$vis_ip." - Requested on: ".date("Y-M-d H:m:s")."\n"); when its extracted , revert to timestamp and keep the logic $subdata=explode(" - Requested on: ",$record); $subdata[1]= strtotime($subdata[1]); //convert date to timestamp
Docker container only on network I use Docker and I have multiple webapps each need a MySQL server. Actually each webapp use his own bridge network to communicate with his MySQL server but each MySQL server use a different port (3306, 3307, 3308 ...). I can't run them all on the port 3306 because this one is already used by the first MySQL webapp's. Is it possible to do something to run all on MySQL servers on the 3306 ? What I have : | Net1 (bridge) |    Net2(bridge)  | Net3(bridge) | .... | |--------------------|----------------------|--------------------|-----| | Webapp1:80   | Webapp2:8080 | Webapp3:8081  |  ... | | Mysql:3306     | Mysql:3307      | Mysql:3308     | ... | What I would like: | Net1 (bridge) |    Net2(bridge)  | Net3(bridge) | .... | |--------------------|----------------------|--------------------|-----| | Webapp1:80   | Webapp2:8080 | Webapp3:8081  |  ... | | Mysql:3306     | Mysql:3306      | Mysql:3306     | ... | How I run my containers: docker network create --driver bridge webapp1net docker run -d -p 3306:3306\ --net=webapp1net \ --net-alias=[webapp1net] \ -h webapp1-mysql \ --name webapp1-mysql mysql docker run -d -p <IP_ADDRESS>:80:80\ --net=webapp1net \ --net-alias=[webapp1net] \ -h webapp1 \ --name webapp1 webapp1 Thanks Old post: With Docker, I would like to know if it's possible to expose a container only on the network and not on the host. Example: I have 3 services each on a network and use MySQL but I don't want to change the MySQL's port. Net 1 : myapp:80 (accessible by the localhost) & MySQL:3306 (only on the network) Net 2 : myapp:8080 (accessible by the localhost) & MySQL:3306 (only on the network) etc. Is it possible to do something by running MySQL on <IP_ADDRESS> ? Thanks By "network" do you mean a docker bridge network? Anything inside a container has it's own network stack so you can have something listening on the docker host on 3306, and in each container on 3306. There won't be clashes question is not clear; Can you please provide more information. Thanks Thanks for your answers I update my post, I hope to be more clearly
Thread:Silverboy300/@comment-27231329-20160610200429/@comment-26488478-20160610203711 Sure, of course! You can be part of the Research Team.
Board Thread:Suggestions/@comment-28829368-20161228091608/@comment-25972848-20161228144756 how about if the player gets mvp they have a chance to get one
Parking lever switch and operating method thereof ABSTRACT A parking lever switch may include a spring supporter; a switch input and output terminal, which can contact the spring supporter; an elastic spring supporting the spring supporter; a cable rod connected with the spring supporter; and a cable guide that guides the cable rod. The switch is energized when the force applied to the spring supporter connected with the cable rod is equal to or greater than the value obtained by multiplying a spring constant k of the elastic spring by a displacement. CROSS-REFERENCE(S) TO RELATED APPLICATIONS This application claims priority to Korean Patent Application No(s). 10-2018-0142839, filed on Nov. 19, 2018, which is incorporated herein by reference in its entirety. BACKGROUND OF THE DISCLOSURE Field of the Disclosure The present disclosure relates to a parking lever switch and an operating method thereof, and more particularly, the present disclosure relates to a parking lever switch and an operating method thereof capable of confirming whether a parking lever is fastened with a predetermined operating force or more. Description of the Related Art In general, a brake system of a vehicle is an important device used to decelerate or stop a traveling vehicle and to maintain a parked state. Such a braking system includes a main brake (foot brake) mainly used while the vehicle is traveling and includes a parking brake used for parking the vehicle when not traveling. The main brake is called a foot brake because the driver manipulates the main brake with their foot. The parking brake is called a hand brake because the driver manipulates the parking brake manually with their hand. A parking lever is a device for operating the parking brake and applying the force of the parking brake. It is common for the parking brake to have a configuration independent of the main brake. That is, when the parking lever is pulled and pivoted, the parking brake is activated by pulling a cable that is connected with the parking lever, causing a braking force at one or more of the wheel brakes on the vehicle. In addition, a locking means is further included for locking the parking lever in such a state that a braking force is generated and maintained. On the other hand, in a conventional parking lever switch structure, a stopper fixed to the parking lever turns off the switch when the parking lever is not operated or engaged. When the parking lever is operated to engage the parking brake, the stopper is moved upward together with the parking lever and the switch contacts then contact each other by a spring inside the parking lever switch to turn on the switch. In this way, the parking lever operation lamp is turned on in an instrument cluster. In other words, the on/off function of the conventional parking lever switch is achieved by a mechanism that is only mechanically turned on and off by the operation of the parking lever, regardless of the operating force of the parking lever. There is a disadvantage in that it cannot be confirmed whether or not the parking lever is fastened or secured with sufficient operating force to stop or hold the vehicle. This poses a safety problem in developing a remote start function of a manual transmission vehicle. The foregoing is intended merely to aid in the understanding of the background of the present disclosure. The foregoing is not intended to mean that the present disclosure falls within the purview of the related art that is already known to those having ordinary skill in the art. SUMMARY OF THE DISCLOSURE An embodiment of the present disclosure provides a parking lever switch and an operating method thereof capable of confirming a state in which a parking lever is fastened, i.e., engaged, secured, or operated, sufficiently to transmit an operating force to a parking cable at a predetermined operating force or more in order to overcome the problems of the related art. A parking lever switch according to one aspect of the present disclosure may include a spring supporter; a switch input and output terminal that can contact the spring supporter; an elastic spring supporting the spring supporter; a cable rod connected with the spring supporter; and a cable guide that guides the cable rod guide. The parking lever switch is energized when the force applied to the spring supporter connected with the cable rod is equal to or greater than a value obtained by multiplying a spring constant k of the elastic spring by a displacement. The spring supporter may include a cable rod fixing portion; an elastic spring supporting portion supporting the elastic spring; and an insertion supporting portion of which a part is inserted into and supported by a cable guide rod hole of the cable guide in the elastic spring supporting portion. The insertion supporting portion may be formed with a wedge shape in the direction of the switch input and output terminal. The switch input and output terminal may include a switch input and output wiring that outputs a signal. The switch input and output terminal may be formed with a bent extension portion that can contact the elastic spring supporting portion of the spring supporter. The elastic spring may provide elasticity between the spring supporter and the switch input and output terminal. An end portion of the cable rod may be fixed at the spring supporter and another end portion of the cable rod may be connected with an equalizer. The equalizer may be connected with a parking cable. The cable guide may be formed with a cable rod guide hole that guides the cable rod. The cable rod guide hole may be mounted at one side surface of the parking lever. An operating method of a parking lever switch according to another aspect of the present disclosure may include applying elasticity to a spring supporter connected with a cable rod by an elastic spring before a parking lever switch is operated. The method may include applying a force to the spring supporter connected with the cable rod of the parking lever switch being operated that is equal to or less than a value obtained by multiplying a spring constant k by a displacement. The parking lever switch may then output an OFF signal. The method may include applying added force to the spring supporter connected with the cable rod of the parking lever switch being operated that exceeds the value obtained by multiplying the spring constant k by the displacement. The parking lever switch may then output an ON signal. The method may include maintaining the added force applied to the spring supporter connected with the cable rod of the parking lever switch being operated that exceeds the value obtained by multiplying the spring constant k by the displacement. The parking lever switch may then maintain outputting the ON signal. The method may include releasing the force applied to the spring supporter connected with the cable rod of the parking lever switch. The parking lever switch may then output the OFF signal. When the force applied by the parking lever switch being operated is equal to or less than the value obtained by multiplying the spring constant k by the displacement, an elastic spring supporting portion of the spring supporter may not contact a switch input and output terminal. When the added force applied by the parking lever switch being operated exceeds the value obtained by multiplying the spring constant k by the displacement, an elastic spring supporting portion of the spring supporter may contact a bent extension portion of a switch input and output terminal. When the added force applied by the parking lever switch is maintained exceeding the value obtained by multiplying the spring constant k by the displacement, an insertion supporting portion of the spring supporter may be supported by a cable guide rod hole of a cable guide. When the force applied by the parking lever switch is released, the elastic spring may release the connection of the spring supporter and a switch input and output terminal. The cable rod may be guided along a cable guide rod hole of a cable guide in the operating method. Therefore, in the parking lever switch and operating method thereof according to an embodiment of the present disclosure, the parking lever switch is able to confirm that the parking lever is transmitting a predetermined operating force or more to the parking cable, thereby improving reliability and safety in developing a remote start function of a manual transmission vehicle. Further, compared with a conventional electronic switch, the disclosed parking lever switch can reduce the cost and minimize variations, so that information about the parking lever operating force can be output, which can improve the commercial value and merchantability of a vehicle. In addition, the application of a conventional parking lever in a vehicle does not provide information on the parking lever operating force, so it is not easy to adjust the tension. In accordance with the present disclosure, the parking lever operating force adjustment and timing can be provided, thereby improving the commercial value and merchantability of a vehicle. BRIEF DESCRIPTION OF THE DRAWINGS The above and other objects, features and advantages of the present disclosure will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings, in which: FIG. 1 is a schematic view of a parking lever having a parking lever switch according to an embodiment of the present disclosure; FIG. 2 is a partial enlarged schematic view of a portion “A” shown in FIG. 1; FIG. 3 is a schematic view showing an operation relationship of the parking lever switch according to an embodiment of the present disclosure; and FIG. 4 is a flow chart showing an operation method of the parking lever switch according to an embodiment of the present disclosure. DESCRIPTION OF EMBODIMENTS The embodiments described below are provided so that those having ordinary skill in the art can easily understand the technical idea of the present disclosure, and thus the present disclosure is not limited thereto. In addition, the items represented in the attached drawings are the schematized drawings in order for easily describing the embodiments of the present disclosure and may be different from the forms actually implemented. When any constituent element is referred to as being connected or contacted with other constituent elements, it should be understood that it may be directly connected or contacted with the other constituent elements but there may be the other constituent elements therebetween. The term “connection” as used herein includes a direct connection or indirect connection between a member and another member and may mean all physical connections such as adhesion, attachment, fastening, bonding, and coupling. In addition, expressions such as “first, second”, and the like are used only to distinguish among a plurality of configurations, and do not limit the order or other features between configurations. The singular forms include a plurality of representations unless the context clearly dictates otherwise. The term “comprising” or “having” is intended to mean that there is a feature, number, step, operation, constituent element, component or combination thereof described in the specification, and it may be interpreted that one or more other features, numbers, steps, operations, constituent element, component, or combinations thereof can be added. FIG. 1 is a schematic view of a parking lever including a parking lever switch according to an embodiment of the present disclosure. FIG. 2 is a partial enlarged schematic view of a portion “A” shown in FIG. 1. Referring to FIGS. 1 and 2, a parking lever 10 includes a parking lever switch 1000 according to an embodiment of the present disclosure. The parking lever switch 1000 may include a spring supporter 100, a switch input and output terminal 200 that contacts the spring supporter 100, an elastic spring 300 that supports the spring supporter 100, a cable rod 400 connected with the spring supporter 100, and a cable guide 500 that guides the cable rod 400. When the force applied to the spring supporter 100, which is connected to the cable rod 400, is equal to or greater than a value obtained by multiplying the spring constant k of the elastic spring 300 by the displacement, the parking lever switch 1000 is configured to be energized. Herein, the force applied to the spring supporter 100 connected to the cable rod 400 may be a force transmitted from a generated driving torque. The displacement may be a distance that the spring supporter 100 moves and comes into contact with the switch input and output terminal 200. In this embodiment, the spring supporter 100 is configured to include a cable rod fixing portion 110, an elastic spring supporting portion 120 that supports the elastic spring 300, and an insertion supporting portion 130. A part of the insertion supporting portion 130 is inserted into and supported by a cable guide rod hole 510 of a cable guide 500 in the elastic spring supporting portion 120. In this configuration, the insertion supporting portion 130 in one example is a wedge shape in the direction of the switch input and output terminal 200. According to the present disclosure, the switch input and output terminal 200 is configured to include a switch input and output wiring 210 for outputting a signal. A bent extension portion 220 is positioned to contact the elastic spring supporting portion 120 of the spring supporter 100. The bent extension portion 220 may be formed at the opposite or other side of the switch input and output terminal relative to the switch input and output wiring 210. Herein, the elastic spring 300 may provide electricity between the spring supporter 100 and the switch input and output terminal 200. On the other hand, an end portion of the cable rod 400 may be fixed to the spring supporter 100. The other end portion of the cable rod 400 may be connected with an equalizer 600 and the equalizer 600 may be connected with a parking cable 700. The cable rod guide hole 510 for guiding the cable rod 400 may be formed at the cable guide 500 according to the present disclosure. The cable rod guide hole 510 may be mounted at one side surface 1010 of the parking lever 10. FIG. 3 is a schematic view showing an operation relationship of the parking lever switch according to an embodiment of the present disclosure. FIG. 4 is a flow chart showing an operation method of the parking lever switch according to an embodiment of the present disclosure. The operation relationship and operation method of the parking lever switch 1000 according to an embodiment of the present disclosure is explained by referring to FIGS. 3 and 4 together with FIGS. 1 and 2. The parking lever switch 1000 is configured to include the spring supporter 100, the switch input and output terminal 200 that contacts the spring supporter 100, the elastic spring 300 that supports the spring supporter 100, the cable rod 400 connected with the spring supporter 100, and the cable guide 500 that guides the cable rod 400. A series of examples in which the force to actuate the parking lever switch 1000 is applied are sequentially described. First, the operating method of the parking lever switch 1000 is as follows. The elasticity of the elastic spring 300 is applied to the spring supporter 100, which is connected to the cable rod 400, before the parking lever switch 1000 is operated [S110]. In this case, the switch output value is OFF. The force applied to the spring supporter 100 connected with the cable rod 400 of the parking lever switch 1000 is equal to or less than the value obtained by multiplying the spring constant k by the displacement [S120], which is the case that the elastic spring supporting portion 120 of the spring supporter 100 does not contact the switch input and output terminal 200. Since the force applied to the spring supporter 100 connected with the cable rod 400 is equal to or less than the value obtained by multiplying the spring constant k by the displacement, the elastic spring 300 is not compressed and the switch output value through switch input and output wiring 210 of the switch input and output terminal 200 is OFF. Subsequently, when the force applied to the spring supporter 100 connected with the cable rod 400 of the parking lever switch 1000 exceeds the value obtained by multiplying the spring constant k by the displacement [S130], the elastic spring 300 is compressed and the elastic spring supporting portion 120 of the spring supporter 100 contacts, at portion B, the bent extension portion 220 of the switch input and output terminal 200. At this point, the switch ON signal is output through the switch input and output wiring 210 of the switch input and output terminal 200. The force applied to the spring supporter 100 connected with the cable rod 400 of the parking lever switch 1000 exceeding the value obtained by multiplying spring constant k by the displacement may continue to be maintained [S140]. When this occurs, the elastic spring 300 is compressed and the insertion supporting portion 130 of the spring supporter 100 is supported by the cable guide rod hole 510 of the cable guide 500, to be contacted with the elastic spring supporting portion 120 of the spring supporter 100, the bent extension portion 220 of the switch input and output terminal 200, and the portion corresponding to the insertion supporting portion 130 of the spring supporter 100 and the cable guide rod hole 510 of the switch input and output terminal 200, that is, a plurality of contact points at the portion B. At this time, the elastic spring 300 is compressed but the elastic spring 300 will not be further compressed when the insertion supporting portion 130 is supported by the cable guide rod hole 510. At this time, the switch ON signal is output through the switch input and output wiring 210 of the switch input and output terminal 200. Herein, when the force applied to the spring supporter 100 connected with the cable rod 400 of the parking lever switch 1000 is released [S150], the elastic spring 300 releases the connection of the spring supporter 100 and the switch input and output terminal 200. At this time, the switch OFF signal will be output. In the operation method, the cable rod 400 is guided along the cable guide rod hole 510 of the cable guide 500. Therefore, the parking lever switch and operating method thereof according to the present disclosure are able to confirm that the parking lever is transmitting the operating force to the parking cable by a predetermined operating force or more, thereby improving reliability and safety in developing a remote start function of a manual transmission vehicle. Further, compared with conventional electronic switches, the disclosed parking lever switch can reduce costs and minimize variations, so that information about the parking lever operating force can be sent out to improve commercial value and merchantability. Those of ordinary skill in the art to which the present disclosure is directed may understand that the present disclosure may be made in other specific forms without changing the technical ideas or essential features thereof. Therefore, it should be understood that the above-described embodiments are merely presented by way of examples among various possible embodiments in order to help those having ordinary skill in the art understand. The technical ideas of the present disclosure are not limited or restricted only by the presented embodiments. Various changes, additions, and modifications may be made without departing from the technical idea of the present disclosure and other equivalent embodiments are possible. The scope of the present disclosure is set forth in the following claims rather than in the detailed description, and it should be interpreted that all changes and modifications that come within the meaning and range of equivalency of the claims and the equivalents thereof are included within the scope of the present disclosure. In addition, the applicants have defined terms or words used in the present specification and claims based on the principle that the applicants can properly define the concept of the term in order to explain its disclosure in the best way, and should not be construed to be limited to ordinary or dictionary meanings. Additionally, the order of configuration described in the above procedure does not necessarily have to be performed in the described order. This process can be included in the scope of the present disclosure if the gist of the present disclosure is satisfied even if the order of execution of each configuration and step is changed What is claimed is: 1. A parking lever switch comprising; a spring supporter; a switch input and output terminal configured to contact the spring supporter; an elastic spring supporting the spring supporter; a cable rod connected with the spring supporter; and a cable guide formed with a cable guide rod hole and guiding the cable rod, wherein the parking lever switch is energized when the force applied to the spring supporter connected with the cable rod is equal to or greater than a value obtained by multiplying a spring constant k of the elastic spring by a displacement, and wherein the spring supporter includes a cable rod fixing portion, an elastic spring supporting portion supporting the elastic spring and configured to selectively contact the switch input and output terminal based on the force applied to the spring supporter, and an insertion supporting portion separated from the cable guide rod hole and configured to be inserted into and supported by the cable guide rod hole to contact the elastic spring supporting portion and a portion of the switch input and output terminal when the force is applied to the spring supporter. 2. The parking lever switch of claim 1, wherein the insertion supporting portion is formed with a wedge shape in the direction of the switch input and output terminal. 3. The parking lever switch of claim 1, wherein the switch input and output terminal comprises a switch input and output wiring configured to output a signal. 4. The parking lever switch of claim 1, wherein the switch input and output terminal is formed with a bent extension portion configured to contact the elastic spring supporting portion of the spring supporter. 5. The parking lever switch of claim 1, wherein the elastic spring provides elasticity between the spring supporter and the switch input and output terminal. 6. The parking lever switch of claim 1, wherein: an end portion of the cable rod is fixed at the spring supporter; and another end portion of the cable rod is connected with an equalizer. 7. The parking lever switch of claim 6, wherein the equalizer is connected with a parking cable. 8. The parking lever switch of claim 1, wherein the cable guide is formed with a cable rod guide hole that guides the cable rod. 9. The parking lever switch of claim 8, wherein the cable rod guide hole is mounted at one side surface of a parking lever. 10. An operating method of a parking lever switch, the operating method comprising: applying elasticity to a spring supporter connected with a cable rod by an elastic spring before a parking lever switch is operated; applying a force to the spring supporter connected with the cable rod of the parking lever switch being operated that is equal to or less than a value obtained by multiplying a spring constant k by a displacement, whereby an OFF signal is output by the parking lever switch; applying added force to the spring supporter connected with the cable rod of the parking lever switch being operated that exceeds the value obtained by multiplying the spring constant k by the displacement, whereby an ON signal is output by the parking lever switch; maintaining the added force to the spring supporter connected with the cable rod of the parking lever switch being operated that exceeds the value obtained by multiplying the spring constant k by the displacement, whereby the ON signal is maintained by the parking lever switch; and releasing the force applied to the spring supporter connected with the cable rod of the parking lever switch, whereby the OFF signal is output by the parking lever switch, wherein the spring supporter includes cable rod fixing portion; an elastic spring supporting portion supporting the elastic spring and configured to selectively contact the switch input and output terminal based on the force applied to the spring supporter; and an insertion supporting portion separated from the cable guide rod hole and configured to be inserted into and supported by the cable guide rod hole to contact the elastic spring supporting portion and a portion of the switch input and output terminal when the force is applied to the spring supporter. 11. The operating method of claim 10, wherein, when the force applied by the parking lever switch being operated is equal to or less than the value obtained by multiplying the spring constant k by the displacement, an elastic spring supporting portion of the spring supporter is not contacted with a switch input and output terminal. 12. The operating method of claim 10, wherein, when the force applied by the parking lever switch being operated exceeds the value obtained by multiplying the spring constant k by the displacement, an elastic spring supporting portion of the spring supporter is contacted with a bent extension portion of a switch input and output terminal. 13. The operating method of claim 10, wherein, when the force applied by the parking lever switch is maintained exceeding the value obtained by multiplying the spring constant k by the displacement, an insertion supporting portion of the spring supporter is supported by a cable guide rod hole of a cable guide. 14. The operating method of claim 10, wherein, when the force applied by the parking lever switch is released, the elastic spring releases the connection of the spring supporter and a switch input and output terminal. 15. The operating method of claim 10, wherein the cable rod is guided along a cable guide rod hole of a cable guide.
Flash Emblem Cache The Flash Emblem Cache is a legendary box available from Team-Up Time Capsules. Obtained from * Team-Up Emblem Capsule Contents * Flash Emblem * Plans: Enhanced Flash Emblem Trivia * According to the imprint this should be an item of blue rarity, but it's actually golden.
How to “postpone” reading from STDIN In this minimal working example I'm trying to do the following: Prompt user for password Unmarshal JSON either from files specified as arguments or from STDIN Here's the source code: package main import ( "encoding/json" "fmt" "io" "log" "os" "syscall" "golang.org/x/crypto/ssh/terminal" ) const correctPassword = "secret" func main() { args := os.Args[1:] var passwd string for { passwd = promptPassword() if passwd == correctPassword { log.Println("Correct password! Begin processing...") break } log.Println("Incorrect password!") } if len(args) == 0 { // Read from stdin log.Println("Reading from stdin") dec := json.NewDecoder(os.Stdin) for { var v interface{} if err := dec.Decode(&v); err == io.EOF { break } else if err != nil { log.Fatal(err) } log.Printf("%#v", v) } } for _, fileName := range args { log.Println("Reading from", fileName) f, err := os.Open(fileName) if err != nil { log.Println(err) continue } defer f.Close() dec := json.NewDecoder(f) for { var v interface{} if err := dec.Decode(&v); err == io.EOF { break } else if err != nil { log.Fatal(err) } log.Printf("%#v", v) } } } func promptPassword() (passwd string) { for { fmt.Fprintln(os.Stderr, "Enter password:") b, _ := terminal.ReadPassword(int(syscall.Stdin)) passwd = string(b) if passwd != "" { break } } return passwd } Everything works all right except when already prepared data is piped or redirected (e.g. go run main.go < mydata.json, or echo 42 | go run main.go, etc). When I pipe or redirect some data to the program, the data gets processed by the password prompt, not the JSON decoder part. Is there any way to at first prompt for the password, and only after process the incoming data? I was trying to detect if there's any data in STDIN to read it and store in some temporary bytes slice, but I can't find how to close/truncate the STDIN, so it won't read data twice. You can pass a file path in as an argument, but there's no way to have both interactive and piped stdin. Either stdin is attached to the terminal, or it's coming from a file. But wait, if data gets processed by the password prompt, why don't you save it as password variable, ask user the password then process it. Just change the location of your code blocks Without any changes to your program, you can include password in stdin before json, eg (bash): {echo pass; cat data.json; } | goprog, or cat pass.txt data.json | goprog For better method for password passing (eg environment or file descriptor) look at sshpass: https://linux.die.net/man/1/sshpass You can also buffer all stdin, and reuse its content later (via io.Reader) Redesign your application logic to function which accept io.Reader as source of data to unmarshall. In main() pass os.Stdin to mentioned function if there is no file argument on command line, otherwise (try to) open file and pass it to unmarshalling function. Note: for deciding whether to print prompt or not you may use isatty like function, which tells if stdin is interactive: https://github.com/mattn/go-isatty
Method and system for analyzing data in artifacts and creating a modifiable data network ABSTRACT Computer-implemented systems, methods, and computer-readable media for analyzing data in one or more artifacts and creating a modifiable data network includes: extracting the key elements from the one or more artifacts; identifying relationship among the key elements for each of the one or more artifacts; determining a first frequency of each of the key elements; determining a second frequency for each relationship among the key elements; creating a data network showing the key elements and the relationship among the key elements; and enabling a user to modify the data network based on one or more of: the key elements; the relationship among the key elements; the first frequency; and the second frequency. BACKGROUND The amount of data electronically recorded is steadily growing. The data may comprise of structured data (such as database records or xml data) or unstructured data (such as documents, books, media files and such). The data needs to be processed, transformed and abstracted into information so that enterprises could apply the knowledge gained from the data for their benefit. The process of extracting knowledge from data is called data mining. Today data mining applies to almost all areas; be it banking, retail, healthcare, telecommunication, genetics etc. Data analysts use a variety of tools and techniques to extract, transform and comprehend gigabytes or terabytes of raw data from multiple sources. They transform the raw data into summary of information such as reports, anticipating discovery of previously unknown trends and facts. Generally, every transaction recorded in raw data represents a fact, but at a much lower granular level than anticipated by a decision maker who wants to comprehend and act based on the facts. It is not practical for a human being to stay focused and consume a large amount of information in a timely manner and manually pick up the “prominent trends” leaving out less important facts. Hence the need of a convenient mechanism or tool arises that can abstract terabytes of data to a short summary report of a few kilobytes. There are numerous statistical and non-statistical methods and tools available and used by today's data analyst for exploring and correlating the elements within data. The unstructured data analysis is relatively complex as compared to the structured data analysis because structured data can be easily indexed. Recent advancements in natural language processing techniques, statistical techniques etc. provide improved results in parts of speech tagging, extracting keywords from documents, face or object recognition in media files. These advancements set the stage for designing additional practical methods for further processing and summarizing of data which can make knowledge discovery from of unstructured data easier. For example, computational semantics process extracts the meaning representation from different types of texts. Thus, a set of key elements can be mined from an artifact and is normally used for classification of the artifact, creating abstract at the artifact level as such. ‘Key elements’ are the elements associated with an artifact such as keywords/key phrases in text/audio/video files, face or object recognition in media files and the like. However, the key elements extracted from unstructured data does not include other parameters such as but not limited to, relationship between the key elements, frequency of the key-elements and the frequency of the relationship between the key elements. Without considering other parameters of the elements, users have limited options to modify the data network and perform efficient analysis based on the importance of the key elements. Therefore, there is a need for an efficient knowledge discovery of both unstructured and structured data and to have a data network that can be modified based on different parameters like relationship between the key elements, frequency of the key-elements and the frequency of the relationship between the key elements. Thereby, enable the user to have a clear picture of the facts and their importance. Improved systems and methods for analyzing data and creating modifiable data network are desired. SUMMARY The present disclosure discloses an embodiment for analyzing data in one or more artifacts and creating a modifiable data network. One or more key elements are extracted from the artifacts. The relationship among the key elements for each of the artifacts is identified. A first frequency of each of the one or more key elements and a second frequency for each relationship are determined. A data network showing the one or more key elements and the relationship among the one or more key elements is created and user is enabled to modify the data network based on one or more of: the key elements, the identified relationship, the first frequency, and the second frequency. In one embodiment of the present disclosure, the user is further enabled to modify the coordinates of the key elements in the data network. Further, one or more artifacts can be selected from a plurality of artifacts. In yet another embodiment of the present disclosure, the user is enabled to classify the key elements in one or more classes. Furthermore, the data network can be modified based on the one or more classes. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is an illustrative diagram depicting the manner for analyzing data in one or more artifacts and creating a modifiable data network. FIGS. 2A-C illustrates the exemplary embodiment for processing of data in one or more artifacts. FIG. 3 shows an exemplary data network created after processing of data from one or more artifacts. FIGS. 4A-B illustrates the exemplary embodiment of modified data network. FIGS. 5A-5E illustrate exemplary embodiments for classifying key elements and using the classification for modifying the data network. FIG. 6 is a system illustrating a generalized computer network arrangement, in one embodiment of the present technique. DETAILED DESCRIPTION The following description is the full and informative description of the best method and system presently contemplated for carrying out the present invention which is known to the inventors at the time of filing the patent application. Of course, many modifications and adaptations will be apparent to those skilled in the relevant arts in view of the following description in view of the accompanying drawings. While the invention described herein is provided with a certain degree of specificity, the present technique may be implemented with either greater or lesser specificity, depending on the needs of the user. Further, some of the features of the present technique may be used to get an advantage without the corresponding use of other features described in the following paragraphs. As such, the present description should be considered as merely illustrative of the principles of the present technique and not in limitation thereof. FIG. 1 is an illustrative diagram depicting the manner for analyzing data in one or more artifacts and creating a modifiable data network. Artifacts include, but are not limited to, website pages, documents, text files, audio files, video files, images, and the like. To extract information from the artifacts, the data in the artifacts are required to be processed. At step 110, a step in processing data is to extract the key elements from the artifacts. ‘Key elements’ are the elements associated with an artifact such as keywords/key phrases in text/audio/video files, face or object recognition in media files and the like. For example, extracting noun phrases from text documents using natural language processing techniques from a set of documents containing consumer comments can contain key elements like product name, consumer demographic information as such which is of importance to enterprises. In an example face recognition methods can be used for identifying human faces from a picture where the individual faces extracted can be considered as key elements extracted from the media file. Glancing through the key elements extracted from an artifact can help gather a high level understanding of the facts discussed in the artifact. As appreciated by a person skilled in the art, different techniques as known in the prior art may be used to extract key elements from artifacts. At step 120, the relationships among extracted key elements are identified. The relationship between key elements herein refers to the occurrence of more than one key element together in a single artifact. Additionally, the relationship between key elements may depend on the proximities or sequence of occurrence of the key elements in an artifact, for example, the key elements used together in a line or a paragraph of the artifact. The key elements extracted from the single artifact may belong to different ‘class or group’ of facts. Two key elements being present together in an artifact indicate the possible relation between them given a context. For example a person “A” may record a new observation in a document that a specific symptom [say s1] started appearing in the context of a specific disease [d1]. For a second person “B” who is trying to consolidate the list of symptoms associated to disease dl may find this fact interesting. Hence in addition to extracting keywords s1 and d1, identifying and recording the relationship s1-d1 is also important. After the key elements have been extracted, at step 130, frequency of the key elements is determined. The frequency of the key element may be determined based on the occurrence of the key elements in the one or more artifacts. Additionally, the frequency of the key element may be determined based on the number of times the user of the artifacts have emphasized on a key element by either repeating/highlighting/liking it or in case of webpages, may be by clicking on the key element. Further, the frequency can be modified to come up with a revised frequency. These revisions could be through mathematical operations like multiplication, division, addition or subtraction by a factor. The factor may be determined by various parameters including but not limited to the pre-assigned factor associated with a specific key element. For example, an occurrence of a specific key element may carry a pre-defined factor 1 whereas the occurrence of a different word which is a synonym of the first one may be attached with a factor value (say) 5. At step 140, frequency for each relationship among the key elements is determined. The frequency for each relationship may be determined based on the occurrence of a pair or key elements in one or more documents. The same or similar key elements repeating multiple times within or across artifacts indicate the importance of the fact represented by the word. Likewise, if a relationship between two key elements repeats multiple times, this indicates the importance of the fact or context represented by the relationship. Hence “frequency of the keywords” or “frequency of a relationship” or a combination of the same could play one of the most important roles in deciding whether a specific fact need to be considered as a prominent fact or not. For example this is particularly useful when organizations are reviewing large number of customer complaints or analyzing feedback forms to easily spot trends in user feedback and identify the high priority ones impacting most of the customer base. As discussed for the key element frequency, the relationship frequency also can be modified through mathematical operations through using one or more factors determined based on the context where the method is applied. For example, for a specific relationship like “bad habits” and “cancer” in a single artifact may carry a pre-defined factor of 1 whereas the relationship like “smoking” and “mouth cancer” may assigned a factor of 2 depending on the context the user wants to analyze the artifacts. After the key elements, relationships and their respective frequencies have been determined, at step 150, a data network may be created showing the one or more key elements and the relationship among the one or more key elements. At step 160, the user may modify the data network based on one or more attributes of the data network such as the extracted key elements, the identified relationships, the determined frequency of the key elements, the frequency of the relationships or the different classes associated to the key elements. The modification of data network based on above noted factors may help the user to analyze the data from different aspects. In one embodiment, user may be enabled to modify one or more coordinates of the one or more key elements in the data network. Further, in another embodiment, user may select one or more artifacts from plurality of artifacts. FIGS. 2A-C illustrates the exemplary embodiment for analyzing data in one or more artifacts. FIG. 2A shows artifacts D201, D202, D203, and D204 and the key elements w1, w2, p1, p2, w3, w4, p3, p4, p5, p6 extracted from the artifacts. The key elements may be extracted using any techniques known in the prior art. As appreciated by the person skilled in the art, it is possible to automatically extract key elements which may represent the basic characteristic of an artifact. For example, key elements w1, w2, p1, p2 are extracted from artifact D201, key elements w3, w2, p2, p3 are extracted from artifact D202 and so on. To determine the frequency of the key element, in one embodiment, the occurrence of the key element in all the documents can be counted to determine the frequency of the key element for example; w1 has occurred once each in artifact D201 and D203. Therefore, the frequency of key element w1 is the number of times w1 is repeated in artifacts and hence a frequency of 2. In another embodiment, the frequency of the key element may be determined by counting the occurrence of a key element along with the times the user has emphasized the key element may be by liking/highlighting the key elements or in any other way. FIG. 2B illustrates artifacts D201, D202, D203, and D204 and the relationships R1, R2, R3, R4, R5, R6, R24, R25, R26 and their frequency. The other relationships and their frequencies related with D202 and D203 are not shown in FIG. 2B. After the key elements from each artifact have been extracted, the relationship between the key elements is identified. The relationship may be based on the occurrence of the key elements in single artifact or may also be based on the proximity of the key elements. In one embodiment, if the relationship is based on the occurrence of the key elements in single document then if w1 and w2 has occurred in artifact D201, then relationship between them is R1 otherwise, if the key elements w1 has occurred in D201 and w2 in D202, then there is no relation between them within the context of D201 and D202. In another embodiment, if the relationship is based on the proximity of the key elements such as the key elements should be in same paragraph of an artifact, then the relation between w1 and w2 is counted only if both w1 and w2 are in the same paragraph of an artifact. The relationship frequency may be determined based on the number of occurrence of the relation in a single artifact. As shown in FIG. 2B, frequency of R1 is 1 as the w1 and w2 have occurred together only once in D201. FIG. 2C illustrates the summarization of relationship information. After the relationship of different key elements and their respective frequencies have been determined, the frequencies of the relationship may be added. For example, if R1 is a relation between w1 and w2 and the relation R1 has occurred in artifact D201 and D203 then the total frequency of the relation R1 is 2. The frequency of a relationship depicts the importance of the key elements used together. For example if a car name has been used very frequently with an oil company in multiple artifacts, this gives an inference that the car company might be using the oil from that oil company. FIG. 3 shows an exemplary data network created after analyzing data from one or more artifacts. The data network shows the key elements w1, w2, w3, w4, p1, p2, p3, p4, p5, p6 and the relations between them. For example w3 has direct relation with w2, p2 and p3 and has no direct relation with w1 or p1, and no direct or indirect relation with w4, p5 or p6. This may indicate that w3 has been mentioned with w2, p2 and p3 in one or more than one artifacts. This data network gives a lot of information relating to the data but does not indicate the importance of the key elements and the relations of the key elements. Accordingly, the data network may be modified based on the key elements, relationships and their frequencies thereby, enabling the user to efficiently extract knowledge from the information provided by the data network. FIGS. 4A-B illustrates an exemplary embodiment of modified data network. The modification of the data network includes, but not limited to, deletion, addition, altering the key elements and/or relationships. The data network may be modified based on the key elements, relationships, frequency of the key elements, frequency of the relationship and/or the class the key element is related to. In one embodiment, as shown in FIG. 4A, the data network has been modified based on the frequency of the key element (frequency of each key element is shown in FIG. 4A adjacent to the key element). FIG. 4A shows the data network with key elements having frequency more than 1 as per the frequency of the key elements shown in a table of FIG. 2A. For example, key element w2 has a frequency of 3. This enables the user to ignore the key elements of lesser importance. In another embodiment, the data network has been modified based on the frequency of the relationship between the key elements. FIG. 4B shows the data network with key elements and their relations where the frequency of the relationship is more than 1 as per the frequency of the relationships shown in a table of FIG. 2C. In FIG. 4B, the relationship count has been shown between the key elements, for example, the relationship count between w2 and p2 is 3. Therefore, if w3 and p2 has been occurred in the artifacts only once, then the network will not show the relation between w3 and p2 as frequency of their relation is 1. This further simplifies the information presented and enables the user to extract knowledge out of this information efficiently. Furthermore, if a user selects a key element, user can be provided with all the artifacts related with the key element. Moreover, in case the user selects a relationship in the data network, all the artifacts related with the relationship can be provided. FIGS. 5A-5E illustrate exemplary embodiments for classifying key elements. The user can associate the key elements into different level of classes. As illustrated in FIG. 4C, key elements car1, car2 and bicycle1 have been classified for three levels of classes. Like car1 has been classified in level 1 as SUV, level 2 as Four Wheeler and level 3 as Automobiles. Likewise, car2 and bicycle have been classified. As appreciated by a person skilled in the art, the automatic or semiautomatic classification of key elements can be performed using processes known in the prior art. Accordingly, the data network can be further modified based on the different level of classes of the key elements. For the purpose of illustration and not limitation, reference is made to the representative embodiment of the modified data network shown in FIG. 5B-5D. As illustrated in FIG. 5B, the user can analyze the key elements car1, car2 and bicycle1 where the frequencies of the key elements are 10, 20 and 5 respectively. Also shown in FIG. 5B are the relationship frequencies between the key elements as 8 for car1- car 2, 3 for car2- bicycle1 and 2 for bicycle2 and car1. This data network along with key element frequency and relationship frequency helps understand the facts, relationship between facts and their relative priority at the individual product level. FIG. 5C refers to a modified data network based on the level 1 classification of key elements as shown in FIG. 5A. Car1 comes under the class of SUV. It will be appreciated that the artifacts selected by the user for analysis may refer to other vehicles which can be classified as SUV. Hence, the frequency of SUV as shown in FIG. 5C is 14 (more than the frequency of car 1). Similarly, the frequencies of Hatchback and bike are 25 and 10 respectively. This indicates that if the analysis is required to be performed at higher level, the data network can be modified based on the different level of the class associated to the key element extracted at different levels. Based on this data analysis, reports can also be generated. As shown in FIG. 5E, the graph indicates the frequency of the SUV, Hatchback and bike. Similarly, the reports or graphs can be provided at different classification level. FIG. 5D shows the data network at level 2 classification (i.e. 4 wheelers and 2 wheelers) of the key elements (car1, car2 and bicycle1). In one simple illustration, at any level, the frequency of the key element can be the sum of the frequency of the nodes under the same and the frequency of the relationship can be the sum of the frequency of the relationships. For example when the network diagram is viewed at level 2, SUV with frequency 14 and Hatchback with frequency 25 rolls up to the level 2 key element ‘4 wheeler’ and hence the frequency of ‘4 wheeler’ can be 39. For the purpose of illustration, the frequency of Four Wheeler has been shown as 39* factor. “*” can be replaced with any mathematical operator and “factor” may be any pre-assigned number assigned to a key element/class. The factor may be determined by various parameters including but not limited to the pre-assigned factor associated with a specific key element/class. The frequency of relationship among the two classes has been shown as 20. This may indicate that the two Wheeler and Four Wheeler have been associated together 20 times in various artifacts through the key elements rolling up to these. Additionally, a factor can be applied to the frequency of the relationship too. Modifying data network at different level of classes helps the user to have an over view of the broad as well as narrow topics discussed in different artifacts. Exemplary Computing Environment One or more of the above-described techniques may be implemented in or involve one or more computer systems. FIG. 6 illustrates a generalized example of a computing environment 600. The computing environment 600 is not intended to suggest any limitation as to scope of use or functionality of described embodiments. With reference to FIG. 6, the computing environment 600 includes at least one processing unit 610 and memory 620. In FIG. 6, this most basic configuration 630 is included within a dashed line. The processing unit 610 executes computer-executable instructions and may be a real or a virtual processor. In a multi-processing system, multiple processing units execute computer-executable instructions to increase processing power. The memory 620 may be volatile memory (e.g., registers, cache, RAM), non-volatile memory (e.g., ROM, EEPROM, flash memory, etc.), or some combination of the two. In some embodiments, the memory 620 stores software 680 implementing described techniques. A computing environment may have additional features. For example, the computing environment 600 includes storage 640, one or more input devices 650, one or more output devices 660, and one or more communication connections 670. An interconnection mechanism (not shown) such as a bus, controller, or network interconnects the components of the computing environment 600. Typically, operating system software (not shown) provides an operating environment for other software executing in the computing environment 600, and coordinates activities of the components of the computing environment 600. The storage 640 may be removable or non-removable, and includes magnetic disks, magnetic tapes or cassettes, CD-ROMs, CD-RWs, DVDs, or any other medium which may be used to store information and which may be accessed within the computing environment 600. In some embodiments, the storage 640 stores instructions for the software 1080. The input device(s) 650 may be a touch input device such as a keyboard, mouse, pen, trackball, touch screen, or game controller, a voice input device, a scanning device, a digital camera, or another device that provides input to the computing environment 600. The output device(s) 660 may be a display, printer, speaker, or another device that provides output from the computing environment 600. The communication connection(s) 670 enable communication over a communication medium to another computing entity. The communication medium conveys information such as computer-executable instructions, audio or video information, or other data in a modulated data signal. A modulated data signal is a signal that has one or more of its characteristics set or changed in such a manner as to encode information in the signal. By way of example, and not limitation, communication media include wired or wireless techniques implemented with an electrical, optical, RF, infrared, acoustic, or other carrier. Implementations may be described in the general context of computer-readable media. Computer-readable media are any available media that may be accessed within a computing environment. By way of example, and not limitation, within the computing environment 600, computer-readable media include memory 620, storage 640, communication media, and combinations of any of the above. Having described and illustrated the principles of our invention with reference to described embodiments, it will be recognized that the described embodiments may be modified in arrangement and detail without departing from such principles. It should be understood that the programs, processes, or methods described herein are not related or limited to any particular type of computing environment, unless indicated otherwise. Various types of general purpose or specialized computing environments may be used with or perform operations in accordance with the teachings described herein. Elements of the described embodiments shown in software may be implemented in hardware and vice versa. In view of the many possible embodiments to which the principles of our invention may be applied, we claim as our invention all such embodiments as may come within the scope and spirit of the following claims and equivalents thereto. What is claimed is: 1. A computer implemented method executed by one or more computing devices for analyzing data in one or more artifacts and creating a modifiable data network, the method comprising: extracting one or more key elements from the one or more artifacts; identifying a relationship among the one or more key elements for each of the one or more artifacts; determining a first frequency of each of the one or more key elements; determining a second frequency for each relationship among the one or more key elements; creating a data network showing the one or more key elements and the relationship among the one or more key elements; and enabling a user to modify the data network based on one or more of: the one or more key elements; the relationship among the one or more key elements; the first frequency; and the second frequency. 2. The method of claim 1, further comprising enabling the user to modify one or more coordinates of the one or more key elements in the data network. 3. The method of claim 1, further comprising selecting the one or more artifacts from a plurality of artifacts. 4. The method of claim 1, further comprising enabling the user to classify the one or more key elements in one or more classes. 5. The method of claim 4, wherein the modification of the data network is based on the one or more classes. 6. A system for analyzing data in one or more artifacts, the system comprising: one or more processors operatively coupled to a memory, the processor configured to perform the steps of: extracting one or more key elements from the one or more artifacts; identifying a relationship among the one or more key elements for each of the one or more artifacts; determining a first frequency of each of the one or more key elements; determining a second frequency for each relationship among the one or more key elements; creating a data network showing the one or more key elements and the relationship among the one or more key elements; and enabling a user to modify the data network based on one or more of: the one or more key elements; the relationship among the one or more key elements; the first frequency; and the second frequency; and the memory configured to: store the one or more key elements, the relationship among the one or more key elements, the first frequency and the second frequency. 7. The system of claim 6, wherein the processor is further configured to perform the steps of: enabling the user to modify one or more coordinates of the one or more key elements in the data network 8. The system of claim 6, wherein the processor is further configured to perform the steps of: selecting the one or more artifacts from a plurality of artifacts. 9. The system of claim 6, wherein the processor is further configured to perform the steps of: enabling the user to classify the one or more key elements in one or more classes. 10. The system of claim 9, wherein the modification of the data network is based on the one or more classes. 11. A computer program product comprising a computer usable medium having a computer readable program code embodied therein for analyzing data in one or more artifacts and creating a modifiable data network, the product comprising: program code adapted for extracting one or more key elements from the one or more artifacts; program code adapted for identifying a relationship among the one or more key elements for each of the one or more artifacts; program code adapted for determining a first frequency of each of the one or more key elements; program code adapted for determining a second frequency for each relationship among the one or more key elements; program code adapted for creating a data network showing the one or more key elements and the relationship among the one or more key elements; and program code adapted for enabling a user to modify the data network based on one or more of: the one or more key elements; the relationship among the one or more key elements; the first frequency; and the second frequency. 12. The product of claim 11, further comprises program code adapted for enabling the user to modify one or more coordinates of the one or more key elements in the data network. 13. The product of claim 11, further comprises program code adapted for selecting the one or more artifacts from a plurality of artifacts. 14. The product of claim 11, further comprises program code adapted for enabling the user to classify the one or more key elements in one or more classes. 15. The product of claim 14, wherein the modification of the data network is based on the one or more classes.
#broccoli-es6modules [![Dependency Status](https://david-dm.org/ember-cli/broccoli-es6modules.svg)](https://david-dm.org/ember-cli/broccoli-es6modules) [![devDependency Status](https://david-dm.org/ember-cli/broccoli-es6modules/dev-status.svg)](https://david-dm.org/ember-cli/broccoli-es6modules#info=devDependencies) ES6Modules is a broccoli filter that transpiles source code in a project from ES6 modules to ES5 modules in AMD, CJS, or UMD styles. ES6Modules has two modes of transpilation: 1-to-1 (per-file) and n-to-1 (bundled); ## 1-to-1 transpiles 1-to-1 mode transpiles every file in a tree from ES6 to the format specified as the `format` option. For example, if you have the following directory: ```shell src/ ├── lib │   ├── promise.js │   ├── rsvp.js │   └── utils.js └── main.js ``` And convert the files using ES6Modules: ```javascript var tree = './src'; var ES6Modules = require('broccoli-es6modules'); var amdFiles = new ES6Modules(tree, { format: 'amd' }); ``` You will have the following tree in your compiled output ```shell ├── lib │   ├── promise.js │   ├── rsvp.js │   └── utils.js └── main.js ``` And each file's contents will be converted from ES6 module syntax to AMD style. ## n-to-1 bundled transpiles n-to-1 mode begins transpiling at a single entry point and walks the dependency graph starting with the imported statements in the entry point. This will result in a single, bundled file for your library containing any files referenced by `import` statements. Enable this mode by supplying a `bundleOptions` option with (at least) a `name` for your resulting file and a file to be the `entry` point: For example, if you have the following directory: ```shell src/ ├── lib │   ├── promise.js │   ├── rsvp.js │   └── utils.js └── main.js ``` And convert these files using ES6Modules: ```javascript var tree = './src'; var ES6Modules = require('broccoli-es6modules'); var amdFiles = new ES6Modules(tree, { format: 'amd', bundleOptions: { entry: 'main.js', name: 'myLib' } }); ``` You will have the following tree in your compiled output ```shell └── myLib.js ``` The contents of that file will be any code imported from `main.js`'s import process. ## Options ### format The ES5 module format to convert to. Available options are: * ['amd'](http://requirejs.org/docs/whyamd.html#amd) * ['namedAmd'](http://requirejs.org/docs/whyamd.html#namedmodules) * ['cjs'](http://requirejs.org/docs/whyamd.html#commonjs) * ['umd'](https://github.com/umdjs/umd) In `namedAmd` the file path (with '.js' removed) of the file relative to the tree root is used as the module's name. So, if you have the following tree: ``` ├── inner │   └── first.js └── outer.js ``` You will have the following module names passed to AMD's `define` call: 'bundle', 'inner/first', and 'outer'. Because this strategy combined with UMD would result in _many_ properties being set on the `window` object in the browser, `umd` format will throw an error if used without also providing `bundleOptions`. ### formatModuleName An optional function for `namedAmd` module format to customize the module name passed to esperanto. For example if you have `foo/bar.js`: ``` export default function() { } ``` and a `formatModuleName` option of: ``` formatModuleName: function(moduleName) { return moduleName.replace('foo/', ''); } ``` Esperanto will give you: ``` define('bar', ['exports'], function(exports) { 'use strict'; exports['default'] = function() { } }); ``` ### esperantoOptions ES6Modules wraps the [esperanto](http://esperantojs.org/) library. All [options described for esperanto](https://github.com/esperantojs/esperanto/wiki/Converting-a-single-module#options) can be provided here. All defaults are identical to those used by esperanto. Because the ES6Modules uses each file's name as its module name, the esperanto `amdName` and `sourceMapSource` options are ignored. ### bundleOptions ES6Modules wraps the [esperanto](http://esperantojs.org/) library. All [options described for esperanto bundling](https://github.com/esperantojs/esperanto/wiki/Bundling-multiple-ES6-modules#other-formats-and-options) can be provided here. All defaults are identical to those used by esperanto. The value you provide for `esperantoOptions` will be passed to result of bundling, resulting in a single output file.
well the merits of their arguments, manifesting a con¬ sciousness of the deficiency of their own system, and a desire to arrive at the truth. This desire is inherent in the mind of man, and the nearer he approaches to the simpli¬ city of nature, the more strongly is it felt, and the more susceptible is he of its instantaneous impression. It is when the mind is carried away by the pride of reasoning, and the sophistry of argument, that it is found to oppose itself to the dictates of truth; which being capable of illustration by a natural and concise process, requires no embellishment to set it off. Thus we find the French met with no difficulties, but those which resulted from their own violent and imprudent conduct, which, however, were sufficient to counteract their designs. Immediately after Flacourt returned to France, he made a strong appeal to the then French minister, Fouquet, upon the subject of the colony, and particularly relative to religion: and we are led to suppose that his represen¬ tations were not without effect. Although we have not met with any account of the precise nature of his second voyage, or of the persons whom he took out with him, it is reasonable to conclude that he obtained the supplies that he requested, and amongst the rest a number of ecclesiastics. Indeed, in the dedication of his work, which was in all probability written after he had received his letters patent, he expressly alludes to such a provision. But his in¬ tentions, and those of the good priests who accompanied him, were frustrated by the wreck of the vessel, and the destruction of every soul on board, as we have before stated. Chamargou was the next governor, and after his arrival some considerable exertions were made by the French to establish the “ true faith” once more on the island ; but, like the former attempts, they were ill-conducted and did not succeed; nor would any system have succeeded, whose advocates had arrogated to themselves a dominion
354 CLIMATE AFFECTING AGRICULTURE The difficulty of raising produce is unquestionably the cause of its high price, which is not likely to be lowered by other means than importing supplies. The French Canadians, having few wants and strong local attachments, labour cheaply rather than remove to a distance, which, joined to the influx of destitute emigrants from Britain and Ireland, renders wages lower perhaps than in any other part of the American continent. High prices and cheap labour are favourable to investing capital in cultivation ; and a skilful and prudent farmer cannot fail of being successful in the immediate neigh bourhood of Montreal. Here he will feel the change from Britain less than in any other part of America, with exception of climate, and even the length and severity of winter are said to be agreeable. A considerable extent of capital is required to purchase land in a good situation, which costs from L.10 to L.20 per acre. Land is also occasionally to be had on lease ; and when a sufficient number of years, and proper terms are obtained, an outlay of capital in improving the soil would be profitable. If soil is the workshop of the farmer, and animals and plants his machinery, the climate of Lower Canada is an impedi ment, seriously affecting plants, animals, and man, which can not be removed. With six months of winter, the machinery of the farm is suspended half the year. Few plants can be properly matured, and -crops, in- all seasons, are liable to be injured by frost. Animals require a large supply of dry fodder, as succulent food cannot be provided, and the intense cold reduces them in condition. Man is so long prevented by winter from labouring the soil, and so hurried by the short ness of spring and autumn, that he has not time to prepare it suitably for the reception of crops. To these causes may be ascribed the leanness of animals, the high price of produce, the poverty of the people, and the cheapness of labour. The climate is too cold for the cultivation of Indian corn, which only occasionally comes to maturity in the most fa voured spots. Autumnal sown wheat is similarly situated, and the wheat of the country sown in spring is of the most inferior quality. Fahrenheit s thermometer having stood twelve degrees below the freezing point at Albany, in the
/* * Copyright 2018 TU Dortmund * * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at * * http://www.apache.org/licenses/LICENSE-2.0 * * Unless required by applicable law or agreed to in writing, software * distributed under the License is distributed on an "AS IS" BASIS, * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. * See the License for the specific language governing permissions and * limitations under the License. */ /** * The directive that is used to handle the modal dialog for creating an action. Must be used as an attribute for * the attached element. It attaches a click event to the element that opens the modal dialog. Does NOT saves the * action on the server. * * Can be used like this: '<button action-create-modal-handle>Click Me!</button>'. * * @param $uibModal - The modal service. * @returns {{restrict: string, scope: {}, link: Function}} */ // @ngInject export function actionCreateModalHandleDirective($uibModal) { return { restrict: 'A', scope: { onCreated: '&' }, link(scope, el) { el.on('click', () => { $uibModal.open({ component: 'actionCreateModal', size: 'lg', resolve: { modalData: () => ({ onCreated: scope.onCreated }) } }); }); } }; }
<?php declare(strict_types = 1); /** * This file is part of the Dogma library (https://github.com/paranoiq/dogma) * * Copyright (c) 2012 Vlasta Neubauer (@paranoiq) * * For the full copyright and license information read the file 'license.md', distributed with this source code */ namespace Dogma\Time; use Throwable; class InvalidOrDeprecatedTimeZoneException extends TimeException { public function __construct(string $name, ?Throwable $previous = null) { static $url = 'https://secure.php.net/manual/en/timezones.others.php'; parent::__construct( "Time zone name '$name' is not valid or is deprecated. See $url for deprecated time zones info.", $previous ); } }
Regex for finding groups in One FishTwo FishRed FishBlue Fish I'm trying to gather the groups of words in a string. The string might be names, but using this familiar text as my example: One FishTwo FishRed FishBlue Fish the goal is to find groups of capitalized phrases: (One Fish) (Two Fish) (Red Fish) (Blue Fish), where each group is in parentheses. (\w+\s[A-Z]\w+) this will find this group: (One FishTwo) FishRed FishBlue Fish How do I capture each set of capitalized words? Can you limit the groups by something else? According to your example, I see many more groups than just what you highlighted. In the second part, you must use [A-Z][a-z]+, since \w+ matches both upper and lowercase letters. [A-Z]\w+\s+[A-Z][a-z]+ DEMO that's the one! thanks. I was using RegExr to test. (\w+\s\w+(?=[A-Z])) was not capturing all the groups in the string properly. So If I wanted to capture a three word group like "One Fish Red" from "One Fish RedTwo Fish Blue" this would be be ([A-Z]\w+\s+[A-Z]\w+\s+[A-Z][a-z]) But what if my string contains both groupings? "One Fish RedBlue Fish" so that it can find "One Fish Red" and "Blue Fish" tried ([A-Z]\w+\s+[A-Z]\w+\s+[A-Z][a-z])|([A-Z]\w+\s+[A-Z][a-z]*) or this pattern ((?:[A-Z][a-z]+\s?){2}) Demo
GENERAL PRINCIPLES. length (fig. 11) ; the buds are long, narrow, and promi nent, and the first year or two after their appearance, produce but rosettes of leaves, yielding fruit generally about the third year. On trees well furnished with fruit-spurs, these slender branches are of little account, but they are useful on young trees itot fully in a bearing state. They are generally pro duced on the lower or older parts of the branches or stem, and, in the first place, are slender shoots with wood-buds only ; but owing to their unfavorable position and feeble structure, they receive only a small portion of the ascending sap, and the consequence is, they become stunted, and transformed into fruit-branches. In pruning young trees, slender shoots are frequently bent over, or fastened in a crooked position to transform them into fruit-branches of this kind ; but this will be treated of in its proper place. Certain varieties of apples have a natural habit of bearing the fruit on the points of the lateral shoots ; and frequently these terminal fruit-buds are formed during the first season's growth of the shoot. Fig. 12 is an example ; A, is the point where a fruit was borne last season ; It, a shoot of last season ; and (7, its terminal bud, which is a fruit-bud. The fruit-branches of the peach, apricot, and nectarine, are productions of one season's growth ; the fruit-buds form one season and blossom the next ; but as
Firearm w. BR'A'UNING. FIREARM. I APPLICATION nu zn'nm ao, 1921 I 131 579 Patented Dec. 27, 1921. v 2 SHEETS-SHEET I- Mme s Iii/M- W. BRAUNING. FIREARM. APPLICATION FILED APR. 30. 1921. Patented Dec. 27, 1921. J INv NTQ I WJBravm'ng v 2 SHEETS-SHEET 2- WILHELM BRAUNING, OF BERLIN,GERMANY, ASSIGNOR TO DEUTSCHE WERKE AKTIENGESELLSCHAFT, 01'? BERLIN,GERMANY, A CORPORATION OF GERMANY. FIREARM. To all whom it may concern: Be it known that I, VVILHELM BRAUNING, a citizen of the German Republic,residing at Berlin, Germany, have invented new and useful Improvements in Firearms, (for which I have filed application in Germany Sept. 28,1919,) of which the following is a specification. This invention relates to the Braun ing fire-arm and is of that type inwhich the breech-lock turns upward and carries the firing-lock withrearwardly projecting hammer or striker. The invention as compared with this existing type of fire-arm, consists in that on the countersunk or set-back breech and lock-carrying bar there is secured an angle-piece which with its horizontal end extends to the breech-locking frame and'has a furcated upright portion to serve as a guide for the striker.This fur cation consists in a bore for the passage of-the rear thick portion of the striker and of an upwardly extending slot to suit the adjacent thinner portion of the striker; said slot ending rearwardly inan enlarged recess forming a rest for the retention of the striker inits safety position. As a further improvement in accordance with this invention, the extractor is slidably mounted in the set-back of thebreech-bar and is kept, from dislodging, by the superposedbarrel-breech. An embodiment of the invention is shown in the accompanying drawings, inwhich: Figure 1 is a side view of the breech part with longitudinal section ofthe stock and showing the striker cooked and in safety position. Fig. 2 is a corresponding plan. Fig. 3 is a side view with the stock removed and the arm in its after-firing position. Fig. 4 is a side view like Fig. 3 but showin the open breech. igs. 5 to 7 are perspective views of details hereinafter described. As clearly shown in the Figs. 1 and 2, the breech-lock 7c is made in theform of a frame of flat bar-iron in which is fixed the breech-block 71and the therethrough projecting striker b with its spring 7 The frame I:is hinged or pivoted to the breech-end h v of the barrel Z, saidbreech-end being firmly fixed to the breech-support ing bar s. The barrel-breech h is made of sharp-angled metal. The bar 8, made of flat metal, car- 7 Specification of Letters Patent. Patent d D 27 1921Application filed April 30, 1921. Serial No. 465,920. ries a fixed angle-piece 'w of flat metal hav ing an aperture to for guiding the rear thick portion 6 of the striker b. For cocking the striker the head Z2 of same is pulled to the rear, when the percussion pin at the front end will be retained in cocked position by the usual catch u of the detent a, see Fig. 3. The catch u is made by slitting the end of the detent u and then turning up the middle portion. The projecting fork like portions 14 are shaped to form bearings forcradling the lateral lugs 2 of the trigger a. The trigger is provided with a tip a which bears against the detent and supports same in its idle position as in Figs. 1 and 4. The trigger a is entirely made of fiat metal in a stamping and bending or shaping operation. The aperture to of the angle-piece w communicates upward with an open slot of a width corresponding to the striker-portion forward of 6 thereof, so that incockmg the striker its thinner portion passes up the slot and allows thebreech to be opened, see Fig. 4. Above the aperture 'w at the rear ofthe slot is formed a recess 10 into which the part b of the striker engages aftercocking. The fire-arm is then cooked in safety position,see Figs. 1 and 2. By extending the horizontal forward end of the angle-piece w to contact with the breechframe 7:: the latter is locked from the rear, while upward turning of same is prevented by the engagement of the striker-portion b in the aperture 20 of the angle-piece w, see Fig. 3. The extractor :12 consists of the base plate m and the'extractor-claw the whole being made of flat metal by stamping and bending. The extractor is shifting ly carried in the set-back s of the bar 8 and is held above by the breech 71, of the barrel. Movement is imparted to the extractor, at opening and closing of the breech, in causing a suitably shaped forward end or nose of the breechframe to engage in side slots formed in the base plate m of the extractor. All locking parts together with the lock and the barrel are carried by the support ing bar 8 to which they are suitably fixed as and where required. I claim: 1. Breech-mechanism for fire-arms which consists in a barrel with a connected square shaped breech-piece, an upwardly swinging breechlockingdevice, a striker mounted slotted and recessed at the rear of the slot to accommodate the striker in its different operative positions, a catch for the striker, a trigger operatively connected with the catch, and an extractor for removing empty cartridges from the barrel. 3. Breech-mechanism for fire-arms consisting "in a barrel with a square-shaped breech-piece, an upwardly swinging breech- ].oeking frame hinged to the breech-piece, a striker mounted in said frame to projectendwise therefrom, an offset breech-support in frame, means for locking and releasing sald frame, a shiftable extractor bedded in the offset and covered by the breech of the barrel, projecting lugs on the extractor, a nose-piece connected with said frame for the engagement with-said lug sin operating said extractor, and means for retaining the striker at safety and for firing the arm. VVILHELM BRAUNING.
solids. Milk varies in composition with the individuality of the animal, period of lactation, care, exhaustive ness of milking, general condition as to health, and nature of the food consumed. Individuality, as breed characteristics, influences the composition of milk to a greater extent than the other factors enumerated. The extent to which some of these factors influence the composition of milk will be discussed in other chapters of this work. As an example of the composition of milk from different breeds, the following table taken from the New York Experiment Station, and representing one year's work, is given : — • While these figures do not necessarily hold true for all herds, or for individual animals of any breed, they show the average composition of the milk for an entire season from a number of representative animals of different breeds. In determining the
Fabrication of composite parts having both continuous and chopped fiber components ABSTRACT Systems and methods are provided for fabricating composite parts that include both chopped fiber and continuous fiber components. One embodiment is a method that includes laying up a laminate comprising at least one ply of continuous fiber-reinforced polymer onto a first surface of a mold, filling a cavity of the mold with chopped fibers and resin that contact the laminate, curing the chopped fibers and the laminate together into an integral composite part, and separating the integral composite part from the mold. FIELD The disclosure relates to the field of composite materials, and in particular, to fiber reinforced composite materials. BACKGROUND Chopped carbon fiber may be utilized in order to fabricate composite parts. For example, composite parts may be fabricated by acquiring a mass of chopped carbon fibers that are randomly oriented and of short length, impregnating those fibers with a liquid resin, and then hardening the resin to form a composite part. Chopped fiber provides benefits over other fiber-reinforced materials in that chopped fiber composite parts do not require a labor intensive layup process, and also utilize cheaper materials. However, chopped fiber composite parts remain undesirable in certain applications for a number of reasons. First, chopped fiber composite parts may exhibit less structural strength than desired with respect to forces applied from certain directions. Furthermore, chopped fiber composite parts may exhibit edges and surfaces that are more rough than desired. This roughness is caused by the tips of randomly oriented fibers protruding out of the surface and/or edges of the resulting composite part. These rough edges may be undesirable for aerospace applications because they increase drag, and may also provide a less than desired fit and/or function for the completed part. Furthermore, these rough edges may provide less than desirable electromagnetic properties, owing to the fact that carbon fiber operates as an electrical conductor. Therefore, it would be desirable to have a method and apparatus that take into account at least some of the issues discussed above, as well as other possible issues. SUMMARY Embodiments described herein provide for fiber-reinforced composite parts which are hybrids that comprise both chopped fiber and layers of continuous fiber that are oriented in parallel. One or more exterior surfaces of the composite part is formed by laying up one or more layers of continuous fiber, which are cured together with a mass of chopped fiber. Thus, the resulting composite part exhibits desired surface properties, even though a large amount of chopped fiber may be used. One embodiment is a method that includes laying up a laminate comprising at least one ply of continuous fiber-reinforced polymer onto a first surface of a mold, filling a cavity of the mold with chopped fibers and resin that contact the laminate, curing the chopped fibers and the laminate together into an integral composite part, and separating the integral composite part from the mold. A further embodiment is a non-transitory computer readable medium embodying programmed instructions which, when executed by a processor, are operable for performing a method. The method includes includes laying up a laminate comprising at least one ply of continuous fiber-reinforced polymer onto a first surface of a mold, filling a cavity of the mold with chopped fibers and resin that contact the laminate, curing the chopped fibers and the laminate together into an integral composite part, and separating the integral composite part from the mold. A further embodiment is a manufacture. The manufacture comprises a composite part that includes at least one planar layer of continuous fiber-reinforced polymer disposed at an exterior surface of the composite part, and a mass of chopped fibers that are randomly oriented with respect to each other along all three axes. The mass of chopped fibers is co-cured to the at least one planar layer of continuous fiber-reinforced polymer at a location that is internal to the composite part. Other illustrative embodiments (e.g., methods and computer-readable media relating to the foregoing embodiments) may be described below. The features, functions, and advantages that have been discussed can be achieved independently in various embodiments or may be combined in yet other embodiments further details of which can be seen with reference to the following description and drawings. DESCRIPTION OF THE DRAWINGS Some embodiments of the present disclosure are now described, by way of example only, and with reference to the accompanying drawings. The same reference number represents the same element or the same type of element on all drawings. FIG. 1 is a perspective view of a composite part that includes both continuous fibers and randomly oriented fibers in an illustrative embodiment. FIG. 2 is a perspective view of an open mold for forming a composite part that includes both continuous fibers and randomly oriented fibers in an illustrative embodiment. FIG. 3 is a perspective view of a closed mold for forming a composite part that includes both continuous fibers and randomly oriented fibers in an illustrative embodiment. FIG. 4 is a flowchart illustrating a method for fabricating a composite part that includes both continuous fibers and randomly oriented fibers in an illustrative embodiment. FIGS. 5-8 are cut-through side views illustrating fabrication of a composite part in an illustrative embodiment FIG. 9 is a perspective view of a composite part that includes continuous fiber reinforced polymer along multiple exterior surfaces in an exemplary embodiment. FIG. 10 is a block diagram of a mold that fabricates a composite part in an illustrative embodiment. FIG. 11 is a flow diagram of aircraft production and service methodology in an illustrative embodiment. FIG. 12 is a block diagram of an aircraft in an illustrative embodiment. DESCRIPTION The figures and the following description illustrate specific illustrative embodiments of the disclosure. It will thus be appreciated that those skilled in the art will be able to devise various arrangements that, although not explicitly described or shown herein, embody the principles of the disclosure and are included within the scope of the disclosure. Furthermore, any examples described herein are intended to aid in understanding the principles of the disclosure, and are to be construed as being without limitation to such specifically recited examples and conditions. As a result, the disclosure is not limited to the specific embodiments or examples described below, but by the claims and their equivalents. Composite parts, such as Carbon Fiber Reinforced Polymer (CFRP) parts, may be initially laid-up in multiple layers that together form a laminate. Individual fibers within each layer of the laminate are typically aligned parallel with each other, but different layers may exhibit different fiber orientations in order to increase the strength of the resulting composite along different in-plane dimensions. The laminate may include a liquid resin that solidifies at increased temperature in order to harden the laminate into a composite part (e.g., for use in an aircraft). Carbon fiber that has been impregnated with a thermoset resin or a thermoplastic resin (wherein the viscosity changes with temperature) is referred to as “prepreg.” Other types of carbon fiber include “dry fiber” which has not been impregnated with thermoset resin but may include a tackifier or binder. Dry fiber may be infused with resin prior to curing. For thermoset resins, the hardening is a one-way process referred to as curing, while for thermoplastic resins, the resin may return to liquid form if it is re-heated. FIG. 1 is a perspective view of a composite part 100 that includes both continuous fibers 122 and randomly oriented fibers 112 (e.g., carbon fibers) in an illustrative embodiment. Specifically, region 120 comprises one or more layers 126 (e.g., one to four layers) of fiber reinforced polymer. Layers 126 may also be referred to as plies. For example, region 120 may be formed by one or more layers of Carbon Fiber Reinforced Polymer (CFRP) tape/tows. Each layer 126 includes continuous fibers 122 that are parallel with respect to other fibers in the layer, as well as a hardened polymer of the resin 124. These continuous fibers 122 may extend for feet or even tens of feet within region 120. Region 120 defines an exterior surface 130 of composite part 100, and hence exterior surface 130 exhibits surface qualities such as smoothness associated with laid-up laminates. Because exterior surface 130 is smooth, exterior surface 130 may be particularly suitable for use as an aerodynamic surface. This is true even though composite part 100 includes randomly oriented fibers (e.g., fibers that are randomly oriented along all three axes) as discussed below. Region 110 is also depicted in FIG. 1. Region 110 is chemically bonded to region 120, and includes randomly oriented fibers 112 (e.g., carbon fibers), as well as resin 114. Randomly oriented fibers 112 are randomly oriented, such that each randomly oriented fiber 112 (or small groups of fibers) may have a random position and/or orientation (along all three axes 152, 154, and 156) with respect to other randomly oriented fibers 112 within region 110. For example, randomly oriented fibers 112 may comprise small chips (e.g., less than a centimeter long) that are randomly oriented, wherein each chip comprises a small group of fibers oriented in parallel with each other. This configuration allows unusable scrap material left over from making carbon parts to be shredded into chips and then reused as randomly oriented fibers. Similar scrap material may be derived from cutting, chopping and/or fracturing composite tape material. Randomly oriented fibers 112 may comprise chopped fibers that are each, for example, shorter than six centimeters (roughly three inches in length). In embodiments where resin 124 and resin 114 are both thermoset resins, region 110 may be co-cured to region 120. In embodiments where resin 124 and resin 114 are both thermoplastic resins, resin 124 and resin 114 may both be cooled from a molten state concurrently, resulting in a single integral body of hardened polymer resin, randomly oriented fibers 112, and continuous fibers 122. Exterior surface 132 and exterior surface 134 are defined by region 110, and thus may include a roughness and electrical conductivity associated with chopped fiber composite parts. Composite part 100 provides a substantial benefit over prior composite parts, because it utilizes a thin boundary of continuous fiber reinforced polymer. For example the region 120 may be less than fifty thousandths of an inch thick (e.g., between ten and thirty thousandths of an inch). This means that the process of laying up region 120 is exponentially faster than laying up all of composite part 100. At the same time, one or more exterior surfaces of composite part 100 exhibit desirable surface properties associated with composite parts that are laid-up with continuous fiber tape. Composite part 100 also exhibits additional benefits in that region 110 is anisotropic. Thus, even though the strength of region 110 may be less than that of region 120 with respect to certain types/directions of force, region 110 may actually be stronger than region 120 with respect to other types of forces. With composite part 100 fully described, FIGS. 2-3 proceed to illustrate a mold that may be used to fabricate composite part 100. FIG. 2 is a perspective view of a mold 200 that is open for forming a composite part that includes both continuous fibers and randomly oriented fibers in an illustrative embodiment. According to FIG. 2, mold 200 includes first portion 210, and second portion 220. Second portion 220 is partially hollow, and includes cut-outs 222. Similarly, first portion 210 is hollow, defines volume 216, and includes cut-outs 212. Surface 214 of first portion 210 receives a laminate comprising one or more layers of continuous fiber and resin. The laminate may be laid-up while mold 200 is open. Volume 216 receives a mass of chopped fibers and resin while mold 200 is closed. FIG. 3 is a perspective view of a closed mold for forming a composite part that includes both continuous fibers and randomly oriented fibers in an illustrative embodiment. As shown in FIG. 3, when cut-outs 222 and cut-outs 212 are united, they form ports 310 through which a mass of chopped fibers and resin may enter volume 216. Illustrative details of the operation of mold 200 will be discussed with regard to FIG. 4. Assume, for this embodiment, that mold 200 is presently open, and is empty awaiting un hardened materials for forming a composite part. FIG. 4 is a flowchart illustrating a method 400 for fabricating a composite part in an illustrative embodiment. The steps of method 400 are described with reference to mold 200 of FIG. 1, but those skilled in the art will appreciate that method 400 may be performed in other molds and fabrication systems as desired. The steps of the flowcharts described herein are not all inclusive and may include other steps not shown. The steps described herein may also be performed in an alternative order. In step 402, an Automated Fiber Placement (AFP) machine or other device lays up a laminate comprising at least one ply of continuous fiber reinforced polymer onto a surface 214 of a first portion 210 of a mold 200 (step 402). The laminate provides a smooth surface, and need not necessarily provide substantial structural strength. For example, as shown in FIG. 5 (which is a cut-through side view of mold 200 corresponding with view arrows 5 of FIG. 3), a controller 560 may issue commands according to a Numerical Control (NC) program stored in memory in order to direct an AFP machine 550 in laying up laminate 510 onto surface 214, and then may direct AFP machine 550 to move into a stand-by position when mold 200 is closed. Controller 560 may be implemented, for example, as custom circuitry, as a hardware processor executing programmed instructions, or some combination thereof. As shown in FIG. 5, the laminate 510 includes continuous fibers 512 as well as un hardened (e.g., “green”) resin 514. Mold 200 may be closed after layup of laminate 510 has been completed. That is, method 400 further comprises translating the second portion 220 of mold 200 to mate with the first portion 210 of mold 200, forming a cavity 590 between the first portion 210 of mold 200 and the second portion 220 of mold 200 that surrounds the laminate 510. Cavity 590 is internal to the mold 200 and that communicates with laminate 510 (step 404). Cavity 590 also communicates with port 310. For example, controller 560 may direct actuators 530 to close mold 200, forming cavity 590. Tubing 500 may be attached to port 310 in preparation for filling cavity 590 with a mass of chopped fibers. In step 406, controller 560 directs machinery (e.g., a pressurized reservoir or pump) to fill a cavity of the mold 200 with chopped fibers and resin. In this manner, a mass of chopped fibers is injected/inserted into the volume. The fibers are randomly oriented along all three axes (e.g., the fibers are non-planar with respect to each other). This process may comprise controller 560 directing pump 520 to pump a mass of fibers that has been impregnated with resin into cavity 590 via tubing 500. FIG. 6 illustrates that a mass 600 of randomly oriented fibers 612 impregnated with resin 614 within mold 200. In this embodiment, port 310 has been sealed with cap 650 to prevent fibers from escaping when composite part 100 is formed. The mass 600 of randomly oriented fibers 612 (i.e., chopped fibers) and the laminate 510 are cured together into an integral part (step 408). For example, if laminate 510 and mass 600 utilize a thermoset resin, then controller 560 may direct heater 540 to apply heat to mold 200 to a processing temperature at which the resin cures (as shown in FIG. 7), causing laminate 510 and mass 600 to co-cure. Alternatively, if laminate 510 and mass 600 utilize a thermoplastic resin, then controller 560 may direct heater 540 to apply heat that fully melts the resin, and then cool the mold 200 below a melting temperature of the resin. This forms the composite part 100. After composite part 100 has been formed, the composite part 100 is separated from the mold 200, and the second portion 220 is separated from the first portion 210 of the mold 200 (step 410). For example, controller 560 may direct actuators 530 to separate first portion 210 from second portion 220 as shown in FIG. 8. This releases the composite part 100 from mold 200, enabling the use of composite part 100 in any desired fabrication and/or assembly process. In further embodiments, laminate 510 and mass 600 do not include resin when they are placed into mold 200. Resin is then pumped into mold 200 and mold 200 is heated in order to form composite part 100. In still further embodiments, surfaces of mold 200 that are in communication with cavity 590 are treated with a release agent (not shown) in order to facilitate extraction of composite part 100 from mold 1000. Method 400 allows for the fabrication of composite parts that include both continuous and random fiber within an integral whole. Since the continuous fibers and randomly oriented fibers are cured or hardened together concurrently, interfacial strength between regions of continuous fiber and regions of randomly oriented fiber is increased. Additionally, less expensive randomly oriented fiber may still be utilized in parts that include aerodynamic surfaces. FIG. 9 is a perspective view of a composite part 900 that includes multiple exterior surfaces defined by continuous fiber reinforced polymer, and an inner region comprising randomly oriented fiber reinforced polymer. In this embodiment, exterior surface 912 is defined by region 910 of continuous fiber reinforced polymer, and exterior surface 932 is defined by region 920 of continuous reinforced polymer 930. In contrast, region 920 comprises randomly oriented fiber reinforced polymer. This effectively results in a randomly oriented fiber reinforced polymer core sandwiched between laminate face sheets. EXAMPLES In the following examples, additional processes, systems, and methods are described in the context of fabricating composite parts that include continuous fibers and randomly oriented fibers. FIG. 10 is a block diagram of a mold 1000 that fabricates a composite part in an illustrative embodiment. In this example, mold 1000 includes first portion 1010, which includes surface 1012. Surface 1012 receives a laminate 1030 of an uncured part 1020. Laminate 1030 includes multiple layers 1032 which each comprise continuous fibers 1034 as well as resin 1036. Uncured part 1020 also includes mass 1040 of randomly oriented fibers 1042 and resin 1046. Uncured part 1020 is located within cavity 1090. Mass 1040 is inserted via a port formed by cut-out 1014 of first portion 1010, and cut-out 1054 of second portion 1050. Second portion 1050 mates with first portion 1010 to enclose uncured part 1020. Mass 1040 contacts surface 1052 of second portion 1050. Referring more particularly to the drawings, embodiments of the disclosure may be described in the context of an aircraft manufacturing and service method 1100 as shown in FIG. 11 and an aircraft 1102 as shown in FIG. 12. During pre-production, illustrative method 1100 may include specification and design 1104 of the aircraft 1102 and material procurement 1106. During production, component and subassembly manufacturing 1108 and system integration 1110 of the aircraft 1102 takes place. Thereafter, the aircraft 1102 may go through certification and delivery 1112 in order to be placed in service 1114. While in service by a customer, the aircraft 1102 is scheduled for routine maintenance and service 1116 (which may also include modification, reconfiguration, refurbishment, and so on). Apparatus and methods embodied herein may be employed during any one or more suitable stages of the production and service method 1100 (e.g., specification and design 1104, material procurement 1106, component and subassembly manufacturing 1108, system integration 1110, certification and delivery 1112, service 1114, maintenance and service 1116) and/or any suitable component of aircraft 1102 (e.g., airframe 1118, systems 1120, interior 1122, propulsion 1124, electrical 1126, hydraulic 1128, environmental 1130). Each of the processes of method 1100 may be performed or carried out by a system integrator, a third party, and/or an operator (e.g., a customer). For the purposes of this description, a system integrator may include without limitation any number of aircraft manufacturers and major-system subcontractors; a third party may include without limitation any number of vendors, subcontractors, and suppliers; and an operator may be an airline, leasing company, military entity, service organization, and so on. As shown in FIG. 12, the aircraft 1102 produced by illustrative method 1100 may include an airframe 1118 with a plurality of systems 1120 and an interior 1122. Examples of high-level systems 1120 include one or more of a propulsion system 1124, an electrical system 1126, a hydraulic system 1128, and an environmental system 1130. Any number of other systems may be included. Although an aerospace example is shown, the principles of the invention may be applied to other industries, such as the automotive industry. As already mentioned above, apparatus and methods embodied herein may be employed during any one or more of the stages of the production and service method 1100. For example, components or subassemblies corresponding to production stage 1108 may be fabricated or manufactured in a manner similar to components or subassemblies produced while the aircraft 1102 is in service. Also, one or more apparatus embodiments, method embodiments, or a combination thereof may be utilized during the production stages 1108 and 1110, for example, by substantially expediting assembly of or reducing the cost of an aircraft 1102. Similarly, one or more of apparatus embodiments, method embodiments, or a combination thereof may be utilized while the aircraft 1102 is in service, for example and without limitation, to maintenance and service 1116. For example, the techniques and systems described herein may be used for steps 1106, 1108, 1110, 1114, and/or 1116, and/or may be used for airframe 1118 and/or interior 1122. These techniques and systems may even be utilized for systems 1120, including for example propulsion 1124, electrical 1126, hydraulic 1128, and/or environmental 1130. In one embodiment, a composite part 100 comprises a portion of airframe 1118, and is manufactured during component and subassembly manufacturing 1108. The composite part 100 may then be assembled into an aircraft in system integration 1110, and then be utilized in service 1114 until wear renders the composite part 100 unusable. Then, in maintenance and service 1116, the composite part 100 may be discarded and replaced with a newly manufactured part. Inventive components and methods may be utilized throughout component and subassembly manufacturing 1108 in order to manufacture new parts. Any of the various control elements (e.g., electrical or electronic components) shown in the figures or described herein may be implemented as hardware, a processor implementing software, a processor implementing firmware, or some combination of these. For example, an element may be implemented as dedicated hardware. Dedicated hardware elements may be referred to as “processors”, “controllers”, or some similar terminology. When provided by a processor, the functions may be provided by a single dedicated processor, by a single shared processor, or by a plurality of individual processors, some of which may be shared. Moreover, explicit use of the term “processor” or “controller” should not be construed to refer exclusively to hardware capable of executing software, and may implicitly include, without limitation, digital signal processor (DSP) hardware, a network processor, application specific integrated circuit (ASIC) or other circuitry, field programmable gate array (FPGA), read only memory (ROM) for storing software, random access memory (RAM), non-volatile storage, logic, or some other physical hardware component or module. Also, a control element may be implemented as instructions executable by a processor or a computer to perform the functions of the element. Some examples of instructions are software, program code, and firmware. The instructions are operational when executed by the processor to direct the processor to perform the functions of the element. The instructions may be stored on storage devices that are readable by the processor. Some examples of the storage devices are digital or solid-state memories, magnetic storage media such as a magnetic disks and magnetic tapes, hard drives, or optically readable digital data storage media. Although specific embodiments are described herein, the scope of the disclosure is not limited to those specific embodiments. The scope of the disclosure is defined by the following claims and any equivalents thereof. What is claimed is: 1. A method comprising: fabricating a laminate comprising at least one ply of continuous fiber-reinforced polymer onto a first surface of a mold; filling a cavity of the mold with chopped fibers and resin that contact the laminate; fabricating an additional laminate onto a second portion of the mold; hardening the rein, thereby combining the chopped fibers and the laminate and the additional laminate into an integral composite part; and separating the integral composite part from the mold. 2. The method of claim 1 wherein fabricating the laminate onto the first surface of the mold comprises creating the laminate onto the first surface. 3. The method of claim 1 wherein: the first surface is at a first portion of the mold, hardening the resin comprises heating the resin, the chopped fibers, and the laminate, and the method further comprises: forming the cavity by translating a second portion of the mold to mate with the first portion of the mold, the cavity existing between the first portion of the mold and the second portion of the mold and surrounding the laminate; and separating the second portion of the mold from the first portion of the mold after curing the mass of chopped fibers and the laminate together into the integral composite part. 4. The method of claim 3 wherein: translating the second portion of the mold comprises positioning a first cut-out at the first portion of the mold atop a second cut-out at the second portion of the mold, forming a port that is defined by the first cut-out and the second cut-out and that leads into the cavity. 5. The method of claim 1 wherein fabricating the laminate comprises fabricating a tow that has been pre-impregnated with resin. 6. The method of claim 1 further comprising: filling the cavity with the resin is performed separately from filling the cavity with the chopped fibers. 7. The method of claim 1 wherein: the resin is a thermoset resin, and hardening the resin comprises heating the thermoset resin to a curing temperature. 8. The method of claim 1 wherein: fabricating the laminate prevents tips of fibers from being exposed at the first surface. 9. The method of claim 1 wherein: the resin is a thermoplastic resin, and the method further comprises: cooling the integral composite part after heating, solidifying the thermoplastic resin at the laminate and the chopped fibers. 10. A non-transitory computer readable medium embodying programmed instructions which, when executed by a processor, are operable for performing a method comprising: fabricating a laminate comprising at least one ply of continuous fiber-reinforced polymer onto a first surface of a mold; filling a cavity of the mold with chopped fibers and resin that contact the laminate; fabricating an additional laminate onto a second portion of the mold; hardening the rein, thereby combining the chopped fibers and the laminate and the additional laminate into an integral composite part; and separating the integral composite part from the mold. 11. The medium of claim 10 wherein fabricating the laminate onto the first surface of the mold comprises creating the laminate onto the first surface. 12. The medium of claim 10 wherein: the first surface is at a first portion of the mold, hardening the resin comprises heating the resin, the chopped fibers, and the laminate, and the method further comprises: forming the cavity by translating a second portion of the mold to mate with the first portion of the mold, the cavity existing between the first portion of the mold and the second portion of the mold and surrounding the laminate; and separating the second portion of the mold from the first portion of the mold after curing the mass of chopped fibers and the laminate together into the integral composite part. 13. The medium of claim 12 wherein: translating the second portion of the mold comprises positioning a first cut-out at the first portion of the mold atop a second cut-out at the second portion of the mold, forming a port that is defined by the first cut-out and the second cut-out and that leads into the cavity. 14. The medium of claim 10 wherein: fabricating the laminate comprises laying up a tow that has been pre-impregnated with resin. 15. The medium of claim 10 further comprising: filling the cavity with the resin is performed separately from filling the cavity with the chopped fibers. 16. The medium of claim 10 wherein: the resin is a thermoset resin, and hardening the resin comprises heating the thermoset resin to a curing temperature. 17. The medium of claim 10 wherein: fabricating the laminate prevents tips of fibers from being exposed at the first surface. 18. The medium of claim 10 wherein: the resin is a thermoplastic resin, and the method further comprises: cooling the integral composite part after heating, solidifying the thermoplastic resin at the laminate and the chopped fibers. 19. A manufacture comprising: a composite part comprising: a first at least one planar layer of continuous fiber-reinforced polymer fabricated at an exterior surface of the composite part; a mass of chopped fibers that are randomly oriented with respect to each other along all three axes; and a second at least one planar layer of continuous fiber-reinforced polymer fabricated at another exterior surface of the composite part; the mass of chopped fibers is integrated with the first at least one planar layer of continuous fiber-reinforced polymer via hardened resin that binds the chopped fibers together, and is internal to the composite part. 20. The manufacture of claim 19 wherein: the first at least one layer of planar continuous fiber-reinforced polymer comprises between one and four layers. 21. The manufacture of claim 19 wherein: the composite part comprises Carbon Fiber Reinforced Polymer (CFRP). 22. The manufacture of claim 19 wherein: each fiber in the mass of chopped fibers is less than six centimeters long. 23. An aircraft comprising the manufacture of claim 19.
My calculation for Givens rotation in a quantum state I am following a tutorial in Given rotations. Suppose I have the quantum state $|100\rangle$ and would like to use two Givens $G$ with angle $\theta, \phi$ rotation to transform it into a superposition of $\alpha|100\rangle+\beta|010\rangle+\gamma|001\rangle$. I denote $\cos(\frac\cdot 2), \sin(\frac\cdot 2)$ as $c(\cdot), s(\cdot)$ Consider $G(1,4,\phi)$ which maps $|100\rangle$ to $|001\rangle$ $$G(1,4,\phi)=\begin{bmatrix} 1 & 0 & 0 & 0 & 0 & 0 & 0 & 0\\ 0 & c(\phi) & 0 & 0 & -s(\phi)& 0 & 0 & 0\\ 0 & 0 & 1 & 0 & 0 & 0 & 0 & 0\\ 0 & 0 & 0 & 1 & 0 & 0& 0 & 0\\ 0 & s(\phi)& 0 & 0 & c(\phi) & 0& 0 & 0\\ 0 & 0 & 0 & 0 & 0 & 1& 0 & 0\\ 0 & 0 & 0 & 0 & 0 & 0& 1 & 0\\ 0 & 0 & 0 & 0 & 0 & 0& 0 & 1 \end{bmatrix}$$ and $G(2,4,\theta)$ which maps $|100\rangle$ to $|010\rangle$ $$G(2,4,\theta)=\begin{bmatrix} 1 & 0 & 0 & 0 & 0 & 0 & 0 & 0\\ 0 & 1 & 0 & 0 & 0 & 0 & 0 & 0\\ 0 & 0 & c(\theta)& 0 & -s(\theta)& 0 & 0 & 0\\ 0 & 0 & 0 & 1 & 0 & 0& 0 & 0\\ 0 & 0 & s(\theta)& 0 & c(\theta)& 0& 0 &0\\ 0 & 0 & 0 & 0 & 0 & 1& 0 & 0\\ 0 & 0 & 0 & 0 & 0 & 0& 1 & 0\\ 0 & 0 & 0 & 0 & 0 & 0& 0 & 1 \end{bmatrix}$$ $G(2,4,\phi)G(1,2,\theta)$ is the mapping that I needs, $$G:=G(2,4,\phi)G(1,4,\theta)=\begin{bmatrix} 1&0&0&0&0&0&0&0\\ 0&c(\phi)&0&0&-s(\phi)&0&0&0\\ 0&-s(θ)s(\phi)&c(θ)&0&-cθs(\phi)&0&0&0\\ 0&0&0&1&0&0&0&0\\ 0&c(θ)s(\phi)&s(θ)&0&c(θ)c(\phi)&0&0&0\\ 0&0&0&0&0&1&0&0\\ 0&0&0&0&0&0&1&0\\ 0&0&0&0&0&0&0&1\end{bmatrix}$$ Now $G|100\rangle=-s(\phi)|001\rangle-s(\phi) c(\theta)|010\rangle +c(\theta) c(\phi) |100\rangle$. However the supposed solution is $c(\theta)s(\phi)|001\rangle - s(\theta)|010\rangle + c(\theta)c(\phi)|100\rangle$. I don't understand how the 1st and 2nd coefficient is that way First you set up $G(1,4,\phi)$ and $G(2,4,\theta)$, but then you use $G(2,4,\phi)$ and $G(1,2,\theta)$ – is that on purpose? Anyway, I suspect you'll get the desired answer if you swap the factors in the product.
Semiconductor device and method of fabricating the same ABSTRACT A method for fabricating a semiconductor device is provided. The method includes depositing a bottom electrode layer over a substrate; depositing a ferroelectric layer over the bottom electrode layer; depositing a first top electrode layer over the ferroelectric layer, wherein the first top electrode layer comprises a first metal; depositing a second top electrode layer over the first top electrode layer, wherein the second top electrode layer comprises a second metal, and a standard reduction potential of the first metal is greater than a standard reduction potential of the second metal; and removing portions of the second top electrode layer, the first top electrode layer, the ferroelectric layer, and the bottom electrode layer to form a memory stack, the memory stack comprising remaining portions of the second top electrode layer, the first top electrode layer, the ferroelectric layer, and the bottom electrode layer. BACKGROUND The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs and, for these advancements to be realized, similar developments in IC processing and manufacturing are needed. BRIEF DESCRIPTION OF THE DRAWINGS FIGS. 1 through 13 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. FIG. 14 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIG. 15 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIG. 16 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIG. 17 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIG. 18 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIGS. 19 through 21 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. FIG. 22 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIGS. 23 through 24 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. FIG. 25 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIG. 26 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIGS. 27 through 31 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. FIG. 32 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. FIG. 33 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. DETAILED DESCRIPTION Ferroelectric random access memory (Fe RAM) is a nonvolatile memory, in which data are stored using hysteretic P-E (polarization vs. electric field) characteristics in a ferroelectric film. For example, ferroelectric materials in the ferroelectric film are electrically polarizable materials that possess at least two polarization states, which polarization states may be switched by the application of an external electric field. Each polarization state of ferroelectric materials remains stable even after the removal of the applied electric field for at least some period of time. Due to this stability of polarization states, ferroelectric materials have been used for memory applications. One of the polarization states is considered to be a logic “1” and the other state a logic “0.” Ferroelectric materials have a non-linear relationship between the applied electric field and the apparent stored charge, resulting in a ferroelectric characteristic in the form of a hysteresis loop. Ferroelectric materials, such as hafnium zirconium oxide (HZO), lead zirconate titanate (PZT), strontium bismuth tantalite (SBT), doped hafnium oxide (Si:HfO₂), barium titanate (BaTiO3), hafnium oxide (HfO₂), and zirconium oxide (ZrO₂) are widely used in the ferroelectric film. The functional properties of these ferroelectric materials are linked to their crystal structures and phases. That is to say, ferro phase, which may relate to non-centro symmetric and polar crystal structures, may demonstrate its ferroelectric behavior. For example, HZO in orthorhombic phase have a non-linear relationship/response between the applied electric field and the apparent stored charge, resulting in a ferroelectric characteristic in the form of a hysteresis loop. Through the design, the polarization states in the ferroelectric film of the FRAM cell may determine data ‘0’ and ‘1’. An integrated circuit device having the Fe RAM cells and the method of fabricating the same are provided in accordance with various exemplary embodiments. The intermediate stages of forming the integrated circuit device are illustrated. The variations of the embodiments are discussed. Throughout the various views and illustrative embodiments, like reference numbers are used to designate like elements. FIGS. 1 through 13 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. It is understood that additional operations may be provided before, during, and after the operations shown by FIGS. 1 through 13 , and some of the operations described below can be replaced or eliminated for additional embodiments of the method. The order of the operations/processes may be interchangeable. Referring to FIG. 1 , one or more interconnect layers 120 are formed over a substrate 110. The substrate 110 has a peripheral region PR where logic devices or passive devices are to be formed, and a memory region MR where memory cells are to be formed. The substrate 110 may be a silicon substrate. Alternatively, the substrate 110 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide; an alloy semiconductor including silicon germanium; or combinations thereof. In some embodiments, the substrate 110 is a semiconductor on insulator (SOI) substrate. The substrate may include doped regions, such as p-wells and n-wells. In the present embodiments, a wafer is a workpiece that includes a semiconductor substrate and various features formed in and over and attached to the semiconductor substrate. The wafer may be in various stages of fabrication and is processed using the CMOS process. The transistors are formed by suitable transistor fabrication processes and may be a planar transistor, such as polysilicon gate transistors or high-k metal gate transistors, or a multi-gate transistor, such as fin field effect transistors. After the transistors are formed, one or more interconnect layers 120 of a multi-level interconnect (MLI) is formed over the transistors. The interconnect layer 120 includes one or more conductive features 124 a and 124 b embedded in inter-layer dielectric (ILD) layer 122. The ILD layer 122 may be silicon oxide, fluorinated silica glass (FSG), carbon doped silicon oxide, tetra-ethyl-ortho-silicate (TEOS) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), Black Diamond® (Applied Materials of Santa Clara, Calif.), amorphous fluorinated carbon, low-k dielectric material, the like or combinations thereof. The conductive features 124 a and 124 b may be aluminum, aluminum alloy, copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, cobalt, the like, and/or combinations thereof. Formation of the conductive features 124 a and 124 b and the ILD layer 122 may be a dual-damascene process and/or a single-damascene process. For example, trench openings are etched in the ILD layer 122, and then the conductive materials are deposited into the openings in the ILD layer 122 using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. Subsequently, a portion of the conductive materials out of the openings in the ILD layer 122 are removed by suitable planarization process, such as a chemical-mechanical polish (CMP) process. The substrate 110 may also include active and passive devices, for example, underlying the interconnect layer 120. These further components are omitted from the figures for clarity. Referring to FIG. 2 , a dielectric layer 130 is formed over the interconnect layer 120. The dielectric layer 130 in some embodiments is silicon carbide (SiC), silicon oxynitride (SiON), silicon nitride (SiN), silicon dioxide, the like, and/or combinations thereof. The dielectric layer 130 may be a single-layered structure or a multi-layered structure. The dielectric layer 130 may be formed by acceptable deposition techniques, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), the like, and/or a combination thereof. In some embodiments, a thickness of the dielectric layer 130 is in a range from about 150 angstroms to about 350 angstroms. If the dielectric layer 130 is less than about 150 angstroms, the dielectric layer 130 may not serve as a etch stop layer during the following etching process. If the dielectric layer 130 is greater than about 350 angstroms, it may unnecessarily reduce the vertical space to accommodate embedded memory cells, such that the fabrication process of the memory cell may not be compatible with logic process. Reference is made to FIG. 3 . The dielectric layer 130 may be patterned to have openings 130O exposing portions of the conductive features 124 a in the memory region MR. An exemplary formation method of the openings 130O includes forming a patterned resist layer PM1 over the dielectric layer 130, and then etching the dielectric layer 130 through the patterned resist layer PM1 by one or more etching processes. For example, a resist layer is formed over the dielectric layer 130 (referring to FIG. 3 ) and patterned using suitable photolithography process, thereby forming the patterned resist layer PM1. For example, the process may include photoresist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the photoresist, rinsing, drying (e.g., hard baking), other suitable processes, or combinations thereof. In some embodiments, the patterned resist layer PM1 is an ashing removable dielectric (ARD), which is a photoresist-like material generally having generally the properties of a photoresist and amendable to etching and patterning like a photoresist. The patterned resist layer PM1 may also acts as a mask layer for etching or patterning underlying layers. In some embodiments, the patterned resist layer PM1 includes an organic material, such as polymer. In some embodiments, the patterned resist layer PM2 includes SiON. The patterned resist layer PM2 may be formed by spin-on coating, CVD, PVD, ALD, or other suitable processes. Subsequently, an etching process is performed to etch the dielectric layer 130 (referring to FIG. 2 ), such that portions of the dielectric layer 130 (referring to FIG. 2 ) uncovered by the patterned resist layer PM1 are removed. The remaining portions of the dielectric layer 130 (referring to FIG. 2 ) has the openings 130O. The etching process may be a dry etch using suitable etch ants. The conductive features 124 a and the patterned resist layer PM1 may have a higher etch resistance to the etch ants than that of the dielectric layer 130, thereby protecting underlying layers from being etched. Reference is made to FIG. 4 . The openings 130O in the dielectric layer 130 may be overfilled with a diffusion barrier layer 142 and a fill metal 144. In some embodiments, the diffusion barrier layer 142 is a titanium nitride (TiN) layer or a tantalum nitride (TaN) layer, which can act as a suitable barrier to prevent metal diffusion. Formation of the diffusion barrier layer 142 may be exemplarily performed using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. In some embodiments, the filling metal 144 is titanium (Ti), tantalum (Ta), platinum (Pt), ruthenium (Ru), tungsten (W), aluminum (Al), copper (Cu), TiN, TaN, the like, and/or combinations thereof. Formation of the filling metal 144 may be exemplarily performed using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. In some embodiments, a thickness of the diffusion barrier layer 142 is in a range from about 50 angstroms to about 200 angstroms. If the diffusion barrier layer 142 is less than about 50 angstroms, the diffusion barrier layer 142 may not effectively stop metal diffusion. If the diffusion barrier layer 142 is greater than about 200 angstroms, the recess in the diffusion barrier layer 142 may have a higher aspect ratio, which may increase the difficulty in filling the fill metal 144 in the recess. Reference is made to FIG. 5 . A planarization process, such as a CMP process, is performed to remove excess materials of the diffusion barrier layer 142 and the fill metal 144 outside the openings 130O in the dielectric layer 130. The remaining diffusion barrier layer 142 and the remaining fill metal 144 in the openings 130O in the dielectric layer 130 can serve as the bottom electrode vias (BEVA) 140. In some embodiments, the BEVAs 140 are electrically connected to an underlying electrical component, such as a transistor, through the conductive features 124 a. Reference is made to FIG. 6 . A bottom electrode stack layer BE is formed over the BEVAs 140 and over the dielectric layer 130. The bottom electrode stack layer BE may include a first bottom electrode layer 150 and a second bottom electrode layer 160 over the first bottom electrode layer 150. The first bottom electrode layer ISO may include one or more suitable conductive materials. For example, the first bottom electrode layer 150 may include non-platinum group metals or non-noble metals, such as titanium (Ti), tantalum (Ta), tungsten (W), aluminum (Al), copper (Cu), TiN, TaN, the like, and/or a combination thereof. The first bottom electrode layer 150 can be a single-layered structure or a multi-layered structure. Formation of the first bottom electrode layer 150 may be exemplarily performed using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. In some embodiments, the second bottom electrode layer 160 may include a conductive material which can act as a suitable barrier to prevent metal diffusion to the ferroelectric layers later formed. For example, the second bottom electrode layer 160 may include noble metals, such as Ru, Rh, Pd, Os, Ir, Pt, Au, Ag, the like, or the combination thereof. In some embodiments, the second bottom electrode layer 160 may include platinum-group metals, such as Ru, Rh, Pd, Os, Ir, Pt, the like, or the combination thereof. The second bottom electrode layer 160 can be a single-layered structure or a multi-layered structure. Formation of the second bottom electrode layer 160 may be exemplarily performed using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. In some embodiments, a thickness of the second bottom electrode layer 160 may be greater than about 5 nanometers. If the second bottom electrode layer 160 is less than about 5 nanometers, the second bottom electrode layer 160 may not well avoid metal diffusion from the first bottom electrode layer 150 to the ferroelectric layer formed later. For example, a standard reduction potential of a metal of the second bottom electrode layer 160 is greater than a standard reduction potential of a metal of the first bottom electrode layer 150. For example, in some embodiments, the first bottom electrode layer 150 includes non-noble metals or non-platinum group metals, and the second bottom electrode layer 160 includes noble metals or platinum group metals. Through the configuration, the second bottom electrode layer 160 is more resistant to metal diffusion than the first bottom electrode layer 150 is. Also, the second bottom electrode layer 160 is more resistant to be oxidized than the first bottom electrode layer 150 is. In some other embodiments, the configuration of the BEVAs 140 can be omitted, and the first bottom electrode layer 150 or a combination of the first bottom electrode layer 150 and the second bottom electrode layer 160 may be deposited to be in contact with the conductive features 124 a. In some embodiments, the first bottom electrode layer 150 may be omitted, and the bottom electrode stack layer BE may include just the second bottom electrode layer 160. In some embodiments, the second bottom electrode layer 160 may be spaced apart from the conductive feature 124 a, for example, by the first bottom electrode layer 150, the BEVAs 140, and the dielectric layer 130. In some embodiments, the bottom electrode stack layer BE may further include a bottom metal-containing compound layer 170 formed over the surface of the second bottom electrode layer 160. The bottom metal-containing compound layer 170 may include suitable metal oxides, in which the metal of the metal oxides has a standard reduction potential greater than the standard reduction potential of the metal of the first bottom electrode layer 150. For example, the bottom metal-containing compound layer 170 may include platinum-group metal oxides, such as RuO_(x), RhO_(x), PdO_(x), OsO_(x), IrO_(x), PtO_(x). In some embodiments, a thickness of the bottom metal-containing compound layer 170 may be in a range from about 0 nanometer to about 5 nanometers. If the bottom metal-containing compound layer 170 is greater than about 5 nanometers, the electrical resistance of the formed memory cells may unnecessarily increase. In some embodiments, the bottom metal-containing compound layer 170 may be omitted from the bottom electrode stack layer BE. In some embodiments, formation of the bottom metal-containing compound layer 170 may include performing an oxide treatment to oxidize a surface layer of the second bottom electrode layer 160, such that the oxidized surface layer forms the bottom metal-containing compound layer 170. The oxide treatment may include a heat annealing process. As a result, the bottom metal-containing compound layer 170 may include a metal element the same as that of the second bottom electrode layer 160. For example, the second bottom electrode layer 160 includes Ru, and the bottom metal-containing compound layer 170 includes RuO_(x). For example, the second bottom electrode layer 160 includes Rh, and the bottom metal-containing compound layer 170 includes RhO_(x). In some embodiments, formation of the bottom metal-containing compound layer 170 may include suitable deposition process, such as PVD, ALD, or the combination thereof. For example, suitable metal may be deposited over the second bottom electrode layer 160, and then an oxide treatment is performed to oxidize a surface layer of the second bottom electrode layer 160 into the bottom metal-containing compound layer 170. Alternatively, suitable metal-containing compound materials (e.g., metal oxides) may be deposited over the second bottom electrode layer 160, thereby forming the bottom metal-containing compound layer 170. Through these processes, the bottom metal-containing compound layer 170 may include a metal element different from that of the second bottom electrode layer 160. For example, the second bottom electrode layer 160 includes Ru, and the bottom metal-containing compound layer 170 includes RhO_(x). For example, the second bottom electrode layer 160 includes Rh, and the bottom metal-containing compound layer 170 includes RuO_(x). Alternatively, in some other embodiments, through these processes, the bottom metal-containing compound layer 170 may include the same metal element as that of the second bottom electrode layer 160. For example, the second bottom electrode layer 160 includes Ru, and the bottom metal-containing compound layer 170 includes RuO_(x). For example, the second bottom electrode layer 160 includes Rh, and the bottom metal-containing compound layer 170 includes RhO_(x). Reference is made to FIG. 7 . A ferroelectric layer 180 is deposited over the metal-containing compound layer 170. In some embodiments, the ferroelectric layer 180 may include ferroelectric materials, such as hafnium zirconium oxide (HfZrO₂, HZO), lead zirconate titanate (Pb(Zr,Ti)O₃, PZT), strontium bismuth tantalite (SrBi₂Ta₂O₉, SBT), doped hafnium oxide (Si:HfO₂), barium titanate (BaTiO3, BTO), hafnium oxide (HfO₂), and zirconium oxide (ZrO₂). In some embodiments, the ferroelectric layer 180 may be hafnium oxide (HfO₂) doped with Zr, Si, Y, Al, Gd, La, or Sr. The ferroelectric layer 180 may be formed by atomic layer deposition (ALD), such as thermal ALD, and other suitable techniques. In some embodiments, a thickness of the ferroelectric layer 180 is in a range from about 50 angstroms to about 200 angstroms. If the ferroelectric layer 180 is greater than about 200 angstroms or less than about 50 angstroms, area of the ferro phases that demonstrate its ferroelectric behavior may decrease, such that the ferroelectric layer 180 shows less ferro response. Reference is made to FIG. 8 . After the deposition of the ferroelectric layer 180, a top electrode stack layer TE is formed over the ferroelectric layer 180. The top electrode stack layer TE may include a first top electrode layer 200 and a second top electrode layer 210 over the first top electrode layer 200. In some embodiments, the first top electrode layer 200 may include a conductive material which can act as a suitable barrier to prevent metal diffusion to the ferroelectric layers later formed. For example, the first top electrode layer 200 may include noble metals, such as Ru, Rh, Pd, Os, Ir, Pt, Au, Ag, the like, or the combination thereof. In some embodiments, the first top electrode layer 200 may include platinum-group metals, such as Ru, Rh, Pd, Os, Ir, Pt, the like, or the combination thereof. The first top electrode layer 200 can be a single-layered structure or a multi-layered structure. The first top electrode layer 200 can include a material the same or different from that of the second bottom electrode layer 160. In some embodiments, a thickness of the first top electrode layer 200 may be greater than about 5 nanometers. If the first top electrode layer 200 is less than about 5 nanometers, the first top electrode layer 200 may not well avoid metal diffusion from the second top electrode layer 210 to the ferroelectric layer 180. Formation of the first top electrode layer 200 may be exemplarily performed using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. The second top electrode layer 210 may include one or more suitable conductive materials that does not result in tool contamination during logic fabrication process. For example, the second top electrode layer 210 may include non-platinum group metals or non-noble metals, such as such as tungsten (W), titanium (Ti), tantalum (Ta), aluminum (Al), copper (Cu), TiN, TaN, the like or combinations thereof. The second top electrode layer 210 can be a single-layered structure or a multi-layered structure. Formation of the second top electrode layer 210 may be exemplarily performed using CVD, PVD (e.g., sputtering deposition), ALD, the like, and/or a combination thereof. In some embodiments, a standard reduction potential of a metal of the first top electrode layer 200 is greater than a standard reduction potential of a metal of the second top electrode layer 210. Through the configuration, the first top electrode layer 200 is more resistant to metal diffusion than the second top electrode layer 210 is, and the first top electrode layer 200 is more resistant to be oxidized than the second top electrode layer 210 is. Furthermore, the metal of the second top electrode layer 210 are selected according to processing tool requirement such that the second top electrode layer 210 covering the first top electrode layer 200 may prevent materials of the first top electrode layer 200 from tool contamination (Pt contamination). For example, in some embodiments, the second top electrode layer 210 includes non-noble metals or non-platinum group metals, and the first top electrode layer 200 includes noble metals or platinum group metals. In some embodiments, the top electrode stack layer TE may further include a top metal-containing compound layer 190 formed over the surface of the ferroelectric layer 180 prior to the formation of the first top electrode layer 200. The top metal-containing compound layer 190 may include suitable metal oxides, in which the metal of the metal oxides has a standard reduction potential greater than that of the standard reduction potential of the second top electrode layer 210. For example, the top metal-containing compound layer 190 may include platinum-group metal oxides, such as RuO_(x), RhO_(x), PdO_(x), OsO_(x), IrO_(x), PtO_(x). In some embodiments, the materials of the top metal-containing compound layer 190 may be the same as that of the bottom metal-containing compound layer 170. In some alternative embodiments, the materials of the top metal-containing compound layer 190 may be different from that of the bottom metal-containing compound layer 170. In some embodiments, the top metal-containing compound layer 190 may include a metal element different from that of the first top electrode layer 200. For example, the top metal-containing compound layer 190 includes RhO_(x), and the first top electrode layer 200 includes Ru. For example, the first top electrode layer 200 includes Rh, and the top metal-containing compound layer 190 includes RuO_(x). Alternatively, in some other embodiments, the top metal-containing compound layer 190 may include the same metal element as that of the first top electrode layer 200. For example, the top metal-containing compound layer 190 includes RuO_(x), and the first top electrode layer 200 includes Ru. For example, the top metal-containing compound layer 190 includes RhO_(x), and the first top electrode layer 200 includes Rh. In some embodiments, formation of the top metal-containing compound layer 190 may include suitable deposition process, such as PVD, ALD, or the combination thereof. For example, suitable metal may be deposited over the ferroelectric layer 180, and then an oxide treatment is performed to oxidize the metal into the top metal-containing compound layer 190. The oxide treatment may include a heat annealing process. The heat annealing process may also increase the thickness of the bottom metal-containing compound layer 170. Alternatively, suitable metal-containing compound materials (e.g., metal oxides) may be deposited over the ferroelectric layer 180, thereby forming the top metal-containing compound layer 190. In some embodiments, a thickness of the top metal-containing compound layer 190 may be in a range from about 0 nanometer to about 5 nanometers. If the top metal-containing compound layer 190 is greater than about 5 nanometers, the electrical resistance of the formed memory cells may unnecessarily increase. In some embodiments, the top metal-containing compound layer 190 may be omitted in some embodiments. In some embodiments, since the bottom metal-containing compound layer 170 experiences more heat annealing processes than that of the top metal-containing compound layer 190 does, a thickness of the bottom metal-containing compound layer 170 may be greater than that of the top metal-containing compound layer 190. For example, in addition to the annealing process performed for forming the bottom metal-containing compound layer 170, the bottom metal-containing compound layer 170 further experiences the annealing process performed after the deposition of the ferroelectric layer 180 for improving ferro phases, and/or the annealing process performed for forming the top metal-containing compound layer 190. Afterwards, a hard mask layer 220 may be deposited over the top electrode stack layer TE. In some embodiments, the hard mask layer 220 is formed of a dielectric material. For example, the hard mask layer 220 may be include silicon carbide (SiC), silicon oxynitride (SiON), silicon nitride (SiN), silicon dioxide (SiO₂), ashing removable dielectric (ARD), the like, and/or combinations thereof. The hard mask layer 220 may be a single-layer structure or multi-layer structure. The materials of the hard mask layer 220 may be formed by suitable deposition techniques, such as CVD, ALD, PVD, the like, and/or combinations thereof. In some embodiments, a thickness of the hard mask layer 220 may be in a range from about 50 angstroms to about 400 angstroms. If the hard mask layer 220 is less than about 50 angstroms, the hard mask layer 220 may not serve as a etch mask during the following patterning process (e.g., forming a top via opening) since it may be etched through. If the hard mask layer 220 is greater than about 400 angstroms, a top via formed later connected to a formed memory may be open. Reference is made to FIG. 9 . The hard mask layer 220 and the top electrode stack layer TE (referring to FIG. 8 ) are respectively patterned into hard masks 222 and top electrodes TE′. In some embodiments, each of the top electrodes TE′ may include a top metal-containing compound layer 192 patterned from the top metal-containing compound layer 190, a top electrode 202 patterned from the first top electrode layer 200 (referring to FIG. 8 ), and a top electrode 212 patterned from the second top electrode layer 210 (referring to FIG. 8 ). The patterning process may include a photolithography operation where a photoresist is deposited over the hard mask layer 220 (referring to FIG. 8 ), a pattern is defined by exposing photoresist to a radiation, and developing the photoresist to create a photoresist pattern. The photoresist pattern is then used as an etch mask to protect desired portions of the hard mask layer 220 (referring to FIG. 8 ). The hard mask layer 220 (referring to FIG. 8 ) may then be patterned using an etching operation. In some embodiments, an etchant used to pattern the hard mask layer 220 (referring to FIG. 8 ) includes an etching chemistry including gases of CF₄, CH₂F₂ and/or other chemicals. The photoresist mask is removed after the patterning. In some embodiments, the photoresist mask can be removed by adding oxygen to the etchant. Subsequently, the hard mask 222 are used as etch masks to pattern the top electrode stack layer TE (referring to FIG. 8 ). In some embodiments, an etchant is applied to etch an exposed portion of the top electrode stack layer TE (referring to FIG. 8 ) that is not covered by the hard mask 222. The etching process stops when the ferroelectric layer 180 is reached. In some embodiments, the ferroelectric layer 180 may have a higher etch resistance to the etching process than that of the second top electrode layer 210, the first top electrode layer 200, and/or top metal-containing compound layer 190 (referring to FIG. 8 ), and act as a etch stop layer during the etching process. In some embodiments, suitable techniques are available to detect the end of etching when a new material layer is reached so as to reduce the amount of over etching. Reference is made to FIG. 10 . Spacers 230 are formed around the hard masks 222 and the top electrodes TE′. The spacers 230 may be made of silicon nitride, silicon oxynitride, and silicon oxide. The spacers 230 may be formed by conformally coating a spacer material covering the top and sidewalls of the hard masks 222 and the top electrodes TE′ and over the exposed top parts of the ferroelectric layer 180, and then etching the spacer material. Because of the shape of the conformal deposition, the spacer material over the hard masks 222 is removed during this etch, and left the spacers 230. The spacers 230 surround the hard masks 222, the top electrodes 212, the top electrodes 202, and top metal-containing compound layers 192, and thus protects them against subsequent etch operations. The height and width of spacers 230 after etching may be tuned by adjusting deposition and etching parameters. Reference is made to FIG. 11 . The ferroelectric layer 180 and the bottom electrode stack layer BE (referring to FIG. 10 ) are respectively patterned into ferroelectric elements 182 and bottom electrodes BE′. In some embodiments, each of the bottom electrodes BE′ may include a bottom metal-containing compound layers 172 patterned from the bottom metal-containing compound layer 170 (referring to FIG. 10 ), a bottom electrode 162 patterned from the second bottom electrode layer 160 (referring to FIG. 10 ), and a bottom electrode 152 patterned from the first bottom electrode layer 150 (referring to FIG. 10 ). The patterning process may include one or plural etching processes, such as dry etch, wet etch, or the combination thereof. The spacers 230 and the hard masks 222 are used as an etch mask to remove portions of the ferroelectric layer 180 and the bottom electrode stack layer BE (referring to FIG. 10 ) during the etching processes. The etching process stops when the dielectric layer 130 is reached. In some embodiments, the dielectric layer 130 may have a higher etch resistance to the etching process than that of the ferroelectric layer 180 and the bottom electrode stack layer BE (referring to FIG. 10 ), and act as a etch stop layer during the etching process. In some embodiments, suitable techniques are available to detect the end of etching when a new material layer is reached so as to reduce the amount of over etching. Through the steps, the memory structures MS are formed, and each of the memory structures MS includes the bottom electrode 152, the bottom electrode 162, the bottom metal-containing compound layer 172, the ferroelectric element 182, the top metal-containing compound layer 192, the top electrodes 202, the top electrode 212, the hard mask 222, and the spacers 230. In some embodiments, the bottom electrode 152 is over the conductive feature 124 a, and the bottom electrode 162 may be spaced apart from the conductive feature 124 a by the bottom electrode 152. Reference is made to FIG. 12 . A protective layer 240, a dielectric layer 250, and an ILD layer 260 are deposited over the memory structures MS, and then top electrode openings MO and an interconnect opening PO are formed in the ILD layer 260, the dielectric layer 250, and the protective layer 240. The protective layer 240 may include silicon carbide, silicon oxynitride, silicon nitride, carbon doped silicon nitride or carbon doped silicon oxide. The protective layer 240 may include the same material as the dielectric layer 130 does. The protective layer 240 is selected to have a different etch selectivity than overlying dielectric layer material formed in later processes. The protective layer 240 is deposited conformally over the memory structures MS using a chemical vapor deposition (CVD) process such as plasma enhanced (PE) CVD, high-density plasma (HDP) CVD, inductively-coupled-plasma (ICP) CVD, or thermal CVD. In some embodiments, a thickness of the protective layer 240 is in a range from about 50 angstroms to about 300 angstroms. If the protective layer 240 is less than about 50 angstroms, the protective layer 240 may not serve as a etch stop layer during the following etching process. If the protective layer 240 is greater than about 300 angstroms, the fabrication process of the memory cell may not be compatible with logic process. In some embodiments, the dielectric layer 250 is then conformally deposited over the protective layer 240. The dielectric layer 250 may be made of tetra-ethyl-ortho-silicate (TEOS) or other suitable dielectric materials, as examples. The dielectric layer 250 may be deposited using a CVD, plasma enhanced CVD (PECVD), PVD, or other suitable technique. In some embodiments, a thickness of the dielectric layer 250 is in a range from about 50 angstroms to about 300 angstroms. If the dielectric layer 250 is out of this range, the fabrication process of the memory cell may not be compatible with logic process. In some embodiments, the ILD layer 260 is deposited over the dielectric layer 250 using suitable deposition techniques. The ILD layer 260 may be silicon oxide, extreme or extra low-k silicon oxide such as a porous silicon oxide layer. For example, the ILD layer 260 may be silicon oxide, fluorinated silica glass (FSG), carbon doped silicon oxide, tetra-ethyl-ortho-silicate (TEOS) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), Black Diamond® (Applied Materials of Santa Clara, Calif.), amorphous fluorinated carbon, low-k dielectric material, the like or combinations thereof. In some embodiments, after the deposition of the ILD layer 260, top electrode openings MO and an interconnect opening PO are etched in the ILD layer 260, the dielectric layer 250, and the protective layer 240. In some embodiments, formation of the top electrode opening MO and the interconnect opening PO may include a via etching process, a trench etching process, and a liner removal process. The via etching process may be performed to etch a via opening MOV in the ILD layer 260 and the dielectric layer 250 in the memory region MR and etch a via opening POV in the ILD layer 260 and the dielectric layer 250 in the logic region LR. The trench etching process may be performed to etch a trench opening MOT in the ILD layer 260 in the memory region MR, etch a trench opening POT in the ILD layer 260 in the logic region LR. The via etching process and the trench etching process may include suitable anisotropic etching processes. In some embodiments where the ILD layer 260 is silicon oxide, the etchant used in the via etching process and the trench etching process can be dilute hydrofluoric acid (HF), HF vapor, CF₄, C₄F₈, CH_(x)F_(y), C_(x)F_(y), SF₆, or NF₃, Ar, N₂, O₂, Ne, gas. Sometimes, the trench etching process may deepen the via openings MOV and POV after the via etching process. Alternative, in some other embodiments, the trench etching process may be performed prior to the via etching process. In some embodiments, in the logic region LR, the protective layer 240 and dielectric layer 130 may have a higher etch resistance to the via and trench etching processes than that of the ILD layer 260 and the dielectric layer 250, such that the via and trench etching processes may stop at the protective layer 240 and dielectric layer 130. The protective layer 240 and the dielectric layer 130 may be referred to as an etch stop layer in some embodiments. After the trench etching process and the via etching process, the liner removal process may be performed to remove a portion of the protective layer 240, the dielectric layer 130, and the hard mask 222 exposed by the via opening MOV and POV, such that the via openings MOV and POV may respectively expose the underlying top electrodes 212 and the conductive feature 124 b. The liner removal process may include one or more isotropic etching processes, such as dry etching processes using CH₂F₂ and Ar as etching gases. In some embodiments, the underlying top electrodes 212 and the conductive feature 124 b may have a higher etch resistance to the liner removal process than that of the protective layer 240, the dielectric layer 130, and the hard mask 222, such that the liner removal process may stop at the top electrodes 212 and the conductive feature 124 b and not damage the underlying layers. For example, the top electrode openings MO do not expose the top electrode 202. Reference is made to FIG. 13 . After the formation of the top electrode openings MO and the interconnect opening PO, the top electrode openings MO and the interconnect opening PO are filled with a conductive material. The conductive material may include a metal conductor, such as aluminum, aluminum alloy, copper, copper alloy, titanium, titanium nitride, tantalum, tantalum nitride, tungsten, cobalt, the like, and/or combinations thereof. The metal conductor may be deposited using PVD or one of the plating methods, such as electrochemical plating. The conductive material may also include one or more liner and barrier layers in additional a metal conductor. The liner and/or barrier may be conductive and deposited using CVD or PVD. After filling the conductive material, a planarization process, such as chemical mechanical polishing (CMP), is performed to remove excess conductive material out of the top electrode openings MO and the interconnect opening PO. The remaining conductive material may form a metallization pattern 270. The metallization pattern 270 may include the conductive features 270 a and 270 b in the top electrode opening MO and the interconnect opening PO, respectively. The conductive features 270 a may be respectively connected to the memory structures MS, and the conductive features 270 b may be connected to the conductive features 124 b. As illustrated in the figure, the conductive features 270 a may include top electrode via 272 a and metal lines 274 a, and the conductive features 270 b may include conductive via 272 b and meta lines 274 b. In some embodiments, the conductive features 270 a of the metallization pattern 270 are free of contacting with the top electrode 202 and is spaced apart from the top electrode 202 by the top electrode 212. In some embodiments, a thickness of the top electrodes 212 is greater than that of the top electrodes 202, such that the top electrodes 212 may not be etched through during the formation of the top electrode openings MO, thereby reducing the risk of tool contamination (e.g., Pt contamination). For example, the thickness of the top electrodes 212 is in a range from about 100 angstroms to about 300 angstroms, and the thickness of the top electrodes 202 is in a range from about 50 angstroms to about 150 angstroms. If the top electrodes 212 is less than about 100 angstroms, the top electrodes 212 may be etched through to expose the top electrodes 202 during the formation of the top electrode openings MO, which may result in tool contamination. If the top electrodes 202 is less than about 50 angstroms, the top electrodes 202 may not well avoid the metal diffusion to the ferroelectric element 182. If a sum thickness of the top electrodes 212, the top electrodes 202, or the combination thereof is too large (e.g., greater than about 450 angstroms), the cell height is unnecessarily increased. If a sum thickness of the top electrodes 212 and the top electrodes 202 is too small (e.g., less than about 150 angstroms), a shear resistance of the top electrode TE′ may become high. In some embodiments, for achieving appropriate cell height and shear resistance, preventing metal diffusion, and voiding tool contamination, the ratio of a thickness of the top electrodes 212 to that of the top electrodes 202 is in a range from about 1.5 to about 13. In some cases, oxides in the ferroelectric elements 182 may be taken by adjacent electrodes, which may increase oxide vacancies in the ferroelectric elements 182, and further result in leakage of the Metal/Ferro/Metal (MFM) memory cell. The leakage of the MFM memory cell may result in poor data retention and low breakdown voltage. Furthermore, parts of the electrodes adjacent the ferroelectric elements 182 may be converted into metal oxides by taking the oxides in the ferroelectric elements 182. If the electrodes are highly active, the formed metal oxides may be so thick that the resulted MFM memory cell may have a high parasitic resistance. In some embodiments of the present disclosure, owing to the inactivity of the noble metals or platinum-group metals, oxides in the ferroelectric elements 182 would not be taken away by metals in the bottom and top electrodes 162 and 202. For example, an oxidation rate of the metal of the bottom electrode 162 (e.g., noble metal) is less than an oxidation rate of the metal of the bottom electrode 152 (e.g., non-noble metal), and an oxidation rate of the metal of the top electrode 202 (e.g., noble metal) is less than an oxidation rate of the metal of the top electrode 212 (e.g., non-noble metal). That is, standard reduction potentials of the metal of the electrodes 162 and 202 (e.g., noble metal) are greater than standard reduction potentials of the metal of the electrodes 152 and 212 (e.g., non-noble metal). Through the configuration, the number of oxide vacancies in the ferroelectric elements 182 are prevented from getting increased, thereby reducing leakage of the MFM memory cell, which in turn may achieve better data retention and high breakdown voltage. Standard reduction potential, also referred to as a standard electrode potential, is used as one indicator of oxidizability. The greater the negative ness of a standard reduction potential the greater the tendency of the element to oxidize. In some embodiments, the standard reduction potential is measured under standard conditions: 25° C., a 1 activity for each ion participating in the reaction, a partial pressure of 1 bar for each gas that is part of the reaction, and metals in their pure state. In some embodiments, the standard reduction potential can be defined relative to a standard hydrogen electrode (SHE) reference electrode, which is arbitrarily given a potential of 0.00 V. For example, noble (non-reactive) metals) have positive value of the standard reduction potential. Through the configuration, the metals of the bottom and top electrodes 162 and 202 have positive value of the standard reduction potential, while the metals of the bottom and top electrodes 152 and 212 have negative value of the standard reduction potential or a positive value of the standard reduction potential less than that the metals of the bottom and top electrodes 162 and 202. For example, noble metals have positive value of the standard reduction potential, while Ti and Ta have negative values of the standard reduction potential. In some embodiments, the metal-containing compound layers 172 and/or 192 may include a metal having a standard reduction potential greater than that of a standard reduction potential of the electrodes 152 and/or 212. For example, the metal-containing compound layers 172 and/or 192 may include a noble metal, while the electrodes 152 and/or 212 may include a non-noble metal. Since the noble metal oxides or platinum-group metal oxides are more inactive to oxide than the noble metals or platinum-group metals are, the configuration of the bottom and top metal-containing compound layers 172 and 192 is believed to be beneficial for further reducing the leakage of the MFM memory cell. In addition, owing to the inactivity of the noble metals or platinum-group metals, the noble metal oxides or platinum-group metal oxides are quite thinned, thereby achieving low parasitic resistance of the MFM memory cell. FIG. 14 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 1-13 , except that the bottom electrodes BE′ of the memory structures MS are free of the bottom metal-containing compound layers 172 (referring to FIG. 13 ). In the present embodiments, the ferroelectric layer 180 (referring to FIG. 7 ) is directly deposited over the second bottom electrode layer 160 (referring to FIG. 7 ) without the formation of addition layers (e.g., oxide layers, such as the bottom metal-containing compound layer 170 in FIG. 7 ) therebetween. In other words, a bottom surface of the formed ferroelectric layer 180 (referring to FIG. 7 ) is in direct contact with the second bottom electrode layer 160 (referring to FIG. 7 ). In some embodiments, prior to the deposition of the ferroelectric layer 180 (referring to FIG. 7 ), a cleaning process may be optionally performed to a top surface of the second bottom electrode layer 160 (referring to FIG. 7 ). The cleaning process may remove oxides from a top surface of the second bottom electrode layer 160 (referring to FIG. 7 ). Through the process, within the memory structures MS, a bottom surface of the ferroelectric element 182 is in direct contact with the bottom electrode 162 without a metal-containing compound layer intervening therebetween. Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 1-13 , and therefore not repeated herein. FIG. 15 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 1-13 , except that the top electrodes TE′ of the memory structures MS are free of the top metal-containing compound layers 192 (referring to FIG. 13 ). In the present embodiments, the first top electrode layer 200 (referring to FIG. 7 ) is directly deposited over the ferroelectric layer 180 without the formation of addition layers (e.g., oxide layers, such as the top metal-containing compound layer 190 in FIG. 7 ) therebetween. In other words, a top surface of the ferroelectric layer 180 (referring to FIG. 7 ) is in direct contact with the first top electrode layer 200 (referring to FIG. 7 ). Through the process, within the memory structures MS, a top surface of the ferroelectric element 182 is in direct contact with the top electrode 202 without a metal-containing compound layer intervening therebetween. Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 1-13 , and therefore not repeated herein. FIG. 16 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 14-15 , except that the bottom electrodes BE′ of the memory structures MS are free of the bottom metal-containing compound layers 172 (referring to FIG. 13 ), and the top electrodes TE′ of the memory structures MS are free of the top metal-containing compound layers 192 (referring to FIG. 13 ). As shown in the figure, within one of the memory structures MS, a bottom surface of the ferroelectric element 182 is in direct contact with the bottom electrode 162 without a metal-containing compound layer intervening therebetween, and a top surface of the ferroelectric element 182 is in direct contact with the top electrode 202 without a metal-containing compound layer intervening therebetween. Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 14-15 , and therefore not repeated herein. FIG. 17 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 1-13 , except that the memory structure MS may not include the bottom electrodes 152 (referring to FIG. 13 ) that includes the non-noble metal. In the present embodiments, the second bottom electrode layer 160 (referring to FIG. 6 ) is directly deposited over the dielectric layer 130 and the BEVAs 140 (referring to FIG. 6 ) without the formation of addition layers (e.g., the first bottom electrode layer 150 in FIG. 6 ) therebetween. In other words, a bottom surface of the formed second bottom electrode layer 160 (referring to FIG. 6 ) is in direct contact with the dielectric layer 130 and the BEVAs 140 (referring to FIG. 6 ). Through the process, within the memory structures MS, the bottom electrodes 162 that includes the noble metal may be respectively in contact with the BEVAs 140. Through the configuration, a thickness of the bottom electrode BE′ is reduced, thereby reducing a height of the memory structure MS. Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 1-13 , and therefore not repeated herein. FIG. 18 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIG. 17 , except that the memory structure MS are free of the bottom metal-containing compound layer 172 and the top metal-containing compound layer 192 (referring to FIG. 17 ). As shown in the figure, within the memory structures MS, a bottom surface of the ferroelectric element 182 is in direct contact with the bottom electrode 162 without a metal-containing compound layer intervening therebetween, and a top surface of the ferroelectric element 182 is in direct contact with the top electrode 202 without a metal-containing compound layer intervening therebetween. In some other embodiments, the memory structures MS may include the bottom metal-containing compound layer 172 (referring to FIG. 17 ), but be free of the top metal-containing compound layer 192 (referring to FIG. 17 ). Alternatively, the memory structures MS may include the top metal-containing compound layer 192 (referring to FIG. 17 ), but be free of the bottom metal-containing compound layer 172 (referring to FIG. 17 ). Other details of the present embodiments are similar to those illustrated in the embodiments of FIG. 17 , and therefore not repeated herein. FIGS. 19 through 21 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 1-13 , except that the BEVA 140 (referring to FIG. 13 ) may be omitted in the present embodiments. Referring to FIG. 19 , the bottom electrode stack layer BE (e.g., the layers 150-170), the ferroelectric layer 180, the top electrode stack layer TE (e.g., the layers 190-210), and the hard mask layer 220 are depositing in a sequence over the dielectric layer 130 having the openings 130O therein. The deposition processes may result in conformal profile, such that the layers 150-220 may have the profile corresponding to the openings 130O. For example, each of the layers 150-220 has recesses over the openings 130O, and a next layer of the layers 150-220 is deposited into the recesses of a previous layer of the layers 150-220. Reference is made to FIG. 20 . The hard mask layer 220 and the top electrode stack layer TE (referring to FIG. 19 ) are respectively patterned into hard masks 222 and top electrodes TE′. The patterning process may include a photolithography operation and suitable etching operations. Spacers 230 are formed around the hard masks 222 and the top electrodes TE′. The spacers 230 may be made of silicon nitride, silicon oxynitride, and silicon oxide. Referring to FIG. 21 , the ferroelectric layer 180 and the bottom electrode stack layer BE (referring to FIG. 20 ) are respectively patterned into ferroelectric elements 182 and bottom electrodes BE′. The patterning process may include one or plural etching processes, such as dry etch, wet etch, or the combination thereof. The spacers 230 and the hard masks 222 are used as an etch mask to remove portions of the ferroelectric layer 180 and the bottom electrode stack layer BE (referring to FIG. 20 ) during the etching processes. Through the steps, the memory structures MS are formed, and each of the memory structures MS includes the bottom electrode 152, the bottom electrode 162, the bottom metal-containing compound layer 172, the ferroelectric element 182, the top metal-containing compound layer 192, the top electrodes 202, the top electrode 212, the hard mask 222, and the spacers 230. In the present embodiments, the bottom electrodes 152, the bottom electrodes 162, and the bottom metal-containing compound layer 172 may extend into the openings 130O in the dielectric layer 130 and therefore be connected to conductive features 124 a. In some embodiments, the bottom electrodes 152 may have a profile corresponding to the openings 130O, and the bottom electrodes 162 and the bottom metal-containing compound layer 172 overlying the bottom electrodes 152 have the profile correspondingly. In some embodiments, the ferroelectric elements 182, the top metal-containing compound layer 192, the top electrodes 202, the top electrode 212, and the hard masks 222 also have the similar profile correspondingly. Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 1-13 , and therefore not repeated herein. FIG. 22 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 19-21 , except that the memory structures MS are free of the bottom metal-containing compound layer 172 and the top metal-containing compound layer 192 (referring to FIG. 21 ). As shown in the figure, within the memory structures MS, a bottom surface of the ferroelectric element 182 is in direct contact with the bottom electrode 162 without a metal-containing compound layer intervening therebetween, and a top surface of the ferroelectric element 182 is in direct contact with the top electrode 202 without a metal-containing compound layer intervening therebetween. In some other embodiments, the memory structures MS may include the bottom metal-containing compound layer 172 (referring to FIG. 21 ), but be free of the top metal-containing compound layer 192 (referring to FIG. 21 ). Alternatively, the memory structures MS may include the top metal-containing compound layer 192 (referring to FIG. 21 ), but be free of the bottom metal-containing compound layer 172 (referring to FIG. 21 ). Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 18-20 , and therefore not repeated herein. FIGS. 23 through 24 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 1-13 , except that sidewalls of the hard masks 222, the top electrodes 212, the top electrodes 202, the top metal-containing compound layers 192, the ferroelectric elements 182, the bottom metal-containing compound layers 172, and the bottom electrodes 162 are substantially aligned with each other. Referring to FIG. 23 , the hard mask layer 220 (referring to FIG. 8 ) is patterned into hard masks 222 by suitable photolithography operation and etching process. Subsequently, the hard mask 222 are used as etch masks to pattern the top electrode stack layer TE, the ferroelectric layer 180, and the bottom electrode stack layer BE (referring to FIG. 8 ) by suitable etching process. As a result, the layers 150-210 (referring to FIG. 8 ) are respectively patterned into the top electrodes 212, the top electrodes 202, the top metal-containing compound layers 192, the ferroelectric elements 182, the bottom metal-containing compound layers 172, the bottom electrodes 162, and the bottom electrode 152. Referring to FIG. 24 , spacers 230 are formed around the hard masks 222, the top electrodes 212, the top electrodes 202, the top metal-containing compound layers 192, the ferroelectric elements 182, the bottom metal-containing compound layers 172, the bottom electrodes 162, and the bottom electrode 152. Subsequently, a protective layer 240, a dielectric layer 250, and an ILD layer 260 are deposited over the memory structures MS, and then a metallization pattern 270 including the conductive features 270 a and 270 b is formed. Other details of the present embodiments are similar to those illustrated in the previous embodiments, and therefore not repeated herein. FIG. 25 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 23-24 , except that the memory structure MS may not include the bottom electrodes 152 (referring to FIGS. 23-24 ) that includes the non-noble metal. In the present embodiments, the bottom electrodes 162 that includes the noble metal are respectively in contact with the BEVAs 140. Through the configuration, a thickness of the bottom electrode BE′ is reduced, thereby reducing a height of the memory structure MS. Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 23-24 , and therefore not repeated herein. FIG. 26 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIG. 25 , except that the memory structures MS are free of the bottom metal-containing compound layer 172 and the top metal-containing compound layer 192 (referring to FIG. 25 ). As shown in the figure, within the memory structures MS, a bottom surface of the ferroelectric element 182 is in direct contact with the bottom electrode 162 without a metal-containing compound layer intervening therebetween, and a top surface of the ferroelectric element 182 is in direct contact with the top electrode 202 without a metal-containing compound layer intervening therebetween. In some other embodiments, the memory structures MS may include the bottom metal-containing compound layer 172 (referring to FIG. 25 ), but be free of the top metal-containing compound layer 192 (referring to FIG. 25 ). Alternatively, the memory structures MS may include the top metal-containing compound layer 192 (referring to FIG. 25 ), but be free of the bottom metal-containing compound layer 172 (referring to FIG. 25 ). Other details of the present embodiments are similar to those illustrated in the embodiments of FIG. 25 , and therefore not repeated herein. FIGS. 27 through 31 illustrate an integrated circuit device having a memory cell at various stages of fabrication in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 1-13 , except that the bottom electrode 162 are formed in recesses of the bottom electrodes 152, the bottom metal-containing compound layers 172 are formed in recesses of the bottom electrode 162, the ferroelectric elements 182 are formed in recesses of the bottom metal-containing compound layers 172, the metal-containing compound layers 192 are formed in recesses of the ferroelectric elements 182, the top electrodes 202 are formed in recesses of the metal-containing compound layers 192, and the top electrodes 212 are formed in recesses of the top electrode 202. Reference is made to FIG. 27 . A dielectric layer L1 and a dielectric layer L2 are deposited over the dielectric layer 130 after the formation of the dielectric layer 130 as illustrated in FIG. 2 . The dielectric layer L1 may be made of tetra-ethyl-ortho-silicate (TEOS) or other suitable dielectric materials, as examples. The dielectric layer L1 may be deposited using a CVD, plasma enhanced CVD (PECVD), PVD, or other suitable technique. The dielectric layer L2 may be silicon oxide, extreme or extra low-k silicon oxide such as a porous silicon oxide layer. For example, the dielectric layer L2 may be silicon oxide, fluorinated silica glass (FSG), carbon doped silicon oxide, tetra-ethyl-ortho-silicate (TEOS) oxide, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), Black Diamond® (Applied Materials of Santa Clara, Calif.), amorphous fluorinated carbon, low-k dielectric material, the like or combinations thereof. The dielectric layer L2 may be deposited using a CVD, or other suitable technique. After the formation of the dielectric layers L1 and L2, openings O1 may be etched in the dielectric layers L1 and L2. The dielectric layer 130 may serve as an etch stop layer during etching the openings O1. After the formation of the openings O1, portions of the dielectric layer 130 exposed by the openings O1 are removed by suitable etching process, thereby forming openings 130O in the dielectric layer 130. Reference is made to FIG. 28 . The bottom electrode stack layer BE (e.g., the layers 150-170), the ferroelectric layer 180, the top electrode stack layer TE (e.g., the layers 190-210) are deposited into the opening O1 in the dielectric layers L1 and L2 and the opening 130O in the dielectric layer 130. In some embodiments, the layer 210 may overfill the opening O1. Reference is made to FIG. 29 . Portions of bottom electrode stack layer BE (e.g., the layers 150-170), the ferroelectric layer 180, the top electrode stack layer TE (e.g., the layers 190-210) in FIG. 28 out of the openings O1 are removed, thereby forming the memory structure MS. The removal may include a planarization process, such as CMP. Through the processes, a top surface of the memory structure MS may be substantially flat, and the top surface of the memory structure MS may include top surfaces of the top electrodes 212, the top electrodes 202, the top metal-containing compound layers 192, the ferroelectric elements 182, the bottom metal-containing compound layers 172, the bottom electrodes 162, and the bottom electrodes 152. Reference is made to FIG. 30 . An ILD layer 260 are deposited over the memory structures MS. The ILD layer 260 may be silicon oxide, extreme or extra low-k silicon oxide such as a porous silicon oxide layer. In some embodiments, the ILD layer 260 may include the same material as that of the dielectric layer L2. Reference is made to FIG. 31 . A metallization pattern 270 including the conductive features 270 a and 270 b is formed in the ILD layer 260. In the present embodiments, openings are etched in the ILD layer 260 to expose the top electrode 212 and not to expose the ferroelectric elements 182, and then filled with metals, thereby forming the conductive features 270 a. In the present embodiments, a bottom width of the conductive features 270 a is less than a top width of the top electrode 212, such that the conductive features 270 a landing on the top electrode 212 is spaced apart from the ferroelectric elements 182. In some other embodiments, the bottom width of the conductive features 270 a may be greater than the top width of the top electrode 212 but less than the top width of the top electrode TE′, thereby being spaced apart from the ferroelectric elements 182. Other details of the present embodiments are similar to those illustrated in previous embodiments, and therefore not repeated herein. FIG. 32 is a cross-sectional view of an integrated circuit device in accordance with some embodiments of the present disclosure. The present embodiments are similar to those illustrated with respect to the embodiments of FIGS. 27-31 , except that the memory structures MS are free of the bottom metal-containing compound layer 172 and the top metal-containing compound layer 192 (referring to FIG. 31 ). As shown in the figure, within the memory structures MS, a bottom surface of the ferroelectric element 182 is in direct contact with the bottom electrode 162 without a metal-containing compound layer intervening therebetween, and a top surface of the ferroelectric element 182 is in direct contact with the top electrode 202 without a metal-containing compound layer intervening therebetween. In some other embodiments, the memory structures MS may include the bottom metal-containing compound layer 172 (referring to FIG. 31 ), but be free of the top metal-containing compound layer 192 (referring to FIG. 31 ). Alternatively, the memory structures MS may include the top metal-containing compound layer 192 (referring to FIG. 31 ), but be free of the bottom metal-containing compound layer 172 (referring to FIG. 31 ). Other details of the present embodiments are similar to those illustrated in the embodiments of FIGS. 27-31 , and therefore not repeated herein. FIG. 33 is a cross-sectional view of an integrated circuit device 100 in accordance with some embodiments of the present disclosure. The integrated circuit device 100 is fabricated using five metallization layers, labeled as M1 through M5, with five layers of metallization vias or interconnects, labeled as V1 through V5. Other embodiments may contain more or fewer metallization layers and a corresponding more or fewer number of vias. The logic region LR includes a full metallization stack, including a portion of each of metallization layers M1-M5 connected by interconnects V2-V5, with V1 connecting the stack to a source/drain contact of the logic transistor LT. The memory region MR includes a full metallization stack connecting the memory cells (i.e., the memory structures MS) to transistors MT in the memory region MR, and a partial metallization stack connecting a source line to the transistors MT in the memory region MR. The memory structures MS are depicted as being fabricated in between the M3 layer and the M4 layer. The memory structures MS may include the structure illustrated in the previous embodiments. Also included in integrated circuit is a plurality of ILD layers. Six ILD layers, identified as ILD0 through ILD5 are depicted in FIG. 33 as spanning the logic region LR and the memory region MR. The ILD layers may provide electrical insulation as well as structural support for the various features of the integrated circuit during many fabrication process steps. According to the aforementioned embodiments, it can be seen that the present disclosure offers advantages in fabricating semiconductor devices. It is understood, however, that other embodiments may offer additional advantages, and not all advantages are necessarily disclosed herein. An advantage is that the electrode adjacent to the ferroelectric layer is made of noble metal or platinum-group metal, thereby preventing metals in the electrodes from diffusing into the ferroelectric layer. Through the configuration, the number of oxide vacancies in the ferroelectric layers are prevented from getting increased, thereby reducing leakage of the MFM memory cell, which in turn may achieve better data retention and high breakdown voltage. Another advantage is that metal-containing compound layers (e.g., metal oxide layers), which include noble metal element or platinum-group metal element, can be optionally formed between the electrode and the ferroelectric layer, thereby further reducing the leakage of the MFM memory cell. Still another advantage is that the metal-containing compound layer between the ferroelectric layer and the top/bottom electrode is quite thinned, thereby achieving low parasitic resistance of the MFM memory cell. Still another advantage is that a cap top electrode is form over the noble/platinum-group metal top electrode for preventing tool contamination, such that the fabrication process of the MFM memory cell having the noble/platinum-group metal top and bottom electrodes can be easily combined with logic process as embedded memory without contamination. According to some embodiments of the present disclosure, a method for fabricating a semiconductor device is provided. The method includes depositing a bottom electrode layer over a substrate; depositing a ferroelectric layer over the bottom electrode layer; depositing a first top electrode layer over the ferroelectric layer, wherein the first top electrode layer comprises a first metal; depositing a second top electrode layer over the first top electrode layer, wherein the second top electrode layer comprises a second metal, and a standard reduction potential of the first metal is greater than a standard reduction potential of the second metal; and removing portions of the second top electrode layer, the first top electrode layer, the ferroelectric layer, and the bottom electrode layer to form a memory stack, the memory stack comprising remaining portions of the second top electrode layer, the first top electrode layer, the ferroelectric layer, and the bottom electrode layer. According to some embodiments of the present disclosure, a method for fabricating a semiconductor device is provided. The method includes method for fabricating a semiconductor device, comprising: depositing a first bottom electrode layer over an interconnect layer, wherein the first bottom electrode layer comprises a first metal; depositing a second bottom electrode layer over the first bottom electrode layer, wherein the second bottom electrode layer comprises a second metal, and a standard reduction potential of the second metal is greater than a standard reduction potential of the first metal; depositing a ferroelectric layer over the second bottom electrode layer; depositing a top electrode layer over the ferroelectric layer; and removing portions of the top electrode layer, the ferroelectric layer, the second bottom electrode layer, and the first bottom electrode layer to form a memory stack, the memory stack comprising remaining portions of the top electrode layer, the ferro electric layer, the second bottom electrode layer, and the first bottom electrode layer. According to some embodiments of the present disclosure, a semiconductor device includes a substate; a bottom electrode over the substate; a ferroelectric layer over the bottom electrode; a first top electrode over the ferroelectric layer, wherein the first top electrode layer comprises a first metal; and a second top electrode over the first top electrode, wherein the second top electrode layer comprises the second top electrode comprises a second metal. A standard reduction potential of the first metal is greater than a standard reduction potential of the second metal. What is claimed is: 1. A method for fabricating a semiconductor device, comprising: depositing a bottom electrode layer over a substrate; depositing a ferroelectric layer over the bottom electrode layer; depositing a noble metal layer over the ferroelectric layer; depositing a non-noble metal layer over the noble metal layer, wherein the non-noble metal layer is free of noble metal; and removing portions of the non-noble metal layer, the noble metal layer, the ferroelectric layer, and the bottom electrode layer to form a memory stack, the memory stack comprising remaining portions of the non-noble metal layer, the noble metal layer, the ferroelectric layer, and the bottom electrode layer. 2. The method of claim 1, wherein depositing the bottom electrode layer is performed such that the bottom electrode layer comprises non-noble metal. 3. The method of claim 1, wherein depositing the bottom electrode layer is performed such that the bottom electrode layer comprises noble metal. 4. The method of claim 1, further comprising: depositing an interlayer dielectric layer over the memory stack; and forming a metallization pattern in the interlayer dielectric layer, wherein the metallization pattern is in contact with the remaining portion of the non-noble metal layer and free of contacting with the remaining portion of the noble metal layer. 5. The method of claim 1, further comprising: depositing a metal-containing compound layer over the bottom electrode layer prior to depositing the ferroelectric layer, wherein the metal-containing compound layer comprises noble metal. 6. The method of claim 1, further comprising: oxidizing a surface layer of the bottom electrode layer to form a metal oxide layer prior to depositing the ferroelectric layer. 7. The method of claim 1, further comprising: depositing a metal-containing compound layer over the ferroelectric layer prior to depositing the noble metal layer, wherein the metal-containing compound layer comprises noble metal. 8. A method for fabricating a semiconductor device, comprising: depositing a first bottom electrode layer over an interconnect layer, wherein the first bottom electrode layer comprises a first metal; depositing a second bottom electrode layer over the first bottom electrode layer, wherein the second bottom electrode layer comprises a second metal, and a standard reduction potential of the second metal is greater than a standard reduction potential of the first metal; depositing a ferroelectric layer over the second bottom electrode layer; depositing a top electrode layer over the ferroelectric layer; and removing portions of the top electrode layer, the ferroelectric layer, the second bottom electrode layer, and the first bottom electrode layer to form a memory stack, the memory stack comprising remaining portions of the top electrode layer, the ferroelectric layer, the second bottom electrode layer, and the first bottom electrode layer. 9. The method of claim 8, wherein the first metal is a non-noble metal, and the second metal is a noble metal. 10. The method of claim 8, further comprising: forming a metal-containing compound layer over the second bottom electrode layer, wherein the metal-containing compound layer comprises a metal the same as the second metal. 11. The method of claim 8, wherein depositing the top electrode layer is performed such that the top electrode layer comprises a metal having a standard reduction potential greater than the standard reduction potential of the first metal. 12. The method of claim 8, wherein removing the portions of the top electrode layer, the ferroelectric layer, the second bottom electrode layer, and the first bottom electrode layer comprises: etching the portions of the top electrode layer, the ferroelectric layer, the second bottom electrode layer, and the first bottom electrode layer. 13. A method for fabricating a semiconductor device, comprising: depositing a bottom electrode layer over a substrate, wherein the bottom electrode layer comprises a bottom platinum-group metal layer; oxidizing a surface layer of the bottom platinum-group metal layer to form a bottom platinum-group metal oxide layer over and in direct contact with a remaining portion of the bottom platinum-group metal layer; depositing a ferroelectric layer over and in direct contact with a top surface of the bottom platinum-group metal oxide layer; depositing a top electrode layer over the ferroelectric layer; and removing portions of the top electrode layer, the ferroelectric layer, the bottom platinum-group metal oxide layer, and the bottom electrode layer to form a memory stack. 14. The method of claim 13, wherein the bottom electrode layer further comprises a bottom non-platinum group metal layer below the bottom platinum-group metal layer. 15. The method of claim 13, wherein depositing the top electrode layer comprises: depositing a top platinum-group metal oxide layer over and in contact with a top surface of the ferroelectric layer. 16. The method of claim 13, wherein depositing the top electrode layer comprises: depositing a top platinum-group metal layer over and in contact with a top surface of the ferroelectric layer. 17. The method of claim 13, wherein removing portions of the top electrode layer, the ferroelectric layer, the bottom platinum-group metal oxide layer, and the bottom electrode layer comprises: patterning the top electrode layer into a top electrode; forming a spacer around the top electrode; and etching the ferroelectric layer, the bottom platinum-group metal oxide layer, and the bottom electrode layer into a ferroelectric element, a platinum-group metal oxide, and a bottom electrode respectively. 18. The method of claim 15, wherein a thickness of the bottom platinum-group metal oxide layer is greater than a thickness of the top platinum-group metal oxide layer. 19. The method of claim 13, wherein depositing the top electrode layer is performed such that the top electrode layer comprises a top platinum-group metal layer over the ferroelectric layer and a top non-platinum group metal layer over the top platinum-group metal layer, and a thickness of the top non-platinum group metal layer is greater than a thickness of the top platinum-group metal layer. 20. The method of claim 1, wherein a thickness of the non-noble metal layer is greater than that of the noble metal layer.
Can xdg-open open a different browser for specific URLs? I'd like xdg-open to use a non-default browser when I click a work related link. Maybe I could configure xdg-open to call a script when opening URLs. The script could open a different browser based on the URL host. It would be ideal, though, if xdg-open can do it without the script.
We consider the Beilinson--Bloch heights and Abel--Jacobian periods of homologically trivial Chow cycles in families. For the Beilinson--Bloch heights, we show that for any $g\ge 2$, there is a Zariski open dense subset $U$ of $\mathcal{M}_g$, the coarse moduli of curves of genus $g$ over rationals, such that the heights of Ceresa cycles and Gross--Schoen cycles over $U$ satisfy the Northcott property. For the Abel--Jacobi periods, we provide an algebraic criterion for the existence of a Zariski open dense subset of any family such that all cycles not defined over $\overline{\mathbb{Q}}$ are non-torsion and verify that this criterion holds for Ceresa cycles and Gross--Schoen cycles.