Dataset Viewer
Auto-converted to Parquet
tokens
sequencelengths
2.05k
2.05k
text
stringlengths
1.16k
41k
[ 253, 18740, 13, 285, 12932, 2072, 3053, 281, 41750, 468, 15, 187, 187, 3, 2773, 1711, 637, 2820, 281, 1408, 479, 745, 253, 6389, 865, 187, 187, 3, 1147, 434, 2032, 13, 32398, 15, 1583, 1158, 597, 4783, 816, 24516, 275, 432, 1457, 2816, 285, 1379, 352, 512, 449, 187, 187, 45787, 5055, 598, 12932, 2072, 285, 1691, 247, 11152, 5961, 275, 617, 6208, 15, 187, 187, 3, 2773, 44213, 368, 594, 47400, 275, 865, 500, 17936, 753, 281, 8438, 15, 346, 42, 369, 5686, 275, 326, 44213, 449, 187, 187, 24349, 1691, 598, 521, 3564, 15, 346, 1231, 1694, 642, 21546, 273, 3192, 689, 2717, 449, 187, 187, 52, 4595, 753, 13, 346, 2302, 5717, 368, 15, 844, 1694, 642, 40659, 281, 1379, 689, 634, 1495, 449, 187, 187, 3, 32832, 598, 937, 500, 17936, 753, 281, 617, 15, 346, 24349, 13, 6294, 634, 3416, 598, 15, 733, 434, 368, 309, 1694, 7596, 342, 449, 187, 187, 24349, 753, 16092, 13, 346, 1231, 1904, 626, 18607, 281, 755, 275, 253, 4766, 273, 2717, 15, 844, 8715, 626, 1014, 3735, 670, 667, 2588, 316, 9857, 672, 359, 4395, 275, 449, 187, 187, 3726, 497, 751, 767, 12682, 18752, 13, 751, 767, 38879, 14, 14653, 19269, 18752, 432, 247, 3846, 637, 434, 4669, 281, 253, 3216, 15, 2588, 316, 15, 9857, 15, 187, 187, 43, 17936, 11373, 15, 754, 11522, 15, 754, 7192, 1024, 15, 346, 1044, 284, 512, 987, 15, 596, 284, 512, 987, 449, 754, 22803, 521, 6951, 896, 3212, 779, 285, 2427, 281, 521, 2316, 15, 2091, 344, 2210, 896, 344, 369, 5877, 253, 6818, 14, 9389, 15, 346, 42, 943, 247, 1929, 1805, 685, 281, 1379, 275, 20823, 5852, 15, 2058, 434, 752, 1694, 479, 275, 7596, 1078, 449, 754, 11694, 264, 253, 3585, 14930, 13, 533, 4895, 352, 281, 521, 1930, 15, 346, 1394, 1158, 309, 1694, 352, 275, 479, 281, 5159, 32, 3166, 368, 32, 1737, 858, 368, 7525, 13, 846, 3811, 745, 619, 24737, 8, 246, 770, 447, 323, 253, 1390, 2800, 2607, 32, 1737, 368, 18505, 281, 1304, 281, 326, 4268, 284, 6086, 10520, 865, 187, 187, 3, 1231, 1053, 626, 971, 667, 48254, 13, 500, 17936, 449, 8438, 369, 1335, 22813, 387, 253, 2829, 13, 521, 4430, 1475, 253, 896, 273, 31336, 434, 6951, 15, 346, 1231, 1053, 626, 2098, 281, 1379, 2717, 689, 15, 844, 816, 2098, 281, 3553, 449, 187, 187, 3, 1231, 1472, 6501, 3553, 865, 31336, 2546, 779, 13, 26412, 13, 24192, 15, 187, 187, 24349, 11522, 15, 346, 6407, 2181, 275, 253, 4131, 13, 359, 1833, 3584, 598, 285, 564, 449, 1916, 31336, 344, 753, 13, 346, 1147, 434, 673, 281, 564, 13, 342, 253, 6858, 3551, 449, 187, 187, 3, 39312, 4754, 13, 352, 434, 1029, 673, 937, 500, 17936, 753, 15, 346, 1989, 368, 16326, 626, 6179, 7357, 642, 806, 2181, 275, 253, 4131, 15, 3973, 2181, 11608, 1476, 187, 187, 43, 17936, 2197, 20877, 281, 1361, 731, 3584, 598, 253, 44213, 15, 20058, 253, 1264, 273, 731, 4824, 253, 15596, 4697, 4176, 12866, 281, 253, 12673, 13, 253, 8913, 5309, 13, 253, 40602, 273, 8067, 15, 380, 9097, 3560, 731, 275, 285, 562, 273, 253, 44213, 13, 896, 285, 6593, 281, 253, 1113, 15, 500, 17936, 6225, 327, 253, 28392, 7487, 731, 342, 253, 5654, 18180, 327, 253, 1218, 4837, 13, 10678, 521, 2626, 3034, 30464, 273, 48347, 13, 1073, 2784, 16045, 15, 3599, 785, 1691, 253, 18740, 281, 3722, 15, 1500, 1904, 626, 4089, 31336, 1333, 281, 20877, 13, 346, 1231, 1472, 6501, 7664, 670, 368, 13, 5767, 20877, 15, 844, 1871, 1379, 368, 342, 441, 604, 359, 812, 449, 1707, 369, 642, 581, 281, 15729, 533, 20877, 13, 594, 31336, 285, 8438, 1097, 46486, 617, 3356, 685, 597, 1871, 3264, 281, 15, 187, 187, 3039, 597, 425, 1096, 27741, 13, 31336, 2546, 2139, 1904, 626, 597, 3523, 275, 387, 2305, 15, 10520, 434, 13, 5082, 626, 344, 1918, 731, 247, 5890, 1659, 281, 3297, 13, 285, 8438, 753, 6086, 10520, 369, 253, 1390, 1436, 344, 3078, 281, 923, 13, 285, 597, 497, 3164, 253, 1390, 344, 3078, 281, 923, 1512, 13, 1677, 849, 597, 1871, 19271, 779, 15, 1583, 574, 281, 755, 562, 432, 762, 779, 13, 8438, 753, 15, 754, 369, 9995, 1024, 597, 497, 6108, 762, 3835, 273, 2360, 15, 1583, 574, 816, 3531, 6146, 327, 253, 17657, 672, 31336, 753, 13, 346, 15021, 449, 1500, 1691, 617, 1133, 327, 8438, 434, 24784, 15, 8438, 7320, 689, 281, 253, 1930, 273, 253, 3644, 3971, 15, 1500, 753, 13, 346, 33564, 15229, 15229, 449, 1500, 31800, 253, 38458, 15, 346, 1231, 18298, 253, 29975, 41461, 449, 733, 369, 327, 253, 28392, 273, 253, 1943, 2419, 13, 835, 597, 1871, 873, 352, 253, 2360, 8438, 6311, 703, 369, 12821, 15, 187, 187, 3, 33564, 937, 8438, 753, 15, 187, 187, 3726, 2206, 275, 10837, 323, 247, 2774, 15, 3277, 2880, 314, 31336, 3407, 281, 3501, 15, 187, 187, 3, 1231, 1833, 320, 8261, 13, 12096, 759, 607, 449, 187, 187, 3, 5498, 626, 1067, 479, 326, 13, 8438, 449, 187, 187, 2993, 3589, 626, 17800, 689, 253, 29975, 41461, 15, 1500, 369, 17800, 323, 5972, 13, 984, 703, 574, 3663, 247, 3331, 13, 285, 984, 703, 1512, 369, 15866, 1020, 285, 9239, 1020, 285, 2120, 273, 8696, 15, 322, 2835, 275, 253, 1113, 387, 253, 1930, 273, 253, 3971, 13, 703, 3589, 626, 12821, 15, 4058, 7027, 574, 4307, 1128, 9328, 497, 6108, 253, 6389, 13, 597, 497, 4886, 896, 1728, 1128, 2858, 627, 369, 642, 13212, 275, 352, 15, 187, 187, 3, 13618, 13, 309, 9239, 253, 3684, 937, 703, 753, 15, 187, 187, 1147, 3589, 626, 752, 703, 5486, 4555, 13, 533, 3981, 352, 6518, 617, 2868, 352, 15, 14772, 13, 672, 617, 10450, 574, 43656, 715, 1633, 625, 5799, 28, 846, 703, 285, 8438, 574, 1160, 2389, 275, 1046, 439, 386, 1767, 628, 875, 12096, 285, 1457, 2816, 28, 846, 597, 1871, 1669, 253, 10031, 308, 275, 617, 18796, 434, 35664, 13, 347, 2167, 597, 1871, 816, 2668, 352, 562, 323, 247, 5508, 28, 846, 703, 2183, 8438, 13, 15150, 247, 34743, 1728, 13, 285, 17800, 1524, 12330, 13, 326, 703, 1871, 3663, 253, 6858, 28, 846, 597, 1871, 7244, 275, 28299, 285, 4395, 275, 342, 8438, 434, 3101, 13, 665, 34469, 1046, 2360, 387, 253, 2829, 323, 2656, 281, 39666, 247, 8357, 275, 31336, 434, 49009, 28, 285, 846, 247, 6858, 1904, 626, 1705, 13, 285, 1904, 626, 1705, 13, 703, 1871, 1007, 896, 387, 616, 673, 387, 253, 2831, 29488, 6389, 285, 6571, 9239, 3599, 785, 13, 665, 574, 767, 18740, 387, 253, 1072, 673, 13, 625, 685, 703, 574, 8463, 323, 13, 625, 1014, 685, 703, 3078, 15, 187, 187, 4125, 8438, 3531, 1475, 275, 521, 7319, 285, 11267, 78, 2961, 1475, 275, 253, 896, 15, 6166, 19852, 253, 8031, 273, 4176, 12866, 13, 344, 14287, 247, 8335, 273, 2687, 1945, 8067, 15, 346, 7745, 1060, 449, 44145, 762, 352, 369, 247, 47143, 273, 39339, 443, 249, 15, 187, 187, 52, 4595, 12918, 15, 8438, 4925, 323, 767, 273, 253, 40609, 285, 3534, 581, 281, 31336, 285, 2335, 581, 323, 2994, 15, 346, 42, 1871, 1333, 359, 1472, 6278, 13, 5082, 626, 368, 865, 187, 187, 11, 475, 475, 187, 187, 7834, 864, 571, 10520, 858, 417, 5007, 271, 5130, 12097, 533, 703, 858, 5007, 247, 50146, 15, 754, 369, 247, 1355, 13, 1029, 8862, 637, 275, 1755, 7856, 285, 246, 2310, 29091, 32936, 13, 751, 690, 2586, 4231, 6876, 13, 4907, 2745, 317, 484, 1639, 338, 1299, 15, 754, 14782, 253, 7856, 281, 1056, 2994, 1007, 38165, 15, 37496, 327, 253, 48733, 273, 247, 21175, 5202, 253, 1821, 574, 269, 5911, 13, 344, 3261, 13, 41112, 1869, 13, 751, 247, 331, 1064, 327, 247, 1501, 15, 187, 187, 510, 1821, 574, 2206, 327, 253, 5202, 331, 10628, 17485, 1475, 779, 13, 331, 10628, 326, 3261, 3562, 407, 2656, 323, 326, 4096, 13, 323, 616, 31654, 6105, 15, 346, 1231, 17246, 253, 6203, 835, 359, 1089, 15101, 937, 753, 45351, 1639, 338, 1299, 13, 346, 395, 359, 1089, 15101, 11678, 15, 36909, 3032, 4318, 1060, 275, 253, 7139, 2, 2594, 3032, 2454, 627, 275, 253, 38879, 2, 24916, 13, 512, 359, 1364, 513, 310, 1527, 776, 2927, 285, 13628, 2, 795, 2214, 253, 6203, 23238, 253, 31791, 273, 253, 878, 90, 13, 285, 26882, 3013, 417, 521, 16154, 26200, 346, 3808, 273, 253, 1821, 5965, 282, 433, 285, 14187, 2112, 342, 779, 13, 3981, 13, 346, 34, 3767, 937, 3981, 13, 346, 42, 4089, 368, 13, 6203, 1476, 41112, 11522, 2112, 13, 533, 344, 6376, 7107, 15, 754, 858, 417, 452, 247, 2798, 323, 2656, 15, 2656, 574, 15676, 1066, 521, 2419, 342, 521, 2021, 275, 352, 15, 2656, 574, 43656, 521, 3416, 434, 2798, 1411, 779, 15, 2656, 574, 1691, 779, 275, 13178, 15, 1876, 253, 1072, 13, 344, 651, 2581, 1790, 327, 436, 48733, 285, 8028, 281, 253, 637, 275, 253, 1755, 7856, 685, 2242, 1066, 3971, 15, 733, 369, 247, 6926, 13, 253, 806, 1388, 745, 275, 253, 2986, 1580, 597, 1871, 13207, 268, 3292, 253, 19967, 429, 15, 41112, 434, 13370, 913, 742, 275, 247, 1039, 326, 369, 2761, 17127, 13, 253, 1039, 597, 913, 742, 760, 846, 597, 1871, 574, 247, 4839, 281, 1551, 15, 380, 1210, 1487, 273, 521, 48500, 13, 432, 253, 44213, 868, 15, 3032, 896, 13, 521, 6174, 13, 3340, 253, 987, 15, 6279, 9721, 13, 253, 39622, 35195, 285, 378, 23229, 28, 3063, 253, 50146, 15, 1583, 497, 17714, 432, 253, 3416, 344, 7192, 281, 320, 48882, 434, 20606, 13, 6086, 10520, 434, 3416, 15, 1244, 11608, 13, 604, 2312, 369, 2032, 13, 627, 651, 320, 1529, 9140, 13, 436, 581, 432, 253, 259, 6702, 15, 187, 187, 3039, 253, 50146, 3531, 281, 253, 13534, 13, 285, 7560, 273, 39531, 593, 13, 41112, 858, 417, 6913, 15, 754, 858, 417, 1158, 273, 253, 12137, 326, 574, 3982, 779, 1060, 281, 253, 2986, 13, 534, 497, 417, 12137, 15, 754, 858, 417, 1158, 273, 2712, 15, 1292, 1996, 13, 846, 253, 50146, 1669, 285, 846, 253, 4260, 1201, 11484, 13, 253, 16154, 497, 2197, 281, 24329, 562, 2822, 253, 34112, 258, 8765, 15, 380, 3168, 1821, 497, 2197, 806, 13, 840, 253, 18010, 15, 380, 4314, 273, 731, 6225, 275, 247, 11561, 4194, 13, 616, 48500, 448, 1243, 13, 44636, 3746, 715, 253, 17819, 15, 2635, 597, 497, 2197, 281, 14841, 616, 3564, 285, 9365, 275, 253, 4829, 6079, 38879, 3722, 15, 41112, 812, 12345, 923, 521, 1211, 2454, 275, 253, 38879, 13, 1339, 3815, 2656, 434, 15, 1292, 17303, 745, 715, 253, 2553, 13, 17303, 1066, 253, 3038, 1669, 275, 253, 1388, 13, 41112, 858, 1158, 840, 273, 50105, 555, 327, 500, 17936, 434, 3722, 13, 253, 27887, 5200, 419, 4837, 1066, 327, 617, 896, 15, 1244, 1293, 4495, 281, 13, 521, 2564, 1925, 598, 697, 5406, 273, 521, 4651, 18752, 281, 616, 15746, 13, 285, 347, 597, 6497, 616, 4454, 9461, 598, 1128, 32618, 13, 10467, 4002, 1128, 395, 521, 2927, 331, 1947, 15, 187, 187, 1328, 6821, 6948, 521, 2454, 342, 253, 5412, 1824, 15, 754, 11898, 521, 1481, 751, 247, 4370, 15, 11706, 39163, 1076, 13, 344, 44494, 521, 39856, 3564, 275, 521, 549, 2503, 953, 15, 8948, 352, 3589, 626, 2656, 665, 1871, 1691, 779, 275, 13178, 15, 8948, 352, 3589, 626, 1014, 3168, 1821, 15, 8948, 352, 369, 581, 3168, 637, 15, 329, 637, 665, 1871, 2326, 281, 352, 281, 50117, 348, 247, 33533, 327, 521, 6389, 13, 285, 840, 281, 564, 327, 4882, 42219, 281, 41112, 434, 1429, 15, 1244, 627, 344, 6940, 285, 39085, 13, 816, 1066, 253, 19967, 429, 13, 1293, 247, 1557, 275, 253, 1533, 15, 187, 187, 1328, 3078, 281, 5321, 690, 9239, 323, 253, 259, 6702, 533, 11608, 253, 259, 6702, 1160, 352, 1892, 281, 9239, 779, 15, 733, 369, 6926, 13, 285, 281, 1978, 731, 5211, 285, 2444, 1529, 2129, 344, 12668, 715, 253, 2986, 342, 767, 665, 373, 275, 521, 9988, 15, 346, 58, 8, 455, 3076, 8290, 268, 3292, 247, 1175, 3971, 15, 309, 3982, 340, 8, 455, 247, 10921, 323, 1146, 594, 1175, 449, 41112, 574, 644, 342, 581, 273, 731, 13, 253, 5662, 581, 13, 387, 253, 9635, 2986 ]
the babies, and Winna started to whimper. "That old man trying to run me off the farm?" "It's true, Daddy. They think they gone just sweep in from New York and take it all." Nan picked up Winna and put a chicken bone in her mouth. "That shack you so cozy in?" Juke said to Jim. "I was born in that shack." Jim put up his hands. "We got no intentions of taking over nothing." Sara said, "No thank you. We got no aspirations to take over your life." "Shut up," Juke said to her. "Jim, shut your woman up. It's you I got trouble with." Jim said quietly, "We didn't intend to get in the middle of nothing. We hadn't even heard about any Genus Jackson when we moved in." They were like two shoes dropping, like two creek-filled boots dropping from a dead man's feet to the ground. Genus. Jackson. Juke smiled. He nodded. He understood now. "Thas all right. Thas all right." He slid his chair back behind him and went to his room. When he came back he was holding the twenty-two. "I should a known better than to take in outsiders. At's what got me in trouble before." He cocked the revolver, but returned it to his side. "You think I got it in me to kill? Do you? What did you conclude, after living off my cows' titties for the last six months? What you fixing to report to that Judas George Wilson?" "We don't want any quarrel, Juke." Jim was still seated at the table, his arm around the back of Sara's chair. "We don't plan to take nothing over. We just plan to leave." "We're gonna leave?" Sara asked him, pleading, relieved. Jim nodded. "First thing in the morning, we'll pack up and go." To Sara he said, "It's time to go, with the baby coming." "Hell yes, it's high time," Juke said. "But you ain't waiting till no first thing in the morning. First thing tonight!" Juke sent Nan to help them pack up the shack. Together the three of them carried the pasteboard suitcases to the Ford, the banjo, the bolts of fabric. The dogs followed them in and out of the shack, back and forth to the car. Juke stood on the porch watching them with the gun resting on the railing, drinking his third tumbler of gin, dipping tobacco. Elma put the babies to bed. She didn't hear Sara say to Nan, "We're gonna worry about you, Miss Nan. We'd take you with us if we could." There was no one to hug but Nan, so Sara and Jim both hugged her longer than they'd expected to. When they neared Florence, Sara asked why didn't they stop in at Mr. Wilson's, wouldn't he give them a warm place to stay, and Jim said George Wilson was the last person he wanted to see, and they were probably the last he wanted to see too, given how they'd disappointed him. They had to get out from under him, Jim said. He was glad now they were leaving under cover of night. They had just turned north on the highway when Sara said, "Stop." She put her hand on Jim's elbow. Jim pulled over to the side of the dark road. She said, "Damn damn damn." She slammed the dashboard. "We forgot the gramophone." It was on the porch of the big house, where they'd set it the night Jim learned she was pregnant. "Damn," Jim said. They sat in silence for a moment. Quietly Sara began to cry. "We'll be okay, Georgia peach." "Don't call me that, Jim." She wasn't crying over the gramophone. She was crying for herself, because she had lost a friend, and because she too was spiteful and hateful and full of lies. Sitting in the car at the side of the road, she wasn't pregnant. Her lie had worked—they were leaving the farm, they were moving back home—but there was no satisfaction in it. "God, I hate the South," she said. It wasn't what she meant exactly, but saying it helped her believe it. Later, when her feelings had hardened into something more distinct; after she and Jim had made love in every shantytown between Georgia and New York; after they'd left the Model T in her uncle's driveway, as though they'd just taken it out for a spin; after she told Jim, riding a freight home, and crying real tears, that she'd lost the baby; after they'd arrived in Buffalo and moved in with Jim's mother, who prayed every night at the table for God to sow a seed in Sara's womb; and after a baby didn't come, and didn't come, she'd look back at their time at the crossroads farm and mostly hate Elma, who had two babies at the same time, more than she had milk for, more even than she wanted. Now Jim turned around in his seat and rummaged around in the back. Underneath the stack of suitcases, he lifted a sheet of damask fabric. "Look here." Hidden under it was a crate of Cotton Gin. Sara laughed. Jim reached for two of the jars and gave one to Sara and took one for himself. "I'd say we're square, wouldn't you?" * * * Parthenia Wilson did not send an eye patch but she did send a preacher. He was a small, high yellow man in top hat and tuxedo tails, like some country magician, named Teacup Clifton. He wore the hat to make himself look taller. Standing on the stump of a pine tree the men had felled, he looked, Sterling thought, like a stork on a post. The men had sat on the tree stumps scattered around him, stumps that looked created by God for that purpose, for their wilderness church. "We worship the Lord where we find Him," said Reverend Clifton, "and we find Him everywhere. Hear His voice here in the trees! See His face there in the creek! Brothers, all we must do is open our eyes and ears! _For the Lord hears the cries of the needy, and despises not his prisoners!_ " Some of the men hollered and cried along with him, saying, "Amen," saying, "I hear you, Lord!" Sterling nodded along, but he remained quiet. He did not have a heart for God. God had burned down his house with his family in it. God had hardened his woman's heart against him. God had put him in chains. All the same, he would rather sit on this stump and listen to the man in the top hat than lay down road. It was a Sunday, the first day off in the camp since they'd begun paving the Straight. Sterling's muscles ached in a way that was almost pleasant, the way they ached only after they'd had a chance to rest. The insides of his ankles, from the shackles. His back, his arms, especially the right. Yesterday, the fry cakes and Bibles; today the preacher. They were gifts from the woman he understood to be Freddie's grandmother, George Wilson's woman. And tonight, if talk was true, there would be another gift, this one from the warden. When the preacher turned to the Bible, and spoke of repentance, Sterling did not nod. He did not think of the crimes that had brought him here to the camp, which were not crimes. He did not think of anything. But later, after the preacher left and after the midday meal, the prisoners were sent to piss out near the turkey oaks. The white men were sent first, then the colored. The eight of them stood in a silent row, their ankles chained, draining themselves into the dirt. Then they were sent to wash their hands and faces in the nearly dry creek bed. Sterling could barely see his own face in the creek, let alone God's. But staring off into the surface, staring down the hours left in the day, Sterling did think then of Ketty on Juke's bed, the pearls hailing down on her back. And without meaning to, his mind called up its picture of his parents dropping to their knees, and as they fell their names rose up—Joseph, Lucille—and his eyes stung. He splashed his face with the cold water. He shook his head like a dog. Still squatting, he warmed his numb hands in his armpits. Maybe it wasn't God who'd put him in chains. Maybe it wasn't even white men. Maybe it was one white man. A man who'd seen to it to lynch a Negro on his farm, and then to go on playing daddy to Sterling's child. And there he lived and breathed, just down the Straight, without a care in the world. He wanted to save some hate for the warden but tonight the warden made it hard to hate him. It was Sunday, and to keep them happy and working another week he drove into the camp with two whores in his truck. "Y'all bad boys paving a good road. I brought y'all a reward for being so good." Sterling had been with one of them, the older one, at the county camp
[ 273, 11878, 273, 3388, 17575, 273, 2169, 4730, 285, 6561, 2143, 31329, 313, 2387, 2552, 187, 187, 24, 329, 20179, 24556, 496, 2710, 4088, 13, 7418, 8607, 432, 1475, 253, 20902, 452, 2530, 3280, 281, 436, 2199, 13, 534, 253, 4477, 47444, 14409, 15, 380, 4477, 651, 751, 281, 5717, 275, 1798, 776, 5213, 47267, 399, 15, 18673, 2516, 10228, 13, 3344, 21995, 77, 518, 285, 15315, 300, 6470, 7373, 323, 5277, 616, 15040, 387, 2710, 8661, 273, 253, 2199, 28, 352, 369, 38089, 323, 20462, 253, 1263, 285, 16472, 253, 6260, 327, 534, 436, 2457, 1304, 310, 1754, 15, 5488, 13, 253, 4477, 651, 751, 281, 14409, 253, 1329, 275, 941, 2561, 285, 7914, 2530, 407, 253, 3872, 10071, 27, 8698, 914, 1063, 285, 32319, 706, 14089, 77, 313, 16796, 5182, 582, 1219, 1988, 38437, 313, 19642, 14, 45353, 582, 500, 20191, 611, 45456, 2381, 285, 19173, 284, 367, 1441, 76, 6836, 313, 17398, 1373, 582, 16219, 7766, 611, 6002, 785, 13, 500, 1954, 91, 339, 71, 8582, 27544, 285, 1503, 3467, 91, 84, 9045, 7188, 375, 91, 893, 313, 38288, 552, 582, 330, 16130, 552, 21009, 12040, 68, 928, 8311, 518, 313, 7638, 395, 582, 7511, 8901, 28608, 615, 8432, 313, 40086, 267, 10, 285, 388, 10320, 2399, 4913, 313, 17967, 9733, 481, 6610, 13, 253, 4477, 651, 751, 281, 14409, 253, 7680, 273, 418, 8885, 3044, 8677, 4926, 13, 28084, 7896, 2398, 14573, 46668, 285, 15657, 6362, 411, 2381, 296, 248, 249, 5969, 13, 665, 6518, 2589, 4114, 2561, 285, 3157, 253, 3872, 285, 20407, 5012, 15, 2552, 187, 187, 25, 16155, 18435, 23230, 4730, 2718, 452, 644, 285, 403, 1335, 11372, 342, 253, 4836, 273, 49625, 5675, 3904, 273, 3484, 1293, 48637, 253, 3290, 273, 4730, 13, 285, 1293, 6153, 26016, 25930, 275, 2289, 285, 12240, 15, 733, 310, 275, 436, 3634, 326, 13001, 452, 644, 19427, 253, 6654, 273, 1345, 285, 3055, 5300, 342, 253, 4736, 273, 17170, 625, 25495, 13, 625, 3576, 13, 285, 625, 18380, 2169, 4730, 2718, 15, 3749, 21320, 20407, 941, 5239, 921, 326, 689, 253, 1390, 767, 8007, 13, 627, 556, 644, 247, 2087, 5333, 4404, 4067, 10764, 273, 3055, 8362, 273, 2169, 4730, 313, 2887, 10372, 374, 481, 831, 5333, 369, 6786, 8127, 949, 12976, 3081, 390, 2169, 24528, 432, 35096, 8128, 313, 32307, 23776, 5974, 9021, 13441, 380, 4473, 273, 2105, 14, 35870, 310, 908, 1060, 281, 7409, 436, 5333, 275, 253, 6654, 273, 1345, 285, 3055, 8362, 15, 25773, 275, 253, 1039, 4815, 403, 6096, 476, 1379, 2067, 4948, 13, 1690, 253, 10199, 273, 35096, 8128, 835, 597, 858, 417, 3786, 2226, 390, 247, 9479, 2572, 835, 597, 2168, 858, 15, 1583, 476, 671, 6388, 247, 5141, 390, 1014, 247, 24250, 273, 5974, 16311, 390, 5974, 10119, 34371, 313, 433, 86, 960, 275, 5974, 8596, 671, 12647, 271, 2572, 275, 253, 3055, 8362, 3309, 281, 3835, 11331, 285, 3811, 4815, 582, 533, 671, 1345, 7823, 326, 11907, 47132, 420, 15036, 432, 247, 11306, 14761, 1701, 1345, 8776, 281, 247, 1199, 1679, 14761, 1701, 13, 9801, 14, 6820, 3055, 8776, 15, 23359, 387, 2105, 14, 35870, 432, 253, 5974, 8668, 13, 253, 1263, 41685, 1316, 265, 327, 5974, 2036, 16736, 84, 13, 891, 15, 70, 15, 2264, 4815, 32708, 407, 247, 5974, 846, 8180, 273, 35096, 8128, 285, 36948, 1263, 8596, 15, 4952, 275, 4343, 1293, 35096, 8128, 13, 627, 310, 1335, 247, 6832, 2408, 273, 2105, 14, 35870, 984, 642, 2169, 4730, 985, 10949, 3484, 8, 11331, 285, 3811, 4815, 4336, 15, 733, 310, 919, 78, 1701, 326, 271, 2572, 275, 3055, 4815, 281, 3484, 588, 3486, 327, 253, 8770, 273, 3484, 15, 380, 1263, 671, 4453, 387, 253, 15772, 1930, 273, 2105, 14, 35870, 285, 2340, 684, 253, 6890, 6654, 273, 1345, 285, 3055, 24528, 323, 2169, 4730, 10003, 313, 1922, 2513, 481, 4325, 253, 8668, 273, 10197, 2513, 13, 2105, 14, 35870, 8687, 2544, 281, 253, 3894, 273, 1345, 285, 3055, 8362, 347, 6021, 4973, 313, 395, 3021, 253, 9056, 2554, 273, 35096, 8128, 13, 3310, 6021, 13, 40174, 12189, 23866, 13, 3966, 15, 347, 10066, 281, 1375, 8362, 481, 3932, 247, 1818, 281, 436, 6654, 11852, 253, 4103, 6349, 273, 841, 4973, 13, 352, 310, 8025, 326, 352, 588, 671, 1818, 253, 8770, 273, 10197, 2513, 15, 7567, 732, 272, 327, 253, 3264, 16274, 327, 5974, 285, 10197, 42, 32536, 273, 2544, 281, 253, 2105, 14, 9628, 6654, 13, 253, 1263, 310, 29318, 407, 1740, 24316, 347, 629, 273, 247, 2014, 11088, 3646, 1783, 1566, 15, 380, 24316, 2619, 598, 327, 2234, 7794, 273, 32435, 13, 12510, 285, 17382, 273, 35096, 9801, 7823, 275, 2169, 4730, 15, 25295, 4521, 261, 329, 27, 1284, 3055, 8362, 5459, 13, 2264, 11784, 273, 10197, 2513, 5459, 15, 25295, 4521, 261, 378, 27, 1284, 253, 26911, 281, 6233, 3055, 8362, 2572, 13, 10197, 2513, 2489, 625, 20876, 281, 5974, 4831, 15, 25295, 4521, 261, 330, 27, 38078, 3055, 8362, 556, 247, 4016, 1055, 327, 5974, 4831, 15, 25295, 4521, 261, 399, 27, 38078, 3055, 8362, 11852, 5974, 4327, 273, 849, 285, 752, 281, 1263, 15, 1916, 7409, 849, 1345, 285, 3055, 8362, 4391, 285, 752, 3486, 2710, 2544, 452, 574, 327, 253, 8770, 273, 10197, 2513, 285, 3484, 13, 253, 4477, 4824, 562, 7457, 12082, 2586, 1083, 2175, 5093, 4343, 432, 253, 5284, 6398, 285, 767, 432, 3345, 3060, 313, 16796, 5182, 13, 6144, 13, 5854, 13, 25270, 13, 6176, 13, 29171, 13, 16795, 13, 24084, 285, 2552, 187, 187, 26, 3684, 9733, 481, 831, 5438, 273, 4343, 3400, 247, 5235, 273, 7533, 275, 534, 281, 7409, 253, 2538, 15, 13773, 4343, 497, 6777, 2556, 281, 253, 1563, 6866, 27, 23365, 7031, 13, 5054, 4757, 13, 3072, 1979, 13, 47132, 420, 3904, 13, 3894, 273, 3484, 275, 3055, 2169, 4730, 13, 4248, 273, 1345, 8362, 273, 10003, 13, 2544, 281, 3055, 8362, 13, 35096, 9801, 3646, 13, 285, 5974, 4832, 8596, 8332, 15, 733, 369, 919, 78, 1701, 326, 253, 4343, 342, 253, 5962, 285, 954, 5233, 15036, 275, 35096, 9801, 3646, 651, 320, 954, 4722, 323, 253, 1263, 13, 1580, 824, 15036, 812, 320, 3264, 281, 452, 3687, 3486, 327, 5974, 285, 10197, 42, 8770, 685, 247, 3839, 6474, 35096, 9801, 3646, 15, 1198, 436, 1921, 253, 2219, 497, 8085, 715, 16196, 10533, 4343, 313, 16796, 5182, 13, 5854, 13, 6176, 285, 24084, 10, 285, 21815, 4343, 313, 32235, 13, 25270, 13, 29171, 13, 16795, 285, 3684, 9733, 10, 323, 253, 1783, 15, 380, 2829, 2708, 10262, 247, 6010, 18389, 273, 253, 2586, 6127, 313, 66, 7000, 18389, 273, 253, 16936, 476, 320, 1119, 275, 5270, 374, 15, 19, 273, 253, 2022, 1304, 481, 42372, 273, 35096, 9801, 3646, 1818, 275, 253, 2180, 273, 5839, 20930, 273, 9801, 14, 12080, 272, 3484, 32483, 5854, 8065, 5854, 5403, 6176, 5403, 16, 2922, 21753, 6585, 659, 19416, 253, 1072, 25270, 24084, 6469, 313, 45353, 4050, 2888, 3684, 9733, 6144, 44398, 833, 16795, 21753, 4748, 6176, 29171, 26056, 2408, 5087, 591, 5974, 44398, 833, 659, 19416, 253, 1072, 32483, 5838, 27, 2091, 642, 807, 310, 1677, 352, 2097, 326, 667, 1818, 369, 26830, 15, 313, 45353, 4050, 2888, 27, 380, 4050, 35096, 9801, 8460, 275, 5854, 310, 3345, 253, 2180, 273, 5839, 273, 436, 1263, 13, 533, 588, 320, 2908, 835, 941, 403, 2130, 285, 4623, 323, 253, 4096, 273, 436, 1263, 15, 1198, 1016, 1083, 1263, 2586, 13, 247, 7000, 3872, 1304, 347, 40275, 512, 253, 3603, 273, 253, 9056, 2105, 14, 35870, 985, 281, 4751, 18047, 253, 6654, 875, 1345, 285, 3055, 4973, 273, 24528, 313, 2887, 3313, 27241, 275, 253, 2022, 30762, 481, 11505, 41429, 4325, 253, 1783, 13, 359, 476, 1056, 253, 1563, 7313, 342, 1675, 281, 849, 2544, 275, 8128, 2818, 3484, 285, 10003, 27, 23230, 4730, 941, 2718, 3464, 18464, 380, 1375, 273, 253, 941, 3058, 281, 12054, 2939, 253, 1375, 273, 2289, 281, 2169, 4730, 310, 275, 1142, 4343, 9648, 4105, 15, 496, 690, 4343, 19836, 29171, 285, 16795, 1014, 13546, 2969, 1491, 670, 15772, 6021, 4973, 310, 4829, 7479, 15, 5488, 13, 1014, 12544, 253, 1268, 273, 35096, 8128, 534, 403, 2686, 5087, 4558, 2834, 275, 690, 34853, 15, 831, 4112, 10262, 247, 7658, 323, 3646, 14, 3169, 2561, 327, 247, 3872, 1268, 13, 533, 2789, 2175, 26400, 281, 2085, 247, 10237, 1941, 2613, 327, 247, 2831, 14, 25736, 1268, 1014, 625, 11132, 15, 380, 11815, 8392, 432, 436, 1263, 1364, 320, 11575, 275, 253, 1708, 273, 436, 958, 15, 2552, 187, 187, 740, 380, 10199, 273, 35096, 8128, 3798, 2789, 253, 985, 1805, 14, 2727, 4583, 13, 407, 3629, 253, 2264, 2408, 273, 5300, 2130, 380, 1263, 20097, 326, 347, 3055, 8362, 323, 10003, 2559, 13, 1345, 24528, 858, 417, 5257, 281, 6379, 13, 9745, 670, 271, 4583, 2572, 275, 15772, 6021, 313, 39355, 4521, 261, 329, 13, 923, 10372, 495, 481, 380, 9079, 369, 5762, 970, 591, 14, 39095, 6021, 432, 1345, 285, 3055, 4973, 347, 247, 5044, 15301, 15, 733, 369, 1119, 326, 323, 954, 4343, 285, 9894, 273, 673, 13, 253, 9079, 476, 320, 16058, 15, 380, 1643, 9894, 273, 11052, 1345, 591, 39095, 6021, 40575, 281, 12475, 273, 2057, 5054, 8891, 313, 32235, 275, 253, 7901, 84, 10, 390, 7863, 47132, 420, 3116, 313, 7638, 395, 275, 253, 7901, 84, 281, 2393, 5307, 84, 13, 21753, 275, 253, 3563, 5307, 84, 481, 496, 841, 2173, 2219, 13, 4583, 5974, 8362, 313, 4387, 285, 3055, 8362, 5678, 10, 671, 6137, 13, 891, 15, 70, 15, 3055, 8362, 369, 417, 908, 281, 23514, 323, 436, 15, 5595, 4722, 432, 247, 3646, 7103, 8668, 403, 2219, 275, 534, 13001, 574, 253, 4736, 273, 9745, 670, 15036, 275, 253, 2105, 14, 35870, 6654, 15, 6102, 9437, 497, 1160, 275, 1740, 273, 253, 1083, 1263, 4343, 27, 21753, 13, 5854, 13, 6176, 285, 24084, 15, 733, 369, 2011, 326, 432, 247, 15846, 4832, 1127, 273, 1859, 13, 253, 35096, 9801, 23809, 275, 6176, 285, 24084, 497, 31381, 16453, 275, 7990, 15, 7160, 4388, 369, 281, 2085, 253, 985, 342, 625, 8064, 13, 1293, 26401, 30897, 253, 12687, 593, 273, 253, 1345, 8776, 275, 2169, 4730, 8362, 15, 380, 1783, 2692, 326, 436, 8103, 369, 6786, 275, 24084, 285, 281, 690, 4248, 275, 6176, 15, 496, 21753, 13, 253, 3302, 4736, 273, 16984, 35096, 8128, 4620, 281, 452, 644, 281, 3324, 670, 247, 2036, 5333, 275, 253, 2105, 14, 35870, 6654, 2581, 685, 281, 2572, 253, 8064, 2130, 281, 253, 985, 15, 1310, 436, 310, 253, 3451, 7914, 273, 253, 6944, 3646, 7342, 13, 840, 253, 29535, 8460, 476, 320, 2783, 247, 313, 14458, 14, 27379, 10, 2323, 27, 253, 10199, 273, 35096, 8128, 4391, 253, 2105, 14, 35870, 6654, 13, 533, 858, 417, 1421, 281, 271, 2572, 275, 4583, 8064, 323, 10003, 15, 496, 5854, 13, 275, 4499, 281, 253, 643, 1264, 16196, 10533, 4343, 5421, 1060, 13, 253, 35096, 9801, 23809, 47516, 13657, 253, 985, 715, 247, 1566, 275, 534, 3055, 9021, 5752, 347, 247, 2022, 39642, 273, 15772, 8362, 273, 2169, 4730, 15, 37664, 253, 954, 3332, 8460, 432, 4050, 313, 4609, 2550, 2568, 320, 6760, 10481, 984, 352, 310, 594, 3332, 10, 2722, 247, 2590, 5333, 281, 3055, 8362, 273, 2169, 4730, 342, 49005, 285, 7848, 1430, 3237, 1146, 26353, 4215, 949, 247, 11088, 1345, 10119, 6974, 15, 380, 5300, 12103, 949, 747, 9801, 14, 13472, 6021, 403, 417, 1900, 22171, 275, 4088, 326, 651, 320, 3264, 281, 591, 916, 4360, 3157, 253, 5974, 2793, 844, 3877, 326, 2439, 247, 1180, 273, 1083, 2175, 13, 253, 14955, 689, 673, 369, 323, 3484, 468, 14, 34632, 11878, 281, 6054, 13, 1014, 672, 15772, 6021, 591, 14, 39095, 369, 11002, 15, 831, 310, 247, 1953, 273, 10197, 42, 8770, 6949, 970, 25295, 4521, 261, 378, 313, 2887, 10372, 577, 481, 380, 954, 9559, 1650, 273, 436, 369, 275, 6144, 13, 835, 5974, 14, 442, 12844, 11878, 9461, 49917, 595, 407, 1384, 6, 1014, 347, 591, 14, 39095, 6021, 9461, 407, 3387, 7739, 3308, 13, 1223, 352, 778, 320, 2032, 326, 8128, 1056, 10003, 1805, 745, 13, 597, 513, 417, 7933, 1056, 323, 247, 1805, 5974, 2793, 13, 1014, 672, 591, 14, 39095, 6021, 310, 11002, 15, 1707, 403, 1264, 2022, 4606, 2139, 436, 310, 594, 15, 35346, 13, 275, 690, 2219, 13, 747, 8064, 403, 9940, 281, 7466, 2581, 685 ]
of ratios of average wages of higher education and secondary school graduates ( ) May 7 Acknowledgements In various ways, numerous researchers from around the globe have provided input to this project, which the authors gratefully acknowledge. The authors would like to thank in particular our international advisors D. Bruce Johnstone, Jan Sadlak and Jamil Salmi for providing their expertise at various stages of the project; it was invaluable for designing the study and conducting the analyses on which this final report is based. Furthermore, the authors would like to acknowledge the support in data research and interpretation provided by the national experts: Martin Unger and Jakob Hartl (Austria), Alison Johnston (UK-England), Jussi Kivistö and Elias Pekkola (Finland), Tamás Kozma, József Temesi and Zsuzsanna Veroszta (Hungary), Cezary Kościelniak (Poland), Luisa Cerdeira (Portugal) and Hwanbo Park (South Korea). Finally, the authors would like to acknowledge the contribution of Lori McElroy, Pamela Marcucci and Ronja Wöstheinrich, who helped conduct background research and improve the national and comparative reports. May 8 Executive Summary Higher education systems have been and are still faced with the task of accommodating growing numbers of students without compromising the quality of education, and without creating undesirable inequalities in access and completion. It is in this context that governments have been adjusting the balance of public and private resources with the goal of achieving more inclusive, more effective, and more sustainable higher education systems. Internationally comparative data sets show that over the last two decades, there has been a general shift towards larger shares of private funding of higher education (see Chapter 2). This shift was achieved largely through raising additional or higher revenues from tuition fees (sometimes termed student contributions ). The concept of cost-sharing is used here to investigate this shift in the balance of public and private funding. Changes in the way costs are shared can take several forms, including the introduction of tuition fees where they did not previously exist or a sharp increase where they already did. They can also involve a reduction or even a freezing of student grants or student loan subsidies (reductions in student aid also constitute an increase in the private funding necessary to cover educational and living costs), but also public policies that encourage enrolment shifts from a heavily subsidised public sector to a much less subsidised, fee-dependent private sector. Looking at cost-sharing from the student perspective, the study focusses on student netcosts, i.e. total costs borne by a student after consideration of tuition fees and compensatory study aid. Even in countries without tuition fees, there is still a substantial amount of cost-sharing because no higher education system covers students' educational and living costs completely. It is surmised that an increase in private costs to students will impact on the behaviour of students. The study also looks at the institutional side of cost-sharing and investigates the changing balance of public and private revenues for higher education institutions (HEIs). From the perspective of HEIs, cost-sharing involves changes to the share of public and private funding as income sources (and thus the respective role of tuition fees, contract income, philanthropic donations, etc. as opposed to state funding). Since a change to this balance affects the relative importance of these sources, it is assumed that it will also change the behaviour of HEIs. Reflecting on the expected impacts on student and HEI behaviours of changes to the cost- sharing balance, the study is framed by four hypotheses as part of a single comprehensive policy analysis model. The hypotheses pick up on key aspects of sustainability, effectiveness and equity of tuition fee policies in higher education. Hypothesis A: As private funding increases, total revenue of HEIs increases. Hypothesis B: As the incentives to earn private funding increase, HEIs become more responsive to student demand. Hypothesis C: Increasing private funding has a negative effect on student demand. Hypothesis D: Increasing private funding affects student choice of how and what to study. To investigate how public and private funding changed and what impact various changes have had on the behaviour of HEIs and students, the authors carried out nine systematic country case studies seven countries from the European Union and two from outside Europe (Austria, Canada, England, Finland, Germany, Hungary, Poland, Portugal and May 9 South Korea). This selection of countries provides a variety of settings in which to investigate the effects. Different countries were chosen according to the following criteria: geographic coverage, economic strength, population size, enrolment numbers, share of students in private higher education, degree of public funding of institutions, changes to private funding, tuition fee policy, and student financial aid provision. It was surmised that the countries with the biggest and most rapid shifts in tuition fee policy would be most interesting for the study, since such shifts could be expected to have greater impact on student and HEI behaviour than a generally stable tuition fee policy. For this reason the cases were split into discontinuity countries (Austria, England, Germany and Portugal) and continuity countries (Canada, Finland, Hungary, Poland and South Korea) for the analysis. The table below presents a summary overview of the country patterns (a detailed overview of the developments can be found in Table 2.2 of the main report). Overview of tuition fee policy change in the period of investigation Share of fee-paying students Increased England 1998 England 2006 Germany 2006/07 Austria 2001 Stayed the same Finland Portugal 2003 (England 2012)* South Korea Canada Decreased Poland Austria 2009 Germany Hungary Average amount paid per student Decreased Stayed the same Increased Note: When no year is given it means that any change was gradual. (England 2012)*: The 2012 tuition fee reform in England is outside the period of investigation of this study, but will be included where data are available and relevant for the purpose of this study. For each case study country, a detailed national report assembles all the elements of the respective cost-sharing system to fully portray the balance between public and private sources of revenues (see National Reports in the main appendix). Main Findings From the analysis, we can make the following observations with respect to how changes in fees affect students and institutions: Higher education data systems remain incomplete The state of the data needed to reasonably assess the state of access to higher education is in many countries fairly poor. In some countries notably Hungary and Poland even obtaining simple information about institutional income sources is nearly impossible. Furthermore, even tracking the level of tuition fees which are actually paid remains difficult in some jurisdictions. This situation presents a constraint for policy-based research on a national level, but makes studies aiming to provide a robust evidence base on a cross-national level even more challenging. The conclusions drawn from this study must be viewed in the light of this fact. May 10 The introduction of tuition fees usually makes the system better-off overall, by increasing the total amount of resources available The study concludes that as private funding for institutions increased, public revenues did not tend to decrease, bringing about an overall increase in institutional income (Hypothesis A, see Chapter 3). The hypothesis was tested using per-student income from public and private sources as a basic indicator. It was found that for most countries and periods of time, the hypothesis can be verified. The few periods of decreasing public perstudent income corresponded to phases of either economic crisis (Canada in the 1990s) or massive enrolment growth (Poland in the 1990s to early 2000s, Austria in the late 2000s). In these specific cases, overall student funding (public and private funding combined) also decreased, i.e. private funding was not used to compensate for this. Most interesting from a policy evaluation perspective are cases in which governments had the goal of bringing about shifts in the cost-sharing balance. Such attempts were made in four of the case study countries: Austria, England, Germany and Portugal. It was shown that from a purely financial point of view, the tuition fee reforms in Germany and Portugal were comparatively modest in scope. Their aim was to provide the system with more funds, without fundamentally altering the predominance of the public sector in higher education funding. The analysis showed that this objective was achieved in Portugal and to some degree in Germany. In Austria, the initial goal of introducing tuition fees appears to have been to bring about a net shift in the cost-sharing balance rather than to increase the funds available to the system. If this is the correct interpretation of the underlying policy goals, then the Austrian reform can be considered a (short-lived) success: the introduction of tuition fees changed the cost-sharing balance, but did not lead to an increase in overall funds for institutions. In England, in contrast to the other three discontinuity countries studied here, the tuition fee reforms successively transformed the system into a model in which private contributions serve as a mainstay of institutional funding of higher education. Particularly the most recent reform from 2012 (which cannot yet be evaluated sufficiently because it is so recent) shows a clear shift to private funding of higher education with liquidity and affordability problems being alleviated through a comprehensive public loan scheme. The resources gained through new fee-derived income are not always invested in ways that would be expected to perceptibly improve the student experience We note that across a number of case studies, the tendency over time was for studentsper-staff ratios to rise, even when institutional income per-student was rising. This is a question of HEI behaviour investigated using Hypothesis B (see Chapter 4). The most extreme example of this was in Canada, where student-teacher ratios rose detrimentally by 20% even as per-student income rose by 40%. Thus, while it may be true that fees make institutions better off, they do not necessarily make for a better student experience, even when per-student income is rising. There are three main reasons why this is so. Firstly, in some cases, new funds are dedicated to expansion rather than
[ 25811, 226, 107, 169, 221, 118, 10608, 112, 219, 169, 235, 118, 20959, 166, 111, 110, 44028, 235, 13, 7775, 9223, 27997, 104, 15074, 243, 7775, 44140, 44799, 24169, 25811, 226, 107, 169, 221, 118, 39343, 7775, 102, 211, 29333, 232, 15074, 243, 46438, 123, 27997, 110, 470, 28774, 216, 31912, 17778, 3575, 709, 1416, 568, 631, 3, 4725, 24169, 25811, 235, 118, 33057, 99, 169, 221, 118, 7775, 219, 239, 41639, 35296, 7775, 102, 211, 29333, 232, 167, 227, 104, 31912, 8239, 3575, 31, 187, 50272, 29, 22558, 38921, 568, 53, 27, 7761, 15, 22388, 13141, 5330, 1138, 187, 50270, 29, 3575, 709, 1416, 568, 12025, 3, 4725, 35061, 3635, 28774, 216, 31912, 8239, 22558, 31, 187, 50272, 29, 22558, 38921, 568, 53, 27, 7761, 15, 22388, 5677, 4527, 12519, 5330, 1138, 187, 50270, 29, 3575, 709, 1416, 568, 631, 3, 4725, 20959, 654, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 10292, 11688, 3, 4725, 167, 113, 114, 21465, 32115, 228, 33057, 115, 31912, 15, 167, 235, 227, 24169, 654, 3575, 709, 1416, 568, 631, 3, 4725, 35061, 654, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 9684, 11688, 3, 4725, 167, 113, 114, 21465, 25811, 212, 110, 46438, 123, 27997, 110, 167, 235, 227, 24169, 654, 3575, 709, 1416, 568, 12025, 3, 4725, 35061, 470, 167, 113, 114, 21465, 32115, 228, 166, 111, 110, 44028, 235, 470, 89, 4226, 47455, 167, 113, 114, 21465, 25811, 212, 110, 46438, 123, 27997, 110, 2033, 22558, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 49, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 12236, 1138, 187, 50272, 29, 8774, 31, 167, 212, 240, 29333, 227, 34123, 8153, 7775, 98, 122, 168, 217, 223, 39343, 42984, 211, 29333, 118, 168, 235, 99, 166, 111, 110, 44028, 235, 7775, 215, 99, 29333, 232, 15074, 104, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 167, 212, 240, 29333, 227, 34123, 8153, 7775, 98, 122, 168, 217, 223, 35061, 25811, 226, 107, 15074, 103, 167, 227, 239, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 3123, 12236, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 28774, 216, 31912, 8239, 20974, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 49, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 12236, 21276, 1138, 187, 50272, 29, 8774, 31, 3123, 9, 10361, 118, 169, 215, 110, 167, 215, 117, 25811, 231, 215, 35296, 169, 217, 243, 168, 123, 239, 10, 7775, 98, 122, 168, 217, 223, 25811, 223, 103, 167, 110, 211, 38248, 39343, 42984, 211, 29333, 118, 168, 235, 115, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 187, 50270, 29, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 52, 15675, 15, 12236, 21276, 15, 6504, 19824, 3, 4725, 39343, 49460, 235, 169, 236, 235, 15074, 104, 31912, 8239, 20974, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 46, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 9395, 9, 7761, 15, 8695, 15, 22274, 12236, 37916, 187, 50272, 29, 8774, 31, 168, 217, 223, 168, 122, 230, 7775, 98, 122, 168, 217, 223, 39343, 7775, 9223, 27997, 104, 29470, 21053, 107, 10608, 212, 240, 29333, 227, 28736, 46927, 223, 34431, 244, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 34391, 29333, 232, 167, 227, 239, 7775, 217, 223, 168, 122, 230, 7775, 98, 122, 168, 217, 223, 39343, 7775, 9223, 27997, 104, 29470, 24169, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 7689, 8682, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 28774, 216, 31912, 8239, 20974, 31, 187, 50272, 29, 3575, 1416, 568, 25328, 12236, 1138, 167, 212, 240, 29333, 227, 27940, 7775, 9223, 27997, 104, 15074, 243, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 22274, 12236, 3, 4725, 28774, 216, 31912, 15, 2033, 3575, 31, 187, 50272, 29, 22558, 38921, 568, 53, 27, 7761, 15, 43411, 1138, 187, 50270, 29, 3575, 709, 1416, 568, 25328, 12236, 3, 4725, 34123, 22483, 21276, 35061, 25811, 235, 215, 28774, 107, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 34123, 22483, 21276, 168, 236, 211, 42984, 236, 34391, 41514, 221, 37176, 46438, 123, 27997, 110, 167, 235, 227, 24169, 654, 3575, 709, 1416, 568, 25328, 12236, 3, 4725, 25811, 212, 107, 42581, 35061, 854, 167, 113, 114, 21465, 32115, 228, 37176, 46438, 123, 27997, 110, 2033, 22558, 31, 187, 50272, 29, 38247, 4531, 31, 187, 50270, 29, 3123, 693, 1538, 966, 568, 7761, 15, 20356, 15, 23513, 11483, 15, 20356, 38247, 13, 278, 1026, 263, 4658, 13, 11099, 30, 19, 15, 17, 15, 1812, 361, 15, 17, 13, 17156, 30, 27912, 13, 5259, 4814, 11200, 30, 67, 2357, 66, 22, 68, 3208, 42362, 70, 38823, 3, 2715, 568, 18, 3, 2884, 3544, 568, 2447, 39196, 6124, 13, 9175, 49153, 3, 4725, 187, 50272, 870, 38247, 4531, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 46, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 16911, 9, 7761, 15, 4241, 37916, 187, 50272, 29, 8774, 31, 34391, 29333, 232, 39742, 654, 2887, 38921, 568, 53, 27, 7761, 15, 4241, 3, 4725, 35061, 25811, 235, 215, 28774, 107, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 168, 236, 211, 42984, 236, 37176, 34391, 7775, 234, 107, 167, 116, 211, 39343, 25811, 236, 232, 10361, 118, 15074, 104, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 34391, 29333, 232, 39742, 42984, 239, 168, 112, 114, 35061, 25811, 235, 215, 28774, 107, 42984, 239, 168, 112, 114, 168, 236, 211, 42984, 236, 44633, 122, 48672, 114, 2032, 20959, 34817, 13, 16857, 39068, 50152, 218, 34391, 41514, 221, 44633, 122, 48672, 114, 3221, 28774, 216, 31912, 8239, 20974, 31, 187, 50272, 29, 3575, 1416, 568, 681, 1148, 395, 1138, 34391, 29333, 232, 167, 227, 239, 654, 2887, 38921, 568, 53, 27, 7761, 15, 4241, 3, 4725, 168, 236, 211, 25811, 235, 215, 28774, 107, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 39343, 10608, 119, 215, 166, 115, 227, 15074, 104, 31912, 8239, 3575, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 46, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 3633, 16850, 6124, 1138, 187, 50272, 29, 8774, 31, 187, 50270, 29, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 27940, 10608, 223, 211, 39742, 209, 47538, 35169, 239, 42984, 229, 28736, 49460, 235, 169, 236, 235, 15074, 104, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 29333, 232, 168, 44140, 209, 47538, 35169, 239, 42984, 229, 28774, 216, 31912, 8239, 20974, 31, 187, 50272, 29, 38247, 4531, 31, 187, 50270, 29, 3123, 693, 1538, 966, 568, 7761, 15, 20356, 15, 23513, 11483, 15, 4505, 42, 2795, 693, 1538, 13, 278, 1026, 263, 4658, 13, 11099, 30, 19, 15, 17, 15, 1812, 361, 15, 17, 13, 17156, 30, 27912, 13, 5259, 4814, 11200, 30, 67, 2357, 66, 22, 68, 3208, 42362, 70, 38823, 3, 2715, 568, 18, 3, 914, 44255, 568, 5672, 3, 4725, 187, 50272, 870, 38247, 4531, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 9684, 11688, 1138, 187, 50272, 29, 8774, 31, 187, 50270, 29, 2887, 38921, 568, 49, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 11688, 3, 4725, 7775, 217, 224, 29597, 111, 27940, 209, 15074, 243, 14394, 119, 15074, 243, 7775, 44140, 44799, 24169, 7775, 115, 239, 167, 223, 211, 26532, 229, 28736, 7775, 102, 211, 29333, 232, 15074, 104, 31912, 15, 9684, 11688, 42984, 229, 37176, 470, 89, 1418, 15651, 35296, 7775, 215, 99, 29333, 232, 167, 227, 104, 31912, 15, 20959, 209, 15074, 215, 34431, 239, 24169, 35305, 123, 42581, 43710, 215, 27997, 104, 28774, 216, 31912, 8239, 8774, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 10292, 11688, 1138, 187, 50272, 29, 8774, 31, 187, 50270, 29, 2887, 38921, 568, 49, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 11688, 3, 4725, 7775, 217, 224, 29597, 111, 27940, 209, 15074, 243, 14394, 119, 15074, 243, 7775, 44140, 44799, 24169, 7775, 115, 239, 168, 217, 223, 26532, 229, 28736, 7775, 102, 211, 29333, 232, 15074, 104, 31912, 15, 20959, 209, 15074, 215, 34431, 239, 24169, 35305, 123, 42581, 43710, 215, 27997, 104, 28774, 216, 31912, 8239, 8774, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 49, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 11688, 1138, 187, 50272, 29, 8774, 31, 167, 212, 240, 29333, 227, 34123, 25811, 226, 107, 169, 221, 118, 10608, 112, 219, 169, 235, 118, 39343, 42984, 211, 29333, 118, 168, 235, 99, 166, 111, 110, 44028, 235, 7775, 215, 99, 29333, 232, 15074, 104, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 167, 212, 240, 29333, 227, 34123, 25811, 226, 107, 169, 221, 118, 10608, 112, 219, 169, 235, 118, 39343, 10608, 213, 235, 169, 214, 211, 44028, 114, 24169, 654, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 10292, 11688, 3, 4725, 27940, 41639, 654, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 9684, 11688, 3, 4725, 10608, 112, 231, 44633, 215, 34123, 43710, 232, 168, 44140, 42984, 229, 28774, 216, 31912, 8239, 20974, 31, 187, 50272, 29, 22558, 38921, 568, 53, 27, 7761, 15, 22388, 5677, 4527, 12519, 5330, 1138, 1178, 32115, 228, 21053, 216, 27940, 10608, 223, 211, 47538, 7775, 102, 211, 29333, 232, 167, 227, 239, 42984, 229, 20959, 654, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 10292, 11688, 3, 4725, 167, 113, 114, 21465, 32115, 228, 166, 111, 110, 44028, 235, 654, 2887, 38921, 568, 39, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 9684, 11688, 3, 4725, 167, 113, 114, 21465, 25811, 212, 110, 46438, 123, 27997, 110, 2033, 22558, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 46, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 6075, 14531, 1138, 187, 50272, 29, 8774, 31, 167, 212, 240, 29333, 227, 43710, 232, 167, 113, 114, 39343, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 22274, 12236, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 35296, 10382, 907, 15074, 104, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 167, 212, 240, 29333, 227, 34123, 7775, 217, 223, 168, 122, 230, 7775, 98, 122, 168, 217, 223, 35061, 25811, 226, 107, 15074, 103, 167, 227, 239, 654, 2887, 38921, 568, 53, 27, 7761, 15, 8695, 15, 22274, 12236, 3, 4725, 35305, 118, 33057, 99, 169, 215, 114, 33057, 99, 28774, 216, 31912, 8239, 20974, 31, 187, 50274, 870, 14360, 31, 187, 50274, 29, 14360, 1416, 568, 46, 27, 7761, 15, 8695, 15, 3123, 7689, 8682, 15, 21395, 1138, 187, 50272, 29, 8774, 31, 34391, 29333, 232, 167, 227, 239, 10608, 212, 240, 29333, 227, 34123, 8153, 7775, 98, 122, 168, 217, 223, 168, 236, 211, 25811, 226, 107, 169, 221, 118, 10608, 112, 219, 169, 235, 118, 39343, 49460, 235, 169, 236, 235, 15074, 104, 31912, 8239, 8774, 31, 187, 50272, 29, 20974, 31, 3123, 7775, 98, 122, 168, 217, 223, 49460, 226, 7775, 102, 211, 29333, 232, 167, 227, 239, 10608, 212, 240, 29333, 227, 34123, 25811, 226, 107, 169, 221, 118, 10608, 112, 219, 169, 235, 118, 35061, 25811, 226, 107, 15074, 103, 167, 227, 239, 10608, 107, 118, 28774, 227, 21053, 114, 28774, 216, 31912, 9, 168, 235, 219, 27, 19372, 15, 13851, 15, 18, 15, 19, 27, 1438, 481, 870, 20974, 31, 187, 50272, 29, 38247, 4531, 31, 187, 50270, 29, 3123, 693, 1538, 966, 568, 7761, 15, 20356, 15, 23513, 11483, 15, 4505, 42, 2795, 693, 1538, 13, 278, 1026, 263, 4658, 13, 11099, 30, 19, 15, 17, 15, 1812, 361, 15, 17, 13, 17156 ]
포트 번호이거나, 사용할 수 있는 포트를 지정할 경우 0입니다.<paramref name="port" />는 호스트 순서로 지정됩니다.</param> <exception cref="T:System.ArgumentNullException"> <paramref name="address" />가 null입니다.</exception> <exception cref="T:System.ArgumentOutOfRangeException"> <paramref name="port" />이 <see cref="F:System.Net.IPEndPoint.MinPort" />보다 작습니다.또는 <paramref name="port" />가 <see cref="F:System.Net.IPEndPoint.MaxPort" />보다 큰 경우또는 <paramref name="address" />가 0보다 작거나 0x00000000FFFFFFFF보다 큰 경우 </exception> </member> <member name="P:System.Net.IPEndPoint.Address"> <summary>끝점의 IP 주소를 가져오거나 설정합니다.</summary> <returns>끝점의 IP 주소가 포함된 <see cref="T:System.Net.IPAddress" /> 인스턴스입니다.</returns> </member> <member name="P:System.Net.IPEndPoint.AddressFamily"> <summary>IP(인터넷 프로토콜) 주소 패밀리를 가져옵니다.</summary> <returns> <see cref="F:System.Net.Sockets.AddressFamily.InterNetwork" />를 반환합니다.</returns> </member> <member name="M:System.Net.IPEndPoint.Create(System.Net.SocketAddress)"> <summary>소켓 주소를 사용하여 끝점을 만듭니다.</summary> <returns>지정된 소켓 주소를 사용하는 <see cref="T:System.Net.EndPoint" /> 인스턴스입니다.</returns> <param name="socketAddress">끝점에 사용할 <see cref="T:System.Net.SocketAddress" />입니다. </param> <exception cref="T:System.ArgumentException"> <paramref name="socketAddress" />의 AddressFamily가 현재 인스턴스의 AddressFamily와 같지 않은 경우또는 <paramref name="socketAddress" /> 크기가 8보다 작은 경우 </exception> <PermissionSet> <IPermission class="System.Security.Permissions.SecurityPermission, mscorlib, Version=2.0.3600.0, Culture=neutral, PublicKeyToken=b77a5c561934e089" version="1" Flags="UnmanagedCode, ControlEvidence" /> </PermissionSet> </member> <member name="M:System.Net.IPEndPoint.Equals(System.Object)"> <summary>지정한 <see cref="T:System.Object" />가 현재 <see cref="T:System.Net.IPEndPoint" /> 인스턴스와 같은지 여부를 확인합니다.</summary> <returns>지정한 개체가 현재 개체와 같으면 true이고, 그렇지 않으면 false입니다.</returns> <param name="comparand">지정된 <see cref="T:System.Object" />와 현재 <see cref="T:System.Net.IPEndPoint" /> 인스턴스를 비교합니다.</param> </member> <member name="M:System.Net.IPEndPoint.GetHashCode"> <summary> <see cref="T:System.Net.IPEndPoint" /> 인스턴스에 대한 해시 값을 반환합니다.</summary> <returns>정수 해시 값입니다.</returns> <PermissionSet> <IPermission class="System.Security.Permissions.FileIOPermission, mscorlib, Version=2.0.3600.0, Culture=neutral, PublicKeyToken=b77a5c561934e089" version="1" Unrestricted="true" /> </PermissionSet> </member> <member name="F:System.Net.IPEndPoint.MaxPort"> <summary> <see cref="P:System.Net.IPEndPoint.Port" /> 속성에 할당할 수 있는 최대값을 지정합니다.MaxPort 값은 0x0000FFFF로 설정됩니다.이 필드는 읽기 전용입니다.</summary> </member> <member name="F:System.Net.IPEndPoint.MinPort"> <summary> <see cref="P:System.Net.IPEndPoint.Port" /> 속성에 할당할 수 있는 최소값을 지정합니다.이 필드는 읽기 전용입니다.</summary> </member> <member name="P:System.Net.IPEndPoint.Port"> <summary>끝점의 포트 번호를 가져오거나 설정합니다.</summary> <returns>끝점의 포트 번호를 나타내는 <see cref="F:System.Net.IPEndPoint.MinPort" />에서 <see cref="F:System.Net.IPEndPoint.MaxPort" /> 범위의 정수 값입니다.</returns> <exception cref="T:System.ArgumentOutOfRangeException">set 작업에 대해 지정된 값이 <see cref="F:System.Net.IPEndPoint.MinPort" />보다 작거나 <see cref="F:System.Net.IPEndPoint.MaxPort" />보다 큰 경우 </exception> </member> <member name="M:System.Net.IPEndPoint.Serialize"> <summary>끝점 정보를 <see cref="T:System.Net.SocketAddress" /> 인스턴스로 serialize합니다.</summary> <returns>끝점의 소켓 주소가 포함된 <see cref="T:System.Net.SocketAddress" /> 인스턴스입니다.</returns> </member> <member name="M:System.Net.IPEndPoint.ToString"> <summary>지정된 끝점의 IP 주소와 포트 번호를 반환합니다.</summary> <returns>IP 주소 및 지정된 끝점의 포트 번호가 포함된 문자열입니다(예: 192.168.1.2:80).</returns> <PermissionSet> <IPermission class="System.Security.Permissions.FileIOPermission, mscorlib, Version=2.0.3600.0, Culture
[ 13, 535, 50274, 5423, 9317, 50254, 50274, 359, 64, 6443, 64, 74, 13, 187, 50274, 9252, 9317, 50254, 50274, 664, 64, 6441, 64, 80, 13, 535, 50274, 5423, 9317, 544, 20, 27, 17, 62, 50255, 1257, 64, 6443, 64, 74, 13, 187, 50274, 9252, 9317, 544, 20, 27, 17, 62, 50256, 1257, 64, 6441, 64, 80, 13, 535, 50274, 5423, 9317, 544, 2405, 27, 17, 62, 50256, 296, 455, 64, 257, 64, 74, 13, 187, 50274, 5423, 9317, 544, 2405, 27, 17, 62, 50256, 296, 455, 64, 9561, 64, 74, 13, 187, 50274, 5423, 9317, 544, 2405, 27, 17, 62, 50256, 4090, 64, 296, 455, 64, 74, 13, 187, 50274, 5423, 9317, 544, 2405, 27, 17, 62, 50256, 72, 2649, 64, 296, 455, 64, 74, 13, 187, 50274, 5423, 9317, 544, 2405, 27, 17, 62, 50256, 7210, 64, 296, 455, 64, 74, 187, 558, 187, 187, 2948, 30105, 1237, 70, 1449, 81, 64, 19910, 1450, 25350, 187, 2948, 20452, 64, 19910, 1450, 25350, 187, 187, 65, 17331, 46833, 3077, 26573, 187, 46786, 23169, 64, 468, 64, 82, 13, 4098, 64, 468, 64, 82, 13, 391, 7210, 64, 468, 64, 82, 28, 187, 187, 17743, 1577, 551, 187, 50273, 46786, 544, 20144, 64, 40097, 14, 18, 27, 17, 62, 50270, 9834, 28, 187, 50273, 46786, 50254, 359, 28, 187, 50273, 46786, 544, 495, 27, 17, 62, 50260, 1257, 28, 187, 50273, 46786, 544, 17020, 64, 40097, 14, 18, 27, 17, 62, 50270, 88, 2203, 28, 187, 50273, 46786, 544, 17020, 64, 40097, 14, 18, 27, 17, 62, 50270, 83, 2203, 28, 187, 50275, 94, 29282, 64, 6441, 64, 85, 28, 187, 187, 2437, 40819, 64, 72, 2649, 64, 37360, 28, 187, 50273, 17554, 540, 295, 28, 187, 423, 2437, 1163, 40819, 64, 72, 2649, 64, 37360, 187, 187, 2437, 40819, 64, 2203, 64, 37360, 28, 187, 50273, 17554, 540, 295, 28, 187, 423, 2437, 1163, 40819, 64, 2203, 64, 37360, 187, 187, 5719, 3364, 1852, 9, 296, 455, 64, 6441, 64, 85, 10, 5161, 64, 12250, 84, 50268, 30, 747, 36117, 187, 5719, 3364, 1852, 9, 296, 455, 64, 6441, 64, 85, 10, 5161, 64, 373, 793, 50269, 30, 747, 36117, 187, 5719, 3364, 1852, 9, 46786, 10, 50271, 6443, 64, 373, 793, 64, 737, 9581, 426, 747, 36117, 187, 5719, 3364, 1852, 9, 296, 455, 64, 6441, 64, 85, 10, 3541, 64, 3675, 15618, 50275, 30, 747, 36117, 535, 1900, 64, 77, 1506, 187, 3135, 187, 50275, 338, 313, 12250, 64, 6443, 64, 74, 10, 187, 50271, 12250, 64, 468, 64, 82, 50274, 44072, 337, 8, 67, 18, 28, 187, 50275, 7271, 187, 50271, 12250, 64, 468, 64, 82, 50274, 44072, 337, 8, 67, 17, 28, 187, 990, 535, 1900, 64, 77, 1506, 187, 3135, 187, 50275, 338, 313, 83, 7210, 64, 6441, 64, 74, 10, 187, 50271, 83, 7210, 64, 468, 64, 82, 50274, 44072, 337, 8, 67, 18, 28, 187, 50275, 7271, 187, 50271, 83, 7210, 64, 468, 64, 82, 50274, 44072, 337, 8, 67, 17, 28, 187, 990, 535, 187, 25737, 64, 77, 1506, 187, 3135, 187, 50275, 338, 313, 29656, 64, 6441, 64, 74, 10, 187, 50271, 29656, 64, 468, 64, 82, 50274, 44072, 337, 8, 67, 18, 28, 187, 50275, 7271, 187, 50271, 29656, 64, 468, 64, 82, 50274, 44072, 337, 8, 67, 17, 28, 187, 990, 5429, 1380, 35723, 12639, 187, 3302, 187, 3135, 187, 50273, 296, 455, 64, 6441, 64, 85, 1167, 64, 3649, 28, 187, 50273, 50154, 40819, 64, 72, 2649, 64, 37360, 3343, 64, 37360, 426, 747, 36117, 535, 50273, 565, 14712, 28, 535, 50273, 565, 48073, 13, 2781, 64, 1208, 28, 187, 50273, 4, 740, 28, 605, 14061, 387, 253, 1077, 5068, 187, 50273, 6050, 9, 18, 10, 3135, 187, 50269, 33, 9, 7334, 463, 49362, 64, 74, 558, 187, 50269, 29656, 64, 6443, 64, 80, 426, 337, 8, 67, 17, 28, 535, 50269, 4, 18, 28, 535, 50269, 338, 6522, 12250, 64, 468, 64, 82, 10, 3135, 187, 50266, 14061, 9, 12250, 64, 468, 64, 82, 2295, 337, 8, 67, 18, 558, 187, 50269, 423, 535, 50269, 338, 6048, 296, 455, 64, 257, 64, 74, 60, 17, 3291, 3857, 313, 296, 455, 64, 9561, 64, 74, 2295, 3915, 5543, 10449, 1228, 3135, 50276, 605, 27225, 1703, 35286, 15051, 3481, 3915, 5626, 52, 14789, 2573, 187, 50265, 296, 10037, 426, 305, 2649, 64, 296, 455, 64, 74, 28, 187, 50269, 423, 2010, 604, 6048, 296, 455, 64, 257, 64, 74, 60, 17, 3291, 3857, 313, 296, 455, 64, 9561, 64, 74, 2295, 416, 5543, 2277, 1228, 3135, 187, 50265, 4090, 64, 1208, 426, 2781, 64, 296, 455, 64, 74, 28, 187, 50265, 16306, 426, 3343, 64, 37360, 15, 14719, 907, 1082, 342, 92, 187, 50261, 79, 10122, 470, 28, 187, 50261, 79, 44072, 2781, 64, 1208, 28, 187, 50265, 4718, 187, 50265, 296, 10037, 426, 3343, 64, 37360, 15, 79, 28, 535, 50269, 423, 2010, 3135, 187, 50265, 296, 10037, 426, 470, 28, 187, 50269, 423, 2756, 50269, 6050, 9, 296, 10037, 3613, 470, 10, 3135, 187, 50266, 33, 9, 570, 2400, 463, 49362, 64, 74, 558, 187, 50266, 296, 10037, 42277, 187, 50269, 423, 535, 50269, 33, 9, 570, 2400, 463, 49362, 64, 74, 558, 187, 50269, 338, 9, 12250, 64, 468, 64, 82, 2295, 337, 8, 67, 18, 10, 3135, 187, 50265, 29656, 64, 6443, 64, 80, 50275, 30, 337, 8, 67, 18, 28, 187, 50265, 6441, 64, 3649, 15, 9834, 50276, 30, 26181, 64, 6443, 64, 74, 28, 187, 50265, 6441, 64, 3649, 15, 1257, 50274, 30, 320, 64, 6443, 64, 74, 28, 187, 50265, 6441, 64, 3649, 15, 664, 50274, 30, 359, 64, 6443, 64, 74, 28, 187, 50265, 6441, 64, 3649, 15, 88, 2203, 426, 259, 2203, 64, 6443, 64, 74, 28, 187, 50265, 6443, 64, 12250, 84, 15, 1065, 9, 6441, 64, 3649, 558, 187, 50265, 6443, 64, 373, 793, 64, 737, 9581, 15, 1065, 9, 18, 8, 67, 18, 558, 187, 50269, 423, 535, 50273, 423, 187, 990, 535, 3302, 187, 3135, 187, 50273, 296, 455, 64, 6441, 64, 85, 1167, 64, 3649, 28, 187, 50273, 50154, 40819, 64, 2203, 64, 37360, 3343, 64, 37360, 426, 747, 36117, 187, 50273, 46786, 7169, 28, 187, 50273, 565, 14712, 13, 48073, 13, 2781, 64, 1208, 28, 535, 50273, 6050, 9, 18, 10, 3135, 187, 50269, 33, 9, 7334, 463, 49362, 64, 74, 558, 187, 50269, 83, 7210, 64, 6443, 64, 80, 426, 337, 8, 67, 17, 28, 187, 50269, 83, 2203, 64, 6443, 64, 80, 50276, 30, 686, 89, 28, 535, 50269, 4, 18, 28, 187, 50269, 6443, 64, 373, 793, 64, 737, 9581, 15, 788, 9, 737, 9581, 558, 535, 50269, 6443, 64, 373, 793, 15, 788, 9, 6441, 64, 3649, 558, 535, 50269, 338, 6048, 296, 455, 64, 257, 64, 74, 60, 17, 3291, 3857, 313, 296, 455, 64, 9561, 64, 74, 2295, 3915, 5543, 10449, 1228, 3135, 50276, 605, 27225, 1703, 35286, 15051, 3481, 3915, 5626, 52, 14789, 2573, 187, 50265, 296, 10037, 426, 3588, 64, 296, 455, 64, 74, 28, 187, 50269, 423, 2010, 604, 6048, 296, 455, 64, 257, 64, 74, 60, 17, 3291, 3857, 313, 296, 455, 64, 9561, 64, 74, 2295, 416, 5543, 2277, 1228, 3135, 187, 50265, 4090, 64, 1208, 426, 2781, 64, 296, 455, 64, 74, 28, 187, 50265, 16306, 426, 3343, 64, 37360, 15, 14719, 907, 1082, 342, 551, 187, 50261, 79, 30189, 470, 28, 187, 50261, 79, 44072, 2781, 64, 1208, 28, 187, 50265, 4718, 187, 50265, 296, 10037, 426, 3343, 64, 37360, 15, 79, 28, 535, 50269, 423, 2010, 3135, 535, 50265, 296, 10037, 426, 470, 28, 187, 50269, 423, 2756, 50269, 6050, 9, 296, 10037, 3613, 470, 10, 3135, 187, 50265, 33, 9, 570, 2400, 463, 49362, 64, 74, 558, 187, 50265, 296, 10037, 42277, 187, 50269, 423, 535, 50269, 83, 2203, 64, 6443, 64, 80, 50276, 30, 1167, 64, 3649, 15, 83, 2203, 28, 187, 50269, 83, 7210, 64, 6443, 64, 80, 426, 337, 8, 67, 18, 28, 187, 50273, 423, 187, 990, 535, 3302, 187, 3135, 187, 50273, 296, 455, 64, 6441, 64, 85, 1167, 64, 3649, 28, 187, 50273, 664, 64, 6441, 64, 80, 50274, 30, 337, 8, 67, 17, 28, 187, 50273, 12250, 64, 6441, 64, 80, 50275, 30, 337, 8, 67, 17, 28, 187, 50273, 9834, 64, 6441, 64, 80, 50276, 30, 686, 17, 28, 187, 50273, 1257, 64, 6441, 64, 80, 50274, 30, 577, 8, 67, 17, 28, 187, 50273, 88, 2203, 64, 6441, 64, 80, 426, 686, 89, 28, 535, 50273, 6050, 9, 18, 10, 3135, 187, 50269, 33, 9, 7334, 463, 49362, 64, 74, 558, 187, 50269, 4, 18, 28, 187, 50269, 12250, 64, 6441, 64, 80, 50275, 30, 337, 8, 67, 17, 28, 187, 50269, 9834, 64, 6441, 64, 80, 50276, 30, 686, 17, 28, 187, 50269, 88, 2203, 64, 6441, 64, 80, 426, 686, 89, 28, 187, 50269, 6443, 64, 12250, 84, 15, 788, 9, 6441, 64, 3649, 558, 187, 50269, 12250, 64, 6441, 64, 80, 50275, 30, 337, 8, 67, 18, 28, 187, 50269, 9834, 64, 6441, 64, 80, 50276, 30, 1167, 64, 3649, 15, 9834, 28, 187, 50269, 664, 64, 6441, 64, 80, 50274, 30, 1167, 64, 3649, 15, 664, 28, 187, 50269, 1257, 64, 6441, 64, 80, 50274, 30, 1167, 64, 3649, 15, 1257, 28, 187, 50269, 88, 2203, 64, 6441, 64, 80, 426, 1167, 64, 3649, 15, 88, 2203, 28, 535, 50269, 14061, 9, 29656, 64, 468, 64, 82, 558, 187, 50269, 20704, 64, 3675, 15618, 15, 1065, 9, 6441, 64, 3649, 558, 535, 50273, 423, 187, 990, 535, 3302, 187, 3135, 187, 50273, 296, 455, 64, 6441, 64, 85, 1167, 64, 3649, 28, 187, 50273, 6050, 9, 18, 10, 3135, 187, 50269, 20704, 64, 3675, 15618, 15, 788, 9, 6441, 64, 3649, 558, 535, 50269, 14061, 9, 83, 7210, 64, 468, 64, 82, 2295, 337, 8, 67, 18, 558, 187, 50269, 33, 9, 570, 2400, 463, 49362, 64, 74, 558, 187, 50269, 6441, 64, 3649, 15, 83, 2203, 426, 391, 2203, 64, 6441, 64, 74, 28, 535, 50269, 6443, 64, 373, 793, 15, 1065, 9, 6441, 64, 3649, 558, 535, 50273, 423, 187, 990, 187, 2634, 7287, 187, 990, 8640, 187, 34, 1481, 1120, 19274, 985, 323, 8356, 19427, 253, 1899, 273, 247, 1481, 1120, 273, 247, 4958, 7319, 15, 380, 1481, 1120, 476, 320, 247, 1612, 1481, 1120, 326, 310, 629, 273, 247, 1612, 7319, 985, 13, 835, 253, 1899, 273, 253, 1481, 1120, 476, 320, 838, 292, 407, 247, 7319, 45628, 342, 253, 643, 6887, 273, 253, 7319, 275, 247, 3541, 1159, 2391, 2413, 1358, 2700, 15, 9906, 15, 681, 16, 4066, 592, 16, 3016, 1518, 1549, 1099, 29407, 32, 307, 78, 64, 6756, 30, 20773, 14, 72, 11095, 14, 18316, 40504, 1982, 1518, 1549, 1099, 29407, 428, 24689, 5372, 19427, 1481, 28891, 985, 187, 187, 34, 1481, 1120, 19274, 985, 323, 8356, 19427, 253, 1899, 273, 247, 1481, 1120, 273, 247, 4958, 7319, 15, 380, 1481, 1120, 476, 320, 247, 1612, 1481, 1120, 326, 310, 629, 273, 247, 1612, 7319, 985, 13, 835, 253, 1899, 273, 253, 1481, 1120, 476, 320, 838, 292, 407, 247, 7319, 45628, 342, 253, 643, 6887, 273, 253, 7319, 275, 247, 3541, 1159, 15, 380, 985, 3797, 247, 7319, 45628, 17950, 985, 326, 21768, 253, 1899, 273, 253, 7319, 45628, 434, 1481, 13, 2927, 390, 643, 17754, 3386, 281, 3653, 247, 6799, 1899, 273, 253, 1481, 1120, 15, 380, 985, 26662, 253, 4588, 1899, 273, 253, 1481, 1120, 281, 253, 6799, 1899, 13, 285, 8356, 4575, 84, 253, 1899, 273, 253, 1481, 1120, 281, 253, 6799, 1899, 15, 496, 581, 14704, 13, 271, 6979, 985, 14802, 253, 1899, 273, 253, 7319, 45628, 434, 1481, 15, 496, 1529, 14704, 13, 253, 985, 14802, 253, 1899, 273, 7319, 45628, 434, 2927, 1754, 327, 253, 1899, 273, 253, 6254, 1930, 11472, 15, 187, 187, 30128, 9, 24, 10, 187, 187, 34200, 84, 9, 1423, 10, 187, 187, 18, 15, 329, 1481, 1120, 19274, 985, 323, 8356, 19274, 247, 1481, 1120, 273, 247, 4958, 7319, 13, 753, 985, 11616, 27, 187, 187, 66, 1481, 1120, 8468, 323, 15549, 253, 1899, 273, 253, 1481, 1120, 13, 753, 1481, 1120, 8468, 11365, 247, 1481, 1120, 1899, 2625, 24838, 273, 253, 1899, 273, 253, 1481, 1120, 28, 187, 187, 66, 7319, 45628, 15549, 749, 14 ]
, input logic we_core_i, output logic we_mem_o, input logic [3:0] be_core_i, output logic [3:0] be_mem_o, input logic [31:0] stall_en_i, input logic [31:0] stall_mode_i, input logic [31:0] max_stall_i, input logic [31:0] gnt_stall_i, input logic [31:0] valid_stall_i ); import cv32e40p_pkg::*; import perturbation_pkg::*; `ifndef VERILATOR logic req_per_q, grant_per_q, rvalid_per_q; typedef struct { logic [ADDR_WIDTH-1:0] addr; logic we; logic [ 3:0] be; logic [DATA_WIDTH-1:0] wdata; logic [DATA_WIDTH-1:0] rdata; } stall_mem_t; class rand_gnt_cycles; rand int n; endclass : rand_gnt_cycles class rand_data_cycles; rand int n; endclass : rand_data_cycles mailbox #(stall_mem_t) core_reqs = new (); mailbox #(stall_mem_t) core_resps = new (); mailbox #(logic) core_resps_granted = new (); mailbox #(stall_mem_t) memory_transfers = new (); always_latch begin if (req_core_i) req_per_q <= 1'b1; else req_per_q <= 1'b0; end always_latch begin if (rvalid_mem_i) rvalid_per_q <= 1'b1; else rvalid_per_q <= 1'b0; end always_latch begin if (grant_mem_i) grant_per_q <= 1'b1; else grant_per_q <= 1'b0; end //Grant Process initial begin stall_mem_t mem_acc; automatic rand_gnt_cycles wait_cycles = new (); int temp; int stalls, max_val; #10;//wait at the very beginning while(1) begin @(posedge clk_i); grant_core_o = 1'b0; #1; if (!req_per_q) begin wait(req_per_q == 1'b1); end if ((stall_en_i[0]) && (stall_mode_i == STANDARD)) begin //FIXED NUMBER OF STALLS MODE stalls = gnt_stall_i; end else if ((stall_en_i[0]) && (stall_mode_i == RANDOM)) begin max_val = max_stall_i; temp = wait_cycles.randomize() with{ n >= 0; n<= max_val; }; stalls = wait_cycles.n; end else begin stalls = 0; end while(stalls != 0) begin @(negedge clk_i); stalls--; end @(negedge clk_i); if(req_per_q == 1'b1) begin grant_core_o = 1'b1; mem_acc.addr = addr_core_i; mem_acc.be = be_core_i; mem_acc.we = we_core_i; mem_acc.wdata = wdata_core_i; core_reqs.put(mem_acc); core_resps_granted.put(1'b1); end end end initial begin stall_mem_t mem_acc; automatic rand_data_cycles wait_cycles = new (); logic granted; int temp, stalls, max_val; while(1) begin @(posedge clk_i); rvalid_core_o = 1'b0; rdata_core_o = 'x; #1; core_resps_granted.get(granted); core_resps.get(mem_acc); if ((stall_en_i[0]) && (stall_mode_i == STANDARD)) begin //FIXED NUMBER OF STALLS MODE stalls = valid_stall_i; end else if ((stall_en_i[0]) && (stall_mode_i == RANDOM)) begin max_val = max_stall_i; temp = wait_cycles.randomize() with { n>= 0; n<= max_val; }; stalls = wait_cycles.n; end else begin stalls = 0; end while(stalls != 0) begin @(negedge clk_i); stalls--; end rdata_core_o = mem_acc.rdata; rvalid_core_o = 1'b1; end end initial begin stall_mem_t mem_acc; we_mem_o = 1'b0; req_mem_o = 1'b0; addr_mem_o = '0; be_mem_o = 4'b0; wdata_mem_o = 'x; while(1) begin @(posedge clk_i); #1; req_mem_o = 1'b0; addr_mem_o = '0; wdata_mem_o = 'x; core_reqs.get(mem_acc); req_mem_o = 1'b1; addr_mem_o = mem_acc.addr; we_mem_o = mem_acc.we; be_mem_o = mem_acc.be; wdata_mem_o = mem_acc.wdata; wait(grant_per_q); memory_transfers.put(mem_acc); end end initial begin stall_mem_t mem_acc; while(1) begin memory_transfers.get(mem_acc); wait(rvalid_per_q == 1'b1); @(negedge clk_i); mem_acc.rdata = rdata_mem_i; core_resps.put(mem_acc); end end `endif endmodule A headrest positioning system for automatically adjusting the position of a headrest of a vehicle seat. The headrest can be a power headrest that is part of a power seat system, where the position of the headrest can be preset by a seat occupant with the other positions of the seat in a memory function....http://www.google.com/patents/US20060250016?utm_source=gb-gplus-sharePatent US20060250016 - Automatically adjusting head restraint system A headrest positioning system for automatically adjusting the position of a headrest of a vehicle seat. The headrest can be a power headrest that is part of a power seat system, where the position of the headrest can be preset by a seat occupant with the other positions of the seat in a memory function. The system includes a seat occupant sensing system that senses the position of the seat occupant's head, eyes or other facial features to determine a desired position of the headrest. The system compares the actual position of the headrest to the desired position, and automatically adjusts the position of the headrest to the desired position. In one embodiment, an imaging system determines the position of the seat occupant's head. In another embodiment, the system determines the position of seat occupant's eyes based on the position of the driver side mirror. Images(7) Claims(22) 1. A headrest positioning system for automatically positioning a headrest of a vehicle seat, said system comprising: a headrest sensor for detecting the position of the headrest, said headrest sensor generating a headrest position signal indicative of the position of the headrest; a seat occupant detecting sub-
[ 875, 268, 1235, 14, 9415, 285, 13031, 14, 9415, 657, 2431, 52, 13023, 47, 67, 40, 5305, 21, 11, 6244, 313, 23813, 2502, 1527, 8965, 481, 14423, 285, 22445, 254, 7484, 369, 8392, 342, 5958, 9261, 15, 14423, 3835, 432, 806, 281, 2626, 17033, 3205, 1223, 247, 2534, 275, 253, 4766, 273, 3817, 6492, 8876, 15, 1536, 1886, 398, 921, 432, 608, 6, 281, 5325, 6, 273, 19947, 15, 31904, 81, 4713, 17, 15, 2874, 13, 3384, 102, 106, 5301, 342, 657, 2431, 52, 14, 8199, 1453, 13, 268, 4713, 17, 15, 2874, 407, 17672, 14, 32152, 1071, 15, 399, 1502, 275, 253, 4216, 5224, 42559, 15, 5094, 37, 5737, 380, 7602, 273, 25542, 1657, 6880, 285, 851, 3460, 275, 657, 2431, 52, 14, 8199, 1453, 285, 657, 2431, 52, 13023, 47, 67, 40, 5305, 21, 11, 342, 390, 1293, 18426, 55, 14, 81, 1235, 14, 6367, 7026, 2380, 15, 5128, 6607, 347, 253, 1599, 575, 12, 575, 15291, 2228, 273, 253, 1599, 313, 23015, 481, 322, 31356, 387, 253, 1755, 273, 8965, 5224, 1534, 3910, 2556, 281, 12221, 50134, 434, 2709, 5301, 1071, 15, 19757, 9484, 5081, 2992, 158, 102, 106, 13, 45247, 582, 1453, 873, 323, 1016, 5301, 28, 767, 14, 84, 31356, 313, 3458, 46655, 158, 102, 106, 158, 102, 106, 13, 45247, 46105, 582, 268, 4713, 17, 15, 1762, 285, 1264, 14, 84, 31356, 313, 8730, 46655, 158, 102, 106, 158, 102, 106, 158, 102, 106, 13, 45247, 46105, 46105, 582, 268, 4713, 17, 15, 2874, 15, 14446, 8965, 4503, 3387, 28592, 15, 5094, 38, 5737, 18426, 55, 14, 81, 1235, 14, 6367, 7026, 2380, 7369, 275, 4373, 14, 19783, 15964, 84, 2796, 8504, 11, 575, 12, 575, 81, 1235, 13, 987, 10, 2429, 281, 253, 1453, 2796, 9866, 11, 575, 12, 81, 1235, 13, 1669, 10, 275, 21439, 475, 47, 15, 16535, 3964, 8960, 11, 6505, 326, 1616, 15964, 84, 313, 28659, 481, 13478, 403, 4869, 7133, 20553, 273, 29478, 1182, 14, 296, 7305, 15, 14446, 2534, 6125, 1384, 28592, 15, 5094, 39, 5737, 13063, 37038, 267, 6907, 3910, 273, 15964, 31368, 497, 4080, 407, 253, 2978, 273, 253, 12423, 15, 5128, 6607, 347, 253, 1599, 575, 12, 15291, 2228, 273, 253, 1599, 313, 23015, 582, 268, 30, 17, 15, 2922, 1012, 313, 36303, 434, 246, 1071, 342, 47445, 434, 10618, 481, 5094, 40, 5737, 11579, 87, 1177, 1783, 326, 5593, 253, 2281, 273, 1818, 273, 28196, 11390, 2722, 15964, 84, 275, 475, 8504, 11, 575, 12, 575, 81, 1235, 452, 1679, 16841, 15, 14423, 10949, 432, 806, 281, 2626, 17033, 3205, 1223, 247, 2534, 275, 253, 4766, 273, 3817, 6492, 8876, 15, 1536, 1886, 398, 921, 432, 5927, 281, 4869, 15, 31904, 8730, 81, 4713, 17, 15, 39197, 313, 49859, 14, 32152, 1071, 481, 5094, 41, 5737, 10330, 273, 253, 24980, 2978, 10302, 407, 8717, 14942, 1783, 2692, 271, 2572, 275, 15964, 84, 2978, 275, 475, 8504, 11, 575, 12, 575, 81, 1235, 15, 5128, 6607, 347, 8876, 285, 5325, 6, 7162, 7726, 15, 31904, 81, 4713, 17, 15, 2874, 407, 17672, 14, 32152, 1071, 4880, 187, 740, 15, 49565, 16, 48763, 15, 21358, 1099, 15, 13269, 2841, 608, 1532, 6756, 941, 337, 15, 39854, 575, 1171, 253, 25542, 1657, 8062, 275, 657, 2431, 52, 13023, 47, 67, 40, 5305, 21, 11, 6244, 15, 21214, 6260, 285, 253, 4216, 575, 1171, 253, 25542, 1657, 4294, 13, 2978, 13, 285, 7602, 275, 253, 475, 47, 67, 40, 5305, 21, 11, 46616, 6244, 3559, 275, 544, 2841, 608, 35, 14, 37, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 986, 407, 40508, 24, 403, 2908, 4880, 187, 740, 15, 49565, 16, 48763, 15, 21358, 1099, 15, 15798, 2841, 608, 1532, 6756, 941, 374, 15, 39854, 575, 1171, 253, 15964, 26787, 407, 18426, 55, 14, 81, 1235, 5802, 7026, 6128, 15, 21214, 6260, 285, 253, 4216, 575, 1171, 253, 15964, 3268, 3559, 275, 544, 2841, 608, 39, 14, 41, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 986, 497, 4561, 407, 40508, 24, 5774, 293, 1074, 14, 21358, 1099, 14, 926, 22, 4253, 926, 22, 94, 187, 187, 1231, 4102, 2361, 326, 25542, 2651, 403, 5802, 3012, 1309, 271, 7026, 2380, 1411, 10741, 285, 9907, 10332, 2479, 2383, 26, 2498, 380, 544, 79, 12004, 335, 94, 41890, 14, 13018, 5028, 544, 77, 12004, 335, 94, 70, 1028, 460, 14, 5969, 544, 83, 12004, 335, 94, 70, 16388, 313, 47, 8720, 10, 7026, 6437, 427, 25153, 268, 1235, 29451, 432, 47786, 353, 31098, 46269, 313, 14592, 55, 10, 285, 17561, 7026, 2380, 281, 2701, 18426, 55, 281, 253, 5066, 2670, 2479, 2383, 1549, 2498, 380, 25542, 2651, 403, 3012, 5802, 1309, 427, 32330, 14, 11181, 11979, 281, 18426, 55, 2479, 2383, 26, 2498, 3813, 13, 359, 5762, 604, 427, 32330, 14, 11181, 5743, 273, 7026, 2380, 812, 2007, 2572, 25542, 1657, 1180, 285, 2978, 275, 475, 47, 67, 40, 5305, 21, 13023, 17525, 5666, 6244, 15, 1198, 436, 13, 359, 46616, 475, 47, 67, 40, 5305, 21, 11, 275, 21439, 475, 47, 15, 16535, 3964, 8960, 11, 13002, 427, 32330, 285, 15132, 3123, 18, 14, 36, 254, 1657, 266, 313, 27621, 1657, 10705, 10, 323, 495, 1897, 285, 840, 23608, 456, 342, 268, 1235, 285, 2164, 20589, 1996, 253, 7313, 497, 5950, 15, 1284, 2011, 1078, 2479, 2383, 26, 6660, 253, 1180, 273, 25542, 2651, 3012, 2559, 275, 268, 1235, 14, 9415, 657, 2431, 52, 4972, 1453, 6244, 2429, 281, 13031, 14, 21272, 2470, 2841, 608, 34, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 7277, 1669, 12471, 285, 608, 35, 13, 7277, 4759, 8965, 481, 380, 3388, 2978, 2470, 2841, 608, 36, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 4759, 8965, 10, 285, 7155, 273, 25542, 2651, 3356, 685, 495, 14620, 671, 2559, 1309, 271, 7026, 2380, 2470, 2841, 608, 1532, 13206, 8499, 337, 34, 1084, 926, 22, 84, 18, 910, 709, 14, 881, 568, 926, 2536, 14522, 13, 253, 2572, 275, 25542, 2651, 275, 13031, 14, 9415, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 285, 247, 268, 1235, 14, 6367, 7026, 2380, 275, 657, 2431, 52, 4972, 1453, 497, 24678, 2074, 2470, 2841, 608, 34, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 7277, 1755, 987, 5370, 342, 5004, 1669, 5370, 28, 544, 2841, 608, 35, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 7277, 13031, 14, 9415, 4231, 20891, 2534, 342, 268, 1235, 14, 9415, 4759, 2534, 481, 1707, 369, 642, 1534, 1818, 275, 25542, 1657, 1180, 275, 268, 1235, 14, 9415, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 2429, 281, 253, 13031, 14, 9415, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 2470, 2841, 608, 34, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 987, 12471, 285, 608, 35, 13, 7277, 4231, 20891, 8965, 481, 33833, 14, 9415, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 671, 2692, 3356, 25542, 2651, 2429, 281, 13031, 14, 9415, 657, 2431, 52, 4972, 1453, 6244, 2470, 2841, 608, 36, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 2536, 831, 2572, 369, 2074, 281, 326, 273, 275, 268, 1235, 14, 9415, 657, 2431, 52, 4972, 1453, 6244, 326, 2692, 3012, 3356, 25542, 2651, 2429, 281, 13031, 14, 9415, 6244, 2470, 2841, 608, 36, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 7277, 4759, 1527, 8965, 481, 1723, 13, 627, 369, 642, 1534, 3064, 275, 25542, 1657, 2978, 275, 268, 1235, 14, 285, 13031, 14, 9415, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 2470, 2841, 608, 36, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 7277, 4231, 20891, 1527, 8965, 481, 22729, 1242, 13, 841, 1543, 5224, 326, 253, 5743, 273, 7026, 2380, 1057, 417, 2007, 2572, 25542, 1657, 1180, 285, 2978, 275, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 326, 10738, 39934, 25542, 1657, 9953, 15, 187, 187, 510, 24409, 273, 25542, 1657, 6880, 285, 851, 3460, 497, 5118, 347, 271, 15301, 273, 25542, 1657, 3970, 5755, 285, 7882, 15, 380, 25542, 1657, 6880, 285, 851, 3460, 24409, 6137, 275, 253, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5666, 6244, 2429, 281, 253, 657, 2431, 52, 4972, 1453, 2470, 2841, 608, 37, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 9471, 7738, 326, 25542, 2651, 497, 1679, 7870, 285, 625, 6474, 15, 2053, 1543, 5224, 326, 2173, 16663, 273, 15964, 84, 403, 9578, 342, 1818, 275, 25542, 1657, 8062, 285, 2007, 1329, 247, 2554, 323, 15964, 84, 275, 18611, 25542, 2651, 15, 14522, 13, 268, 1235, 14, 9415, 657, 2431, 52, 4972, 1453, 2429, 281, 253, 13031, 1971, 3777, 253, 24409, 273, 25542, 1657, 6880, 285, 851, 3460, 2470, 2841, 608, 37, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 7277, 4759, 8965, 10, 7738, 326, 25542, 2651, 403, 1679, 7870, 285, 625, 6474, 1309, 3939, 7026, 2380, 15, 1916, 1071, 604, 268, 1235, 14, 6367, 11979, 41077, 15964, 6003, 4795, 275, 26787, 275, 25542, 1657, 8062, 13, 359, 2540, 15964, 8062, 2220, 18426, 55, 14, 81, 1235, 1971, 15, 1198, 436, 13, 15964, 10705, 17750, 51, 7073, 14, 13820, 14, 7519, 45, 23, 369, 23608, 456, 715, 21439, 475, 47, 15, 16535, 3964, 8960, 11, 6244, 13002, 427, 32330, 285, 15132, 3123, 18, 14, 36, 254, 1657, 266, 2796, 8504, 5627, 390, 13002, 760, 15132, 3123, 18, 14, 36, 293, 1657, 266, 1293, 427, 32330, 2796, 9866, 21292, 1249, 20589, 1996, 13, 268, 1235, 369, 23608, 456, 715, 253, 1072, 6308, 281, 10808, 271, 7026, 2380, 15, 2732, 5693, 20589, 273, 17750, 51, 7073, 14, 13820, 14, 7519, 45, 23, 2048, 285, 5540, 20589, 273, 268, 1235, 2048, 13, 253, 15964, 45805, 369, 35014, 285, 840, 5867, 407, 8717, 14942, 15, 9773, 917, 3910, 275, 15964, 84, 875, 11979, 14, 6367, 6244, 2470, 2841, 608, 38, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 475, 8504, 11, 575, 12, 575, 81, 1235, 10, 285, 1327, 14, 13111, 414, 14, 6367, 6244, 2470, 2841, 608, 38, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 4982, 475, 9866, 11, 575, 12, 81, 1235, 10, 497, 2834, 281, 2540, 275, 253, 3888, 13, 533, 4722, 314, 13, 8717, 14942, 1783, 4950, 326, 268, 1235, 14, 6367, 11979, 12059, 15964, 15448, 2470, 2841, 608, 39, 283, 41, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 2536, 13658, 13, 627, 497, 5884, 3910, 275, 11259, 2470, 2841, 608, 39, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 9471, 15340, 2478, 497, 3012, 4577, 2470, 2841, 608, 40, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 7547, 285, 24980, 16095, 497, 4067, 2470, 2841, 608, 41, 1084, 926, 22, 910, 709, 14, 881, 568, 926, 7547, 275, 475, 8504, 11, 575, 12, 575, 81, 1235, 2429, 281, 475, 9866, 11, 575, 12, 81, 1235, 15, 22729, 1242, 13, 841, 1543, 5224, 326, 2544, 275, 15964, 6003, 4269, 407, 475, 47, 67, 40, 5305, 21, 4842, 17525, 5537, 6244, 390, 1309, 268, 1235, 14, 6367, 11979, 403, 9578, 342, 2544, 275, 25542, 1657, 8062, 13, 7809, 247, 1896, 1480, 390, 11686, 2554, 323, 15964, 6003, 275, 36390, 25542, 1657, 8062, 15, 187, 187, 6014, 249, 31368, 5752, 347, 18536, 2792, 533, 417, 347, 11411, 323, 25542, 1657, 6880, 1712, 84, 19, 14, 22, 94, 187, 2518, 11311, 187, 187, 7542, 11854, 84, 497, 3786, 2011, 281, 14368, 10410, 43040, 4866, 285, 25542, 1657, 15448, 2479, 2383, 1237, 2194, 1008, 2383, 1610, 6660, 359, 5762, 604, 25542, 2651, 9017, 2112, 11854, 84, 15, 844, 4469, 7813, 514, 14, 12547, 51, 7073, 326, 13301, 11854, 2479, 2383, 1012, 2194, 1008, 2383, 2385, 3291, 275, 21439, 475, 47, 15, 16535, 3964, 8960, 11, 6244, 13002, 15132, 3123, 18, 14, 36, 254, 1657, 266, 326, 10880, 25542, 2651, 2479, 2383, 26, 2194, 1008, 2383, 740, 2498, 6282, 273, 11087, 25542, 1657, 9092, 6880, 3394, 432, 5910, 1341, 13, 253, 8485, 5020, 313, 4590, 2673, 273, 25542, 1657, 9092, 18149, 497, 417, 2540, 2112, 11854, 84, 15, 659, 409, 2651, 497, 13949, 2540, 281, 320, 15616, 342, 11854, 2470, 2841, 721, 34, 1084, 926, 23, 910, 709, 14, 881, 568, 926, 4982, 23317, 1886, 582, 533, 1029, 14, 21061, 8368, 2692, 326, 597, 497 ]
between p50-treated and mock-treated VIGS-*NbGCP4* plants (compare red open bars). Box and whisker plot was drawn with rank transformation. Box cover from first to third quartiles while a bar in the middle of box indicates median. Whiskers show from 5% to 95% of ranking. \*\*\*p\<0.001, ⧫ comparison with VIGS-EV control, p\<0.001 by Mann-Whitney test. Dots in the graph indicate outliers. (**D**) The velocity of stromule extension and retraction in VIGS-EV control and VIGS-*NbGCP4* with or without TMV-p50-induced immune response. Data represented as the mean + standard error of the mean (SEM). Symbols at the top of bars indicate significant differences according to Dunnett's multiple comparison test. Single symbol (\*,⧫, ■), control set for each comparison; two-symbols (\*\*,⧫⧫, ■■), p\<0.05 and three-symbols (\*\*\*,⧫⧫⧫, ■■■), p\<0.001. Scale bars equal 40 µm. (**E**) TMV-p50-induced immune response resulted in hyper-parallel MTs (*NN* + p50, right) compared to the control (*nn* +p50, left) in transgenic *N. benthamiana* leaves that mark MTs (yellow). Images are maximum intensity projections of confocal z-stacks. Scale bar represents 20 µm. (**F**) Azimuthal angle differences of MT filaments were measured by the length of the arc. Data represented as the mean +standard error of the mean (SEM), p=0.0713 (Student's t test with Welch's correction). (**G**) Curvature analysis that measures the rate of change of tangent vectors shows MTs in *NN* + p50 have less curvature. Box covers from first to third quartiles while a bar in the middle of box indicates median. Whiskers show from minimum to maximum. \*\*\*\*\*p\<0.00001 (Mann-Whitney test). (**H**) Analysis of the snake length computed by SOAX analysis showed an increase in MTs length in *NN* + p50. Data represented as median and 95% confidence interval. \*\*\*p\<0.001 by Mann-Whitney test.\ 10.7554/eLife.23625.023Figure 5---source data 1.Statistics of the stromule dynamics in VIGS-*NbGCP4* plants.Statistical analyses and the graph of the stromule frequency, length, and velocity in the *NbGCP4* silenced plants presented in [Figure 5B-D](#fig5){ref-type="fig"} by Prism7 are included.\ 10.7554/eLife.23625.024Figure 5---source data 2.Statistics of the MT alteration by TMV-p50 induced immune responses.Statistical analyses and the graph of the MT distribution presented in [Figure 5F-H](#fig5){ref-type="fig"} were generated by Prism7.](elife-23625-fig5){#fig5} We recently reported that stromules are induced significantly during an immune response against bacterial and viral infections ([@bib9]). The [n]{.ul}ucleotide-binding domain [l]{.ul}eucine-rich [r]{.ul}epeat (NLR) immune receptor N recognizes p50 effector from Tobacco Mosaic Virus (TMV) and activate immune response to limit TMV to the infection site ([@bib60]). The stromules are significantly induced during N NLR-mediated immunity to TMV ([@bib9]). Therefore, we tested if N NLR-mediated activation of immune response could further increase stromule number and length in *NbGCP4-*silenced plants. For this, we silenced *NbGCP4* in transgenic *N. benthamiana* expressing N NLR and NRIP1-Cerulean (stromule marker) for 3 days and then infiltrated with p50 and 24 hr later the observations were recorded. As shown before ([@bib9]), the number of stromules significantly increased in p50-treated VIGS vector control plants compared to mock-treatment ([Figure 5A](#fig5){ref-type="fig"}, compare left panels and 5B, compare green bars). The average length ([Figure 5C](#fig5){ref-type="fig"}, green bars) and percentage of stromules longer than 3 μm also increased during an immune response ([Figure 5---figure supplement 1A](#fig5s1){ref-type="fig"}). Interestingly, the increase in stromules in mock-treated *NbGCP4*-silenced plants and a p50-induced immune response in VIGS vector control were remarkably similar ([Figure 5A](#fig5){ref-type="fig"}, compare top right panel with bottom left panel; [Figure 5B](#fig5){ref-type="fig"}, compare mock-treated magenta bar with p50-treated green bar). There was no significant change in stromule number in p50-treated *NbGCP4*-silenced plants compared to the mock-treated *NbGCP4*-silenced plants ([Figure 5A](#fig5){ref-type="fig"}, right panels and 5B, compare magenta bars). Mock-treated *NbGCP4*-silenced plants also showed longer stromules compared to mock-treated VIGS vector control plants ([Figure 5C](#fig5){ref-type="fig"}). This increase was similar to that of in p50-treated VIGS vector control plants that showed significantly longer stromules compared to mock-treated plants ([Figure 5C](#fig5){ref-type="fig"}, compare green open bars). However, there was no significant difference in stromule length in p50- and mock-treated *NbGCP4*-silenced plants ([Figure 5C](#fig5){ref-type="fig"}, compare magenta open bars). Collectively, these results indicate that the activation of immune response does not further increase stromule number and length in *NbGCP4*-silenced plants that exhibit constitutive stromule induction. The velocities of stromule extension and retraction were calculated as an indicator of stromule dynamicity and stability. The stromule extension and retraction velocities decreased in the *NbGCP4*-silenced plants compared to the VIGS vector control ([Figure 5D](#fig5){ref-type="fig"}), suggesting that stromules were less dynamic and more stable. These results indicate that specific alterations of MTs are correlated with change in stromule dynamics and further support a role for MTs in regulating stromules. Interestingly, p50-treated VIGS vector control compared to the mock treatment reduced the velocities of stromule extension and retraction ([Figure 5D](#fig5){ref-type="fig"}, compare green bars) suggesting that stromules are less dynamic and more stable during active immune response. To test if p50-induced immunity alters MT organization resulting in alteration in stromule dynamics, we observed MT dynamics upon TMV-p50 treatment. For this, MT marker TagRFP-MAP-CKL6 was infiltrated into transgenic *N. benthamiana* plants expressing N NLR and NRIP1-Cerulean (*NN*) or expressing only NRIP1-Celulean without N NLR (*nn*). 12 hr later, p50 was infiltrated into the same spot to induce an immune response. After 48 hr of TagRFP-MAP-CKL6 expression and 36 hr of p50 expression, the MT cytoskeleton was imaged and then analyzed by SOAX. Visible differences in MTs between immunity-induced plants ([Figure 5E](#fig5){ref-type="fig"}, *NN* + p50) and non-immunity-induced plants ([Figure 5E](#fig5){ref-type="fig"}, *nn* +p50) were difficult to observed in the images, but interestingly, SOAX analysis revealed that p50-induced immunity altered MT morphology ([Figure 5F--H](#fig5){ref-type="fig"}). Specifically, there were minor differences in orientation ([Figure 5F](#fig5){ref-type="fig"}), curvatures were significantly smaller ([Figure 5G](#fig5){ref-type="fig"}) and snake lengths were larger ([Figure 5H](#fig5){ref-type="fig"}) in *NN* + p50 compared to *nn* +p50. Collectively, these results indicate that changes in MT organization caused by *NbGCP4*-silencing plants or during p50-induced immunity are correlated with changes in stromule dynamics, indicating a possible direct or indirect role for MT organization in modulating stromule dynamics. Actin filaments serve as anchor points but not as tracks for stromule extension {#s2-5} ------------------------------------------------------------------------------- Since AFs were previously shown to regulate chloroplast movement and stromule morphology ([@bib32]; [@bib33]), we tested if stromules extend along AFs. We expressed Lifeact-TagRFP that labels AF ([@bib13]; [@bib48]) in transgenic *N. benthamiana* plants expressing NRIP1-Cerulean that marks stromules ([@bib9]; [@bib10]). Out of 73 stromule tip extension events from 34 cells, the vast majority (93%) of stromule tip extensions were not observed along AFs. Stromules were occasionally observed to be aligned with AF ([Figure 6A](#fig6){ref-type="fig"}, asterisk), but high-resolution examination showed that they were
[ 19, 18103, 310, 247, 19972, 851, 974, 273, 669, 4153, 4932, 18, 13, 17, 14411, 79, 12, 18, 13, 79, 12, 18, 4244, 627, 4961, 253, 32866, 6425, 9538, 3711, 7010, 5577, 92, 74, 2026, 8683, 17168, 8683, 578, 79, 12, 19, 3713, 2733, 578, 40, 578, 79, 12, 18, 9496, 79, 12, 18, 889, 11613, 61, 4519, 578, 40, 578, 79, 12, 18, 9496, 18, 2023, 12261, 61, 11613, 61, 4519, 578, 49, 1990, 19, 8395, 18637, 61, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 41780, 4153, 4932, 18, 13, 17, 29591, 2733, 578, 40, 578, 79, 12, 18, 9496, 79, 12, 18, 2023, 12261, 61, 11613, 61, 4519, 187, 578, 49, 1990, 18, 43742, 689, 370, 40, 578, 79, 12, 18, 889, 3181, 367, 5, 2330, 281, 370, 74, 578, 79, 12, 18, 1990, 8683, 3363, 380, 2460, 310, 17007, 407, 669, 2407, 92, 8683, 2026, 79, 12, 19, 3363, 5488, 13, 669, 5577, 92, 74, 2026, 8683, 724, 14757, 253, 13204, 3711, 1764, 5577, 92, 35, 94, 8048, 8752, 464, 2733, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 187, 8589, 1126, 2407, 92, 8683, 2026, 79, 12, 19, 3080, 18637, 61, 8752, 464, 2733, 4689, 5664, 464, 4153, 187, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 2138, 10985, 253, 11250, 669, 2407, 92, 8683, 2026, 79, 12, 19, 889, 4287, 61, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 28185, 187, 187, 22229, 74, 10, 380, 9538, 3711, 669, 5577, 92, 74, 2026, 8683, 724, 310, 247, 32866, 19945, 15, 187, 187, 22229, 2886, 10, 380, 13040, 3711, 1890, 53, 1035, 5577, 92, 35, 3117, 27, 53, 61, 1274, 9, 50276, 61, 8752, 464, 2733, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 8589, 1126, 2407, 92, 8683, 2026, 79, 12, 19, 3080, 918, 10, 50276, 61, 18637, 308, 61, 1274, 9, 50276, 61, 8752, 187, 464, 2733, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 889, 918, 11189, 310, 247, 32866, 19945, 15, 187, 187, 1466, 370, 8683, 9, 12261, 61, 11613, 61, 4519, 578, 49, 1990, 18, 6580, 575, 3354, 1584, 253, 2264, 2317, 273, 253, 8624, 13204, 689, 370, 49, 5, 2330, 281, 370, 12261, 61, 11613, 61, 4519, 578, 49, 1990, 18, 3303, 3692, 9538, 310, 370, 8683, 9, 79, 12, 18, 3822, 1281, 3019, 8683, 1035, 437, 1572, 3181, 444, 578, 79, 12, 18, 8395, 27054, 8717, 9, 79, 2766, 437, 12, 18, 1009, 320, 253, 659, 2778, 293, 16751, 15, 11680, 253, 3626, 5231, 273, 370, 8683, 9, 79, 12, 18, 1009, 327, 370, 8683, 9, 12261, 61, 11613, 187, 61, 4519, 578, 49, 1990, 18, 6580, 575, 4064, 253, 987, 14, 4608, 1930, 285, 327, 370, 8683, 9, 79, 12, 19, 1009, 575, 10489, 370, 8683, 9, 79, 12, 18, 1572, 3181, 444, 578, 18, 724, 575, 4064, 253, 1669, 14, 4608, 1930, 13, 285, 253, 3626, 5231, 273, 370, 8683, 9, 79, 12, 18, 1009, 327, 370, 8683, 9, 79, 12, 19, 1009, 575, 10489, 370, 8683, 9, 79, 12, 18, 1572, 3181, 444, 578, 18, 724, 575, 4064, 253, 987, 14, 4608, 1930, 285, 327, 3019, 8683, 1035, 437, 1572, 3181, 444, 578, 79, 12, 18, 8395, 27054, 8717, 9, 79, 2766, 437, 12, 18, 1009, 432, 253, 1669, 14, 4608, 1930, 2975, 15, 2635, 359, 476, 3890, 347, 7010, 2043, 92, 2132, 94, 187, 61, 2407, 92, 8683, 2026, 79, 12, 19, 94, 50276, 7, 50276, 30, 8683, 9, 12261, 61, 11613, 61, 4519, 578, 49, 1990, 18, 8395, 30884, 92, 8683, 9, 79, 12, 18, 15734, 3181, 94, 8683, 9, 79, 12, 19, 17108, 187, 7, 50276, 61, 1156, 92, 393, 393, 393, 393, 393, 393, 393, 393, 11153, 30884, 92, 8683, 9, 79, 12, 18, 15734, 3181, 1603, 9, 8683, 1035, 437, 1572, 3181, 187, 38, 578, 79, 12, 18, 8395, 27054, 8717, 9, 79, 2766, 437, 12, 18, 5029, 61, 423, 92, 2132, 2138, 15108, 1419, 253, 26860, 2317, 370, 8683, 9, 12261, 61, 11613, 61, 4519, 578, 49, 1990, 18, 94, 1933, 8683, 9, 79, 12, 18, 1009, 575, 3113, 370, 49, 1352, 2635, 359, 452, 253, 12378, 370, 1087, 578, 49, 1990, 8683, 94, 8048, 2407, 92, 8683, 2026, 79, 12, 19, 889, 4287, 187, 49, 5, 575, 1615, 37264, 253, 4445, 370, 8683, 9, 79, 12, 19, 1572, 30884, 92, 8683, 9, 79, 12, 18, 15734, 3181, 1603, 9, 8683, 1035, 437, 1572, 3181, 444, 578, 79, 12, 18, 8395, 27054, 8717, 9, 79, 2766, 437, 12, 18, 44418, 17007, 407, 669, 5577, 92, 71, 3303, 534, 310, 253, 15516, 9538, 273, 370, 1087, 578, 49, 1990, 8683, 3363, 1281, 370, 1087, 578, 52, 768, 79, 12, 18, 16052, 5577, 6394, 71, 6092, 8048, 5577, 92, 71, 889, 4287, 8717, 9, 79, 12, 19, 1933, 8683, 9, 79, 12, 18, 4010, 52, 768, 79, 12, 18, 724, 320, 253, 12378, 37264, 253, 4445, 3019, 8683, 1035, 437, 1572, 3181, 444, 578, 79, 12, 18, 8395, 27054, 187, 8683, 9, 79, 2766, 437, 12, 18, 3822, 3932, 253, 1390, 2317, 310, 9722, 437, 14, 18, 16550, 14063, 11227, 9, 1087, 578, 52, 768, 79, 12, 18, 16052, 5577, 92, 71, 12104, 1126, 505, 94, 8048, 2059, 578, 74, 3713, 5577, 92, 71, 8395, 4287, 61, 2059, 187, 578, 74, 1603, 52, 768, 79, 12, 18, 43742, 310, 271, 20169, 323, 370, 74, 11380, 437, 1352, 7757, 13, 359, 452, 253, 1563, 18057, 15, 187, 187, 510, 33662, 3019, 1087, 578, 49, 1990, 8683, 2311, 1126, 505, 94, 8048, 2059, 578, 74, 3713, 2407, 92, 8683, 2026, 79, 12, 19, 8395, 4287, 61, 2059, 578, 74, 1603, 49, 1009, 310, 271, 20169, 323, 370, 74, 29, 79, 12, 18, 5, 285, 310, 271, 2563, 303, 28506, 323, 370, 74, 30, 79, 12, 18, 1352, 187, 187, 1466, 441, 4853, 247, 13204, 3711, 7010, 2407, 92, 67, 4689, 1588, 92, 53, 599, 27, 1035, 2059, 578, 40, 578, 79, 12, 18, 9496, 76, 47402, 505, 3713, 2733, 578, 40, 578, 79, 12, 18, 9496, 79, 12, 18, 2311, 34813, 2407, 92, 8683, 2026, 79, 12, 19, 3080, 18637, 26029, 61, 11613, 61, 4519, 578, 49, 1990, 18, 2138, 347, 3637, 15, 844, 9173, 271, 3284, 273, 669, 2407, 92, 8683, 2026, 79, 12, 19, 724, 285, 9722, 2059, 578, 40, 578, 79, 12, 18, 9496, 76, 47402, 505, 3713, 2733, 578, 40, 578, 79, 12, 18, 9496, 79, 12, 18, 2311, 34813, 2407, 92, 8683, 2026, 79, 12, 19, 4018, 407, 9793, 66, 13, 90, 13, 73, 8795, 575, 395, 407, 9793, 66, 13, 90, 13, 73, 1337, 2407, 92, 87, 45114, 2975, 13, 835, 370, 66, 61, 249, 443, 578, 79, 12, 18, 3303, 370, 90, 61, 249, 367, 1366, 669, 2407, 92, 87, 61, 249, 94, 66, 5, 575, 395, 370, 73, 27, 66, 61, 4287, 308, 578, 90, 94, 49, 61, 11613, 61, 1991, 92, 51, 724, 575, 261, 271, 20169, 24279, 38730, 285, 22429, 15, 2635, 359, 873, 669, 2407, 92, 67, 4689, 1588, 92, 53, 4935, 60, 66, 13, 90, 13, 73, 1337, 2407, 92, 87, 62, 32845, 73, 1035, 2407, 92, 87, 28185, 575, 1466, 441, 1908, 253, 14916, 1320, 3019, 85, 578, 49, 889, 11613, 2654, 578, 49, 889, 3181, 61, 1991, 92, 51, 8395, 5574, 9, 301, 578, 12261, 889, 11613, 61, 2407, 92, 76, 2026, 49, 4799, 2135, 3549, 94, 2262, 12261, 61, 11613, 61, 4519, 578, 49, 1990, 18, 889, 11613, 61, 3023, 187, 578, 49, 4799, 437, 889, 4287, 61, 4519, 578, 49, 1990, 79, 2766, 437, 12, 18, 3363, 2896, 1008, 39, 744, 52, 3922, 11506, 495, 15, 20, 1092, 627, 4961, 247, 13204, 3711, 7010, 2407, 92, 67, 4689, 3023, 94, 8048, 8752, 464, 2733, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 187, 8589, 1126, 2407, 92, 8683, 2026, 79, 12, 19, 3080, 4287, 61, 3023, 578, 49, 4799, 437, 2138, 824, 326, 3019, 85, 578, 49, 889, 11613, 2654, 578, 49, 889, 3181, 61, 1991, 92, 51, 8395, 5574, 9, 301, 578, 12261, 889, 11613, 187, 61, 2407, 92, 76, 2026, 49, 4799, 2135, 3549, 8395, 5574, 1035, 2407, 92, 67, 4689, 1588, 92, 53, 3080, 11613, 61, 2407, 92, 67, 4689, 3023, 187, 8395, 5574, 246, 1126, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 17125, 34813, 2407, 92, 8683, 2026, 79, 12, 19, 4018, 575, 261, 27999, 6361, 281, 370, 1087, 578, 49, 1990, 8683, 889, 3181, 2654, 1126, 1991, 92, 51, 1990, 79, 2766, 437, 12, 18, 11971, 2635, 359, 452, 253, 1563, 18057, 15, 187, 187, 510, 33662, 5802, 432, 253, 13040, 3711, 273, 669, 2407, 92, 67, 4689, 3023, 724, 1890, 53, 1035, 2407, 92, 67, 4689, 3023, 2311, 1126, 505, 94, 8048, 2059, 578, 74, 2766, 437, 889, 1274, 9, 50276, 53, 1035, 8752, 464, 2733, 187, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 8589, 1126, 2407, 92, 8683, 2026, 79, 12, 19, 27927, 918, 10, 187, 61, 18637, 61, 2059, 578, 74, 2766, 437, 889, 1274, 9, 50276, 53, 1035, 3023, 578, 49, 4799, 437, 8395, 918, 11189, 310, 271, 20169, 323, 370, 74, 29, 79, 12, 18, 5, 285, 310, 271, 2563, 303, 28506, 323, 370, 74, 30, 79, 12, 18, 1352, 187, 187, 3463, 8755, 721, 15, 19, 13, 313, 23, 15, 19, 582, 1008, 52, 4904, 1194, 5238, 608, 13, 898, 6899, 62, 285, 253, 13040, 1680, 11923, 6899, 11227, 53, 1035, 2407, 92, 67, 4689, 3023, 2311, 1126, 505, 17168, 41, 578, 74, 2766, 437, 889, 1274, 9, 50276, 53, 1035, 8752, 464, 2733, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 8589, 1126, 2407, 92, 8683, 2026, 79, 12, 19, 27927, 918, 10, 187, 61, 18637, 388, 578, 74, 2766, 437, 889, 1274, 9, 50276, 53, 1035, 3023, 578, 49, 4799, 437, 8395, 918, 11189, 310, 271, 20169, 323, 370, 74, 29, 79, 12, 18, 5, 285, 310, 271, 2563, 303, 28506, 323, 370, 74, 30, 79, 12, 18, 1352, 3932, 370, 53, 9, 1035, 2059, 578, 40, 578, 79, 12, 18, 9496, 76, 47402, 505, 3713, 8752, 464, 2733, 2026, 40, 578, 79, 12, 18, 16052, 437, 2311, 34813, 2407, 92, 8683, 2026, 79, 12, 19, 16996, 285, 370, 53, 1035, 3023, 578, 49, 4799, 437, 6580, 403, 3365, 4802, 13, 352, 3637, 432, 253, 388, 459, 24116, 91, 1680, 11923, 6899, 326, 1890, 53, 1035, 2407, 92, 67, 4689, 3023, 2311, 1126, 505, 94, 8048, 2059, 578, 74, 2766, 437, 889, 1274, 9, 50276, 53, 1035, 8752, 464, 2733, 187, 4689, 5664, 464, 4153, 2026, 79, 12, 18, 8814, 18, 13, 17, 10, 16052, 437, 8589, 1126, 2407, 92, 8683, 2026, 79, 12, 19, 27927, 918, 10, 187, 61, 18637, 61, 2059, 578, 74, 2766, 437, 889, 1274, 9, 50276, 53, 1035, 3023, 578, 49, 4799, 437, 8395, 918, 11189, 310, 271, 20169, 323, 370, 74, 29, 79, 12, 18, 5, 285, 310, 271, 2563, 303, 28506, 323, 370, 74, 30, 79, 12, 18, 1352, 187, 187, 7130, 281, 1008, 52, 4904, 37, 780, 1092, 1339, 10809, 52, 768, 79, 2766, 437, 2023, 53, 1035, 3023, 578, 49, 4799, 437, 8395, 724, 9173, 253, 873, 273, 322, 14, 12856, 302, 1938, 5971, 273, 322, 14, 23226, 370, 52, 768, 74, 889, 12707, 322, 768, 79, 2766, 437, 889, 4287, 187, 52, 768, 74, 889, 12707, 308, 1035, 3023, 578, 49, 4799, 437, 6580, 3019, 92, 74, 889, 5090, 17, 3822, 743, 3284, 273, 10809, 52, 768, 79, 2766, 437, 2023, 53, 1035, 3023, 578, 49, 4799, 437, 8395, 724, 6607, 407, 247, 3711, 669, 1637, 27, 52, 768, 79, 2766, 437, 889, 4287, 187, 52, 768, 74, 889, 12707, 308, 1035, 3023, 578, 49, 4799, 437, 6580, 310, 3542, 347, 25352, 1637, 19562, 3932, 669, 437, 61, 1266, 295, 1366, 10809, 52, 768, 79, 2766, 437, 2023, 53, 1035, 3023, 578, 49, 4799, 437, 8395, 724, 310, 25783, 281, 669, 2059, 578, 79, 2766, 437, 889, 1274, 9, 187, 53, 1035, 3023, 578, 49, 4799, 437 ]
2))$ is a deformation retract of $\Omega^{(1,0)}(n+1,n+1)$, there exists the homotopy equivalent fiber map$$\mathfrak{i}_{SO}:SO_{n+2}(\gamma_{G_{n+1}}^{n+1}\oplus\varepsilon_{G_{n+1}}^{1},TP\oplus\varepsilon_{P}^{2})\longrightarrow\boldsymbol{\Omega}_{n+1}^{(1,0)}=\Omega^{(1,0)}(\gamma_{G_{n+1}}^{n+1},TP\oplus\varepsilon _{P}^{1})$$ over $G_{n+1}\times P$ associated to $i_{n+1}^{SO}$. The image is denoted by $\mathbf{SO}_{n+2}$. Furthermore, $\mathfrak{i}_{SO}$ induces the bundle map $$\mathfrak{B}:\widehat{\gamma}_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell }|_{\mathbf{SO}_{n+2}}\longrightarrow\widehat{\gamma}_{\boldsymbol{\Omega }_{n+1}^{(1,0)}}^{\ell}$$ covering the inclusion $\mathbf{SO}_{n+2}\rightarrow\boldsymbol{\Omega}_{n+1}^{(1,0)}$. \(i) The fiber map $\mathfrak{i}_{SO}$ is a homotopy equivalence. \(ii) The Thom map$$T(\mathfrak{B)}:T\left( \widehat{\gamma}_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell}|_{\mathbf{SO}_{n+2}}\right) \longrightarrow T\left( \widehat {\gamma}_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell}\right)$$ is a homotopy equivalence. Let $SO(TP\oplus\varepsilon_{P}^{1})$ denote the total space of the principal bundle over $P$ associated to $TP\oplus\varepsilon_{P}^{1}$, whose fiber is $SO(n+1)$. Let $(SO(\ell)\times E_{n+1})\backslash SO(n+\ell+1)$ be the Stiefel manifold. Consider the natural actions of $SO(n+1)$ on $SO(TP\oplus \varepsilon_{P}^{1})$ from the right-hand side and on $SO(n+2)$ through $SO(n+1)\times E_{1}$ from the left-hand side, and the natural actions of $SO(n+1)$ on $SO(n+2)$ through $SO(n+1)\times E_{1}$ from the right-hand side and on $(SO(\ell)\times E_{n+1})\backslash SO(n+\ell+1)$ from the left-hand side respectively. Then we can express as$$\begin{aligned} \mathbf{SO}_{n+2} & =SO(TP\oplus\varepsilon_{P}^{1})\underset{SO(n+1)}{\times}SO(n+2)\\ & \text{ \ \ \ \ \ \ \ \ }\underset{SO(n+1)}{\times}((SO(\ell)\times E_{n+1})\backslash SO(n+\ell+1)).\end{aligned}$$ Identify the quotient space $SO(TP\oplus\varepsilon_{P}^{1})/SO(n+1)$ with $P$. Then we have the projection $pr_{P}^{SO}:\mathbf{SO}_{n+2}\rightarrow P$ by forgetting the component $SO(n+2)\underset{SO(n+1)}{\times}((SO(\ell)\times E_{n+1})\backslash SO(n+\ell+1))$, denoted by $\mathfrak{f}$, which is the canonical fiber of $pr_{P}^{SO}$. Let $pr_{S^{n+1}}^{\mathfrak{{f}}}:\mathfrak{f}\rightarrow SO(n+2)/SO(n+1)=S^{n+1}$ be the projection forgetting the component $(SO(\ell)\times E_{n+1})\backslash SO(n+\ell+1)$. Since the last space is $(\ell-1)$-connected,$$(pr_{S^{n+1}}^{\mathfrak{f}})_{\ast}:\pi_{i}(\mathfrak{f})\rightarrow\pi _{i}(S^{n+1})$$ is an isomorphism for $i<\ell$. Hence, we have the following lemma. The homomorphism $(pr_{P}^{SO})_{\ast}:\pi_{i}(\mathbf{SO}_{n+2})\rightarrow\pi_{i}(P)$ is an isomorphism for $i<n+1$ and is an epimorphism for $i=n+1$. Let us define a bundle map$$\mathbf{b}_{\mathcal{T}}:(\pi_{G_{n+1}}^{k})^{\ast}(\gamma_{G_{n+1}}^{n+1})|_{\mathbf{SO}_{n+2}}\longrightarrow TP\oplus\varepsilon_{P}^{1}$$ as follows. We denote an element of $\mathbf{SO}_{n+2}$ and $(\pi_{G_{n+1}}^{k})^{\ast}(\gamma_{G_{n+1}}^{n+1})|_{\mathbf{SO}_{n+2}}$ by $[a,y,h]$ and by $[a,y,h,\mathbf{v]}$ respectively, where $a\in G_{n+1}$, $y\in P$, $\mathbf{v\in}a$ and $h:a\rightarrow T_{y}P\oplus\mathbb{R}$ is an isomorphism preserving orientations and norms. Then we set $\mathbf{b}_{\mathcal{T}}([a,y,h,\mathbf{v])}=h(\mathbf{v)}$. Let us consider the trivialization $(t_{P}\oplus id_{P}\times\mathbb{R})\circ(id_{TP}\oplus\mathbf{k}_{P}^{\backsim}):TP\oplus\varepsilon_{P}^{1}\oplus\nu _{P}^{\ell}\rightarrow\varepsilon_{P}^{n+\ell+1}$. By [@FoldSurg Proposition 3.3], there exists a bundle map$$\mathbf{b}_{\nu}:\widehat{\gamma}_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell }|_{\mathbf{SO}_{n+2}}\rightarrow\nu_{P}^{\ell}$$ such that $(t_{P}\oplus id_{P}\times\mathbb{R})\circ(id_{TP}\oplus \mathbf{k}_{P}^{\backsim})\circ(\mathbf{b}_{\mathcal{T}}\oplus\mathbf{b}_{\nu })\circ t_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}|_{\mathbf{SO}_{n+2}}$ is homotopic to $pr_{P}^{SO}\times id_{\mathbb{R}^{n+\ell+1}}$. Then we have the following lemma. The homomorphism induced from the Thom map of $\mathbf{b}_{\nu}$$$T(\mathbf{b}_{\nu})_{\ast}:\pi_{i+\ell}\left( T(\widehat{\gamma }_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell}|_{\mathbf{SO}_{n+2}})\right) \longrightarrow\pi_{i+\ell}\left( T(\nu_{P}^{\ell})\right)$$ is an isomorphism for $i<n+1$ and is an epimorphism for $i=n+1$. By Lemma 6.2, (6.2), [@SpaAT Section 5, 9 Theorem] and the Thom Isomorphism Theorem,$$T(\mathbf{b}_{\nu})_{\ast}:H_{i+\ell}\left( T(\widehat{\gamma}_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell}|_{\mathbf{SO}_{n+2}})\right) \longrightarrow H_{i+\ell}\left( T(\nu_{P}^{\ell})\right)$$ is an isomorphism for $i<n+1$ and is an epimorphism for $i=n+1$. Since $T((\pi_{G_{n+1}}^{k})^{\ast}(\widehat{\gamma}_{G_{n+1}}^{\ell})|_{\mathbf{SO}_{n+2}})$ and $T(\nu_{P}^{\ell})$ are simply connected, it follows from the Hurewicz Isomorphism Theorem that$$T(\mathbf{b}_{\nu})_{\ast}:\pi_{i+\ell}\left( T(\widehat{\gamma }_{\boldsymbol{\Omega}_{n+1}^{(1,0)}}^{\ell}|_{\mathbf{SO}_{n+2}})\right) \longrightarrow\pi_{i+\ell}\left( T(\nu_{P}^{\ell})\right)$$ is an isomorphism for $i<n+1$ and is an epimorphism for $i=n+1$. According to [@SpaDual], let $\{S^{n+\ell},T(\nu_{P}^{\ell})\}$ denote the set of S-homotopy classes of S-maps $S^{i}\wedge S^{n+\ell}\rightarrow S^{i}\wedge T(\nu_{P}^{\ell})$ $({i}\geq0)$. An element of $\{S^{n+\ell},T(\nu_{P}^{\ell})\}$ represented by a map $\alpha:S^{n+\ell}\rightarrow S^{i}\wedge T(\nu_{P}^{\ell})$ is written as $\{\alpha\}$. Since $\ell\gg n$, $\{S^{n+\ell},T(\nu_{P}^{\ell})\}$ is isomorphic to $\pi_{n+\ell}\left( T(\nu_{P}^{\ell
[ 312, 1405, 13065, 9226, 298, 766, 76, 413, 9226, 2963, 382, 312, 8727, 13, 3371, 6077, 42454, 770, 1392, 75, 4002, 619, 17685, 3024, 21964, 34715, 24439, 468, 461, 70, 14899, 45588, 6362, 13, 327, 340, 661, 750, 797, 4631, 31923, 396, 13, 20463, 11895, 81, 647, 335, 1550, 15175, 69, 1392, 2649, 2381, 22616, 18889, 347, 757, 1906, 76, 39198, 28574, 1282, 34715, 24439, 375, 522, 86, 311, 1866, 42454, 11889, 35926, 48238, 11889, 2563, 12271, 284, 522, 404, 251, 27082, 12198, 442, 5616, 885, 257, 15, 427, 44885, 465, 8627, 90, 661, 292, 13, 465, 10284, 465, 36021, 461, 2585, 74, 249, 13, 23382, 826, 324, 46848, 347, 292, 38334, 313, 38, 58, 10, 427, 27, 80, 337, 16, 9755, 13, 35978, 335, 8962, 255, 16288, 1135, 8500, 2804, 41245, 355, 16189, 15, 187, 40531, 782, 5914, 1238, 257, 465, 47796, 261, 6077, 11895, 81, 647, 2788, 90, 4742, 261, 408, 1392, 1616, 76, 2610, 6077, 11895, 81, 647, 335, 1550, 15175, 69, 6841, 79, 2381, 620, 1392, 22616, 8483, 1392, 13401, 8429, 13077, 5738, 2827, 74, 34715, 24439, 375, 522, 86, 311, 1866, 42454, 885, 257, 3273, 303, 47578, 522, 404, 3508, 15, 15753, 249, 43681, 358, 14899, 8919, 13401, 10839, 788, 442, 20852, 5781, 15, 3189, 301, 6841, 327, 45641, 770, 2623, 258, 2804, 284, 86, 28993, 48931, 13, 23382, 8429, 44080, 1405, 1616, 5914, 1238, 257, 45641, 565, 16189, 15, 187, 44, 86, 1657, 24418, 856, 84, 405, 14302, 618, 46848, 11329, 6169, 44918, 27735, 66, 13, 25685, 39402, 28574, 248, 2188, 6841, 619, 17685, 442, 13401, 1392, 347, 900, 5741, 27, 281, 29197, 465, 19014, 6753, 22289, 288, 2966, 255, 37720, 6362, 461, 90, 17685, 465, 36021, 303, 1392, 9608, 1392, 14899, 12662, 66, 327, 352, 339, 347, 74, 25445, 13953, 84, 307, 2177, 553, 24821, 375, 1914, 247, 1479, 3230, 15, 26096, 75, 666, 8729, 30287, 311, 936, 45079, 465, 335, 29662, 75, 4002, 1465, 8498, 246, 9608, 413, 29074, 27, 22616, 23732, 10709, 42089, 37364, 41245, 8729, 26535, 302, 3592, 580, 86, 41245, 21814, 571, 13, 13460, 266, 44918, 4927, 22516, 13, 20463, 37720, 75, 666, 77, 1945, 307, 45079, 3387, 5847, 290, 85, 571, 1125, 251, 7005, 382, 312, 11889, 465, 536, 8440, 1886, 461, 1136, 24339, 8727, 15, 4009, 262, 3592, 580, 41376, 4172, 5265, 360, 1595, 297, 1914, 37720, 6362, 13431, 22289, 821, 7373, 24339, 571, 11895, 4904, 6077, 821, 16853, 307, 3592, 10441, 257, 37720, 6362, 13431, 22289, 30687, 6678, 419, 40426, 47476, 1407, 4415, 257, 48938, 1218, 5309, 262, 24339, 292, 13, 278, 2788, 16189, 26535, 3592, 9821, 45844, 375, 1914, 8729, 716, 3696, 26307, 246, 2880, 13511, 257, 1218, 75, 455, 14899, 618, 255, 580, 86, 316, 15, 530, 438, 382, 24339, 437, 312, 1405, 7239, 902, 21964, 4927, 22516, 1331, 2902, 266, 4172, 5265, 360, 1595, 297, 4002, 37720, 6362, 13431, 4002, 22865, 42225, 268, 15175, 19089, 45844, 21221, 249, 8729, 716, 3696, 13401, 249, 246, 2880, 80, 6356, 249, 8729, 1144, 18453, 21964, 396, 13, 20463, 425, 18253, 75, 1464, 266, 1616, 76, 2610, 300, 893, 12910, 6077, 13, 1484, 438, 358, 78, 6077, 27082, 1767, 6841, 79, 2381, 408, 1392, 15, 1023, 3371, 384, 5781, 826, 324, 2788, 4530, 1301, 74, 249, 37720, 75, 666, 17183, 652, 4113, 23187, 8729, 247, 5616, 2059, 249, 12662, 80, 6356, 249, 15, 187, 45, 412, 86, 22516, 13, 441, 32937, 298, 10441, 41376, 13, 20463, 1484, 438, 292, 268, 2338, 442, 292, 45079, 465, 335, 29662, 75, 4002, 994, 36825, 402, 302, 25338, 6710, 15, 31790, 261, 1944, 39198, 246, 580, 27521, 442, 358, 1405, 327, 44044, 12271, 1392, 11895, 81, 647, 5738, 480, 17366, 42686, 782, 76, 2610, 6077, 428, 37720, 75, 666, 8729, 30287, 311, 936, 428, 3371, 6077, 1790, 1392, 327, 362, 21243, 26217, 32, 21894, 1479, 4530, 29168, 531, 86, 5711, 22289, 821, 33542, 1432, 1914, 16288, 484, 455, 14899, 247, 339, 785, 618, 28233, 66, 258, 14797, 362, 1240, 6156, 42454, 770, 1392, 8020, 249, 2336, 83, 1595, 9821, 13, 425, 15307, 382, 11177, 38760, 1218, 400, 536, 4530, 41376, 281, 382, 43166, 30687, 6678, 13, 8729, 4927, 22516, 295, 1767, 22616, 13586, 87, 5741, 2963, 1479, 413, 38334, 13, 31234, 620, 4631, 571, 34715, 303, 24339, 571, 29348, 413, 6148, 11895, 81, 647, 328, 31051, 1031, 1440, 18453, 885, 22516, 13, 355, 18956, 384, 4631, 571, 34715, 303, 24339, 571, 29348, 413, 87, 24968, 43938, 11774, 1392, 5367, 1479, 413, 316, 23456, 24339, 17062, 13, 480, 251, 4530, 10911, 739, 900, 271, 936, 74, 9951, 3319, 3592, 1392, 249, 8729, 480, 20531, 44918, 246, 1657, 70, 3273, 8032, 266, 246, 18453, 6841, 35978, 2788, 5353, 257, 298, 412, 1316, 66, 15, 46942, 437, 28709, 13, 10911, 739, 900, 8729, 2827, 414, 48931, 1054, 328, 340, 661, 24524, 2381, 8311, 13, 11895, 81, 647, 328, 268, 15175, 375, 505, 80, 13, 821, 87, 729, 255, 355, 5781, 1465, 8498, 30287, 1306, 620, 48931, 13, 299, 1479, 1392, 8483, 1392, 13401, 258, 14797, 2563, 1392, 1031, 29045, 76, 21964, 10911, 1538, 268, 15175, 1440, 1392, 21380, 17976, 10120, 383, 1392, 821, 5711, 66, 11895, 81, 647, 316, 15175, 2649, 2381, 46550, 8729, 43938, 384, 90, 1392, 13586, 87, 770, 23096, 249, 281, 23611, 249, 13, 480, 375, 30287, 297, 682, 266, 3371, 262, 28709, 362, 518, 25183, 4172, 14750, 297, 24339, 571, 43579, 8429, 307, 442, 5741, 15, 187, 48, 394, 4175, 12604, 284, 187, 2906, 5711, 8901, 8429, 3296, 447, 13, 549, 5711, 8901, 10911, 1538, 49659, 13, 1465, 87, 12271, 38301, 1851, 255, 13, 258, 5616, 1405, 295, 1767, 35978, 24533, 292, 5796, 29983, 15, 308, 36383, 1392, 402, 962, 261, 5021, 465, 8627, 90, 661, 437, 1392, 8729, 49635, 12271, 2381, 3433, 666, 37821, 18524, 7905, 316, 46848, 271, 893, 66, 6753, 22289, 42454, 770, 1392, 75, 4002, 8729, 268, 1914, 4002, 8729, 465, 36021, 37364, 321, 4002, 28542, 414, 661, 4002, 9951, 6841, 257, 13, 29348, 4530, 10911, 739, 900, 22616, 18889, 25130, 2966, 770, 6841, 1767, 2177, 553, 24821, 375, 1758, 13586, 365, 3194, 74, 30287, 21206, 5503, 246, 21243, 6841, 9951, 1392, 570, 257, 15, 22509, 1657, 78, 11889, 247, 1479, 3230, 546, 26159, 16288, 1479, 413, 66, 6753, 22289, 42454, 770, 1392, 75, 12271, 4164, 785, 261, 43236, 30287, 41385, 8729, 2563, 1392, 87, 1513, 86, 29662, 2563, 12271, 19924, 14, 274, 5711, 261, 17062, 20846, 384, 293, 38334, 821, 33542, 37848, 249, 2336, 83, 1595, 9821, 15, 473, 2804, 438, 437, 14899, 2563, 1392, 87, 1513, 86, 316, 14366, 21037, 249, 1578, 74, 249, 465, 10284, 44918, 11895, 81, 647, 328, 28574, 864, 358, 14899, 268, 850, 257, 1125, 251, 87, 17366, 770, 1392, 75, 1914, 20846, 45846, 312, 1914, 327, 11500, 78, 1914, 21814, 571, 15, 4825, 1405, 465, 2338, 257, 4530, 266, 18889, 618, 1351, 292, 8485, 66, 38334, 15, 187, 14819, 10120, 6841, 716, 29074, 428, 1054, 86, 13077, 571, 362, 36826, 1249, 428, 27082, 46515, 18453, 21964, 3127, 49635, 400, 15175, 546, 26159, 3912, 76, 23909, 328, 3436, 15, 49635, 400, 15175, 49144, 17366, 314, 1440, 15175, 661, 22217, 49635, 12271, 2381, 3433, 666, 35406, 13, 480, 251, 4530, 30252, 6811, 271, 893, 66, 30287, 4034, 2072, 13, 347, 292, 2788, 16564, 570, 13, 480, 31797, 3273, 50161, 246, 26171, 1125, 251, 87, 17366, 770, 1392, 8020, 1392, 8429, 47192, 466, 864, 8485, 16189, 12910, 13077, 312, 28233, 402, 328, 893, 66, 13, 480, 251, 4530, 10911, 739, 900, 7905, 5738, 66, 821, 5741, 15, 10876, 4530, 66, 1465, 21380, 8729, 402, 384, 1920, 2788, 5781, 30252, 6811, 249, 8729, 1125, 251, 87, 17366, 770, 1392, 75, 1914, 30287, 10424, 249, 362, 518, 580, 41376, 8729, 49144, 17366, 314, 1440, 1392, 76, 15175, 344, 301, 6841, 30287, 311, 43166, 8729, 268, 850, 257, 8729, 465, 36021, 37364, 321, 1866, 28542, 414, 16750, 288, 26826, 1059, 347, 292, 2788, 16564, 15, 187, 33319, 282, 26064, 87, 304, 20897, 187, 52, 7, 37, 14, 610, 11774, 6841, 8429, 311, 17062, 15, 428, 313, 12944, 10, 1780, 5711, 8901, 8429, 3296, 447, 13, 7905, 86, 4631, 249, 37720, 493, 5781, 26644, 75, 15175, 465, 8627, 9207, 1392, 31234, 48155, 465, 36021, 461, 293, 1316, 66, 15, 31790, 2966, 1392, 5914, 13, 327, 258, 5616, 25445, 821, 262, 3592, 2623, 31023, 42686, 656, 347, 19953, 66, 1125, 1584, 2555, 37364, 41245, 268, 1914, 292, 8729, 402, 459, 85, 28542, 414, 661, 292, 281, 30160, 266, 8485, 16189, 15, 15753, 408, 1392, 327, 246, 2880, 37409, 1392, 278, 1392, 9608, 249, 2827, 300, 4631, 292, 1407, 307, 13, 2873, 893, 479, 301, 6841, 327, 3994, 261, 1944, 4631, 31923, 465, 36021, 414, 1440, 1392, 21380, 26535, 3691, 266, 256, 15175, 2649, 600, 75, 9608, 46669, 37821, 1392, 13, 480, 251, 4530, 1323, 26171, 425, 3273, 43236, 716, 13838, 1392, 716, 73, 536, 4530, 41376, 340, 28993, 39660, 32617, 1392, 15, 187, 1992, 885, 22516, 13, 327, 1465, 8498, 619, 17685, 442, 14899, 4285, 76, 5985, 13, 20463, 1484, 316, 1914, 6753, 22289, 1616, 5914, 1238, 257, 11895, 81, 647, 86, 327, 1061, 386, 328, 307, 2177, 553, 24821, 26063, 247, 1479, 3230, 15, 308, 44885, 327, 1465, 21380, 1578, 7438, 76, 5985, 4927, 29074, 13, 20463, 4784, 76, 21964, 1616, 5914, 255, 299, 400, 12271, 18889, 35926, 69, 8175, 571, 8729, 20463, 8483, 76, 36383, 1392, 247, 1479, 580, 17366, 408, 1392, 327, 35926, 48238, 8727, 618, 2960, 5796, 29983, 247, 11825, 266, 11895, 81, 647, 5738, 362, 1240, 49906, 580, 6077, 281, 38498, 3784, 408, 1392, 15, 1916, 400, 1519, 580, 41376, 480, 17366, 42686, 782, 76, 2610, 6077, 1058, 73, 414, 84, 327, 246, 1657, 87, 4631, 86, 438, 15959, 340, 384, 1392, 619, 17685, 442, 36825, 15, 187, 44, 311, 1342, 570, 22516, 13, 327, 246, 9608, 413, 15175, 13, 20463, 10911, 739, 900, 396, 5650, 66, 1465, 8498, 46966, 74, 400, 48931, 1058, 73, 414, 29045, 1484, 316, 1914, 6753, 22289, 1616, 5914, 1238, 257, 11895, 81, 647, 328, 7684, 47796, 15, 27817, 1392, 8483, 1392, 13401, 821, 5711, 66, 480, 255, 76, 8962, 41376, 15, 15366, 24524, 1914, 347, 757, 6859, 26307, 7684, 522, 86, 311, 1866, 8483, 1392, 13401, 618, 2960, 35926, 48238, 261, 303, 1342, 35951, 298, 412, 962, 42468, 12331, 302, 256, 21964, 79, 2381, 36825, 13, 3371, 5741, 594, 45716, 893, 266, 24821, 26063, 4072, 465, 265, 1392, 13312, 38334, 355, 4530, 257, 15, 187, 47, 293, 75, 6841, 570, 22516, 13, 479, 301, 6841, 8483, 1392, 13401, 716, 5465, 5781, 465, 36021, 461, 293, 10441, 312, 1405, 4927, 29074, 13, 4784, 257, 23105, 297, 1405, 37080, 384, 5781, 2177, 73, 250, 13401, 249, 13, 340, 2503, 1392, 2198, 17685, 27772, 47796, 402, 302, 25338, 1301, 74, 249, 6753, 6356, 249, 15, 1023, 327, 299, 13838, 8175, 41376, 258, 5025, 2072, 11889, 246, 9608, 413, 1392, 5847, 405, 74, 15, 1916, 8901, 47796, 13, 11895, 81, 647, 316, 15175, 2649, 2381, 22289, 327, 258, 5792, 2623, 480, 26202, 25183, 13065, 9226, 246, 2788, 1914, 7684, 47796, 13, 3371, 5741, 13586, 87, 5741, 266, 13, 480, 31797, 256, 21243, 76, 2381, 1432, 531, 8962, 302, 8729, 12910, 307, 340, 2503, 1392, 2198, 17685, 27772, 47796, 402, 302, 25338, 255, 37080, 384, 3703, 73, 5256, 3273, 43236, 362, 11938, 565, 5738, 1616, 76, 2610, 6077, 13, 8729, 281, 8901, 47796, 256, 21964, 79, 2381, 408, 1392, 327, 945, 33542, 3592, 2623, 13, 20463, 340, 2503, 1392, 2198, 17685, 27772, 47796, 402, 302, 25338, 255, 1125, 302, 299, 400, 12271, 42138, 563, 2563, 1392, 264, 962, 885, 257, 247, 339, 785, 266, 362, 21243, 770, 1392, 261, 267, 18524, 43579, 480, 17366, 42686, 782, 76, 2610, 6077, 15, 187, 36, 2198, 757, 7949, 6584, 86, 11262, 9663, 10986, 187, 1556, 2573, 14, 610, 11774, 6841, 8429, 311, 17062, 15, 428, 313, 1400, 10, 1780, 5711, 8901, 8429, 3296, 447, 13, 1125, 251, 288, 1164, 565, 5781, 8729, 7005, 382, 312, 8727, 33786, 292, 21964, 340, 384, 257, 1392, 465, 302, 1562, 276, 661, 1914, 402, 321, 11548, 3230, 1821, 80, 254, 6841, 1392, 15, 27334, 81, 647, 484, 311, 15208, 38522, 591, 12784, 1392, 14899, 246, 41231, 614, 327, 945, 33542, 5781, 465, 335, 29662, 75, 1914, 821, 2966, 266, 87, 522, 666, 8729, 11737, 32567, 9226, 618, 255, 580, 86, 316, 21844, 2188, 6077, 8729, 20846, 384 ]
amme niiden lausekkeiden poistamista, joilla välittäjille myönnetään sopimusperusteinen suoja, on yksinkertaisesti se, että kilpailulainsäädäntö ei ole asianmukainen väline sopimusosapuolten välisen mahdollisen epätasapainon käsittelemiseen. Nämä kysymykset, kuten keskusteltiin, kun laadimme asetusta (EY) N:o 1/2003, kuuluvat kauppaoikeuden alaan. Automarkkinoiden kaltaisilla kilpailukykyisillä markkinoilla kilpailulainsäädännöllä ei pitäisi puuttua eri sopimusosapuolten väliseen voimatasapainoon. Niin tekeminen olisi tungettelevaa. Meidän on toimittava oikeasuhteisesti, kun puutumme markkinoiden toimintaan. Kuulemisprosessissa saimme selville myös muita, ehkä vähemmän myönteisiä asioita: toisin kuin autojen hinnat korjaustyön keskimääräinen hinta on itse asiassa noussut viime vuosien aikana. Korjaus ja huolto ovat kuluttajille hyvin tärkeitä: ei vain turvallisuuden ja luotettavuuden takia, vaan myös siksi, että korjauslaskut ovat 40 prosenttia auton omistamisen kokonaiskustannuksista. Valitettavasti riippumattomien korjaamojen valmiuksia kilpailla valtuutettujen korjaamojen kanssa haittaavat edelleen monet rajoitukset, mukaan luettuna varaosien ja teknisten tietojen rajallinen saatavuus. Uudistuksellamme pyritään siksi antamaan riippumattomille korjaamoille parempi pääsy varaosiin ja teknisiin tietoihin ja estämään se, että ne suljetaan markkinoilta muilla, uudemmilla käytännöillä. Se johtaa laadukkaampiin korjauspalveluihin ja alempiin hintoihin. Lopuksi, uskon lujasti, että uudet puitteet ovat kuluttajille entistä suotuisammat. Ensisijainen tavoitteemme on lisätä kilpailua jälkimarkkinoilla - korjaus ja huolto - joilla sitä on vähiten? Vaikka ajoneuvojen valmistajien kaupallinen asema saattaa olla vahva välittäjiin verrattuna, ne taistelevat raivokkaasti toistensa kanssa, ja siksi nyt ei tarvita poikkeusta, tällaisia sopimuksia koskevan kilpailun säilyttämiseksi, alakohtaisia sopimuksia koskevasta ryhmäpoikkeusasetuksesta, jonka komissio antoi äskettäin ja joka myös tulee voimaan tämän kuukauden lopussa. Todellakin, komissio ja erityisesti minun yksikköni, kilpailun pääosasto, valvovat alaa hyvin huolellisesti, eikä pitäisi olla epäilystäkään komission päättäväisyydestä valvoa kilpailusääntöjä ja ryhtyä tarvittaviin toimiin, jos huomataan joitakin vakavia rikkomuksia tai puutteita. Othmar Karas Arvoisa puhemies, arvoisa komission jäsen, hyvät kollegat, olemme nyt kuulleet paljon. Tällä suullisella kysymyksellä ja päätöslauselmalla halusimme antaa autojen välittäjille ja pienille ja keskisuurille yrityksille äänen, koska komissio ei ole kiinnittänyt viime vuosina tarpeeksi huomiota tähän ääneen. Kuulemisen aikana ennen kaikkea autojen välittäjät ilmaisivat huolta ja epävarmuutta epätasa-arvoisesta kohtelusta valmistajiin verrattuna. Oikeudellinen epävarmuus otettiin esiin kuten myös kilpailun väheneminen pienten autonvälittäjien kohtaamien ongelmien takia. Emme kuitenkaan ole saaneet vastausta. Pyydän teitä - minuuttia vaille 12 - käyttämään 21 päivää ennen toukokuun 26. päivää sisällyttääksenne päätöslauselman, jonka parlamentti antaa huomenna, asetukseenne, jotta voitte tulla autonvälittäjiä puolitiehen vastaan muuttamatta suuntaa, jonka komissio haluaa valita. Olkaa hyvä ja suhtautukaa parlamenttiin ja autonvälittäjien huoliin vakavasti ja sisällyttäkää heidän huolensa ja pienten ja keskisuurten yritysten huolet asetukseen. Olle Ludvigsson S&D-ryhmän puolesta. - (SV) Arvoisa puhemies, haluaisin korostaa neljää kysymystä tässä keskustelussa. Ensinnäkin, on olemassa valitettava pyrkimys asettaa autoteollisuuden pienet ja suuret yritykset toisiaan vastaan. Niillä on tietyssä määrin erilaiset edut, mutta meidän on ensisijaisesti keskityttävä luomaan sääntelyjärjestelmä, jonka avulla ne voivat tehdä tehokkaasti yhteistyötä. Toiseksi, on hyvin myönteinen merkki, että uusien autojen markkinoiden kilpailu on parantunut viime vuoden aikana. Tämä on hyvä esimerkki siitä, että mitkään markkinat eivät ole mahdottomia ja että pitkällä aikavälillä on mahdollista saada paljon aikaan kilpailua vahvistavilla toimenpiteillä. Toivottavasti jälkimarkkinoilla kehitys on tulevaisuudessa yhtä myönteistä. Kolmanneksi, on tärkeää, että komissio seuraa hyvin aktiivisesti kehitystä uusien autojen markkinoiden kilpailun osalta. Sitä pitäisi valvoa jatkuvasti. Kaikkien asianosaisten osapuolten pitäisi saada mahdollisimman pian lopulliset tiedot säännöistä, joita sovelletaan vuoden 2013 kesäkuusta alkaen. Neljänneksi, meidän pitäisi tehostaa keskustelujamme siitä, miten aiomme vaihtaa vihreisiin, ympäristön kannalta suotuisampiin autoihin. Se on ehdottomasti olennaisen tärkeä prosessi. Toisaalta, kilpailusääntöjen on oltava joustavia niiden tukien osalta, joita tarvitaan, jotta sähköajoneuvot ja muut ympäristön kannalta suotuisat vaihtoehdot voivat vakiintua markkinoilla, ja toisaalta säännöillä on varmistettava, että ympäristön kannalta suotuisat autot eivät joudu epäedulliseen asemaan vähittäisalalla tai jälkimarkkinoilla. Cristian Silviu Buşoi ALDE-ryhmän puolesta. - (EN) Arvoisa puhemies, auton hankintaa ja omistamista pidetään yhtenä kotitalouksien suurimpana menoeränä. Kilpailupolitiikan perimmäinen tavoite on varmistaa kuluttajien valinnanvapaus ja tuotteiden saatavuus alemmilla ja koht
[ 1830, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 1157, 2387, 187, 4, 3182, 13922, 5707, 52, 64, 17589, 15051, 64, 9536, 64, 1830, 64, 22, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 10, 13922, 5707, 52, 64, 17589, 15051, 64, 9536, 64, 1830, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 2387, 187, 4, 3182, 13922, 5707, 52, 64, 17589, 15051, 64, 9536, 64, 1830, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 40688, 10, 2195, 1432, 1665, 15, 24634, 9, 2402, 1228, 1091, 3221, 28, 393, 187, 50274, 17635, 5707, 52, 64, 23095, 92, 338, 6522, 21008, 9, 1432, 1665, 15, 255, 9, 2402, 481, 8547, 347, 29, 15295, 6268, 1450, 8632, 333, 29, 16366, 881, 9, 34868, 39246, 2877, 64, 881, 5627, 8629, 4773, 18474, 20226, 1228, 14157, 881, 31759, 1228, 1091, 3221, 22866, 393, 187, 50274, 17635, 5707, 52, 64, 36, 21203, 9, 19552, 551, 2309, 3221, 22866, 187, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 40207, 5543, 9, 17635, 5707, 52, 64, 5707, 24227, 9, 17635, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 7481, 17635, 5707, 52, 64, 47, 44551, 1023, 82, 10, 1023, 82, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 19, 9, 20226, 13, 9424, 10, 393, 187, 50274, 338, 313, 1432, 1665, 15, 24634, 9, 2402, 1228, 551, 8456, 64, 32172, 64, 28316, 29, 17219, 14157, 1178, 64, 438, 85, 64, 14360, 9, 1432, 1665, 13, 2402, 13, 36596, 15, 20226, 558, 94, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 20, 9, 20226, 13, 9424, 13, 24629, 10, 24629, 9, 17635, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 19, 9, 20226, 13, 9424, 1228, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 21, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 1157, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 22, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 2387, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 40688, 10, 393, 187, 50274, 10715, 9, 338, 313, 1432, 1665, 15, 24634, 9, 2402, 1228, 551, 8456, 64, 32172, 64, 28316, 29, 17219, 14157, 8547, 873, 64, 438, 85, 64, 14360, 29, 15295, 6268, 1450, 8632, 333, 29, 16366, 881, 9, 34868, 39246, 2877, 64, 881, 5627, 8629, 4773, 18474, 20226, 1228, 14157, 881, 8743, 1432, 1665, 13, 2402, 13, 4509, 13, 36596, 15, 20226, 558, 2311, 187, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 40207, 5543, 9, 17635, 5707, 52, 64, 5707, 24227, 9, 17635, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 7481, 17635, 5707, 52, 64, 47, 44551, 1023, 82, 10, 1023, 82, 10, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 19, 9, 20226, 13, 9424, 10, 393, 187, 50274, 8456, 64, 32172, 64, 28316, 29, 17219, 14157, 1178, 64, 438, 85, 64, 14360, 9, 1432, 1665, 13, 2402, 13, 36596, 15, 20226, 558, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 20, 9, 20226, 13, 9424, 13, 24629, 10, 24629, 9, 17635, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 19, 9, 20226, 13, 9424, 1228, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 21, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 1157, 2387, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 22, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 2387, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 1719, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 40688, 10, 393, 187, 50274, 10715, 9, 8456, 64, 32172, 64, 28316, 29, 17219, 14157, 8547, 873, 64, 438, 85, 64, 14360, 29, 15295, 6268, 1450, 8632, 333, 29, 16366, 881, 9, 34868, 39246, 2877, 64, 881, 5627, 8629, 4773, 18474, 20226, 1228, 14157, 881, 8743, 1432, 1665, 13, 2402, 13, 4509, 13, 36596, 15, 20226, 558, 10, 187, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 604, 6048, 6370, 64, 12928, 14, 6878, 10, 654, 930, 64, 7076, 2473, 64, 12928, 19, 10, 13922, 5707, 52, 64, 40207, 5543, 9, 17635, 5707, 52, 64, 5707, 24227, 9, 17635, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 7481, 17635, 5707, 52, 64, 47, 44551, 1023, 82, 10, 1023, 82, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 19, 9, 20226, 13, 9424, 10, 393, 187, 50276, 92, 1432, 1665, 15, 14626, 64, 358, 5070, 9, 2402, 13, 37182, 15, 20226, 558, 94, 393, 187, 7271, 393, 187, 50276, 92, 8456, 64, 32172, 64, 28316, 29, 17219, 14157, 1178, 64, 21676, 64, 8456, 64, 14360, 9, 2402, 13, 37182, 15, 20226, 13, 247, 8456, 558, 94, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 20, 9, 20226, 13, 9424, 13, 24629, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 19, 9, 20226, 13, 9424, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 21, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 19396, 10, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 22, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 10, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 2387, 187, 4, 3182, 13922, 5707, 52, 64, 47, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 40688, 10, 393, 187, 50276, 92, 1432, 1665, 15, 14626, 64, 358, 5070, 9, 2402, 13, 40688, 9, 36596, 15, 20226, 4027, 94, 393, 187, 7271, 393, 187, 50276, 92, 8456, 64, 32172, 64, 28316, 29, 17219, 14157, 1178, 64, 21676, 64, 8456, 64, 14360, 9, 2402, 13, 40688, 9, 36596, 15, 20226, 582, 247, 8456, 558, 94, 187, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 28406, 9, 49, 18, 13, 367, 19, 13, 367, 20, 13, 1023, 82, 13, 8240, 10, 13922, 5707, 52, 64, 40207, 5543, 9, 17635, 5707, 52, 64, 5707, 24227, 9, 17635, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 7481, 17635, 5707, 52, 64, 47, 44551, 1023, 82, 10, 1023, 82, 10, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 19, 9, 20226, 13, 9424, 10, 247, 8456, 15, 14626, 64, 358, 5070, 9, 2402, 13, 37182, 15, 20226, 558, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 20, 9, 20226, 13, 9424, 13, 24629, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 19, 9, 20226, 13, 9424, 10, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 21, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 19396, 10, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 22, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 10, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 43508, 187, 4, 3182, 13922, 5707, 52, 64, 5626, 64, 17589, 15051, 64, 9536, 64, 7058, 64, 17635, 64, 23, 9, 20226, 13, 9424, 13, 24629, 13, 29954, 13, 4325, 13, 40688, 10, 247, 8456, 15, 14626, 64, 358, 5070, 9, 2402, 13, 40688, 9, 36596, 15, 20226, 4027, 187, 187, 4, 3182, 13922, 5707, 52, 64, 17589, 15051, 64, 9536, 64, 26963, 24265, 64, 23335, 9, 1909, 53, 13, 1992, 43, 13, 21046, 14968, 18246, 13, 11740, 2548, 13, 12753, 46, 395, 2473, 18246, 18, 13, 12753, 46, 395, 2473, 18246, 19, 13, 46921, 50276, 61, 187, 12361, 14113, 5040, 393, 187, 92, 393, 187, 50274, 8547, 29, 15295, 34124, 13922, 5707, 52, 64, 39655, 4966, 64, 53, 3859, 64, 24289, 52, 9, 17635, 5707, 52, 64, 39655, 4966, 64, 47711, 64, 53, 3859, 64, 24289, 13, 21046, 14968, 18246, 21966, 393, 187, 50274, 2855, 14113, 64, 881, 64, 32172, 29, 17219, 13, 11740, 2548, 13922, 5707, 52, 64, 39655, 4966, 64, 53, 3859, 64, 44551, 9, 17635, 5707, 52, 64, 39655, 4966, 64, 53, 3859, 64, 24584, 13, 21046, 14968, 18246, 21966, 393, 187, 50274, 92, 393, 187, 50270, 5302, 1318, 64, 881, 426, 11740, 2548, 13922, 5707, 52, 64, 39655, 4966, 64, 53, 3859, 64, 44551, 9, 17635, 5707, 52, 64, 39655 ]
IS_6(Member, Name, Mode, Match, , ) #define JSONCONS_MEMBER_NAME_IS_5(Member, Name, Mode, Match, From) JSONCONS_MEMBER_NAME_IS_6(Member, Name, Mode, Match, From, ) #define JSONCONS_MEMBER_NAME_IS_6(Member, Name, Mode, Match, From, Into) !ajson.contains(Name)) return false; \ JSONCONS_TRY{if (!Match(ajson.at(Name).template as<typename std::decay<decltype(Into(((value_type*)nullptr)->Member))>::type>())) return false;} \ JSONCONS_CATCH(...) {return false;} #define JSONCONS_N_MEMBER_NAME_AS(P1, P2, P3, Seq, Count) JSONCONS_N_MEMBER_NAME_AS_LAST(P1, P2, P3, Seq, Count) #define JSONCONS_N_MEMBER_NAME_AS_LAST(P1, P2, P3, Seq, Count) JSONCONS_EXPAND(JSONCONS_CONCAT(JSONCONS_N_MEMBER_NAME_AS_,JSONCONS_NARGS Seq) Seq) #define JSONCONS_N_MEMBER_NAME_AS_2(Member, Name) \ if (ajson.contains(Name)) {json_traits_helper<Json>::set_udt_member(ajson,Name,aval.Member);} #define JSONCONS_N_MEMBER_NAME_AS_3(Member, Name, Mode) Mode(JSONCONS_N_MEMBER_NAME_AS_2(Member, Name)) #define JSONCONS_N_MEMBER_NAME_AS_4(Member, Name, Mode, Match) JSONCONS_N_MEMBER_NAME_AS_6(Member, Name, Mode, Match, ,) #define JSONCONS_N_MEMBER_NAME_AS_5(Member, Name, Mode, Match, From) JSONCONS_N_MEMBER_NAME_AS_6(Member, Name, Mode, Match, From, ) #define JSONCONS_N_MEMBER_NAME_AS_6(Member, Name, Mode, Match, From, Into) \ Mode(if (ajson.contains(Name)) {json_traits_helper<Json>::template set_udt_member<typename std::decay<decltype(Into(((value_type*)nullptr)->Member))>::type>(ajson,Name,From,aval.Member);}) #define JSONCONS_ALL_MEMBER_NAME_AS(P1, P2, P3, Seq, Count) JSONCONS_ALL_MEMBER_NAME_AS_LAST(P1, P2, P3, Seq, Count) #define JSONCONS_ALL_MEMBER_NAME_AS_LAST(P1, P2, P3, Seq, Count) JSONCONS_EXPAND(JSONCONS_CONCAT(JSONCONS_ALL_MEMBER_NAME_AS_,JSONCONS_NARGS Seq) Seq) #define JSONCONS_ALL_MEMBER_NAME_AS_2(Member, Name) \ json_traits_helper<Json>::set_udt_member(ajson,Name,aval.Member); #define JSONCONS_ALL_MEMBER_NAME_AS_3(Member, Name, Mode) Mode(JSONCONS_ALL_MEMBER_NAME_AS_2(Member, Name)) #define JSONCONS_ALL_MEMBER_NAME_AS_4(Member, Name, Mode, Match) JSONCONS_ALL_MEMBER_NAME_AS_6(Member, Name, Mode, Match, , ) #define JSONCONS_ALL_MEMBER_NAME_AS_5(Member, Name, Mode, Match, From) JSONCONS_ALL_MEMBER_NAME_AS_6(Member, Name, Mode, Match, From, ) #define JSONCONS_ALL_MEMBER_NAME_AS_6(Member, Name, Mode, Match, From, Into) \ Mode(json_traits_helper<Json>::template set_udt_member<typename std::decay<decltype(Into(((value_type*)nullptr)->Member))>::type>(ajson,Name,From,aval.Member);) #define JSONCONS_N_MEMBER_NAME_TO_JSON(P1, P2, P3, Seq, Count) JSONCONS_N_MEMBER_NAME_TO_JSON_LAST(P1, P2, P3, Seq, Count) #define JSONCONS_N_MEMBER_NAME_TO_JSON_LAST(P1, P2, P3, Seq, Count) if ((num_params-Count) < num_mandatory_params2) JSONCONS_EXPAND(JSONCONS_CONCAT(JSONCONS_N_MEMBER_NAME_TO_JSON_,JSONCONS_NARGS Seq) Seq) #define JSONCONS_N_MEMBER_NAME_TO_JSON_2(Member, Name) \ {ajson.try_emplace(Name, aval.Member);} \ else \ {json_traits_helper<Json>::set_optional_json_member(Name, aval.Member, ajson);} #define JSONCONS_N_MEMBER_NAME_TO_JSON_3(Member, Name, Mode) JSONCONS_N_MEMBER_NAME_TO_JSON_2(Member, Name) #define JSONCONS_N_MEMBER_NAME_TO_JSON_4(Member, Name, Mode, Match) JSONCONS_N_MEMBER_NAME_TO_JSON_6(Member, Name, Mode, Match,,) #define JSONCONS_N_MEMBER_NAME_TO_JSON_5(Member, Name, Mode, Match, From) JSONCONS_N_MEMBER_NAME_TO_JSON_6(Member, Name, Mode, Match, From, ) #define JSONCONS_N_MEMBER_NAME_TO_JSON_6(Member, Name, Mode, Match, From, Into) \ {ajson.try_emplace(Name, Into(aval.Member));} \ else \ {json_traits_helper<Json>::set_optional_json_member(Name, Into(aval.Member), ajson);} #define JSONCONS_ALL_MEMBER_NAME_TO_JSON(P1, P2, P3, Seq, Count) JSONCONS_ALL_MEMBER_NAME_TO_JSON_LAST(P1, P2, P3, Seq, Count) #define JSONCONS_ALL_MEMBER_NAME_TO_JSON_LAST(P1, P2, P3, Seq, Count) JSONCONS_EXPAND(JSONCONS_CONCAT(JSONCONS_ALL_MEMBER_NAME_TO_JSON_,JSONCONS_NARGS Seq) Seq) #define JSONCONS_ALL_MEMBER_NAME_TO_JSON_2(Member, Name) ajson.try_emplace(Name, aval.Member); #define JSONCONS_ALL_MEMBER_NAME_TO_JSON_3(Member, Name, Mode) JSONCONS_ALL_MEMBER_NAME_TO_JSON_2(Member, Name) #define JSONCONS_ALL_MEMBER_NAME_TO_JSON_4(Member, Name, Mode, Match) JSONCONS_ALL_MEMBER_NAME_TO_JSON_6(Member, Name, Mode, Match,,) #define JSONCONS_ALL_MEMBER_NAME_TO_JSON_5(Member, Name, Mode, Match, From) JSONCONS_ALL_MEMBER_NAME_TO_JSON_6(Member, Name, Mode, Match, From,) #define JSONCONS_ALL_MEMBER_NAME_TO_JSON_6(Member, Name, Mode, Match, From, Into) ajson.try_emplace(Name, Into(aval.Member)); #define JSONCONS_MEMBER_NAME_TRAITS_BASE(AsT,ToJ, NumTemplateParams, ValueType,NumMandatoryParams1,NumMandatoryParams2, ...) \ namespace jsoncons \ { \ template<typename Json JSONCONS_GENERATE_TPL_PARAMS(JSONCONS_GENERATE_MORE_TPL_PARAM, NumTemplateParams)> \ struct json_type_traits<Json, ValueType JSONCONS_GENERATE_TPL_ARGS(JSONCONS_GENERATE_TPL_ARG, NumTemplateParams)> \ { \ using value_type = ValueType JSONCONS_GENERATE_TPL_ARGS(JSONCONS_GENER
[ 28, 247, 1175, 1142, 480, 406, 12305, 7402, 436, 1039, 15, 187, 187, 1328, 4425, 281, 2451, 521, 894, 4481, 281, 923, 604, 36449, 574, 1925, 281, 1918, 779, 247, 1652, 20993, 670, 253, 8815, 344, 1871, 2183, 617, 281, 701, 1411, 15, 1707, 497, 8169, 432, 247, 4564, 273, 47366, 387, 31692, 15, 36449, 13, 344, 6326, 13, 3589, 626, 12669, 617, 5841, 327, 247, 3963, 3720, 816, 1024, 984, 703, 369, 2820, 281, 1691, 617, 7875, 896, 2366, 15, 4952, 2167, 352, 369, 617, 5333, 13, 703, 1537, 417, 320, 387, 253, 20400, 1023, 284, 15, 2732, 11197, 574, 1669, 323, 5002, 13, 703, 1537, 452, 2546, 323, 247, 4564, 273, 1897, 745, 15, 1500, 285, 6277, 651, 452, 1048, 12088, 670, 25572, 15, 36449, 651, 320, 253, 581, 2509, 253, 5015, 15, 1500, 651, 2242, 562, 1841, 323, 779, 15, 1500, 651, 5513, 326, 1841, 497, 1469, 281, 564, 247, 1027, 1039, 436, 673, 15, 6277, 651, 8028, 285, 840, 672, 352, 369, 521, 1614, 281, 3984, 13, 344, 651, 2312, 670, 2389, 15, 36449, 651, 1333, 13, 2064, 434, 4030, 13, 533, 436, 673, 359, 1472, 1469, 281, 897, 776, 9851, 15, 844, 1472, 1469, 281, 320, 48258, 69, 285, 359, 403, 417, 1469, 281, 18829, 15, 6277, 434, 1495, 651, 320, 1679, 685, 352, 369, 13, 533, 344, 651, 452, 2761, 512, 273, 352, 896, 15, 6277, 574, 2183, 11197, 436, 1199, 15, 2091, 11197, 1694, 896, 281, 19802, 13, 344, 812, 2312, 281, 36449, 13, 5513, 281, 617, 326, 253, 13653, 651, 417, 320, 275, 617, 285, 6277, 434, 3718, 13, 2372, 352, 3365, 5082, 626, 2647, 15, 2091, 352, 2210, 281, 6277, 13, 703, 4571, 626, 320, 31114, 342, 15, 1500, 369, 275, 323, 247, 2834, 2852, 15, 187, 187, 3039, 11197, 4895, 281, 253, 10230, 13, 36449, 1537, 971, 281, 1978, 253, 11461, 1469, 15, 754, 2168, 3260, 326, 344, 651, 5194, 281, 436, 15, 754, 651, 3365, 2794, 247, 747, 4086, 27, 1621, 625, 2312, 670, 6277, 15, 754, 14109, 617, 33773, 285, 344, 574, 3735, 2217, 670, 6277, 15, 754, 3058, 281, 2770, 327, 521, 15150, 15, 11197, 651, 513, 521, 1682, 275, 253, 5003, 14912, 10535, 280, 522, 285, 672, 344, 18811, 1728, 846, 436, 13, 521, 2136, 369, 1469, 281, 755, 247, 8488, 15, 4952, 2167, 7641, 388, 37674, 574, 816, 1912, 247, 5492, 326, 11197, 369, 6326, 281, 15, 11197, 369, 387, 11960, 2399, 66, 4913, 984, 247, 6793, 637, 369, 7378, 281, 2075, 779, 3564, 297, 600, 281, 9549, 247, 1175, 8815, 326, 574, 644, 10305, 1580, 352, 574, 1669, 253, 33932, 39398, 15, 7641, 388, 37674, 651, 1620, 320, 2104, 281, 1333, 824, 247, 2181, 13, 417, 275, 247, 3041, 1107, 15, 187, 187, 510, 12074, 275, 253, 1273, 5492, 387, 11960, 2399, 66, 9377, 432, 253, 7394, 15, 1707, 369, 253, 1067, 273, 253, 5492, 327, 253, 367, 15, 34, 15, 985, 15, 11197, 9047, 253, 5492, 342, 247, 6079, 6208, 15, 380, 12074, 12860, 323, 253, 2080, 1614, 15, 1623, 7814, 13, 326, 651, 320, 779, 13, 253, 637, 665, 1537, 755, 7967, 48695, 9880, 969, 15, 11197, 18998, 1907, 253, 1421, 347, 253, 1673, 323, 253, 5003, 14912, 686, 15614, 1160, 616, 1039, 1475, 253, 1614, 15, 754, 3597, 281, 8564, 512, 326, 812, 1818, 347, 253, 906, 273, 247, 2014, 5492, 15, 187, 187, 817, 577, 15, 187, 187, 510, 10720, 5492, 273, 253, 9055, 369, 387, 247, 13915, 285, 247, 2800, 16565, 13, 285, 323, 436, 5492, 13, 253, 4983, 7394, 369, 873, 25854, 1066, 253, 2860, 383, 31981, 15, 380, 12074, 7428, 323, 253, 7394, 285, 247, 7368, 273, 952, 13037, 2112, 253, 3345, 8087, 281, 755, 247, 1175, 1007, 387, 253, 1265, 15, 11197, 1869, 581, 273, 253, 952, 13037, 387, 253, 8087, 1537, 320, 271, 5763, 30340, 432, 8966, 46734, 434, 23584, 13, 253, 581, 344, 1871, 2546, 670, 29089, 15, 1500, 574, 1048, 2806, 4707, 28, 14782, 27929, 13, 247, 13155, 13, 18093, 20044, 13, 3168, 787, 1312, 15, 11197, 9047, 617, 347, 253, 12074, 9377, 432, 253, 7394, 15, 380, 1673, 12860, 323, 253, 5453, 5967, 1614, 285, 11197, 6225, 285, 3407, 281, 2940, 1066, 253, 5018, 273, 253, 4936, 1676, 15, 187, 187, 29916, 4934, 521, 2927, 327, 253, 5492, 347, 344, 4395, 1066, 253, 5018, 15, 380, 12074, 19624, 598, 253, 896, 296, 31981, 285, 344, 12293, 4830, 253, 347, 45302, 1049, 1406, 13, 3407, 281, 2940, 275, 253, 3884, 273, 253, 5763, 30340, 15, 380, 1673, 1160, 616, 1039, 1475, 253, 2080, 1614, 285, 3407, 616, 1408, 1066, 253, 2860, 383, 31981, 15, 754, 7106, 327, 436, 15, 380, 480, 825, 327, 253, 495, 14, 33647, 1119, 271, 5909, 875, 28851, 17682, 253, 11829, 5056, 15903, 285, 275, 247, 25924, 253, 495, 574, 253, 1421, 15, 733, 10785, 598, 10263, 1977, 13, 9880, 407, 247, 2716, 14, 3088, 5282, 16095, 15, 11197, 6331, 3578, 4669, 432, 835, 253, 5763, 30340, 6225, 15, 1500, 369, 342, 690, 643, 952, 13, 247, 4564, 273, 2255, 285, 247, 637, 15, 10109, 2458, 15, 1500, 369, 253, 30505, 1436, 275, 253, 1387, 407, 2716, 247, 3174, 15, 2058, 690, 1127, 703, 651, 1614, 13, 285, 840, 703, 651, 452, 281, 923, 779, 15, 11197, 574, 5262, 253, 2360, 1078, 275, 247, 5322, 8614, 2316, 285, 8877, 2994, 10412, 15, 754, 1904, 626, 971, 436, 281, 1646, 4755, 15, 187, 187, 2993, 3531, 281, 3984, 281, 253, 637, 6306, 627, 285, 4455, 281, 5834, 11197, 432, 253, 7145, 273, 617, 5130, 15, 1500, 3531, 617, 13574, 13, 14436, 617, 20051, 285, 8042, 275, 521, 3884, 342, 253, 11566, 273, 617, 19992, 15, 346, 8262, 937, 703, 753, 15, 187, 187, 29916, 4395, 247, 3213, 8003, 15, 346, 42, 3047, 368, 6306, 627, 937, 344, 753, 15, 754, 37126, 521, 1481, 896, 15, 346, 42, 1849, 644, 7063, 275, 253, 9572, 13, 7487, 253, 15820, 15, 29084, 309, 1871, 46671, 1066, 285, 1333, 23120, 449, 187, 187, 510, 1264, 952, 1475, 253, 5763, 30340, 497, 7106, 327, 11197, 15, 754, 4395, 3579, 13, 4934, 521, 3564, 275, 521, 21371, 15, 346, 42, 1353, 15150, 275, 253, 5003, 14912, 10535, 280, 522, 327, 7814, 937, 344, 753, 13, 275, 616, 3884, 15, 187, 187, 3, 1276, 434, 634, 1416, 969, 865, 253, 5763, 30340, 753, 15, 187, 187, 1328, 2183, 731, 15, 11197, 8042, 387, 617, 13, 840, 4895, 521, 987, 1133, 281, 521, 11320, 15, 346, 1394, 1472, 2398, 20592, 13, 987, 865, 344, 753, 15, 187, 187, 3, 2773, 434, 987, 449, 187, 187, 3, 1394, 512, 452, 253, 13688, 273, 326, 5492, 865, 344, 753, 15, 187, 187, 3, 1231, 10345, 626, 34601, 937, 581, 273, 253, 2255, 753, 15, 187, 187, 3, 10759, 22273, 369, 327, 253, 2800, 937, 2398, 20592, 753, 15, 187, 187, 3, 1328, 434, 417, 619, 22273, 937, 581, 273, 253, 2255, 753, 15, 187, 187, 29916, 753, 13, 346, 41312, 604, 309, 10913, 562, 342, 368, 6068, 323, 247, 1652, 1223, 865, 187, 187, 11336, 273, 731, 7560, 285, 344, 2783, 6240, 13, 795, 42, 1053, 626, 871, 3780, 1060, 4414, 1292, 326, 3589, 626, 253, 1039, 281, 6016, 436, 15, 187, 187, 3, 1231, 497, 1469, 281, 3698, 247, 4564, 625, 15820, 937, 2398, 20592, 753, 15, 187, 187, 3, 4943, 937, 253, 637, 6306, 407, 617, 753, 15, 754, 1691, 562, 521, 1133, 13, 18274, 275, 11197, 434, 3884, 15, 346, 42, 1353, 24942, 15, 2064, 434, 45664, 15, 399, 4306, 15, 1244, 368, 871, 2398, 20592, 13, 9090, 449, 187, 187, 3, 3650, 9090, 937, 2398, 20592, 434, 4318, 753, 15, 187, 187, 29916, 11898, 342, 779, 15, 346, 1276, 513, 368, 513, 13, 24942, 865, 187, 187, 3, 4013, 937, 24942, 753, 15, 346, 34, 1652, 273, 436, 285, 326, 449, 187, 187, 3, 1328, 434, 2218, 690, 14053, 937, 581, 273, 253, 2255, 753, 15, 187, 187, 3, 3039, 309, 369, 13, 751, 13, 5093, 937, 253, 637, 753, 15, 24942, 10960, 521, 3564, 275, 253, 21371, 273, 521, 448, 15777, 15, 754, 22638, 323, 690, 1921, 15, 187, 187, 3, 5804, 309, 4489, 368, 512, 247, 5484, 865, 11197, 753, 15, 187, 187, 39, 7337, 22638, 969, 15, 346, 48462, 449, 187, 187, 510, 12195, 273, 253, 4936, 1676, 2761, 4925, 281, 835, 253, 2620, 273, 731, 6225, 15, 2596, 273, 253, 2255, 3531, 285, 9047, 253, 12074, 1146, 5061, 1911, 1070, 15, 1500, 369, 4720, 34408, 2400, 2112, 407, 253, 643, 3416, 15, 11197, 13308, 323, 253, 1387, 281, 2940, 2469, 779, 285, 840, 344, 3407, 281, 956, 15, 754, 11791, 247, 3213, 3212, 2398, 20592, 13, 2167, 344, 858, 417, 2940, 3587, 3212, 617, 15, 1707, 497, 44488, 387, 3216, 1268, 10156, 6749, 10777, 285, 281, 19766, 9097, 15, 380, 2620, 273, 731, 10785, 598, 6306, 387, 247, 29086, 2534, 816, 4457, 247, 1386, 273, 1061, 303, 307, 3814, 8323, 15, 380, 44693, 3109, 14782, 247, 4759, 20229, 285, 247, 26856, 11938, 14, 30842, 14133, 15, 11197, 2546, 323, 2502, 8995, 15, 2398, 20592, 6960, 416, 447, 1981, 15, 1500, 1904, 626, 1333, 1199, 13, 2167, 253, 2758, 273, 617, 1387, 497, 30405, 285, 5420, 281, 320, 38920, 48515, 407, 779, 15, 380, 643, 2255, 574, 6818, 14, 12071, 14, 2913, 14, 744, 8442, 13, 14327, 14, 2913, 14, 744, 9365, 15, 754, 2183, 253, 1387, 273, 731, 670, 31692, 4913, 15, 754, 5393, 253, 5253, 264, 14, 249, 4936, 1676, 13, 752, 253, 8588, 858, 281, 253, 2553, 273, 253, 3540, 15, 12414, 253, 5649, 273, 667, 1511, 273, 5502, 13, 344, 5393, 344, 369, 14596, 387, 253, 44513, 32431, 14469, 15, 24942, 11522, 387, 436, 15, 754, 753, 13, 346, 2773, 1659, 310, 794, 449, 187, 187, 29916, 369, 10182, 417, 281, 1007, 387, 2398, 20592, 672, 344, 753, 13, 346, 42, 1353, 1469, 281, 320, 627, 1996, 15, 1310, 368, 512, 878, 247, 10720, 390, 15515, 9530, 390, 1633, 15, 9368, 479, 449, 754, 1904, 626, 971, 281, 1333, 521, 2316, 1180, 15, 346, 3220, 1390, 1416, 310, 329, 14, 83, 14, 87, 14, 80, 449, 187, 187, 3, 6506, 15, 11739, 13, 359, 1537, 513, 326, 937, 24942, 753, 15, 187, 187, 510, 44693, 3109, 4455, 281, 320, 6179, 327, 731, 15, 187, 187, 3, 4943, 937, 11197, 753, 15, 754, 4925, 323, 247, 11320, 13, 7428, 281, 253, 2534, 4828, 15, 754, 13876, 604, 2398, 20592, 434, 3858, 497, 2819, 387, 779, 1223, 344, 5087, 253, 6007, 15, 2091, 11197, 3531, 13, 344, 753, 13, 346, 6892, 398, 449, 754, 5439, 521, 5253, 13, 840, 2335, 247, 5484, 15, 754, 11791, 387, 253, 2534, 4828, 15, 187, 187, 9709, 20592, 27194, 387, 779, 342, 253, 1133, 326, 369, 417, 5877, 247, 5484, 15, 346, 43696, 937, 703, 753, 15, 187, 187, 817, 608, 15, 187, 187, 10297, 5055, 11197, 598, 2393, 253, 1735, 4131, 15, 11197, 574, 7967, 48695, 327, 253, 3540, 323, 247, 30755, 1475, 5101, 24078, 15, 8966, 46734, 2546, 11197, 281, 452, 7967, 48695, 9391, 412, 323, 247, 13915, 840, 1408, 1892, 323, 271, 23738, 273, 247, 13915, 1128, 66, 581, 14, 37323, 5056, 21353, 13, 346, 6309, 281, 923, 849, 3809, 368, 476, 755, 779, 281, 564, 449, 11197, 3078, 281, 871, 436, 2994, 15, 380, 581, 14, 25430, 9391, 412, 2427, 25863, 285, 425, 1875, 253, 23738, 15903, 11197, 11111, 3938, 2994, 275, 253, 26759, 13, 31494, 1070, 253, 45419, 15, 7967, 48695, 5798, 522, 503, 264, 3579, 285, 672, 11197, 3982, 253, 34203, 562, 281, 253, 8815, 434, 29615, 352, 3543, 751, 253, 8815, 369, 1469, 281, 19134, 907, 13, 352, 4395, 594, 3809, 15, 754, 3982, 521, 1133, 896, 281, 1805, 1453, 253, 45419, 13, 3047, 344, 1335, 574, 253, 34203, 15, 20840, 253, 8416, 1386, 13, 253, 8815, 47241, 3139, 13, 9391, 11802, 562, 342, 697, 1481, 1066, 347, 11197, 6225, 598, 4951, 275, 253, 26759, 15, 8247, 387, 253, 23584, 253, 33837, 1904, 626, 1333, 2712, 670, 253, 30755, 28, 13098, 812, 923, 352, 574, 644, 271, 7126, 581, 15, 187, 187, 10297, 7428, 11197, 598, 281, 247, 20098, 13, 34034, 14, 4826, 2419, 1735, 281, 253, 3540, 8576, 326, 24981, 14145, 323, 253, 11450, 19104, 13, 253, 1481, 273, 253, 8815, 3767, 434, 8083, 13, 285 ]
; a good many jockeys ended this way. He decided to check his cell phone to see if Christine had called to give him a little grief about the horse he'd told her to bet against. There were messages from a couple of trainers at Summit. Christine, he supposed, wasn't checking her calls on a regular basis just now because she was trying to put her marriage back together. Even though it was her shift, she might not be at the Seven Seas. After Carl had left for California, she might have asked for a couple of days off. She and Michael would have long talks about gambling. Christine would be the one doing the talking. She would lay out things for him. She would explain that things were going to go a different way this time. Michael would listen and then when it was his turn to speak, he would talk about love. Christine would say, That's fine, but this time we're going to use our heads. We're going to be shrewd and we are not going to panic. Michael's life would be less than it was, but he would have almost all of it back. Michael had told Carl this much. When Carl got back to Cleveland, he could talk to Christine, explain to her that the odds would not be in her and Michael's favor, bit it simply wouldn't matter. When it came to Michael, she couldn't be reasoned with. She was in for a difficult future. When Carl returned to the apartment, Christine might want to keep the arrangement going. He already knew that he would agree to this. He would simply create a new rule: No more talk about Michael. He appreciated her honesty and he had heard enough about Michael. He needed to focus on his riding. Carl would do his best in the San Diego Handicap and when he flew home after this, his business was going to get a lift. Even though Bill Hoyer had just won a race that Carl was supposed to. Carl was at Balboa Park because a rich man was willing to pay him handsomely to ride a good horse that had been losing since it had left the Rust Belt. Bill Hoyer would never be able to say such a thing, not in a million years. The horses in the second race at Balboa broke from the gate. There was the call of the race on the P.A. system. Carl watched the race with a dry mouth. The horses headed for the far turn. On Saturday, that would be him, the man who might get Big Zip winning again. Carl imagined having the lead as the field for the San Diego 'Cap made their way around the turn. He tried to imagine all that could change as the result of a single race. ## 4. The fifth race of the afternoon was at a mile and a sixteenth, and for this race, the starting gate was set halfway down the homestretch. The horses walked for the gate and a cluster of people gathered along the outside rail to get a good look at the start. Carl thought one of the people gathered at the rail might be an exercise rider from Henry Forrest's barn, the one he'd asked about Cincinnati. She had long black hair; wore jeans, a loose, untucked, white blouse. Carl watched her as the horses broke from the gate. The field headed for the clubhouse turn and Carl stood and began to walk down the steps of the grandstand. Carl kept his eyes on the race as he moved down the steps. The horses traveled up the backstretch and he stepped onto the asphalt apron, began to walk in the direction of the exercise rider. The field made their way around the far turn and began their run down the homestretch. He focused on this. The jock on the 3-horse found an opening between rivals approaching the furlong pole and in a blink the 3 had the lead. It wound up drawing away, winning by a half-dozen lengths. Carl stopped ten feet from where the exercise rider stood. She was with some other people, a couple of women and a man. Civilians. She was the shortest person in the group by half a foot. At some point she would turn, and then she would have to see him. Carl had spent the night before in a nice hotel room and driven himself crazy. He didn't want this to seem obvious. She turned to speak to the man standing there and seemed to catch Carl from the corner of her eye. She turned her shoulders, rolled her wrist and pointed in his direction with the butt of her palm. "Hey," she said. Carl moved a step closer. "I saw you standing there," he said. He tilted his head back. "I've been sitting in the stands, watching the races. Thought I'd shuffle down and say hello." The three people around the exercise rider were focused on Carl. He moved forward, kept his hands in his pockets. "I'm riding in the San Diego Handicap on Saturday," he said, in their direction. "What's your name again?" the exercise rider said. He told them. Carl pointed at her, then returned his right hand to his pocket. "You're Mariko, right?" he said. "That's right." "You all have the winner of that race?" he said. "We weren't betting," one of the women said. "Her boyfriend was on the six," Mariko said. "He's not my boyfriend," one of the women said. Carl said, "Mind if I hang out with you guys for a little while?" None of them spoke and he considered adding, _I don't know anyone here_. But that wasn't the way to handle this. "We were going to watch a couple more races," Mariko said. "Here," the man standing by her said. He put out his hand, leaned in Carl's direction. "I'm Foster. That's Allison. Dancy. And you know Mariko, obviously." "Not obviously," Mariko's voice said. Carl shook with him. "What do you do, Foster?" "Oh," Foster said. "A little of this and that." "He's done some modeling," one of the women said. "When I was, like, seven," the man said. Foster stuck his hands in the pockets of his chinos. He shrugged for some reason. "Can I buy you all a drink?" Carl said. Foster shrugged again. "Absolutely." The shadow of the grandstand almost reached to where the five of them stood. One of the women turned and watched the horses being unsaddled. She was finally nudged along by the other woman. Carl waited for the group to walk past him and then he began to follow. He stayed a step behind Mariko, though he did not walk directly behind her. There were concessions at ground level selling ice cream and tofu dogs. The five of them wound up standing at a cocktail bar just beyond a line of parimutuel windows. The bartender wore a green vest and a khaki-colored shirt. Carl asked for red wine. Mariko ordered Riesling. She didn't say much, though the members of her group were polite and appeared to be mildly intrigued by him. The other women had twenty-five-year-old figures, forty-year-old faces. He told the group of them about Summit Park. He mentioned the glassed-in grandstand, what the weather did to the surface of the track. Without the benefit of any type of transition, he mentioned he was staying at the Lemon Grove Hotel. Foster nodded at this. He said, "That place is fun." Carl was careful not to look at Mariko when he said, "I'm going to be there later. If you all need a fifth or sixth wheel or something. Call me." He didn't want to say his room number. "My last name is A-r-v-o." "Yeah. Yeah, we might do that," Foster said. The bartender seemed to be waiting on them. "Here," Carl said. He reached for a pocket, walked to the bar counter. He wondered if Mariko's friends were looking at him while he paid the bill. When Carl turned, he said, "Cheers." He raised his glass, then took a drink. He stayed at the bar counter. Mariko waved at him with the hand that was not holding a drink. "Bye," she said. ## 5. Tab picked Carl up early the next morning. Carl had Big Zip on the track for a workout around sunrise. Henry Forrest asked Carl to have Big Zip gallop for a mile then run hard for an eighth of a mile—a one-furlong drill, "just to see how fast you can get him to go." Carl wanted to know this himself. The one-mile gallop went smoothly and nearing the eighth pole Carl shrunk himself in the saddle, rattled the reins. Big Zip catapulted forward and when Carl brought the whip out to the horse's periphery it felt like the horse was going to vaporize, it moved so fast. He brought his hand back to better control the reins, saw he still had the whip. Past the finish line, the horse eased itself, galloped out with its head down as Carl stood up straight in the saddle. Back at the barn the trainer didn't say anything about the workout; anybody could see it had been an excellent one. Tab walked Carl up to a brick, ranch-style house next to the track kitchen that housed offices for the chaplain, the head of the horsemen's union, and
[ 13, 6879, 13, 7127, 322, 15, 5229, 15, 11614, 13, 9934, 418, 15, 3996, 15, 19939, 313, 746, 1508, 558, 346, 10801, 387, 2523, 995, 15605, 362, 15, 4146, 26159, 13, 30057, 530, 15, 52, 15, 608, 4739, 13, 608, 4185, 13, 9934, 322, 15, 5229, 15, 818, 1010, 13, 11266, 418, 15, 3996, 15, 854, 4185, 313, 41646, 558, 346, 5371, 310, 33348, 1365, 3413, 995, 13593, 5756, 362, 15, 8565, 90, 13, 42671, 530, 15, 52, 15, 32597, 13, 36027, 13, 11614, 322, 15, 5229, 15, 39515, 13, 1458, 418, 15, 3996, 15, 19, 69, 38794, 313, 28355, 558, 346, 1279, 987, 13, 958, 390, 2647, 275, 2523, 285, 3587, 21633, 6857, 13, 390, 7933, 3206, 275, 253, 7441, 273, 271, 2250, 995, 30756, 362, 15, 1986, 2077, 7754, 35250, 9537, 904, 10523, 401, 15, 19, 69, 721, 3566, 13, 48190, 313, 740, 4082, 15, 29175, 558, 346, 9784, 3374, 13, 3916, 390, 25774, 534, 497, 2686, 6195, 27285, 285, 3413, 275, 253, 806, 2250, 995, 9044, 16541, 2434, 15, 362, 15, 7902, 13, 32193, 401, 15, 19, 69, 25985, 13, 25165, 313, 740, 4082, 15, 11994, 558, 346, 8606, 723, 273, 958, 995, 22346, 6475, 362, 15, 5259, 6022, 13, 38362, 401, 15, 19, 69, 6879, 13, 11681, 313, 23, 4082, 15, 16648, 481, 2594, 671, 308, 7070, 254, 362, 15, 444, 15, 309, 15, 3443, 49, 834, 372, 37901, 2108, 285, 2434, 904, 34790, 401, 15, 19, 69, 11140, 13, 12842, 13, 313, 23, 4082, 15, 16609, 582, 32249, 285, 9433, 337, 35, 13856, 434, 7671, 23208, 13, 11367, 470, 15, 31774, 544, 19, 1092, 387, 495, 28880, 15, 187, 60, 1812, 62, 50276, 5035, 671, 380, 18901, 72, 10436, 362, 15, 427, 328, 266, 13, 21886, 401, 15, 19, 69, 898, 1630, 313, 19, 4082, 15, 21930, 582, 5306, 15, 1850, 904, 32597, 530, 15, 52, 15, 35721, 13, 7251, 322, 15, 5229, 15, 7584, 13, 11289, 418, 15, 3996, 15, 608, 2787, 28, 1284, 248, 362, 15, 4235, 24480, 13, 37737, 530, 15, 52, 15, 37107, 13, 34790, 13, 5091, 322, 15, 5229, 15, 12643, 26, 13, 2030, 418, 15, 3996, 15, 19, 69, 40089, 313, 20686, 481, 187, 60, 1787, 62, 50276, 5035, 37665, 6631, 2434, 15, 362, 15, 322, 15, 38, 15, 36, 904, 30092, 401, 15, 19, 69, 898, 1731, 13, 898, 1229, 313, 20, 4082, 15, 23084, 481, 187, 60, 1839, 62, 50276, 5035, 11726, 26333, 13, 6347, 13, 50072, 273, 28856, 13, 3015, 5325, 28, 496, 294, 367, 43285, 13, 21669, 401, 15, 19, 69, 854, 3245, 313, 23, 4082, 15, 21930, 582, 5306, 15, 1850, 904, 32479, 530, 15, 52, 15, 854, 2358, 13, 7251, 322, 15, 5229, 15, 898, 740, 13, 11289, 418, 15, 3996, 15, 1638, 1508, 28, 36638, 2259, 640, 32079, 13, 3690, 15, 362, 15, 43105, 21608, 13, 25905, 401, 15, 19, 69, 854, 3593, 313, 23, 4082, 15, 22824, 558, 1986, 2077, 362, 15, 322, 408, 25884, 13, 22743, 401, 15, 19, 69, 47555, 313, 20, 4082, 15, 22557, 582, 5306, 15, 1850, 904, 32575, 530, 15, 52, 15, 854, 1099, 13, 10447, 322, 15, 5229, 15, 5693, 13, 11456, 418, 15, 3996, 15, 36351, 15, 187, 60, 1867, 62, 50276, 3, 1231, 21223, 12009, 7048, 434, 4154, 326, 253, 4335, 13716, 15494, 2220, 407, 253, 10128, 2418, 44220, 83, 275, 2403, 841, 15407, 369, 2797, 949, 7874, 1023, 3743, 8, 40690, 3221, 7234, 8664, 697, 4832, 3708, 15, 380, 2332, 1302, 1119, 642, 9116, 390, 42254, 846, 271, 7103, 273, 253, 6206, 3559, 1078, 352, 275, 247, 2120, 4854, 15, 844, 2186, 436, 3061, 281, 320, 18212, 4516, 407, 253, 1924, 28, 627, 310, 642, 260, 462, 290, 1921, 281, 8107, 253, 2332, 1302, 327, 436, 1127, 15, 10022, 13, 359, 10418, 253, 4560, 273, 642, 9116, 390, 42254, 275, 13546, 253, 13716, 273, 4335, 432, 253, 3313, 18451, 7454, 15, 187, 187, 3, 1231, 403, 10915, 8498, 758, 407, 7048, 434, 5780, 7125, 326, 247, 25799, 4517, 310, 7763, 281, 253, 8064, 275, 1953, 15, 380, 6318, 273, 1941, 2424, 407, 17360, 323, 34178, 273, 247, 25799, 4517, 310, 6832, 15, 3189, 250, 37158, 273, 253, 1941, 310, 417, 4209, 281, 5100, 247, 25799, 4517, 15, 13771, 13, 352, 1364, 320, 4232, 407, 1941, 534, 310, 2590, 13, 19040, 13, 40101, 3100, 285, 20297, 15, 380, 1941, 1364, 1421, 281, 533, 581, 6452, 13, 390, 3553, 642, 5272, 5545, 347, 281, 253, 6242, 273, 253, 25799, 4517, 15, 380, 1941, 3559, 407, 7048, 275, 253, 2332, 1302, 1057, 417, 6054, 281, 253, 6318, 2424, 407, 17360, 449, 37306, 401, 15, 19, 69, 387, 3349, 15, 187, 60, 1449, 62, 50276, 5035, 353, 1377, 39014, 362, 15, 1986, 2077, 13, 41652, 401, 15, 19, 69, 1249, 3655, 13, 1249, 1976, 313, 740, 4082, 15, 15750, 558, 35470, 362, 15, 16275, 13, 30610, 530, 15, 52, 15, 38991, 13, 39041, 13, 5329, 322, 15, 5229, 15, 20995, 13, 10447, 418, 15, 3996, 15, 33232, 313, 746, 1099, 558, 32330, 35, 362, 15, 15319, 29906, 15, 2434, 904, 23309, 401, 15, 19, 69, 37728, 13, 38516, 313, 19, 4082, 15, 23518, 481, 187, 60, 3156, 62, 50276, 7803, 13620, 15, 1047, 369, 253, 760, 10128, 6645, 6289, 281, 275, 253, 12494, 273, 253, 4743, 10620, 342, 253, 10128, 1569, 15, 733, 369, 253, 760, 10128, 6645, 15494, 327, 407, 10128, 275, 697, 4864, 15, 380, 760, 643, 10128, 6645, 11106, 13, 3015, 21300, 15, 3079, 10128, 3555, 15, 6124, 13, 18445, 342, 253, 11659, 273, 1345, 5861, 13, 285, 369, 417, 253, 3720, 273, 667, 1750, 15, 380, 806, 6197, 273, 253, 12494, 275, 253, 4743, 10620, 342, 10128, 1569, 14716, 346, 1231, 7525, 627, 369, 642, 20739, 1319, 8704, 253, 42932, 273, 841, 8064, 449, 14716, 18445, 342, 3015, 13620, 15, 1047, 13, 285, 417, 342, 253, 7118, 273, 253, 10128, 6307, 2220, 534, 253, 33808, 13, 35661, 13, 32533, 285, 49211, 3916, 275, 253, 5833, 275, 253, 8164, 1083, 403, 1754, 15, 187, 60, 2945, 62, 50276, 5035, 13, 299, 15, 305, 904, 9767, 31689, 530, 442, 30299, 362, 15, 1986, 2077, 13, 36477, 530, 15, 52, 15, 12842, 13, 11266, 322, 15, 5229, 15, 1638, 3208, 13, 4562, 418, 15, 3996, 15, 19, 69, 818, 3156, 313, 23482, 558, 28750, 362, 15, 21171, 17252, 14, 45, 614, 13, 38024, 530, 15, 52, 15, 28458, 13, 5091, 322, 15, 5229, 15, 49486, 13, 2164, 418, 15, 3996, 15, 19, 69, 608, 4590, 313, 20686, 558, 37601, 362, 15, 330, 6147, 300, 28317, 6487, 13, 38362, 401, 15, 19, 69, 38935, 313, 23, 4082, 15, 16648, 558, 353, 6475, 362, 15, 5360, 293, 13, 32835, 401, 15, 19, 69, 22287, 313, 23, 4082, 15, 16221, 582, 5306, 15, 1850, 904, 41267, 530, 15, 52, 15, 898, 1229, 13, 11289, 322, 15, 5229, 15, 12643, 25, 13, 3307, 418, 15, 3996, 15, 19, 69, 40230, 13, 27744, 530, 15, 52, 15, 884, 1508, 13, 11456, 322, 15, 5229, 15, 37306, 13, 5910, 418, 15, 3996, 15, 19, 69, 29117, 28, 5490, 362, 15, 17626, 589, 23910, 6487, 13, 42998, 401, 15, 374, 69, 898, 2031, 13, 898, 2950, 313, 23, 4082, 15, 15621, 558, 29515, 362, 15, 26089, 13, 35597, 401, 15, 19, 69, 36859, 313, 740, 4082, 15, 10333, 558, 19980, 362, 15, 6112, 18351, 36375, 545, 321, 6487, 13, 42737, 401, 15, 19, 69, 5091, 313, 740, 4082, 15, 16609, 582, 5306, 15, 1850, 904, 21566, 530, 15, 52, 15, 2233, 21, 13, 11266, 322, 15, 5229, 15, 45421, 13, 1884, 418, 15, 3996, 15, 19, 69, 608, 3680, 28, 754, 262, 362, 15, 844, 262, 5282, 13, 32835, 401, 15, 19, 69, 898, 2693, 313, 19, 4082, 15, 16221, 582, 5306, 15, 1850, 904, 33900, 530, 15, 52, 15, 898, 2941, 13, 11289, 322, 15, 5229, 15, 1722, 1449, 13, 3495, 418, 15, 3996, 15, 19, 69, 26517, 28, 1500, 6917, 706, 362, 15, 1500, 20100, 13, 25478, 408, 708, 2434, 904, 39881, 401, 15, 19, 69, 42222, 313, 19, 4082, 15, 16609, 558, 11007, 14, 36, 2694, 32079, 13, 3690, 15, 362, 15, 16617, 468, 50214, 9537, 904, 25888, 401, 15, 19, 69, 36076, 313, 19, 4082, 15, 15621, 558, 611, 23509, 362, 15, 23840, 296, 928, 13, 36988, 401, 15, 19, 69, 22761, 313, 20, 4082, 15, 10333, 582, 5306, 15, 1850, 15, 749, 15, 7163, 904, 30484, 19811, 257, 9537, 15, 362, 15, 611, 23509, 13, 41281, 530, 15, 52, 15, 49305, 13, 5091, 322, 15, 5229, 15, 35828, 13, 3436, 418, 15, 3996, 15, 19, 69, 26711, 28, 39131, 9114, 67, 362, 15, 7889, 571, 2448, 11294, 13, 43952, 401, 15, 19, 69, 33930, 313, 20, 4082, 15, 16609, 558, 10043, 6037, 25626, 13, 3690, 15, 362, 15, 6212, 13, 30581, 401, 15, 19, 69, 20048, 313, 26, 4082, 15, 20208, 558, 28029, 362, 15, 16863, 13, 34958, 401, 15, 19, 69, 22540, 313, 26, 4082, 15, 21054, 481, 187, 60, 3079, 62, 50276, 7803, 9934, 15, 18, 273, 253, 1457, 28701, 9563, 13, 275, 21452, 629, 13, 347, 3637, 27, 187, 187, 3, 1672, 14233, 281, 253, 4214, 7804, 273, 30810, 5729, 3444, 15, 187, 3, 8430, 271, 2523, 310, 2686, 6195, 27285, 285, 3413, 407, 247, 3588, 285, 2457, 3883, 13, 285, 253, 7441, 310, 5667, 281, 253, 3883, 13, 774, 262, 5538, 273, 253, 2523, 275, 247, 6774, 2250, 875, 253, 4676, 310, 417, 38932, 275, 253, 1563, 5989, 27, 187, 3, 11, 475, 475, 187, 31770, 69, 10, 380, 3128, 1411, 5207, 638, 3444, 310, 7799, 574, 247, 3012, 27953, 7977, 273, 12407, 4930, 342, 1675, 281, 253, 2523, 275, 253, 3302, 2250, 685, 275, 253, 6774, 2250, 28, 253, 7977, 556, 14728, 281, 521, 34014, 28, 390, 253, 34014, 556, 247, 3012, 27953, 7977, 685, 344, 574, 275, 253, 806, 2250, 28, 475, 475, 39909, 187, 510, 36727, 434, 5838, 281, 436, 19087, 310, 347, 3637, 27, 187, 3, 2019, 766, 313, 69, 10, 13330, 342, 247, 2647, 417, 5469, 275, 253, 806, 11726, 26333, 15, 3900, 581, 3061, 556, 644, 1119, 281, 253, 1055, 326, 247, 5333, 275, 253, 7977, 273, 4737, 310, 19124, 281, 253, 2898, 273, 2523, 638, 3444, 13, 11366, 272, 362, 15, 31247, 13, 11275, 416, 15, 42, 15, 4567, 13, 11439, 329, 15, 19, 69, 11275, 313, 43345, 582, 253, 14720, 273, 253, 18776, 275, 253, 1083, 310, 6566, 281, 320, 625, 34593, 15, 5488, 13, 627, 403, 7089, 32497, 1329, 281, 253, 1899, 326, 638, 7426, 1055, 943, 417, 320, 1677, 281, 253, 806, 7441, 672, 253, 3128, 7799, 281, 320, 7945, 574, 247, 27953, 7977, 313, 263, 521, 34014, 574, 247, 21259, 7977, 10, 275, 253, 806, 12162, 685, 275, 253, 1273, 15, 2594, 299, 15, 305, 904, 2596, 23519, 16729, 8950, 18665, 659, 2487, 362, 15, 1986, 2077, 13, 11456, 322, 15, 5229, 15, 40625, 13, 45223, 13, 313, 23482, 10, 313, 8222, 273, 6424, 49207, 275, 6774, 5079, 38111, 12162, 10, 313, 30991, 800, 3216, 558, 7518, 49332, 362, 15, 19980, 13, 29980, 530, 15, 52, 15, 38794, 313, 44611, 10, 313, 8222, 273, 6424, 49207, 275, 6774, 5079, 2250, 558, 10231, 708, 2434, 15, 362, 15, 1500, 66, 13, 37737, 401, 15, 19, 69, 23512, 13, 25305, 14, 2511, 313, 22, 394, 4082, 15, 16221, 10, 313, 531, 273, 2067, 9905, 558, 22663, 362, 15, 2071, 9720, 13, 11633, 2065, 80, 15, 43111, 13, 21982, 367, 15, 19, 69, 818, 1549, 313, 42704, 10, 313, 8222, 273, 6424, 49207, 275, 6774, 5079, 2250, 558, 21194, 15, 714, 684, 362, 15, 1986, 2077, 13, 38614, 530, 15, 52, 15, 31399, 13, 34128, 313, 35364, 558, 26469, 273, 5041, 362, 15, 44511, 13, 6931, 427, 15, 43, 15, 43281, 13, 24665, 329, 15, 19, 69, 721, 4148, 313, 30488, 558, 25992, 472, 13, 2213, 30947, 275, 2213, 4268, 280, 682, 13, 7127, 1893, 15, 4218, 15, 45, 15, 7498, 15, 884, 1812, 13, 884, 2031, 313, 25197, 481, 1292, 21194, 15, 330, 44356, 90, 362, 15, 1986, 2077, 13, 12472, 530, 15, 52, 15, 37107, 313, 1093, 2691, 33540, 187, 5035, 671, 14963, 362, 15, 1986, 2077, 13, 22743, 530, 15, 52, 15, 24833, 13, 1722, 322, 15, 5229, 15, 818, 3141, 13, 5976, 418, 15, 3996, 15, 15610, 313, 1093, 4148 ]
, 75, 44 S.Ct. 86, 68 L.Ed. 165 (1923); "points at issue", Commissioner v. Sunnen, 333 U.S. 591, 598, 68 S.Ct. 715, 92 L.Ed. 898 (1948); "what is judicially determined", Katchen v. Landy, 382 U.S. 323, 334, 86 S.Ct. 467, 15 L.Ed.2d 391 (1966); "any right, fact or matter in issue and directly adjudicated, or necessarily involved in the determination of an action", Henderson v. United States Radiator Corp., 78 F.2d 674, 675 (10 Cir. 1935); "common issues, claims or defenses which were actually litigated and determined in the first action", Security Insurance Co. v. Johnson, 276 F.2d 182, 187 (10 Cir. 1960); "findings of fact", Granader v. Public Bank, 417 F.2d 75, 81 (6 Cir. 1969). See also Tipler v. E. I. duPont de Nemours and Co., 443 F.2d 125, 128, (6 Cir. 1971), quoting and applying 1B Moore's Federal Practice, ¶ 0.441 [2], at 3777. [36] See also The Evergreens v. Nunan, 141 F.2d 927 (2 Cir. 1944), cert. den., 323 U.S. 720, 65 S.Ct. 49, 89 L.Ed. 579; Ashe v. Swenson, 397 U.S. 436, 443, 90 S.Ct. 1189, 25 L.Ed.2d 469 (1970). [37] See Cities Service Co. v. S.E.C., 257 F.2d 926, 930 (3 Cir. 1958). [38] See Restatement, Second, Conflict of Laws, § 95; In re Potts, 142 F.2d 883 (6 Cir. 1944), cert. den., 324 U.S. 868, 65 S.Ct. 910, 89 L.Ed. 1423; Chesapeake Industries, Inc. v. Wetzel, 265 F.2d 881 (6 Cir. 1959); United States v. Silliman, 167 F.2d 607 (3 Cir. 1948), cert. den., 335 U.S. 825, 69 S.Ct. 48, 93 L.Ed. 379. [39] "We likewise reject appellant's argument that the prime rating relied upon by the Ohio State Treasurer in making these loans was obtained through Four Seasons' materially false statements concerning its financial status. The trial court found no fraud or misrepresentation after an evaluation of the testimony presented before it in a full hearing. We hold this decision to be adequately supported by the record; there is no cogent reason to reverse the trial court on this point. Accordingly, we affirm the finding of no fraud or misrepresentation in obtaining the rating of prime from the National Credit Office. "We are unconvinced by appellant's remaining arguments that a constructive trust is applicable to the funds in question. The quantum of evidence required by Oklahoma for imposition of a constructive trust is substantial. Mere preponderance of the evidence is not sufficient to establish a constructive trust. Rather, it must be established by evidence which is clear, definite, unequivocal and satisfactory. The evidence must lead to but one conclusion, or leave no reasonable doubt as to the existence of the constructive trust. The evidence presented by appellant in the trial court does not rise to the quantum required by Oklahoma." 465 F.2d at 28. [40] See Mancuso v. United States, 464 F.2d 1273, 1275 (10 Cir. 1972); Webster v. Fall, 266 U.S. 507, 511, 45 S.Ct. 148, 69 L.Ed. 411 (1925); NLRB v. Clark Bros. Co., 163 F.2d 373, 376 (2 Cir. 1947). [41] § 135.14 was the only Ohio statute referred to in the paragraph of the opinion dealing with the Ohio law. It was the only Ohio statute relied on by Ohio in its brief. The only other Ohio statute cited, § 149.43 Ohio Rev.Code, dealt with the availability of public records, and was not the basis of any claim. The first sentence of the paragraph in the opinion dealing with Ohio law — "We conclude there was no illegality surrounding the procurement of these funds." — dealt with § 135.14, and not with the sections of the Ohio Code upon which the Seventh, Eighth, Ninth and Tenth claims in the complaint in the instant case are based. [42] See, e. g., Affiliated Ute Citizens v. United States, 406 U.S. 128, 92 S.Ct. 1456, 31 L.Ed.2d 741 (1972); Mills v. Electric Auto-Lite, 396 U.S. 375, 90 S.Ct. 616, 24 L.Ed.2d 593 (1970); Britt v. Cyril Bath Company, 417 F.2d 433 (6 Cir. 1969); Mader v. Armel, 402 F.2d 158 (6 Cir. 1968), cert. den., 394 U.S. 930, 89 S.Ct. 1188, 22 L.Ed.2d 459, 409 U.S. 1023, 93 S.Ct. 465, 34 L.Ed.2d 315; James v. Gerber Products Company, 483 F. 2d 944, 946 (6 Cir. 1973); Gilbert v. Nixon, 429 F.2d 348 (10 Cir. 1970); Mitchell v. Texas Gulf Sulphur Company, 446 F.2d 90 (10 Cir. 1971), cert. den., 404 U.S. 1004, 92 S.Ct. 564, 30 L.Ed.2d 558; Heit v. Weitzen, 402 F.2d 909 (2 Cir. 1968), cert. den., 395 U.S. 903, 89 S.Ct. 1740, 23 L.Ed.2d 217; Shemtob v. Shearson, Hammill & Co., 448 F.2d 442 (2 Cir. 1971); Chris-Craft Industries, Inc. v. Piper Aircraft Corp., 480 F.2d 341 (2 Cir. 1973); Kahan v. Rosenstiel, 424 F.2d 161 (3 Cir. 1970), cert. den. sub. nom., Glen Alden Corp. v. Kahan, 398 U.S. 950, 90 S.Ct. 1870, 26 L.Ed.2d 290; Gottlieb v. Sandia American Corporation, 452 F.2d 510 (3 Cir. 1971); Royal Air Properties, Inc. v. Smith, 312 F.2d 210 (9 Cir. 1962); Ellis v. Carter, 291 F.2d 270 (9 Cir. 1961). [43] § 68.1 of the New Draft reads, in pertinent part, as follows: "Exceptions to the General Rule of Issue Preclusion. "Although an issue is actually litigated and determined by a valid and final judgment, and the determination is essential to the judgment, relitigation of the issue in a subsequent action between the parties is not precluded in the following circumstances: "* * * "(d) The party against whom preclusion is sought had a significantly heavier burden of persuasion with respect to the issue in the initial action than in the subsequent action; the burden has shifted to his adversary; or the adversary has a significantly heavier burden than he had in the first action; * * *" The Reporter's Note to this subsection is as follows: "Clause (d) deals with a matter not discussed in the first Restatement. While one decision has been found to the effect that a shift in the burden of proof is irrelevant to the application of issue preclusion, Harding v. Carr, 79 R.I. 32, 83 A.2d 79 (1951), the reasoning of the dissent in the case is believed to be more persuasive. Furthermore, there are decisions lending support to the position that preclusive effect should not be given to the first determination when the party sought to be concluded had a heavier burden (or his adversary had a lighter burden) in the first proceeding than in the second. See e. g., One Lot Emerald Cut Stones v. United States, 93 S.Ct. 489, 492, (1972) (effect of criminal acquittal in subsequent civil forfeiture proceeding) (alternative ground); Helvering v. Mitchell, 303 U.S. 391 (1938) (effect of criminal acquittal in subsequent civil action); Young & Co. v. Shea, 397 F.2d 185, 188-89 (5th Cir. 1968) (one of several grounds); Harper v. Blasi, 112 Colo. 518, 151 P.2d 760 (1944) (effect of criminal acquittal in subsequent civil action); cf. Yates v. United States, 354 U.S. 298, 336 (1957); Township of Washington v. Gould, 39 N.J. 527, 189 A.2d 697 (1963); Hazard, Res Nova in Res Judicata, 44 So.Cal.L.Rev. 1036, 1044 (1971). But cf. Coffey v. United States, 116 U.S. 436 (1886)." See also Stone v. United States, 167 U.S. 178, 17 S.Ct. 778, 42 L.Ed. 127 (1897
[ 497, 11563, 2, 14, 428, 428, 428, 428, 428, 44655, 27, 380, 39759, 268, 462, 409, 1411, 11563, 275, 22715, 28, 253, 4782, 13, 253, 15501, 285, 253, 34140, 13, 3966, 15, 187, 187, 28266, 13, 2552, 1668, 13, 5403, 187, 187, 8639, 253, 24923, 7532, 20537, 273, 11563, 13, 253, 2903, 90, 26886, 187, 187, 8267, 1201, 434, 3668, 8599, 273, 747, 36837, 1005, 275, 9256, 13, 6571, 14549, 14092, 407, 8365, 16492, 1411, 7715, 8365, 16492, 15, 1893, 359, 871, 326, 9256, 310, 247, 2614, 394, 712, 90, 2659, 13, 835, 352, 310, 2834, 281, 1089, 667, 4602, 281, 5143, 323, 253, 7217, 13, 5747, 253, 7312, 278, 423, 15709, 1210, 249, 12542, 326, 359, 1849, 3735, 323, 1107, 275, 253, 3420, 8803, 1230, 44601, 5143, 323, 3253, 18093, 319, 472, 285, 30394, 358, 314, 275, 253, 10515, 5791, 15, 6408, 3645, 3660, 374, 13, 627, 369, 247, 2201, 268, 462, 409, 390, 36103, 273, 11563, 13, 275, 436, 2952, 273, 253, 807, 13, 327, 253, 1608, 580, 86, 65, 302, 13319, 544, 44606, 1092, 5093, 1107, 1078, 253, 2418, 273, 5143, 369, 294, 21877, 15, 1723, 13, 1078, 253, 36103, 273, 11563, 13, 627, 369, 247, 36103, 273, 253, 2903, 90, 5651, 15156, 13, 32148, 273, 253, 9129, 21251, 273, 9256, 13, 665, 5663, 281, 253, 34660, 40563, 25102, 273, 20242, 15, 187, 187, 510, 24923, 18794, 369, 7169, 14275, 407, 9643, 13, 253, 17396, 1612, 13, 275, 30953, 13, 762, 253, 14026, 3296, 614, 24012, 13, 401, 4631, 267, 13, 253, 2827, 296, 6050, 6963, 273, 12728, 372, 7334, 275, 18471, 407, 253, 5112, 15, 2903, 90, 26886, 6940, 275, 2710, 4243, 273, 11186, 9256, 285, 275, 39759, 13, 1142, 347, 19382, 432, 14730, 743, 255, 25119, 13, 14307, 273, 3063, 13, 432, 835, 597, 574, 18993, 1223, 253, 37801, 2458, 497, 1146, 2280, 317, 433, 15, 380, 8365, 38204, 24072, 13, 18410, 301, 15858, 1911, 11317, 13, 665, 2918, 34544, 9835, 9319, 275, 253, 1986, 2077, 13, 3534, 521, 4743, 273, 253, 346, 6717, 90, 5651, 18830, 449, 1292, 806, 13, 344, 8599, 273, 849, 4377, 401, 4631, 267, 369, 25213, 275, 4693, 27, 187, 187, 2374, 1384, 3978, 544, 49812, 62, 4377, 401, 698, 267, 15, 964, 964, 7244, 275, 4693, 13, 285, 369, 2959, 342, 2120, 285, 13943, 12999, 24755, 15, 4377, 6086, 544, 55, 62, 25213, 779, 15, 964, 964, 1284, 253, 767, 25346, 4817, 949, 253, 10198, 15, 964, 964, 597, 497, 1161, 2122, 407, 253, 24597, 326, 574, 17412, 2112, 253, 7622, 281, 18885, 17018, 21632, 15, 964, 964, 496, 253, 7237, 4377, 6086, 285, 253, 11628, 3534, 247, 1375, 8913, 21118, 387, 18885, 17018, 21632, 275, 4377, 401, 698, 267, 434, 19248, 15, 2732, 253, 8913, 21118, 253, 4377, 4081, 253, 1786, 273, 521, 12141, 285, 753, 5136, 1147, 310, 342, 1199, 11284, 13, 5402, 30913, 13, 326, 309, 12246, 368, 10112, 281, 619, 5347, 13, 285, 21684, 368, 849, 25534, 309, 717, 281, 452, 436, 5107, 273, 10660, 272, 776, 17127, 38153, 273, 1142, 1107, 6306, 15, 964, 964, 844, 10112, 5402, 30913, 15, 964, 964, 347, 271, 1711, 25550, 285, 3331, 15, 964, 964, 309, 452, 9047, 253, 15925, 7170, 1160, 407, 9256, 762, 5402, 30913, 434, 49400, 4086, 15, 964, 17212, 544, 46, 15858, 1911, 11317, 13, 20911, 9256, 313, 18, 296, 1407, 9944, 4693, 27, 12719, 34599, 5687, 25124, 582, 268, 7609, 62, 187, 187, 6175, 401, 4631, 267, 369, 18262, 4782, 892, 27263, 670, 521, 346, 257, 3243, 2348, 4086, 937, 896, 275, 9256, 2903, 90, 26886, 497, 1146, 2280, 317, 433, 15, 380, 2208, 273, 326, 673, 13, 1925, 253, 31860, 3227, 13, 187, 187, 12796, 2104, 281, 549, 1312, 13, 285, 840, 281, 1485, 614, 13, 253, 33728, 318, 273, 253, 2862, 5674, 1411, 253, 2903, 90, 26886, 285, 253, 4782, 15, 964, 964, 3900, 253, 31860, 3227, 7295, 778, 417, 452, 644, 3587, 5506, 323, 253, 2280, 66, 20537, 273, 2903, 90, 26886, 13, 534, 369, 7194, 253, 789, 273, 4214, 25958, 83, 24752, 33980, 313, 19102, 254, 36174, 253, 24923, 5621, 275, 253, 6146, 582, 388, 1479, 2056, 36375, 333, 1342, 13, 8308, 273, 253, 31639, 13, 8884, 281, 253, 8406, 544, 35994, 1911, 11317, 62, 326, 344, 574, 7012, 253, 2087, 1386, 273, 3646, 534, 4214, 25958, 83, 24752, 33980, 8671, 4681, 81, 2031, 62, 187, 187, 21958, 401, 698, 267, 15, 964, 964, 4895, 281, 39759, 544, 4064, 4693, 62, 327, 374, 4223, 544, 49812, 1092, 533, 1119, 253, 4112, 4336, 4457, 521, 1453, 15, 964, 964, 4281, 26739, 569, 13, 17046, 390, 11797, 13, 497, 3192, 1659, 2761, 5312, 17905, 253, 20408, 273, 253, 2862, 2903, 90, 5651, 3114, 15, 496, 581, 273, 253, 32367, 3345, 253, 10043, 6129, 10320, 13, 3052, 343, 18861, 23248, 544, 1665, 273, 401, 4631, 267, 62, 313, 10002, 369, 275, 25528, 342, 253, 31860, 3227, 7295, 10, 285, 253, 31860, 3227, 7038, 497, 31311, 1161, 2122, 407, 253, 9049, 24597, 15, 964, 964, 60, 81, 7127, 62, 187, 187, 2598, 253, 9119, 369, 346, 36478, 272, 253, 20408, 273, 253, 2862, 2903, 90, 5651, 3114, 449, 401, 435, 379, 327, 275, 521, 1984, 13, 15858, 1911, 11317, 2296, 27, 187, 187, 510, 24923, 8544, 6225, 598, 281, 253, 12656, 273, 253, 2586, 672, 275, 4223, 26916, 13, 760, 247, 807, 846, 253, 49049, 273, 14275, 13, 352, 18445, 342, 253, 2903, 90, 5651, 18830, 594, 25122, 285, 14556, 326, 13, 352, 369, 840, 36007, 13, 352, 574, 9809, 253, 12974, 273, 9256, 15, 25958, 83, 24752, 33980, 13, 17747, 273, 253, 5621, 534, 1691, 1066, 326, 47930, 13, 8423, 13082, 347, 271, 440, 1069, 18859, 3872, 8080, 15, 964, 964, 60, 81, 11275, 62, 187, 187, 2598, 253, 2087, 665, 2280, 317, 433, 253, 2903, 90, 26886, 13, 665, 497, 14163, 9583, 275, 271, 346, 484, 37897, 937, 369, 346, 266, 440, 1069, 18859, 3872, 8080, 449, 187, 187, 15, 964, 964, 352, 369, 6296, 21681, 281, 521, 38098, 10885, 273, 253, 47930, 326, 352, 369, 594, 39428, 13102, 24983, 15, 964, 964, 1623, 521, 1091, 281, 39759, 344, 369, 37977, 264, 12833, 20595, 1223, 344, 1733, 2149, 949, 253, 2022, 6406, 13, 22813, 327, 253, 987, 1930, 273, 12128, 8308, 40934, 301, 14355, 355, 14, 40, 333, 77, 6451, 15, 964, 964, 544, 377, 5096, 14, 3593, 62, 187, 187, 35, 518, 83, 3534, 187, 187, 66, 1345, 6519, 15, 964, 964, 281, 253, 952, 273, 19548, 335, 387, 247, 16112, 323, 253, 10884, 8544, 846, 253, 37625, 273, 253, 2903, 90, 5651, 47930, 15, 964, 964, 187, 187, 35994, 1911, 11317, 8599, 670, 18861, 23248, 3347, 273, 401, 4631, 267, 27, 187, 187, 510, 2471, 273, 4377, 18861, 23248, 369, 12258, 347, 247, 3872, 1724, 312, 414, 13, 1580, 344, 369, 12258, 347, 247, 4633, 8080, 407, 253, 8365, 3872, 1346, 285, 253, 5958, 285, 1873, 273, 253, 952, 15, 544, 249, 43302, 62, 6408, 253, 2903, 90, 5651, 18830, 15, 964, 964, 3052, 343, 18861, 23248, 13, 840, 23392, 12843, 285, 8534, 1481, 273, 2418, 275, 253, 5928, 273, 521, 3392, 13, 4516, 253, 40934, 301, 14355, 7295, 434, 1462, 275, 697, 3646, 4404, 253, 2903, 90, 26886, 13, 534, 1160, 779, 323, 253, 806, 673, 4633, 275, 253, 2927, 273, 253, 952, 15, 544, 81, 15410, 708, 21486, 62, 187, 187, 688, 643, 3000, 13, 253, 346, 13174, 3, 369, 5211, 326, 253, 2903, 90, 26886, 497, 24983, 15, 187, 187, 6300, 7119, 595, 13, 627, 310, 271, 2903, 90, 5651, 346, 79, 3298, 800, 3, 1512, 273, 752, 4592, 281, 731, 275, 26916, 275, 9256, 15, 3856, 403, 7457, 7437, 15, 18, 15, 19, 15, 20, 15, 21, 15, 22, 15, 23, 15, 24, 15, 25, 15, 26, 8634, 428, 428, 428, 428, 428, 428, 428, 44655, 27, 625, 327, 9643, 13, 253, 15501, 285, 253, 34140, 28, 253, 7789, 3906, 273, 253, 34140, 275, 39759, 29566, 4782, 8150, 318, 28, 625, 327, 11563, 275, 17913, 275, 253, 655, 394, 5331, 13, 3966, 15, 187, 187, 28781, 13, 2552, 884, 13, 5403, 187, 187, 7673, 327, 253, 15501, 285, 253, 34140, 187, 187, 1231, 452, 2168, 2783, 253, 15501, 434, 44915, 281, 1304, 253, 10800, 34140, 275, 22420, 275, 253, 1072, 2180, 273, 673, 347, 253, 15501, 434, 26616, 387, 253, 4782, 15839, 7454, 497, 1077, 7514, 281, 452, 253, 8365, 3054, 789, 323, 8365, 16167, 15, 2064, 310, 13, 352, 369, 15839, 9526, 13, 16358, 36220, 13, 665, 10184, 253, 39004, 281, 873, 598, 253, 8365, 6884, 15, 380, 24923, 427, 11317, 355, 14, 26116, 65, 301, 665, 3559, 521, 2098, 323, 253, 8365, 6884, 281, 253, 4782, 369, 1929, 347, 247, 4782, 14211, 382, 2190, 253, 8365, 13557, 15, 187, 187, 688, 253, 1072, 2087, 2180, 13, 253, 15501, 369, 27821, 281, 1304, 253, 34140, 13, 285, 760, 858, 594, 1077, 49779, 314, 13, 923, 1060, 13, 1060, 13, 1060, 13, 285, 1060, 15, 7087, 858, 253, 4782, 1969, 263, 643, 2201, 32683, 9136, 283, 1361, 253, 11563, 2256, 281, 253, 21219, 385, 49022, 2086, 275, 667, 8542, 390, 11859, 1039, 15, 187, 187, 59, 304, 293, 14889, 78, 4159, 275, 521, 4857, 273, 1722, 4565, 22420, 281, 521, 26079, 25459, 275, 1457, 2816, 27, 187, 187, 510, 4782, 6485, 327, 16795, 2692, 479, 521, 6485, 4743, 534, 344, 574, 9262, 281, 36220, 544, 50047, 36220, 1092, 275, 534, 344, 5544, 253, 9811, 273, 512, 40431, 11563, 347, 15335, 11464, 13, 253, 385, 49022, 273, 544, 783, 11563, 275, 62, 512, 643, 8238, 544, 249, 16795, 62, 1969, 347, 8505, 2032, 13, 533, 417, 15335, 11464, 15, 380, 2523, 273, 247, 16204, 407, 253, 13001, 544, 249, 33927, 275, 4693, 62, 574, 5524, 2400, 432, 697, 1659, 15, 187, 187, 34, 2129, 846, 253, 4016, 26777, 281, 253, 4782, 5553, 544, 47235, 1092, 271, 7285, 26777, 2210, 15, 1244, 352, 369, 417, 247, 4279, 581, 13, 347, 619, 3331, 3534, 352, 281, 479, 281, 1239, 15, 7850, 2600, 369, 670, 751, 436, 27, 5863, 7357, 3063, 18816, 556, 8379, 908, 21827, 358, 31367, 323, 521, 6378, 15, 3954, 13, 253, 1388, 556, 1705, 672, 359, 476, 897, 521, 21827, 358, 31367, 1411, 779, 15, 3813, 13, 359, 1364, 7561, 5195, 3668, 273, 253, 3270, 14, 37721, 2280, 317, 373, 275, 16795, 15, 544, 59, 304, 293, 14889, 78, 378, 2788, 73, 13, 268, 34205, 62, 187, 187, 5872, 13, 253, 6423, 273, 253, 15501, 12470, 479, 281, 247, 747, 8059, 15, 754, 7560, 342, 479, 670, 534, 24392, 275, 776, 1304, 313, 4064, 253, 19076, 26079, 275, 16795, 582, 347, 973, 347, 275, 253, 19701, 2208, 5012, 13, 326, 359, 943, 1918, 13493, 4116, 281, 327, 253, 5553, 15, 754, 4081, 281, 479, 326, 309, 1918, 271, 4383, 6519, 273, 2800, 2909, 1969, 284, 253, 1480, 8612, 273, 1110, 1146, 1499, 4551, 2122, 15, 831, 369, 327, 253, 1903, 394, 273, 4565, 15, 309, 3534, 253, 2312, 327, 253, 2145, 394, 273, 4565, 313, 27180, 10, 387, 884, 275, 253, 4131, 15, 733, 1160, 13, 347, 619, 4383, 28262, 1972, 2183, 479, 13, 247, 2266, 13214, 15, 1889, 26009, 84, 432, 352, 497, 6015, 4768, 253, 1388, 327, 512, 44021, 15, 544, 377, 34205, 14, 16587, 62, 187, 187, 28326, 281, 253, 5986, 273, 3315, 47407, 273, 4782, 11563, 13, 1503, 304, 293, 14889, 78, 753, 13, 33944, 18595, 323, 247, 1388, 273, 25006, 285, 247, 2129, 273, 43841, 27, 187, 187, 1147, 310, 634, 7143, 281, 513, 1633, 326, 943, 1663, 17941, 253, 1533, 13, 253, 4383, 2208, 13, 285, 3490, 352, 281, 30618, 1524, 5593, 281, 5321, 253, 11563, 13, 1633, 326, 253, 40431, 11563, 651, 513, 604, 253, 4112, 497, 13891, 544, 74, 15, 70, 904, 31222, 273, 11563, 275, 253, 5591, 1570, 2456, 13, 933, 4693, 11563, 943, 1056, 247, 20028, 13, 597, 943, 2972, 9792, 272, 5720, 28, 597, 943, 11557, 281, 22758, 275, 824, 247, 1039, 326, 352, 588, 17941, 253, 1533, 285, 253, 34131, 408, 414, 273, 253, 13557, 13, 665, 7826, 476, 513, 1633, 15, 733, 310, 253, 1390, 7017, 15, 380, 9556, 952, 310, 1146, 1499, 4551, 2122, 15, 544, 81, 16951, 62, 187, 187, 42, 7607, 253, 21558, 273, 253, 15552, 356, 7447, 4487, 273, 253, 15702, 7021, 281, 247, 1180, 273, 2280 ]
were Jews!- - - - - -Coming: The Baghdad pogrom against Jews in 1941; the British, the BBC and the Holocaust, etc. Tuesday, May 16, 2006 Before the Iraqi Massacre of Jews, the Assyrians Everyday's news tells of new atrocities in Iraq, mostly perpetrated by Arab Muslims against fellow Arab Muslims. So we know that Iraq is a bloodthirsty land, where it is difficult to find any connection to Israel for the violence, despite the usual mendacious insinuations that we've heard for years in the media habitually blaming Israel for everything untoward and unseemly in the Middle East. During World War 2, there was a major pogrom or massacre of Jews, in this season of the year, on the Shavu`ot holiday [1941], seven years before the State of Israel was reestablished. However, before the massacre of Jews, there was a massacre of the Assyrian minority, descendants of the ancient inhabitants of Iraq, who belong to the Nestorian sect of Christianity. The Iraqi kingdom was granted independence by Britain, the mandatory power, in 1932, under the Hashemite prince, Faisal, the erstwhile king of Syria deposed in 1920 by the French. Assyrians lived in various parts of northern Iraq and in Baghdad, many as refugees from eastern Anatolia, Turkey of today, from where they had escaped while the Armenians were being massacred. The Arab nationalist historian, Majid Khadduri, who held prestigious university posts in the United States, gave his opinion of the "Assyrian affair." But first, he tells of how King Faisal was welcomed in London: On 20 June [1933] King Faysal. . . arrived in London, and was received with full and impressive ceremonial. King George [V] welcomed him. . . As the two kings passed through the streets. . . they were cheered by the crowds that had assembled along the route to Buckingham Palace. . . In the evening King George and the Queen gave a state banquet at Buckingham Palace in King Faysal's honour. After the banquet the King proposed the health of his guest and said:"It is with much pleasure, Your Majesty, that I bid you welcome to my capital, and assure you how delighted I am to have this opportunity of renewing our pleasant acquaintance of many years standing. . . We welcome Your Majesty. . . as an old ally and friend. . . I have watched the brilliant advance made by Iraq under Your Majesty's enlightened rule. . ." [M Khadduri, Independent Iraq (1st ed.; London: Oxford Univ Press 1951), p 41] While Faisal was enjoying British flattery about his "enlightened rule," back in Iraq Assyrians were being massacred. The government of that time, called the Ikha, were able to arouse, and then to incite, the indignation of the entire nation against the Assyrians and the British. . . While the Ikha Government may not have been directly responsible for the massaacre of Assyrians, which was mainly the work of General Bakr Sidqi (officer commanding the Iraqi forces in the north), Hikmat Sulayman, Minister of the Interior, declared to the writer [Khadduri] that he had approved the general line of policy which General Bakr Sidqi adopted.[p44] King Faysal. . . returned to Baghdad [from London] on 2 August [1933], but found the situation completely beyond his control. . . Demonstrations, spontaneous or inspired, were taking place almost daily demanding the elimination of the entire Assyrian community. In one of the demonstrations outside the Royal Diwan, Amir Ghazi [son of Faisal] (who was in sympathy with the Ikha Government) and the Ikha leaders were loudly cheered by the excited crowds. . .[p 44] So the mob was "demanding the elimination of the entire Assyrian community." Farther on in his book, Khadduri says: The Iraqi army stood up to the expectations of the country when in August 1933, only a year after the attainment of independence, it dealt with the Assyrian affair so promptly and efficiently that, it was then contended, it had saved the integrity of Iraq. Bakr Sidqi, commander of the forces which put down that uprising, suddenly emerged as an unrivalled national hero. . .[p 79] So the general who massacred the Assyrians, who were allegedly engaged in an "uprising," was "an unrivalled national hero." . . . it was indeed owing to his daring handling of the uprising that it was so ruthlessly crushed. . . On his return to Baghdad he was applauded enthusiastically while he motored through the main street, seated on the right side of Prime Minister Rashid Ali al-Gaylani. . . [pp 80-81] Bakr gave a public speech. . . to the people of Mosul at a reception for the returning army after the crushing of the Assyrian uprising. . . Khadduri tells about Ghazi son of Faisal: The death of King Ghazi was regarded as a national calamity, since he was regarded as a popular hero by the Arab nationalists and the rank and file of the people. [in footnote] During the Assyrian affair. . . Amir Ghazi, then Crown Prince and acting head of State in the absence of his father, supported the Rashid Ali Government's stand in its policy towards the Assyrians, which made him for the first time popular in the eyes of the people. [p 138 & fn] In other words, the "people" was happy that the Assyrians were crushed. Just incidentally, there is an Assyrian "narrative" too of what happened to them in 1933 in Iraq. Here are nine pieces.1.2.3.4.5.6.7.8.9.- - - - - - - -Coming: more on Britain, the BBC and the Holocaust; the branch office of the Holocaust in Baghdad enjoys British toleration; more on Jews in Jerusalem in the 19th century, etc. Wednesday, May 10, 2006 More on the BBC and the Holocaust We have already considered the BBC's reluctance to report the ongoing Holocaust in 1942 in the same period of time as the BBC's masters at the British Foreign Office were very concerned to have the Arab states work for Arab unity. That is, it was Foreign Secretary, Anthony Eden, who pushed the Arabs to set up the Arab League. The Iraqi Nuri al-Sa`id who presented his plan for the Arab League to the British was known as a British loyalist among the Arab politicians. In the same general period, the BBC was reluctant to report the Holocaust, and only did so very sparingly, see here, here, here, and here. Nor did the British --or other major Allied powers-- help the Jews subject to the Nazi extermination program in any practical or concrete way. Zigelboym wrote in his letter of 17 December 1942 to his Bund colleague in New York: The British expert on Poland showed me his expert opinion which he had submitted to Eden [Anthony Eden], in which he explained the killing of all Warsaw Jews as officially proven, the extermination of [the Jews in] all other cities [in Poland] -- as apparently true, but not officially proven. The issue of a declaration by the governments [in exile in London] had budged from its place. A week after the negative directive to the British radio [BBC], an opposite directive came. And it was not a secret one, as my friend gave it to me to read. Its content was about like this: Up till today Hitler has successfully used antisemitism for his purposes. Now, the day has come when we can use his antisemitism against him. Therefore, we must widely spread news of the anti-Jewish massacres in Poland. [Zigelboym Bukh, p 359] Then, the director of the BBC invited me to a new conference. He spoke with me about which passages in our report (from the underground Bund in Poland), as well as in the Polish government reports, that we should give maximal attention to on the radio. He proposed to me that I give an English speech of six minutes --as the direct representative of those being slaughtered. This was on the 11th of December. I gave the talk on the 13th of December (Sunday) at 10 in the morning. It made, as my English acquaintances told me, a strong impression. Excerpts from it were repeated throughout the day on all broadcasts. [pp 359-360] Speaking to the Board of Deputies of British Jews, Zigelboym said, rejecting proposals for a day of fasting and a week of mourning: It is your duty to do something that should really shake the world, the English government, and force it to undertake real measures to save the Jews, something that the Warsaw Jews would do if the situation were reversed [i.e., persecution of Jews in the UK]. 50,000 London Jews should make a demonstration, they should block Downing Street; they should shout to Heaven in such a way that it will shake the world and the tranquillity of the politicians, who potentially can do something. It is the last minute. The Jewish people is being slaughtered. [p 360] I accepted the invitation of the Propaganda Department of the Labour Party to a number of mass
[ 10401, 5216, 534, 403, 275, 3746, 1014, 33408, 984, 597, 6388, 11492, 715, 253, 2133, 323, 2614, 789, 13, 643, 5216, 949, 253, 475, 48694, 2819, 387, 2710, 390, 692, 265, 285, 594, 327, 13, 285, 969, 310, 581, 326, 15814, 342, 352, 13, 285, 19235, 13, 247, 1077, 1534, 7155, 273, 2219, 281, 1056, 625, 2558, 273, 2219, 247, 4688, 1319, 2495, 449, 754, 7945, 326, 670, 3578, 2558, 273, 7852, 7282, 2219, 513, 906, 275, 15444, 1005, 13, 285, 346, 262, 434, 247, 1077, 5460, 830, 273, 1429, 7242, 449, 187, 3039, 32305, 728, 670, 253, 6120, 434, 14924, 275, 3739, 14240, 13, 3196, 15, 26853, 10180, 433, 13, 5749, 85, 62, 8701, 3762, 556, 644, 5421, 13, 352, 556, 644, 3542, 598, 275, 18660, 285, 18660, 273, 7774, 13, 285, 352, 556, 275, 619, 4743, 6786, 14414, 14924, 13, 285, 352, 310, 1024, 1146, 10256, 275, 253, 6629, 13, 984, 604, 368, 1053, 626, 9798, 273, 352, 12555, 338, 368, 1053, 626, 9798, 352, 253, 7345, 1912, 626, 1158, 273, 352, 28, 604, 368, 1053, 626, 1158, 273, 352, 368, 1912, 626, 33901, 352, 984, 352, 310, 824, 247, 5460, 830, 273, 1429, 7242, 15, 733, 556, 644, 10256, 285, 352, 310, 7478, 285, 7607, 13, 352, 434, 1014, 275, 253, 6323, 1635, 273, 253, 3457, 493, 474, 11595, 13, 253, 39399, 14, 21, 556, 7478, 352, 13, 352, 434, 4720, 1160, 326, 7478, 10726, 449, 380, 39399, 14, 21, 13, 344, 5544, 13, 310, 247, 1984, 5480, 689, 253, 1107, 8558, 323, 19830, 9360, 15, 380, 1984, 13, 344, 753, 13, 556, 247, 2714, 8857, 323, 46398, 33258, 9360, 407, 17335, 534, 346, 261, 1529, 1039, 273, 3981, 3, 7852, 7282, 15, 187, 688, 521, 6206, 13, 3196, 15, 26853, 671, 9814, 2418, 434, 37631, 10738, 884, 11253, 273, 2800, 32934, 412, 447, 273, 3426, 7774, 285, 247, 1129, 14, 1282, 5447, 3186, 10985, 253, 2180, 432, 33287, 281, 2552, 8441, 534, 2692, 17115, 7774, 275, 616, 12002, 830, 15, 380, 3186, 671, 6221, 253, 30404, 407, 534, 1110, 7774, 812, 320, 4441, 604, 352, 369, 6799, 281, 1239, 731, 275, 616, 25983, 15, 380, 7345, 671, 3636, 2418, 434, 37631, 10738, 1903, 13, 534, 369, 1529, 20314, 20561, 4508, 7774, 12600, 281, 7852, 7282, 1469, 896, 281, 14960, 15, 380, 2418, 671, 5907, 697, 37631, 10738, 1249, 534, 369, 247, 25234, 273, 690, 1722, 4320, 7774, 10620, 342, 7852, 7282, 15, 380, 5517, 671, 20503, 627, 497, 346, 20415, 3, 5098, 390, 16516, 534, 5469, 7852, 7282, 15, 754, 5742, 3636, 247, 1984, 3542, 407, 6911, 1720, 3248, 347, 581, 273, 253, 16516, 10620, 342, 1429, 7242, 3739, 6120, 285, 534, 6221, 247, 8857, 10620, 5742, 342, 7852, 7282, 13, 3738, 253, 1984, 3139, 369, 417, 5611, 715, 1941, 15, 3196, 15, 26853, 671, 1121, 967, 326, 627, 497, 346, 3088, 16655, 285, 18660, 285, 18660, 39258, 22, 62, 273, 10071, 665, 651, 320, 2130, 281, 18954, 8664, 7852, 7282, 15, 733, 369, 3196, 15, 26853, 434, 6452, 326, 247, 6120, 273, 7852, 7282, 369, 346, 328, 1564, 595, 7607, 449, 2732, 271, 8368, 273, 16286, 285, 18322, 434, 3739, 5861, 13, 352, 369, 521, 6452, 326, 253, 2151, 497, 10349, 273, 7852, 7282, 15, 2726, 2743, 281, 16286, 13, 253, 1429, 3692, 2471, 7048, 369, 10145, 273, 8479, 13, 253, 7345, 434, 6452, 369, 326, 253, 6944, 2847, 273, 2471, 369, 7852, 7282, 15, 380, 3739, 5861, 273, 16286, 285, 18322, 497, 671, 6283, 16058, 285, 5611, 387, 253, 37631, 4854, 15, 187, 510, 1941, 5611, 387, 253, 37631, 4854, 369, 4209, 281, 10265, 253, 2332, 1302, 434, 346, 15353, 20984, 3, 3061, 326, 352, 369, 4209, 281, 2525, 512, 1264, 6866, 1414, 6857, 275, 253, 14943, 1083, 15, 4952, 594, 13, 359, 671, 3877, 253, 6517, 281, 253, 2087, 4086, 326, 275, 8925, 1880, 253, 2332, 1302, 14416, 275, 30426, 247, 37631, 26800, 3200, 13, 359, 778, 1908, 760, 1941, 519, 23747, 387, 253, 4854, 327, 326, 3200, 15, 2064, 6517, 10384, 275, 2219, 275, 534, 247, 1127, 273, 2228, 40538, 273, 253, 11341, 273, 1941, 387, 2332, 13, 285, 253, 2523, 556, 644, 772, 561, 1230, 774, 262, 27285, 407, 253, 4676, 387, 2332, 327, 253, 16108, 15, 11366, 14197, 362, 15, 2418, 13, 46753, 322, 15, 56, 15, 19, 69, 11084, 13, 14114, 295, 15, 721, 313, 10685, 15, 39546, 15, 2051, 15, 15624, 481, 187, 3404, 2332, 13, 6485, 12170, 399, 2967, 15, 7618, 23840, 13, 43522, 13651, 13, 16916, 2065, 1342, 13, 285, 7195, 14943, 7859, 323, 253, 2418, 15, 21190, 6168, 1037, 13, 7048, 1057, 417, 5691, 253, 15040, 273, 667, 273, 841, 12170, 15, 1284, 1016, 6485, 5517, 369, 5257, 2122, 407, 253, 2418, 387, 2332, 13, 7048, 434, 2332, 5067, 27511, 281, 253, 17191, 273, 667, 7852, 7282, 6206, 323, 4606, 2074, 281, 1110, 703, 7269, 387, 253, 37631, 4854, 285, 310, 671, 7269, 275, 436, 4549, 15, 10022, 13, 247, 36489, 1185, 8368, 273, 1016, 5517, 369, 5196, 3345, 253, 3361, 273, 253, 4984, 285, 2720, 281, 253, 17191, 273, 253, 5517, 434, 6206, 1078, 253, 4984, 15, 3308, 13, 253, 3374, 497, 772, 561, 1230, 774, 262, 27285, 407, 253, 4676, 387, 2332, 15, 4923, 326, 310, 2032, 13, 253, 6517, 281, 253, 2087, 4086, 310, 7763, 285, 253, 10071, 8, 2332, 6206, 778, 671, 320, 2783, 275, 8925, 253, 49965, 273, 253, 7852, 7282, 6206, 15, 3656, 2591, 13, 253, 6206, 273, 253, 6485, 12170, 475, 47225, 369, 326, 27, 7852, 7282, 310, 271, 38640, 13, 9630, 13, 3839, 7607, 3739, 6120, 313, 9034, 15, 26853, 275, 37631, 6206, 10, 313, 9034, 15, 23840, 387, 2332, 10, 313, 9034, 15, 13651, 387, 2332, 558, 7852, 7282, 310, 247, 830, 273, 1429, 7242, 275, 534, 247, 47709, 4584, 2057, 2789, 598, 9749, 5917, 390, 310, 15257, 6153, 390, 8479, 1110, 5917, 313, 9034, 15, 26853, 275, 37631, 6206, 10, 313, 9034, 15, 23840, 387, 2332, 10, 313, 9034, 15, 13651, 387, 2332, 558, 253, 31372, 403, 3798, 15423, 390, 27541, 13, 906, 275, 2709, 4675, 5904, 13, 285, 9470, 3739, 27163, 1620, 1646, 281, 10313, 22909, 323, 253, 1429, 434, 9749, 313, 9034, 15, 23840, 387, 2332, 558, 253, 7852, 7282, 6120, 310, 7478, 275, 3739, 6629, 285, 310, 10256, 275, 1110, 6629, 313, 9034, 15, 26853, 275, 37631, 6206, 10, 313, 9034, 15, 13651, 387, 2332, 10, 313, 9034, 15, 2065, 1342, 387, 2332, 558, 7852, 7282, 310, 253, 2256, 273, 3739, 6239, 313, 9034, 15, 26853, 387, 37631, 10, 313, 9034, 15, 23840, 387, 2332, 558, 1491, 670, 7852, 7282, 369, 9371, 275, 8925, 247, 3739, 6120, 390, 1971, 273, 16286, 313, 9034, 15, 23840, 387, 2332, 481, 3196, 15, 23840, 671, 1121, 967, 326, 253, 7852, 7282, 6120, 651, 320, 9371, 275, 8925, 6860, 13, 23778, 13, 2098, 13, 3102, 13, 5928, 273, 9749, 13, 10551, 390, 23678, 275, 253, 1049, 21254, 13305, 9606, 407, 16286, 15, 3308, 13, 253, 6485, 6206, 387, 2332, 9838, 36217, 253, 2332, 5963, 434, 37631, 6452, 326, 253, 7852, 7282, 6120, 310, 581, 3839, 7478, 285, 7607, 275, 253, 3739, 3114, 285, 16382, 253, 1264, 14, 1087, 543, 13367, 1071, 35144, 407, 253, 14943, 1302, 15, 844, 671, 3877, 326, 7048, 434, 3739, 6485, 13, 3196, 15, 12167, 418, 15, 418, 6228, 13, 3738, 14936, 272, 326, 253, 6120, 369, 7763, 281, 7048, 13, 8176, 326, 7852, 7282, 369, 346, 66, 3739, 6120, 285, 352, 11521, 275, 436, 7140, 273, 3739, 285, 19830, 449, 187, 16295, 42444, 671, 4419, 326, 253, 2332, 1302, 13, 1078, 28168, 6485, 6206, 13, 1364, 320, 10048, 326, 1529, 1264, 2515, 403, 1313, 27, 337, 10, 326, 253, 6485, 5517, 4426, 7790, 347, 271, 6485, 407, 1921, 273, 521, 3640, 13, 10861, 13, 2793, 13, 3733, 390, 4730, 28, 374, 10, 253, 2256, 2647, 310, 271, 4569, 581, 323, 6485, 6206, 28, 285, 495, 10, 326, 28168, 253, 6485, 6206, 588, 2686, 10073, 253, 4984, 15, 1219, 2044, 3377, 362, 15, 2418, 13, 898, 805, 322, 15, 56, 15, 19, 69, 1749, 13, 24521, 313, 10685, 15, 39546, 15, 2946, 15, 12731, 481, 380, 2332, 1302, 434, 3061, 347, 281, 1110, 1264, 2515, 778, 417, 320, 24714, 327, 4549, 12125, 271, 7242, 273, 8637, 15, 4031, 15, 387, 26517, 15, 187, 4943, 13, 347, 253, 6485, 6206, 369, 2959, 387, 2332, 13, 253, 4984, 369, 17189, 326, 604, 627, 369, 667, 6206, 432, 253, 12170, 326, 7048, 574, 7730, 346, 2727, 5060, 13, 3430, 84, 13, 390, 6993, 643, 685, 253, 8399, 5575, 1060, 275, 253, 17612, 937, 352, 812, 417, 1908, 731, 5734, 597, 6566, 4457, 247, 5272, 5545, 7048, 7730, 731, 285, 840, 1537, 760, 1908, 731, 275, 8925, 346, 30699, 13, 23778, 13, 2098, 13, 3102, 13, 5928, 273, 9749, 13, 10551, 390, 7343, 13, 604, 667, 3, 275, 4602, 342, 253, 8399, 5575, 1411, 617, 275, 253, 17612, 15, 2726, 2743, 281, 7852, 7282, 6206, 13, 253, 5963, 32939, 17189, 326, 352, 1537, 320, 2783, 27, 187, 11, 475, 475, 760, 323, 253, 3710, 4096, 390, 6378, 273, 34735, 368, 275, 7296, 253, 23778, 273, 253, 3257, 13, 604, 667, 28, 253, 6860, 273, 253, 3257, 13, 604, 667, 28, 253, 2098, 273, 253, 3257, 13, 604, 667, 28, 253, 3102, 13, 604, 667, 13, 273, 1049, 570, 280, 13305, 13, 604, 667, 13, 7668, 16286, 29038, 390, 6911, 313, 42208, 10, 29038, 13, 604, 667, 13, 390, 1097, 273, 731, 13, 604, 667, 28, 187, 510, 5928, 273, 9749, 13, 10551, 390, 7343, 13, 604, 667, 28, 253, 1375, 273, 2564, 273, 253, 3257, 327, 253, 8120, 275, 1953, 13, 604, 667, 28, 253, 3739, 6120, 273, 16286, 29038, 13, 604, 667, 28, 253, 2954, 875, 253, 3257, 285, 16286, 29038, 13, 604, 667, 28, 187, 510, 2847, 273, 2471, 273, 16286, 29038, 13, 604, 667, 13, 275, 4602, 342, 253, 8399, 13, 604, 667, 13, 5575, 1411, 253, 3257, 275, 253, 17612, 275, 436, 1083, 285, 642, 643, 4096, 390, 6378, 15, 187, 34, 2074, 9775, 369, 1677, 253, 4984, 275, 253, 1302, 434, 4179, 342, 253, 823, 423, 360, 326, 597, 346, 16534, 417, 1908, 1941, 273, 353, 3204, 666, 257, 39365, 407, 1294, 5246, 13, 604, 667, 13, 347, 247, 16502, 323, 4737, 326, 253, 3257, 7730, 253, 6617, 6636, 4543, 347, 4737, 326, 253, 3257, 556, 247, 6424, 13216, 13, 604, 667, 13, 390, 3076, 1894, 13, 604, 667, 449, 187, 18562, 1160, 253, 3061, 326, 253, 2332, 1302, 858, 417, 1486, 275, 8925, 253, 8249, 13367, 273, 253, 7852, 7282, 6206, 13, 352, 4916, 3309, 281, 3653, 7048, 434, 1735, 5691, 15, 1500, 8219, 326, 1014, 604, 352, 310, 1119, 253, 6485, 7852, 7282, 6206, 16382, 253, 14943, 1071, 13, 253, 2332, 1302, 19848, 697, 8637, 275, 28168, 253, 6206, 984, 346, 262, 310, 417, 4623, 285, 651, 417, 10073, 253, 4984, 28, 285, 13, 1014, 604, 4623, 13, 697, 42192, 1318, 310, 9619, 475, 44828, 32180, 18201, 407, 253, 5434, 273, 16593, 14751, 13, 13775, 273, 3374, 13, 390, 24363, 253, 4984, 449, 187, 688, 7296, 436, 5691, 13, 969, 359, 1364, 8800, 275, 2564, 253, 4086, 326, 247, 2332, 1302, 310, 37478, 342, 253, 8637, 281, 11476, 390, 16670, 1941, 285, 271, 16939, 1302, 943, 417, 8107, 247, 2332, 1302, 5734, 326, 1302, 556, 19848, 697, 8637, 275, 28168, 253, 1941, 15, 41729, 3592, 362, 15, 2418, 13, 818, 4148, 322, 15, 56, 15, 19, 69, 898, 3071, 13, 898, 1012, 313, 10685, 15, 39546, 15, 2946, 15, 13435, 481, 733, 310, 671, 253, 4086, 326, 604, 1941, 310, 4623, 281, 247, 2647, 390, 2523, 275, 253, 1083, 13, 776, 26800, 4803, 1024, 2430, 253, 3128, 18327, 253, 47356, 1941, 417, 760, 281, 7568, 253, 4016, 12474, 273, 253, 1941, 533, 671, 921, 849, 1110, 4016, 12474, 9619, 32180, 798, 697, 42192, 1318, 15, 4031, 15, 387, 28546, 15, 187, 688, 7804, 24877, 13, 4623, 1941, 310, 2931, 347, 346, 22432, 1907, 667, 14955, 281, 1056, 253, 6242, 273, 667, 958, 326, 310, 273, 9936, 281, 253, 7441, 273, 253, 2250, 625, 14051, 390, 1679, 14051, 685, 352, 651, 320, 1293, 253, 1941, 449, 496, 7296, 253, 1953, 273, 1693, 87, 4306, 13, 352, 310, 18338, 273, 3877, 326, 3738, 247, 15303, 36165, 878, 417, 5276, 23778 ]
diagnostic tests which are in themselves even abusive because they involve invasion into the body for blood work, other tests through the *728 looking at various orifices and so on, and again is one that carries with it, and unfortunately, a very significant percentage of cases to make more percent of cases a fatality risk." He concluded that about ten percent of MSBP cases do result in fatalities, and "it's a very severe form of child abuse." When queried about the diagnosis's acceptance in medical circles, Dr. Bennett averred, "[t]his theory has been studied, it has been written up in dozens and dozens of articles, and it has in my opinion achieved widespread acceptance, and it is now being taught in the schools, because if you don't teach of it—if you don't teach it the doctor won't think of it; if you don't think of it you won't diagnose it because it is such a severe form of child abuse. It has been taught and it is recognized and accepted, it's even in the latest addition of the diagnostical manual, the DSM-4 has recognized it, it's finally made that recognized entity." The DSM-4, he explained, is a book prepared over the years primarily for psychiatric disorders. The book, he said, has a special chapter for fictitious disorders by proxy which "is another way of saying" MSBP. In his testimony, Dr. Bennett also reviewed State's pretrial exhibit 10 consisting of six photocopies of complete articles and a med-line database search covering the period from 1922 to May 1996 which showed 122 articles in their abstract form. The search also contained the citations by which those articles could be located if it was desired to read them in their entirety. The doctor also identified State's pretrial exhibit 11, which was another bibliography containing articles relating to MSBP going back to 1977. The State also offered its pretrial exhibit 12 which was a compilation of some 17 legal articles dealing with MSBP. The witness also commented there were "many" books or publications which discussed MSBP. He specifically identified a book written by Robert Reese as one of the publications dealing with child abuse medical diagnosis and which contained a chapter dealing specifically with MSBP, although the book itself was not introduced into evidence. Dr. Bennett also opined that there were "dozens and dozens and dozens"[5] of experts who would be available to testify concerning MSBP. It was Dr. Bennett's conclusion that a diagnosis of MSBP was "universally accepted." After an examination of Morgan and Matthew's medical records, it was his conclusion that the children were victims of MSBP. With regard to Morgan, the child whose death appellant was accused of causing, the doctor's conclusion was that the underlying cause of death was MSBP. The medical records of Morgan and Matthew were also properly verified and introduced at the pretrial hearing. The evidence introduced at the pretrial hearing was sufficient to sustain the trial court's "gatekeeper" decision that it was sufficient to meet all three criteria explicated in the Kelly case. Even so, we also note the exception to the general rule that in determining whether the trial court erred in resolving a pretrial evidentiary motion, we may consider only evidence adduced at the hearing on that motion. That exception applies in cases in which a point of error complains of the admission of evidence at trial, and the issue has been consensually relitigated by the parties at trial on the merits. Hardesty v. State, 667 S.W.2d 130, 133 n. 6 (Tex.Crim. App.1984). At trial, expert witnesses Drs. Carol Rosen, Randall Alexander, Austin Colman, and Thomas Kelly testified for the State. Parenthetically, appellant does not challenge the expertise of any of these witnesses. As each expert witness was tendered by the State at trial, appellant's trial counsel objected to the receipt of any MSBP testimony for reasons similar to those she advanced at the pretrial hearing and is also advanced in this appeal. Accordingly, a voir dire examination of each witness was conducted outside the presence of the jury and prior to the receipt of the witness's testimony before the jury. Thus, the issues were consensually relitigated by the parties at trial. Because that is true, the exception to the general rule is applicable and the experts' trial testimony may also be considered in determining the admissibility of the MSBP testimony. Distilled, the testimony of the expert witnesses *729 was that: MSBP is an identifiable, reliable, generally accepted medical diagnosis (Dr. Bennett in pretrial testimony) (Dr. Rosen at trial) (Dr. Alexander at trial); MSBP is a form of child abuse in which a caretaker either makes up illness symptoms or is actively creating or causing those symptoms (Dr. Bennett in pretrial testimony) (Dr. Rosen at trial) (Dr. Alexander at trial); the illnesses are usually extraordinary or bizarre, result in multiple hospitalizations, and extensive medical evaluations never seem to reveal explanations for the child's illness (Dr. Rosen at trial); the MSBP diagnosis is recognized in medical schools and is taught in those schools (Dr. Bennett in pretrial testimony) (Dr. Alexander at trial) (Dr. Colman at trial); MSBP is the subject of medical literature (Dr. Bennett at pretrial) (Dr. Rosen at trial); information about MSBP was helpful in determining a medical diagnosis or treatment of Morgan (Dr. Rosen at trial). Dr. Rosen also opined that the MSBP diagnosis would be helpful in determining intent, motive, plan, pattern, absence of illness, mistake or accidents in the apnea episodes suffered by Morgan. Thus, the expert testimony at trial reinforces the trial judge's pretrial conclusion that the MSBP diagnosis is one generally recognized and accepted in the medical community and meets the three-prong reliability test articulated by the Kelly court. We also note that appellant's medical expert, Dr. Stephen L. Linder, although disagreeing that the diagnosis was applicable to appellant, admitted that MSBP was "a medical diagnosis and it falls in this category of medical and psychiatric." Rule 702 also requires that the trial court, before admitting expert testimony, must be satisfied that another three conditions are met: 1) that the expert witness qualifies as an expert by reason of his knowledge, skill, experience, training or education; 2) the subject matter is an appropriate one for expert testimony; and 3) that admitting the expert testimony will actually assist the jury. Alvarado v. State, 912 S.W.2d 199, 216 (Tex.Crim.App.1995). The trial court's decision as to those three conditions may not be disturbed on appeal absent an abuse of discretion. Id. at 217. Here, as the expert testimony was received at trial, the jury was instructed that if there was any testimony from the witnesses that appellant had committed "offenses, wrongs, or acts other than the offense alleged here in the indictment," it could not consider them unless they believed beyond a reasonable doubt appellant committed them and then might only consider them in determining "intent, motive, plan, pattern, absence of illness, mistake or accident, if any" in connection with the offense alleged against her in the indictment. With regard to MSBP testimony, the judge orally instructed that it might be considered: * * * only for the limited purpose or purposes of assisting you in considering the motive of the defendant, if any; the intent of the defendant, if any; the plan of the defendant, if any; the pattern, if any, of apneic episodes, if any, involving Morgan Reid or Robert (Matthew) Reid, if any, or both of them, if any; The absence of illness, mistake or accident, if any; the state of mind of the defendant on the occasion in question, if any; the medical diagnosis of Morgan Reid, if any; the relationship between the defendant and Morgan Reid, if any; The cause of death of Morgan Reid, if any, in connection with the offense, if any, alleged against the defendant in the indictment in this case and no other purpose or purposes. A similar instruction was given the jury in the court's charge with the addendum that they "could not consider evidence of Munchausen Syndrome by Proxy, if any, as a substitute for proof that the defendant committed the crime charged nor as proof that the defendant has a criminal personality, if any, or bad character, if any." Having made the decision that the trial court did not err in determining the scientific reliability of the MSBP testimony, it becomes necessary to determine appellant's next challenge. She argues that even if it is found the expert MSBP testimony meets the Kelly test, the trial court abused its discretion in admitting the testimony because "it is not relevant and would not assist the jury; and, even if relevant, its probative value is substantially *730 outweighed by the danger of unfair prejudice, confusion of issues, or misleading the jury." In considering this challenge, again we must bear in mind the rule that a trial court is vested with the discretion to admit or exclude evidence and an appellate court should not reverse a trial court unless that court has abused its discretion in admitting the evidence. Duckett v. State, 797 S.W.2d 906, 913 (Tex.Crim.App.1990). It is also the rule that if evidence is relevant to a matter or issue in the case, our evidentiary rules now require the party opposing the proffered evidence not only to demonstrate the negative attributes of the evidence but also show how those negative attributes substantially outweigh its probative value. Id. at 911. In Rule 401, relevant evidence is defined as "evidence having any tendency to make the existence of any fact that is of consequence to the determination of the action more probable or less probable than it would be without the evidence." In considering the question of relevancy, it is worthy of note that although a prosecutor ordinarily need not prove motive
End of preview. Expand in Data Studio
README.md exists but content is empty.
Downloads last month
72