text
stringlengths 938
1.05M
|
---|
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module soc_design_niosII_core_cpu_debug_slave_tck (
// inputs:
MonDReg,
break_readreg,
dbrk_hit0_latch,
dbrk_hit1_latch,
dbrk_hit2_latch,
dbrk_hit3_latch,
debugack,
ir_in,
jtag_state_rti,
monitor_error,
monitor_ready,
reset_n,
resetlatch,
tck,
tdi,
tracemem_on,
tracemem_trcdata,
tracemem_tw,
trc_im_addr,
trc_on,
trc_wrap,
trigbrktype,
trigger_state_1,
vs_cdr,
vs_sdr,
vs_uir,
// outputs:
ir_out,
jrst_n,
sr,
st_ready_test_idle,
tdo
)
;
output [ 1: 0] ir_out;
output jrst_n;
output [ 37: 0] sr;
output st_ready_test_idle;
output tdo;
input [ 31: 0] MonDReg;
input [ 31: 0] break_readreg;
input dbrk_hit0_latch;
input dbrk_hit1_latch;
input dbrk_hit2_latch;
input dbrk_hit3_latch;
input debugack;
input [ 1: 0] ir_in;
input jtag_state_rti;
input monitor_error;
input monitor_ready;
input reset_n;
input resetlatch;
input tck;
input tdi;
input tracemem_on;
input [ 35: 0] tracemem_trcdata;
input tracemem_tw;
input [ 6: 0] trc_im_addr;
input trc_on;
input trc_wrap;
input trigbrktype;
input trigger_state_1;
input vs_cdr;
input vs_sdr;
input vs_uir;
reg [ 2: 0] DRsize /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
wire debugack_sync;
reg [ 1: 0] ir_out;
wire jrst_n;
wire monitor_ready_sync;
reg [ 37: 0] sr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,R101\"" */;
wire st_ready_test_idle;
wire tdo;
wire unxcomplemented_resetxx1;
wire unxcomplemented_resetxx2;
always @(posedge tck)
begin
if (vs_cdr)
case (ir_in)
2'b00: begin
sr[35] <= debugack_sync;
sr[34] <= monitor_error;
sr[33] <= resetlatch;
sr[32 : 1] <= MonDReg;
sr[0] <= monitor_ready_sync;
end // 2'b00
2'b01: begin
sr[35 : 0] <= tracemem_trcdata;
sr[37] <= tracemem_tw;
sr[36] <= tracemem_on;
end // 2'b01
2'b10: begin
sr[37] <= trigger_state_1;
sr[36] <= dbrk_hit3_latch;
sr[35] <= dbrk_hit2_latch;
sr[34] <= dbrk_hit1_latch;
sr[33] <= dbrk_hit0_latch;
sr[32 : 1] <= break_readreg;
sr[0] <= trigbrktype;
end // 2'b10
2'b11: begin
sr[15 : 2] <= trc_im_addr;
sr[1] <= trc_wrap;
sr[0] <= trc_on;
end // 2'b11
endcase // ir_in
if (vs_sdr)
case (DRsize)
3'b000: begin
sr <= {tdi, sr[37 : 2], tdi};
end // 3'b000
3'b001: begin
sr <= {tdi, sr[37 : 9], tdi, sr[7 : 1]};
end // 3'b001
3'b010: begin
sr <= {tdi, sr[37 : 17], tdi, sr[15 : 1]};
end // 3'b010
3'b011: begin
sr <= {tdi, sr[37 : 33], tdi, sr[31 : 1]};
end // 3'b011
3'b100: begin
sr <= {tdi, sr[37], tdi, sr[35 : 1]};
end // 3'b100
3'b101: begin
sr <= {tdi, sr[37 : 1]};
end // 3'b101
default: begin
sr <= {tdi, sr[37 : 2], tdi};
end // default
endcase // DRsize
if (vs_uir)
case (ir_in)
2'b00: begin
DRsize <= 3'b100;
end // 2'b00
2'b01: begin
DRsize <= 3'b101;
end // 2'b01
2'b10: begin
DRsize <= 3'b101;
end // 2'b10
2'b11: begin
DRsize <= 3'b010;
end // 2'b11
endcase // ir_in
end
assign tdo = sr[0];
assign st_ready_test_idle = jtag_state_rti;
assign unxcomplemented_resetxx1 = jrst_n;
altera_std_synchronizer the_altera_std_synchronizer1
(
.clk (tck),
.din (debugack),
.dout (debugack_sync),
.reset_n (unxcomplemented_resetxx1)
);
defparam the_altera_std_synchronizer1.depth = 2;
assign unxcomplemented_resetxx2 = jrst_n;
altera_std_synchronizer the_altera_std_synchronizer2
(
.clk (tck),
.din (monitor_ready),
.dout (monitor_ready_sync),
.reset_n (unxcomplemented_resetxx2)
);
defparam the_altera_std_synchronizer2.depth = 2;
always @(posedge tck or negedge jrst_n)
begin
if (jrst_n == 0)
ir_out <= 2'b0;
else
ir_out <= {debugack_sync, monitor_ready_sync};
end
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
assign jrst_n = reset_n;
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
//synthesis read_comments_as_HDL on
// assign jrst_n = 1;
//synthesis read_comments_as_HDL off
endmodule
|
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module soc_design_niosII_core_cpu_debug_slave_sysclk (
// inputs:
clk,
ir_in,
sr,
vs_udr,
vs_uir,
// outputs:
jdo,
take_action_break_a,
take_action_break_b,
take_action_break_c,
take_action_ocimem_a,
take_action_ocimem_b,
take_action_tracectrl,
take_no_action_break_a,
take_no_action_break_b,
take_no_action_break_c,
take_no_action_ocimem_a
)
;
output [ 37: 0] jdo;
output take_action_break_a;
output take_action_break_b;
output take_action_break_c;
output take_action_ocimem_a;
output take_action_ocimem_b;
output take_action_tracectrl;
output take_no_action_break_a;
output take_no_action_break_b;
output take_no_action_break_c;
output take_no_action_ocimem_a;
input clk;
input [ 1: 0] ir_in;
input [ 37: 0] sr;
input vs_udr;
input vs_uir;
reg enable_action_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg [ 1: 0] ir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
reg [ 37: 0] jdo /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
reg jxuir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg sync2_udr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg sync2_uir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
wire sync_udr;
wire sync_uir;
wire take_action_break_a;
wire take_action_break_b;
wire take_action_break_c;
wire take_action_ocimem_a;
wire take_action_ocimem_b;
wire take_action_tracectrl;
wire take_no_action_break_a;
wire take_no_action_break_b;
wire take_no_action_break_c;
wire take_no_action_ocimem_a;
wire unxunused_resetxx3;
wire unxunused_resetxx4;
reg update_jdo_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
assign unxunused_resetxx3 = 1'b1;
altera_std_synchronizer the_altera_std_synchronizer3
(
.clk (clk),
.din (vs_udr),
.dout (sync_udr),
.reset_n (unxunused_resetxx3)
);
defparam the_altera_std_synchronizer3.depth = 2;
assign unxunused_resetxx4 = 1'b1;
altera_std_synchronizer the_altera_std_synchronizer4
(
.clk (clk),
.din (vs_uir),
.dout (sync_uir),
.reset_n (unxunused_resetxx4)
);
defparam the_altera_std_synchronizer4.depth = 2;
always @(posedge clk)
begin
sync2_udr <= sync_udr;
update_jdo_strobe <= sync_udr & ~sync2_udr;
enable_action_strobe <= update_jdo_strobe;
sync2_uir <= sync_uir;
jxuir <= sync_uir & ~sync2_uir;
end
assign take_action_ocimem_a = enable_action_strobe && (ir == 2'b00) &&
~jdo[35] && jdo[34];
assign take_no_action_ocimem_a = enable_action_strobe && (ir == 2'b00) &&
~jdo[35] && ~jdo[34];
assign take_action_ocimem_b = enable_action_strobe && (ir == 2'b00) &&
jdo[35];
assign take_action_break_a = enable_action_strobe && (ir == 2'b10) &&
~jdo[36] &&
jdo[37];
assign take_no_action_break_a = enable_action_strobe && (ir == 2'b10) &&
~jdo[36] &&
~jdo[37];
assign take_action_break_b = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && ~jdo[35] &&
jdo[37];
assign take_no_action_break_b = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && ~jdo[35] &&
~jdo[37];
assign take_action_break_c = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && jdo[35] &&
jdo[37];
assign take_no_action_break_c = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && jdo[35] &&
~jdo[37];
assign take_action_tracectrl = enable_action_strobe && (ir == 2'b11) &&
jdo[15];
always @(posedge clk)
begin
if (jxuir)
ir <= ir_in;
if (update_jdo_strobe)
jdo <= sr;
end
endmodule
|
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module soc_design_niosII_core_cpu_debug_slave_sysclk (
// inputs:
clk,
ir_in,
sr,
vs_udr,
vs_uir,
// outputs:
jdo,
take_action_break_a,
take_action_break_b,
take_action_break_c,
take_action_ocimem_a,
take_action_ocimem_b,
take_action_tracectrl,
take_no_action_break_a,
take_no_action_break_b,
take_no_action_break_c,
take_no_action_ocimem_a
)
;
output [ 37: 0] jdo;
output take_action_break_a;
output take_action_break_b;
output take_action_break_c;
output take_action_ocimem_a;
output take_action_ocimem_b;
output take_action_tracectrl;
output take_no_action_break_a;
output take_no_action_break_b;
output take_no_action_break_c;
output take_no_action_ocimem_a;
input clk;
input [ 1: 0] ir_in;
input [ 37: 0] sr;
input vs_udr;
input vs_uir;
reg enable_action_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg [ 1: 0] ir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
reg [ 37: 0] jdo /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,R101\"" */;
reg jxuir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg sync2_udr /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
reg sync2_uir /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
wire sync_udr;
wire sync_uir;
wire take_action_break_a;
wire take_action_break_b;
wire take_action_break_c;
wire take_action_ocimem_a;
wire take_action_ocimem_b;
wire take_action_tracectrl;
wire take_no_action_break_a;
wire take_no_action_break_b;
wire take_no_action_break_c;
wire take_no_action_ocimem_a;
wire unxunused_resetxx3;
wire unxunused_resetxx4;
reg update_jdo_strobe /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103\"" */;
assign unxunused_resetxx3 = 1'b1;
altera_std_synchronizer the_altera_std_synchronizer3
(
.clk (clk),
.din (vs_udr),
.dout (sync_udr),
.reset_n (unxunused_resetxx3)
);
defparam the_altera_std_synchronizer3.depth = 2;
assign unxunused_resetxx4 = 1'b1;
altera_std_synchronizer the_altera_std_synchronizer4
(
.clk (clk),
.din (vs_uir),
.dout (sync_uir),
.reset_n (unxunused_resetxx4)
);
defparam the_altera_std_synchronizer4.depth = 2;
always @(posedge clk)
begin
sync2_udr <= sync_udr;
update_jdo_strobe <= sync_udr & ~sync2_udr;
enable_action_strobe <= update_jdo_strobe;
sync2_uir <= sync_uir;
jxuir <= sync_uir & ~sync2_uir;
end
assign take_action_ocimem_a = enable_action_strobe && (ir == 2'b00) &&
~jdo[35] && jdo[34];
assign take_no_action_ocimem_a = enable_action_strobe && (ir == 2'b00) &&
~jdo[35] && ~jdo[34];
assign take_action_ocimem_b = enable_action_strobe && (ir == 2'b00) &&
jdo[35];
assign take_action_break_a = enable_action_strobe && (ir == 2'b10) &&
~jdo[36] &&
jdo[37];
assign take_no_action_break_a = enable_action_strobe && (ir == 2'b10) &&
~jdo[36] &&
~jdo[37];
assign take_action_break_b = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && ~jdo[35] &&
jdo[37];
assign take_no_action_break_b = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && ~jdo[35] &&
~jdo[37];
assign take_action_break_c = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && jdo[35] &&
jdo[37];
assign take_no_action_break_c = enable_action_strobe && (ir == 2'b10) &&
jdo[36] && jdo[35] &&
~jdo[37];
assign take_action_tracectrl = enable_action_strobe && (ir == 2'b11) &&
jdo[15];
always @(posedge clk)
begin
if (jxuir)
ir <= ir_in;
if (update_jdo_strobe)
jdo <= sr;
end
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
// -- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
// --
// -- This file contains confidential and proprietary information
// -- of Xilinx, Inc. and is protected under U.S. and
// -- international copyright and other intellectual property
// -- laws.
// --
// -- DISCLAIMER
// -- This disclaimer is not a license and does not grant any
// -- rights to the materials distributed herewith. Except as
// -- otherwise provided in a valid license issued to you by
// -- Xilinx, and to the maximum extent permitted by applicable
// -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// -- (2) Xilinx shall not be liable (whether in contract or tort,
// -- including negligence, or under any other theory of
// -- liability) for any loss or damage of any kind or nature
// -- related to, arising under or in connection with these
// -- materials, including for any direct, or any indirect,
// -- special, incidental, or consequential loss or damage
// -- (including loss of data, profits, goodwill, or any type of
// -- loss or damage suffered as a result of any action brought
// -- by a third party) even if such damage or loss was
// -- reasonably foreseeable or Xilinx had been advised of the
// -- possibility of the same.
// --
// -- CRITICAL APPLICATIONS
// -- Xilinx products are not designed or intended to be fail-
// -- safe, or for use in any application requiring fail-safe
// -- performance, such as life-support or safety devices or
// -- systems, Class III medical devices, nuclear facilities,
// -- applications related to the deployment of airbags, or any
// -- other applications that could lead to death, personal
// -- injury, or severe property or environmental damage
// -- (individually and collectively, "Critical
// -- Applications"). Customer assumes the sole risk and
// -- liability of any use of Xilinx products in Critical
// -- Applications, subject only to applicable laws and
// -- regulations governing limitations on product liability.
// --
// -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// -- PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// Description: Write Response Channel for ATC
//
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
// Structure:
// b_atc
//
//--------------------------------------------------------------------------
`timescale 1ps/1ps
module processing_system7_v5_5_b_atc #
(
parameter C_FAMILY = "rtl",
// FPGA Family. Current version: virtex6, spartan6 or later.
parameter integer C_AXI_ID_WIDTH = 4,
// Width of all ID signals on SI and MI side of checker.
// Range: >= 1.
parameter integer C_AXI_BUSER_WIDTH = 1,
// Width of AWUSER signals.
// Range: >= 1.
parameter integer C_FIFO_DEPTH_LOG = 4
)
(
// Global Signals
input wire ARESET,
input wire ACLK,
// Command Interface
input wire cmd_b_push,
input wire cmd_b_error,
input wire [C_AXI_ID_WIDTH-1:0] cmd_b_id,
output wire cmd_b_ready,
output wire [C_FIFO_DEPTH_LOG-1:0] cmd_b_addr,
output reg cmd_b_full,
// Slave Interface Write Response Ports
output wire [C_AXI_ID_WIDTH-1:0] S_AXI_BID,
output reg [2-1:0] S_AXI_BRESP,
output wire [C_AXI_BUSER_WIDTH-1:0] S_AXI_BUSER,
output wire S_AXI_BVALID,
input wire S_AXI_BREADY,
// Master Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] M_AXI_BID,
input wire [2-1:0] M_AXI_BRESP,
input wire [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER,
input wire M_AXI_BVALID,
output wire M_AXI_BREADY,
// Trigger detection
output reg ERROR_TRIGGER,
output reg [C_AXI_ID_WIDTH-1:0] ERROR_TRANSACTION_ID
);
/////////////////////////////////////////////////////////////////////////////
// Local params
/////////////////////////////////////////////////////////////////////////////
// Constants for packing levels.
localparam [2-1:0] C_RESP_OKAY = 2'b00;
localparam [2-1:0] C_RESP_EXOKAY = 2'b01;
localparam [2-1:0] C_RESP_SLVERROR = 2'b10;
localparam [2-1:0] C_RESP_DECERR = 2'b11;
// Command FIFO settings
localparam C_FIFO_WIDTH = C_AXI_ID_WIDTH + 1;
localparam C_FIFO_DEPTH = 2 ** C_FIFO_DEPTH_LOG;
/////////////////////////////////////////////////////////////////////////////
// Variables for generating parameter controlled instances.
/////////////////////////////////////////////////////////////////////////////
integer index;
/////////////////////////////////////////////////////////////////////////////
// Functions
/////////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////////
// Internal signals
/////////////////////////////////////////////////////////////////////////////
// Command Queue.
reg [C_FIFO_DEPTH_LOG-1:0] addr_ptr;
reg [C_FIFO_WIDTH-1:0] data_srl[C_FIFO_DEPTH-1:0];
reg cmd_b_valid;
wire cmd_b_ready_i;
wire inject_error;
wire [C_AXI_ID_WIDTH-1:0] current_id;
// Search command.
wire found_match;
wire use_match;
wire matching_id;
// Manage valid command.
wire write_valid_cmd;
reg [C_FIFO_DEPTH-2:0] valid_cmd;
reg [C_FIFO_DEPTH-2:0] updated_valid_cmd;
reg [C_FIFO_DEPTH-2:0] next_valid_cmd;
reg [C_FIFO_DEPTH_LOG-1:0] search_addr_ptr;
reg [C_FIFO_DEPTH_LOG-1:0] collapsed_addr_ptr;
// Pipelined data
reg [C_AXI_ID_WIDTH-1:0] M_AXI_BID_I;
reg [2-1:0] M_AXI_BRESP_I;
reg [C_AXI_BUSER_WIDTH-1:0] M_AXI_BUSER_I;
reg M_AXI_BVALID_I;
wire M_AXI_BREADY_I;
/////////////////////////////////////////////////////////////////////////////
// Command Queue:
//
// Keep track of depth of Queue to generate full flag.
//
// Also generate valid to mark pressence of commands in Queue.
//
// Maintain Queue and extract data from currently searched entry.
//
/////////////////////////////////////////////////////////////////////////////
// SRL FIFO Pointer.
always @ (posedge ACLK) begin
if (ARESET) begin
addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
// Pushing data increase length/addr.
addr_ptr <= addr_ptr + 1;
end else if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
addr_ptr <= collapsed_addr_ptr;
end
end
end
// FIFO Flags.
always @ (posedge ACLK) begin
if (ARESET) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= 1'b0;
end else begin
if ( cmd_b_push & ~cmd_b_ready_i ) begin
cmd_b_full <= ( addr_ptr == C_FIFO_DEPTH-3 );
cmd_b_valid <= 1'b1;
end else if ( ~cmd_b_push & cmd_b_ready_i ) begin
cmd_b_full <= 1'b0;
cmd_b_valid <= ( collapsed_addr_ptr != C_FIFO_DEPTH-1 );
end
end
end
// Infere SRL for storage.
always @ (posedge ACLK) begin
if ( cmd_b_push ) begin
for (index = 0; index < C_FIFO_DEPTH-1 ; index = index + 1) begin
data_srl[index+1] <= data_srl[index];
end
data_srl[0] <= {cmd_b_error, cmd_b_id};
end
end
// Get current transaction info.
assign {inject_error, current_id} = data_srl[search_addr_ptr];
// Assign outputs.
assign cmd_b_addr = collapsed_addr_ptr;
/////////////////////////////////////////////////////////////////////////////
// Search Command Queue:
//
// Search for matching valid command in queue.
//
// A command is found when an valid entry with correct ID is found. The queue
// is search from the oldest entry, i.e. from a high value.
// When new commands are pushed the search address has to be updated to always
// start the search from the oldest available.
//
/////////////////////////////////////////////////////////////////////////////
// Handle search addr.
always @ (posedge ACLK) begin
if (ARESET) begin
search_addr_ptr <= {C_FIFO_DEPTH_LOG{1'b1}};
end else begin
if ( cmd_b_ready_i ) begin
// Collapse addr when data is popped.
search_addr_ptr <= collapsed_addr_ptr;
end else if ( M_AXI_BVALID_I & cmd_b_valid & ~found_match & ~cmd_b_push ) begin
// Skip non valid command.
search_addr_ptr <= search_addr_ptr - 1;
end else if ( cmd_b_push ) begin
search_addr_ptr <= search_addr_ptr + 1;
end
end
end
// Check if searched command is valid and match ID (for existing response on MI side).
assign matching_id = ( M_AXI_BID_I == current_id );
assign found_match = valid_cmd[search_addr_ptr] & matching_id & M_AXI_BVALID_I;
assign use_match = found_match & S_AXI_BREADY;
/////////////////////////////////////////////////////////////////////////////
// Track Used Commands:
//
// Actions that affect Valid Command:
// * When a new command is pushed
// => Shift valid vector one step
// * When a command is used
// => Clear corresponding valid bit
//
/////////////////////////////////////////////////////////////////////////////
// Valid command status is updated when a command is used or a new one is pushed.
assign write_valid_cmd = cmd_b_push | cmd_b_ready_i;
// Update the used command valid bit.
always @ *
begin
updated_valid_cmd = valid_cmd;
updated_valid_cmd[search_addr_ptr] = ~use_match;
end
// Shift valid vector when command is pushed.
always @ *
begin
if ( cmd_b_push ) begin
next_valid_cmd = {updated_valid_cmd[C_FIFO_DEPTH-3:0], 1'b1};
end else begin
next_valid_cmd = updated_valid_cmd;
end
end
// Valid signals for next cycle.
always @ (posedge ACLK) begin
if (ARESET) begin
valid_cmd <= {C_FIFO_WIDTH{1'b0}};
end else if ( write_valid_cmd ) begin
valid_cmd <= next_valid_cmd;
end
end
// Detect oldest available command in Queue.
always @ *
begin
// Default to empty.
collapsed_addr_ptr = {C_FIFO_DEPTH_LOG{1'b1}};
for (index = 0; index < C_FIFO_DEPTH-2 ; index = index + 1) begin
if ( next_valid_cmd[index] ) begin
collapsed_addr_ptr = index;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Pipe incoming data:
//
// The B channel is piped to improve timing and avoid impact in search
// mechanism due to late arriving signals.
//
/////////////////////////////////////////////////////////////////////////////
// Clock data.
always @ (posedge ACLK) begin
if (ARESET) begin
M_AXI_BID_I <= {C_AXI_ID_WIDTH{1'b0}};
M_AXI_BRESP_I <= 2'b00;
M_AXI_BUSER_I <= {C_AXI_BUSER_WIDTH{1'b0}};
M_AXI_BVALID_I <= 1'b0;
end else begin
if ( M_AXI_BREADY_I | ~M_AXI_BVALID_I ) begin
M_AXI_BVALID_I <= 1'b0;
end
if (M_AXI_BVALID & ( M_AXI_BREADY_I | ~M_AXI_BVALID_I) ) begin
M_AXI_BID_I <= M_AXI_BID;
M_AXI_BRESP_I <= M_AXI_BRESP;
M_AXI_BUSER_I <= M_AXI_BUSER;
M_AXI_BVALID_I <= 1'b1;
end
end
end
// Generate ready to get new transaction.
assign M_AXI_BREADY = M_AXI_BREADY_I | ~M_AXI_BVALID_I;
/////////////////////////////////////////////////////////////////////////////
// Inject Error:
//
// BRESP is modified according to command information.
//
/////////////////////////////////////////////////////////////////////////////
// Inject error in response.
always @ *
begin
if ( inject_error ) begin
S_AXI_BRESP = C_RESP_SLVERROR;
end else begin
S_AXI_BRESP = M_AXI_BRESP_I;
end
end
// Handle interrupt generation.
always @ (posedge ACLK) begin
if (ARESET) begin
ERROR_TRIGGER <= 1'b0;
ERROR_TRANSACTION_ID <= {C_AXI_ID_WIDTH{1'b0}};
end else begin
if ( inject_error & cmd_b_ready_i ) begin
ERROR_TRIGGER <= 1'b1;
ERROR_TRANSACTION_ID <= M_AXI_BID_I;
end else begin
ERROR_TRIGGER <= 1'b0;
end
end
end
/////////////////////////////////////////////////////////////////////////////
// Transaction Throttling:
//
// Response is passed forward when a matching entry has been found in queue.
// Both ready and valid are set when the command is completed.
//
/////////////////////////////////////////////////////////////////////////////
// Propagate masked valid.
assign S_AXI_BVALID = M_AXI_BVALID_I & cmd_b_valid & found_match;
// Return ready with push back.
assign M_AXI_BREADY_I = cmd_b_valid & use_match;
// Command has been handled.
assign cmd_b_ready_i = M_AXI_BVALID_I & cmd_b_valid & use_match;
assign cmd_b_ready = cmd_b_ready_i;
/////////////////////////////////////////////////////////////////////////////
// Write Response Propagation:
//
// All information is simply forwarded on from MI- to SI-Side untouched.
//
/////////////////////////////////////////////////////////////////////////////
// 1:1 mapping.
assign S_AXI_BID = M_AXI_BID_I;
assign S_AXI_BUSER = M_AXI_BUSER_I;
endmodule
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 03/17/2016 05:20:59 PM
// Design Name:
// Module Name: Priority_Codec_32
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module Priority_Codec_32(
input wire [25:0] Data_Dec_i,
output reg [4:0] Data_Bin_o
);
always @(Data_Dec_i)
begin
if(~Data_Dec_i[25]) begin Data_Bin_o = 5'b00000;//0
end else if(~Data_Dec_i[24]) begin Data_Bin_o = 5'b00001;//1
end else if(~Data_Dec_i[23]) begin Data_Bin_o = 5'b00010;//2
end else if(~Data_Dec_i[22]) begin Data_Bin_o = 5'b00011;//3
end else if(~Data_Dec_i[21]) begin Data_Bin_o = 5'b00100;//4
end else if(~Data_Dec_i[20]) begin Data_Bin_o = 5'b00101;//5
end else if(~Data_Dec_i[19]) begin Data_Bin_o = 5'b00110;//6
end else if(~Data_Dec_i[18]) begin Data_Bin_o = 5'b00111;//7
end else if(~Data_Dec_i[17]) begin Data_Bin_o = 5'b01000;//8
end else if(~Data_Dec_i[16]) begin Data_Bin_o = 5'b01001;//9
end else if(~Data_Dec_i[15]) begin Data_Bin_o = 5'b01010;//10
end else if(~Data_Dec_i[14]) begin Data_Bin_o = 5'b01011;//11
end else if(~Data_Dec_i[13]) begin Data_Bin_o = 5'b01100;//12
end else if(~Data_Dec_i[12]) begin Data_Bin_o = 5'b01101;//13
end else if(~Data_Dec_i[11]) begin Data_Bin_o = 5'b01110;//14
end else if(~Data_Dec_i[10]) begin Data_Bin_o = 5'b01111;//15
end else if(~Data_Dec_i[9]) begin Data_Bin_o = 5'b10000;//16
end else if(~Data_Dec_i[8]) begin Data_Bin_o = 5'b10001;//17
end else if(~Data_Dec_i[7]) begin Data_Bin_o = 5'b10010;//18
end else if(~Data_Dec_i[6]) begin Data_Bin_o = 5'b10011;//19
end else if(~Data_Dec_i[5]) begin Data_Bin_o = 5'b10100;//20
end else if(~Data_Dec_i[4]) begin Data_Bin_o = 5'b10101;//21
end else if(~Data_Dec_i[3]) begin Data_Bin_o = 5'b10110;//22
end else if(~Data_Dec_i[2]) begin Data_Bin_o = 5'b10111;//23
end else if(~Data_Dec_i[1]) begin Data_Bin_o = 5'b11000;//24
end else if(~Data_Dec_i[0]) begin Data_Bin_o = 5'b10101;//25
end
else Data_Bin_o = 5'b00000;//zero value
end
endmodule
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 03/17/2016 05:20:59 PM
// Design Name:
// Module Name: Priority_Codec_32
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module Priority_Codec_32(
input wire [25:0] Data_Dec_i,
output reg [4:0] Data_Bin_o
);
always @(Data_Dec_i)
begin
if(~Data_Dec_i[25]) begin Data_Bin_o = 5'b00000;//0
end else if(~Data_Dec_i[24]) begin Data_Bin_o = 5'b00001;//1
end else if(~Data_Dec_i[23]) begin Data_Bin_o = 5'b00010;//2
end else if(~Data_Dec_i[22]) begin Data_Bin_o = 5'b00011;//3
end else if(~Data_Dec_i[21]) begin Data_Bin_o = 5'b00100;//4
end else if(~Data_Dec_i[20]) begin Data_Bin_o = 5'b00101;//5
end else if(~Data_Dec_i[19]) begin Data_Bin_o = 5'b00110;//6
end else if(~Data_Dec_i[18]) begin Data_Bin_o = 5'b00111;//7
end else if(~Data_Dec_i[17]) begin Data_Bin_o = 5'b01000;//8
end else if(~Data_Dec_i[16]) begin Data_Bin_o = 5'b01001;//9
end else if(~Data_Dec_i[15]) begin Data_Bin_o = 5'b01010;//10
end else if(~Data_Dec_i[14]) begin Data_Bin_o = 5'b01011;//11
end else if(~Data_Dec_i[13]) begin Data_Bin_o = 5'b01100;//12
end else if(~Data_Dec_i[12]) begin Data_Bin_o = 5'b01101;//13
end else if(~Data_Dec_i[11]) begin Data_Bin_o = 5'b01110;//14
end else if(~Data_Dec_i[10]) begin Data_Bin_o = 5'b01111;//15
end else if(~Data_Dec_i[9]) begin Data_Bin_o = 5'b10000;//16
end else if(~Data_Dec_i[8]) begin Data_Bin_o = 5'b10001;//17
end else if(~Data_Dec_i[7]) begin Data_Bin_o = 5'b10010;//18
end else if(~Data_Dec_i[6]) begin Data_Bin_o = 5'b10011;//19
end else if(~Data_Dec_i[5]) begin Data_Bin_o = 5'b10100;//20
end else if(~Data_Dec_i[4]) begin Data_Bin_o = 5'b10101;//21
end else if(~Data_Dec_i[3]) begin Data_Bin_o = 5'b10110;//22
end else if(~Data_Dec_i[2]) begin Data_Bin_o = 5'b10111;//23
end else if(~Data_Dec_i[1]) begin Data_Bin_o = 5'b11000;//24
end else if(~Data_Dec_i[0]) begin Data_Bin_o = 5'b10101;//25
end
else Data_Bin_o = 5'b00000;//zero value
end
endmodule
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 22:40:46 12/20/2010
// Design Name:
// Module Name: clk_test
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module clk_test(
input clk,
input sysclk,
output [31:0] snes_sysclk_freq
);
reg [31:0] snes_sysclk_freq_r;
assign snes_sysclk_freq = snes_sysclk_freq_r;
reg [31:0] sysclk_counter;
reg [31:0] sysclk_value;
initial snes_sysclk_freq_r = 32'hFFFFFFFF;
initial sysclk_counter = 0;
initial sysclk_value = 0;
reg [1:0] sysclk_sreg;
always @(posedge clk) sysclk_sreg <= {sysclk_sreg[0], sysclk};
wire sysclk_rising = (sysclk_sreg == 2'b01);
always @(posedge clk) begin
if(sysclk_counter < 96000000) begin
sysclk_counter <= sysclk_counter + 1;
if(sysclk_rising) sysclk_value <= sysclk_value + 1;
end else begin
snes_sysclk_freq_r <= sysclk_value;
sysclk_counter <= 0;
sysclk_value <= 0;
end
end
endmodule
|
// (c) Copyright 2012 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// axi to vector
// A generic module to merge all axi signals into one signal called payload.
// This is strictly wires, so no clk, reset, aclken, valid/ready are required.
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
`timescale 1ps/1ps
`default_nettype none
(* DowngradeIPIdentifiedWarnings="yes" *)
module axi_infrastructure_v1_1_vector2axi #
(
///////////////////////////////////////////////////////////////////////////////
// Parameter Definitions
///////////////////////////////////////////////////////////////////////////////
parameter integer C_AXI_PROTOCOL = 0,
parameter integer C_AXI_ID_WIDTH = 4,
parameter integer C_AXI_ADDR_WIDTH = 32,
parameter integer C_AXI_DATA_WIDTH = 32,
parameter integer C_AXI_SUPPORTS_USER_SIGNALS = 0,
parameter integer C_AXI_SUPPORTS_REGION_SIGNALS = 0,
parameter integer C_AXI_AWUSER_WIDTH = 1,
parameter integer C_AXI_WUSER_WIDTH = 1,
parameter integer C_AXI_BUSER_WIDTH = 1,
parameter integer C_AXI_ARUSER_WIDTH = 1,
parameter integer C_AXI_RUSER_WIDTH = 1,
parameter integer C_AWPAYLOAD_WIDTH = 61,
parameter integer C_WPAYLOAD_WIDTH = 73,
parameter integer C_BPAYLOAD_WIDTH = 6,
parameter integer C_ARPAYLOAD_WIDTH = 61,
parameter integer C_RPAYLOAD_WIDTH = 69
)
(
///////////////////////////////////////////////////////////////////////////////
// Port Declarations
///////////////////////////////////////////////////////////////////////////////
// Slave Interface Write Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_awid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_awaddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_awlen,
output wire [3-1:0] m_axi_awsize,
output wire [2-1:0] m_axi_awburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_awlock,
output wire [4-1:0] m_axi_awcache,
output wire [3-1:0] m_axi_awprot,
output wire [4-1:0] m_axi_awregion,
output wire [4-1:0] m_axi_awqos,
output wire [C_AXI_AWUSER_WIDTH-1:0] m_axi_awuser,
// Slave Interface Write Data Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_wid,
output wire [C_AXI_DATA_WIDTH-1:0] m_axi_wdata,
output wire [C_AXI_DATA_WIDTH/8-1:0] m_axi_wstrb,
output wire m_axi_wlast,
output wire [C_AXI_WUSER_WIDTH-1:0] m_axi_wuser,
// Slave Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_bid,
input wire [2-1:0] m_axi_bresp,
input wire [C_AXI_BUSER_WIDTH-1:0] m_axi_buser,
// Slave Interface Read Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_arid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_araddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_arlen,
output wire [3-1:0] m_axi_arsize,
output wire [2-1:0] m_axi_arburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_arlock,
output wire [4-1:0] m_axi_arcache,
output wire [3-1:0] m_axi_arprot,
output wire [4-1:0] m_axi_arregion,
output wire [4-1:0] m_axi_arqos,
output wire [C_AXI_ARUSER_WIDTH-1:0] m_axi_aruser,
// Slave Interface Read Data Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_rid,
input wire [C_AXI_DATA_WIDTH-1:0] m_axi_rdata,
input wire [2-1:0] m_axi_rresp,
input wire m_axi_rlast,
input wire [C_AXI_RUSER_WIDTH-1:0] m_axi_ruser,
// payloads
input wire [C_AWPAYLOAD_WIDTH-1:0] m_awpayload,
input wire [C_WPAYLOAD_WIDTH-1:0] m_wpayload,
output wire [C_BPAYLOAD_WIDTH-1:0] m_bpayload,
input wire [C_ARPAYLOAD_WIDTH-1:0] m_arpayload,
output wire [C_RPAYLOAD_WIDTH-1:0] m_rpayload
);
////////////////////////////////////////////////////////////////////////////////
// Functions
////////////////////////////////////////////////////////////////////////////////
`include "axi_infrastructure_v1_1_header.vh"
////////////////////////////////////////////////////////////////////////////////
// Local parameters
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Wires/Reg declarations
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// BEGIN RTL
////////////////////////////////////////////////////////////////////////////////
// AXI4, AXI4LITE, AXI3 packing
assign m_axi_awaddr = m_awpayload[G_AXI_AWADDR_INDEX+:G_AXI_AWADDR_WIDTH];
assign m_axi_awprot = m_awpayload[G_AXI_AWPROT_INDEX+:G_AXI_AWPROT_WIDTH];
assign m_axi_wdata = m_wpayload[G_AXI_WDATA_INDEX+:G_AXI_WDATA_WIDTH];
assign m_axi_wstrb = m_wpayload[G_AXI_WSTRB_INDEX+:G_AXI_WSTRB_WIDTH];
assign m_bpayload[G_AXI_BRESP_INDEX+:G_AXI_BRESP_WIDTH] = m_axi_bresp;
assign m_axi_araddr = m_arpayload[G_AXI_ARADDR_INDEX+:G_AXI_ARADDR_WIDTH];
assign m_axi_arprot = m_arpayload[G_AXI_ARPROT_INDEX+:G_AXI_ARPROT_WIDTH];
assign m_rpayload[G_AXI_RDATA_INDEX+:G_AXI_RDATA_WIDTH] = m_axi_rdata;
assign m_rpayload[G_AXI_RRESP_INDEX+:G_AXI_RRESP_WIDTH] = m_axi_rresp;
generate
if (C_AXI_PROTOCOL == 0 || C_AXI_PROTOCOL == 1) begin : gen_axi4_or_axi3_packing
assign m_axi_awsize = m_awpayload[G_AXI_AWSIZE_INDEX+:G_AXI_AWSIZE_WIDTH] ;
assign m_axi_awburst = m_awpayload[G_AXI_AWBURST_INDEX+:G_AXI_AWBURST_WIDTH];
assign m_axi_awcache = m_awpayload[G_AXI_AWCACHE_INDEX+:G_AXI_AWCACHE_WIDTH];
assign m_axi_awlen = m_awpayload[G_AXI_AWLEN_INDEX+:G_AXI_AWLEN_WIDTH] ;
assign m_axi_awlock = m_awpayload[G_AXI_AWLOCK_INDEX+:G_AXI_AWLOCK_WIDTH] ;
assign m_axi_awid = m_awpayload[G_AXI_AWID_INDEX+:G_AXI_AWID_WIDTH] ;
assign m_axi_awqos = m_awpayload[G_AXI_AWQOS_INDEX+:G_AXI_AWQOS_WIDTH] ;
assign m_axi_wlast = m_wpayload[G_AXI_WLAST_INDEX+:G_AXI_WLAST_WIDTH] ;
if (C_AXI_PROTOCOL == 1) begin : gen_axi3_wid_packing
assign m_axi_wid = m_wpayload[G_AXI_WID_INDEX+:G_AXI_WID_WIDTH] ;
end
else begin : gen_no_axi3_wid_packing
assign m_axi_wid = 1'b0;
end
assign m_bpayload[G_AXI_BID_INDEX+:G_AXI_BID_WIDTH] = m_axi_bid;
assign m_axi_arsize = m_arpayload[G_AXI_ARSIZE_INDEX+:G_AXI_ARSIZE_WIDTH] ;
assign m_axi_arburst = m_arpayload[G_AXI_ARBURST_INDEX+:G_AXI_ARBURST_WIDTH];
assign m_axi_arcache = m_arpayload[G_AXI_ARCACHE_INDEX+:G_AXI_ARCACHE_WIDTH];
assign m_axi_arlen = m_arpayload[G_AXI_ARLEN_INDEX+:G_AXI_ARLEN_WIDTH] ;
assign m_axi_arlock = m_arpayload[G_AXI_ARLOCK_INDEX+:G_AXI_ARLOCK_WIDTH] ;
assign m_axi_arid = m_arpayload[G_AXI_ARID_INDEX+:G_AXI_ARID_WIDTH] ;
assign m_axi_arqos = m_arpayload[G_AXI_ARQOS_INDEX+:G_AXI_ARQOS_WIDTH] ;
assign m_rpayload[G_AXI_RLAST_INDEX+:G_AXI_RLAST_WIDTH] = m_axi_rlast;
assign m_rpayload[G_AXI_RID_INDEX+:G_AXI_RID_WIDTH] = m_axi_rid ;
if (C_AXI_SUPPORTS_REGION_SIGNALS == 1 && G_AXI_AWREGION_WIDTH > 0) begin : gen_region_signals
assign m_axi_awregion = m_awpayload[G_AXI_AWREGION_INDEX+:G_AXI_AWREGION_WIDTH];
assign m_axi_arregion = m_arpayload[G_AXI_ARREGION_INDEX+:G_AXI_ARREGION_WIDTH];
end
else begin : gen_no_region_signals
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
end
if (C_AXI_SUPPORTS_USER_SIGNALS == 1 && C_AXI_PROTOCOL != 2) begin : gen_user_signals
assign m_axi_awuser = m_awpayload[G_AXI_AWUSER_INDEX+:G_AXI_AWUSER_WIDTH];
assign m_axi_wuser = m_wpayload[G_AXI_WUSER_INDEX+:G_AXI_WUSER_WIDTH] ;
assign m_bpayload[G_AXI_BUSER_INDEX+:G_AXI_BUSER_WIDTH] = m_axi_buser ;
assign m_axi_aruser = m_arpayload[G_AXI_ARUSER_INDEX+:G_AXI_ARUSER_WIDTH];
assign m_rpayload[G_AXI_RUSER_INDEX+:G_AXI_RUSER_WIDTH] = m_axi_ruser ;
end
else begin : gen_no_user_signals
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
end
else begin : gen_axi4lite_packing
assign m_axi_awsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_awburst = 'b0;
assign m_axi_awcache = 'b0;
assign m_axi_awlen = 'b0;
assign m_axi_awlock = 'b0;
assign m_axi_awid = 'b0;
assign m_axi_awqos = 'b0;
assign m_axi_wlast = 1'b1;
assign m_axi_wid = 'b0;
assign m_axi_arsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_arburst = 'b0;
assign m_axi_arcache = 'b0;
assign m_axi_arlen = 'b0;
assign m_axi_arlock = 'b0;
assign m_axi_arid = 'b0;
assign m_axi_arqos = 'b0;
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
endgenerate
endmodule
`default_nettype wire
|
// (c) Copyright 2012 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// axi to vector
// A generic module to merge all axi signals into one signal called payload.
// This is strictly wires, so no clk, reset, aclken, valid/ready are required.
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
`timescale 1ps/1ps
`default_nettype none
(* DowngradeIPIdentifiedWarnings="yes" *)
module axi_infrastructure_v1_1_vector2axi #
(
///////////////////////////////////////////////////////////////////////////////
// Parameter Definitions
///////////////////////////////////////////////////////////////////////////////
parameter integer C_AXI_PROTOCOL = 0,
parameter integer C_AXI_ID_WIDTH = 4,
parameter integer C_AXI_ADDR_WIDTH = 32,
parameter integer C_AXI_DATA_WIDTH = 32,
parameter integer C_AXI_SUPPORTS_USER_SIGNALS = 0,
parameter integer C_AXI_SUPPORTS_REGION_SIGNALS = 0,
parameter integer C_AXI_AWUSER_WIDTH = 1,
parameter integer C_AXI_WUSER_WIDTH = 1,
parameter integer C_AXI_BUSER_WIDTH = 1,
parameter integer C_AXI_ARUSER_WIDTH = 1,
parameter integer C_AXI_RUSER_WIDTH = 1,
parameter integer C_AWPAYLOAD_WIDTH = 61,
parameter integer C_WPAYLOAD_WIDTH = 73,
parameter integer C_BPAYLOAD_WIDTH = 6,
parameter integer C_ARPAYLOAD_WIDTH = 61,
parameter integer C_RPAYLOAD_WIDTH = 69
)
(
///////////////////////////////////////////////////////////////////////////////
// Port Declarations
///////////////////////////////////////////////////////////////////////////////
// Slave Interface Write Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_awid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_awaddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_awlen,
output wire [3-1:0] m_axi_awsize,
output wire [2-1:0] m_axi_awburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_awlock,
output wire [4-1:0] m_axi_awcache,
output wire [3-1:0] m_axi_awprot,
output wire [4-1:0] m_axi_awregion,
output wire [4-1:0] m_axi_awqos,
output wire [C_AXI_AWUSER_WIDTH-1:0] m_axi_awuser,
// Slave Interface Write Data Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_wid,
output wire [C_AXI_DATA_WIDTH-1:0] m_axi_wdata,
output wire [C_AXI_DATA_WIDTH/8-1:0] m_axi_wstrb,
output wire m_axi_wlast,
output wire [C_AXI_WUSER_WIDTH-1:0] m_axi_wuser,
// Slave Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_bid,
input wire [2-1:0] m_axi_bresp,
input wire [C_AXI_BUSER_WIDTH-1:0] m_axi_buser,
// Slave Interface Read Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_arid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_araddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_arlen,
output wire [3-1:0] m_axi_arsize,
output wire [2-1:0] m_axi_arburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_arlock,
output wire [4-1:0] m_axi_arcache,
output wire [3-1:0] m_axi_arprot,
output wire [4-1:0] m_axi_arregion,
output wire [4-1:0] m_axi_arqos,
output wire [C_AXI_ARUSER_WIDTH-1:0] m_axi_aruser,
// Slave Interface Read Data Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_rid,
input wire [C_AXI_DATA_WIDTH-1:0] m_axi_rdata,
input wire [2-1:0] m_axi_rresp,
input wire m_axi_rlast,
input wire [C_AXI_RUSER_WIDTH-1:0] m_axi_ruser,
// payloads
input wire [C_AWPAYLOAD_WIDTH-1:0] m_awpayload,
input wire [C_WPAYLOAD_WIDTH-1:0] m_wpayload,
output wire [C_BPAYLOAD_WIDTH-1:0] m_bpayload,
input wire [C_ARPAYLOAD_WIDTH-1:0] m_arpayload,
output wire [C_RPAYLOAD_WIDTH-1:0] m_rpayload
);
////////////////////////////////////////////////////////////////////////////////
// Functions
////////////////////////////////////////////////////////////////////////////////
`include "axi_infrastructure_v1_1_header.vh"
////////////////////////////////////////////////////////////////////////////////
// Local parameters
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Wires/Reg declarations
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// BEGIN RTL
////////////////////////////////////////////////////////////////////////////////
// AXI4, AXI4LITE, AXI3 packing
assign m_axi_awaddr = m_awpayload[G_AXI_AWADDR_INDEX+:G_AXI_AWADDR_WIDTH];
assign m_axi_awprot = m_awpayload[G_AXI_AWPROT_INDEX+:G_AXI_AWPROT_WIDTH];
assign m_axi_wdata = m_wpayload[G_AXI_WDATA_INDEX+:G_AXI_WDATA_WIDTH];
assign m_axi_wstrb = m_wpayload[G_AXI_WSTRB_INDEX+:G_AXI_WSTRB_WIDTH];
assign m_bpayload[G_AXI_BRESP_INDEX+:G_AXI_BRESP_WIDTH] = m_axi_bresp;
assign m_axi_araddr = m_arpayload[G_AXI_ARADDR_INDEX+:G_AXI_ARADDR_WIDTH];
assign m_axi_arprot = m_arpayload[G_AXI_ARPROT_INDEX+:G_AXI_ARPROT_WIDTH];
assign m_rpayload[G_AXI_RDATA_INDEX+:G_AXI_RDATA_WIDTH] = m_axi_rdata;
assign m_rpayload[G_AXI_RRESP_INDEX+:G_AXI_RRESP_WIDTH] = m_axi_rresp;
generate
if (C_AXI_PROTOCOL == 0 || C_AXI_PROTOCOL == 1) begin : gen_axi4_or_axi3_packing
assign m_axi_awsize = m_awpayload[G_AXI_AWSIZE_INDEX+:G_AXI_AWSIZE_WIDTH] ;
assign m_axi_awburst = m_awpayload[G_AXI_AWBURST_INDEX+:G_AXI_AWBURST_WIDTH];
assign m_axi_awcache = m_awpayload[G_AXI_AWCACHE_INDEX+:G_AXI_AWCACHE_WIDTH];
assign m_axi_awlen = m_awpayload[G_AXI_AWLEN_INDEX+:G_AXI_AWLEN_WIDTH] ;
assign m_axi_awlock = m_awpayload[G_AXI_AWLOCK_INDEX+:G_AXI_AWLOCK_WIDTH] ;
assign m_axi_awid = m_awpayload[G_AXI_AWID_INDEX+:G_AXI_AWID_WIDTH] ;
assign m_axi_awqos = m_awpayload[G_AXI_AWQOS_INDEX+:G_AXI_AWQOS_WIDTH] ;
assign m_axi_wlast = m_wpayload[G_AXI_WLAST_INDEX+:G_AXI_WLAST_WIDTH] ;
if (C_AXI_PROTOCOL == 1) begin : gen_axi3_wid_packing
assign m_axi_wid = m_wpayload[G_AXI_WID_INDEX+:G_AXI_WID_WIDTH] ;
end
else begin : gen_no_axi3_wid_packing
assign m_axi_wid = 1'b0;
end
assign m_bpayload[G_AXI_BID_INDEX+:G_AXI_BID_WIDTH] = m_axi_bid;
assign m_axi_arsize = m_arpayload[G_AXI_ARSIZE_INDEX+:G_AXI_ARSIZE_WIDTH] ;
assign m_axi_arburst = m_arpayload[G_AXI_ARBURST_INDEX+:G_AXI_ARBURST_WIDTH];
assign m_axi_arcache = m_arpayload[G_AXI_ARCACHE_INDEX+:G_AXI_ARCACHE_WIDTH];
assign m_axi_arlen = m_arpayload[G_AXI_ARLEN_INDEX+:G_AXI_ARLEN_WIDTH] ;
assign m_axi_arlock = m_arpayload[G_AXI_ARLOCK_INDEX+:G_AXI_ARLOCK_WIDTH] ;
assign m_axi_arid = m_arpayload[G_AXI_ARID_INDEX+:G_AXI_ARID_WIDTH] ;
assign m_axi_arqos = m_arpayload[G_AXI_ARQOS_INDEX+:G_AXI_ARQOS_WIDTH] ;
assign m_rpayload[G_AXI_RLAST_INDEX+:G_AXI_RLAST_WIDTH] = m_axi_rlast;
assign m_rpayload[G_AXI_RID_INDEX+:G_AXI_RID_WIDTH] = m_axi_rid ;
if (C_AXI_SUPPORTS_REGION_SIGNALS == 1 && G_AXI_AWREGION_WIDTH > 0) begin : gen_region_signals
assign m_axi_awregion = m_awpayload[G_AXI_AWREGION_INDEX+:G_AXI_AWREGION_WIDTH];
assign m_axi_arregion = m_arpayload[G_AXI_ARREGION_INDEX+:G_AXI_ARREGION_WIDTH];
end
else begin : gen_no_region_signals
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
end
if (C_AXI_SUPPORTS_USER_SIGNALS == 1 && C_AXI_PROTOCOL != 2) begin : gen_user_signals
assign m_axi_awuser = m_awpayload[G_AXI_AWUSER_INDEX+:G_AXI_AWUSER_WIDTH];
assign m_axi_wuser = m_wpayload[G_AXI_WUSER_INDEX+:G_AXI_WUSER_WIDTH] ;
assign m_bpayload[G_AXI_BUSER_INDEX+:G_AXI_BUSER_WIDTH] = m_axi_buser ;
assign m_axi_aruser = m_arpayload[G_AXI_ARUSER_INDEX+:G_AXI_ARUSER_WIDTH];
assign m_rpayload[G_AXI_RUSER_INDEX+:G_AXI_RUSER_WIDTH] = m_axi_ruser ;
end
else begin : gen_no_user_signals
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
end
else begin : gen_axi4lite_packing
assign m_axi_awsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_awburst = 'b0;
assign m_axi_awcache = 'b0;
assign m_axi_awlen = 'b0;
assign m_axi_awlock = 'b0;
assign m_axi_awid = 'b0;
assign m_axi_awqos = 'b0;
assign m_axi_wlast = 1'b1;
assign m_axi_wid = 'b0;
assign m_axi_arsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_arburst = 'b0;
assign m_axi_arcache = 'b0;
assign m_axi_arlen = 'b0;
assign m_axi_arlock = 'b0;
assign m_axi_arid = 'b0;
assign m_axi_arqos = 'b0;
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
endgenerate
endmodule
`default_nettype wire
|
// (c) Copyright 2012 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// axi to vector
// A generic module to merge all axi signals into one signal called payload.
// This is strictly wires, so no clk, reset, aclken, valid/ready are required.
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
`timescale 1ps/1ps
`default_nettype none
(* DowngradeIPIdentifiedWarnings="yes" *)
module axi_infrastructure_v1_1_vector2axi #
(
///////////////////////////////////////////////////////////////////////////////
// Parameter Definitions
///////////////////////////////////////////////////////////////////////////////
parameter integer C_AXI_PROTOCOL = 0,
parameter integer C_AXI_ID_WIDTH = 4,
parameter integer C_AXI_ADDR_WIDTH = 32,
parameter integer C_AXI_DATA_WIDTH = 32,
parameter integer C_AXI_SUPPORTS_USER_SIGNALS = 0,
parameter integer C_AXI_SUPPORTS_REGION_SIGNALS = 0,
parameter integer C_AXI_AWUSER_WIDTH = 1,
parameter integer C_AXI_WUSER_WIDTH = 1,
parameter integer C_AXI_BUSER_WIDTH = 1,
parameter integer C_AXI_ARUSER_WIDTH = 1,
parameter integer C_AXI_RUSER_WIDTH = 1,
parameter integer C_AWPAYLOAD_WIDTH = 61,
parameter integer C_WPAYLOAD_WIDTH = 73,
parameter integer C_BPAYLOAD_WIDTH = 6,
parameter integer C_ARPAYLOAD_WIDTH = 61,
parameter integer C_RPAYLOAD_WIDTH = 69
)
(
///////////////////////////////////////////////////////////////////////////////
// Port Declarations
///////////////////////////////////////////////////////////////////////////////
// Slave Interface Write Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_awid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_awaddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_awlen,
output wire [3-1:0] m_axi_awsize,
output wire [2-1:0] m_axi_awburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_awlock,
output wire [4-1:0] m_axi_awcache,
output wire [3-1:0] m_axi_awprot,
output wire [4-1:0] m_axi_awregion,
output wire [4-1:0] m_axi_awqos,
output wire [C_AXI_AWUSER_WIDTH-1:0] m_axi_awuser,
// Slave Interface Write Data Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_wid,
output wire [C_AXI_DATA_WIDTH-1:0] m_axi_wdata,
output wire [C_AXI_DATA_WIDTH/8-1:0] m_axi_wstrb,
output wire m_axi_wlast,
output wire [C_AXI_WUSER_WIDTH-1:0] m_axi_wuser,
// Slave Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_bid,
input wire [2-1:0] m_axi_bresp,
input wire [C_AXI_BUSER_WIDTH-1:0] m_axi_buser,
// Slave Interface Read Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_arid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_araddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_arlen,
output wire [3-1:0] m_axi_arsize,
output wire [2-1:0] m_axi_arburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_arlock,
output wire [4-1:0] m_axi_arcache,
output wire [3-1:0] m_axi_arprot,
output wire [4-1:0] m_axi_arregion,
output wire [4-1:0] m_axi_arqos,
output wire [C_AXI_ARUSER_WIDTH-1:0] m_axi_aruser,
// Slave Interface Read Data Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_rid,
input wire [C_AXI_DATA_WIDTH-1:0] m_axi_rdata,
input wire [2-1:0] m_axi_rresp,
input wire m_axi_rlast,
input wire [C_AXI_RUSER_WIDTH-1:0] m_axi_ruser,
// payloads
input wire [C_AWPAYLOAD_WIDTH-1:0] m_awpayload,
input wire [C_WPAYLOAD_WIDTH-1:0] m_wpayload,
output wire [C_BPAYLOAD_WIDTH-1:0] m_bpayload,
input wire [C_ARPAYLOAD_WIDTH-1:0] m_arpayload,
output wire [C_RPAYLOAD_WIDTH-1:0] m_rpayload
);
////////////////////////////////////////////////////////////////////////////////
// Functions
////////////////////////////////////////////////////////////////////////////////
`include "axi_infrastructure_v1_1_header.vh"
////////////////////////////////////////////////////////////////////////////////
// Local parameters
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Wires/Reg declarations
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// BEGIN RTL
////////////////////////////////////////////////////////////////////////////////
// AXI4, AXI4LITE, AXI3 packing
assign m_axi_awaddr = m_awpayload[G_AXI_AWADDR_INDEX+:G_AXI_AWADDR_WIDTH];
assign m_axi_awprot = m_awpayload[G_AXI_AWPROT_INDEX+:G_AXI_AWPROT_WIDTH];
assign m_axi_wdata = m_wpayload[G_AXI_WDATA_INDEX+:G_AXI_WDATA_WIDTH];
assign m_axi_wstrb = m_wpayload[G_AXI_WSTRB_INDEX+:G_AXI_WSTRB_WIDTH];
assign m_bpayload[G_AXI_BRESP_INDEX+:G_AXI_BRESP_WIDTH] = m_axi_bresp;
assign m_axi_araddr = m_arpayload[G_AXI_ARADDR_INDEX+:G_AXI_ARADDR_WIDTH];
assign m_axi_arprot = m_arpayload[G_AXI_ARPROT_INDEX+:G_AXI_ARPROT_WIDTH];
assign m_rpayload[G_AXI_RDATA_INDEX+:G_AXI_RDATA_WIDTH] = m_axi_rdata;
assign m_rpayload[G_AXI_RRESP_INDEX+:G_AXI_RRESP_WIDTH] = m_axi_rresp;
generate
if (C_AXI_PROTOCOL == 0 || C_AXI_PROTOCOL == 1) begin : gen_axi4_or_axi3_packing
assign m_axi_awsize = m_awpayload[G_AXI_AWSIZE_INDEX+:G_AXI_AWSIZE_WIDTH] ;
assign m_axi_awburst = m_awpayload[G_AXI_AWBURST_INDEX+:G_AXI_AWBURST_WIDTH];
assign m_axi_awcache = m_awpayload[G_AXI_AWCACHE_INDEX+:G_AXI_AWCACHE_WIDTH];
assign m_axi_awlen = m_awpayload[G_AXI_AWLEN_INDEX+:G_AXI_AWLEN_WIDTH] ;
assign m_axi_awlock = m_awpayload[G_AXI_AWLOCK_INDEX+:G_AXI_AWLOCK_WIDTH] ;
assign m_axi_awid = m_awpayload[G_AXI_AWID_INDEX+:G_AXI_AWID_WIDTH] ;
assign m_axi_awqos = m_awpayload[G_AXI_AWQOS_INDEX+:G_AXI_AWQOS_WIDTH] ;
assign m_axi_wlast = m_wpayload[G_AXI_WLAST_INDEX+:G_AXI_WLAST_WIDTH] ;
if (C_AXI_PROTOCOL == 1) begin : gen_axi3_wid_packing
assign m_axi_wid = m_wpayload[G_AXI_WID_INDEX+:G_AXI_WID_WIDTH] ;
end
else begin : gen_no_axi3_wid_packing
assign m_axi_wid = 1'b0;
end
assign m_bpayload[G_AXI_BID_INDEX+:G_AXI_BID_WIDTH] = m_axi_bid;
assign m_axi_arsize = m_arpayload[G_AXI_ARSIZE_INDEX+:G_AXI_ARSIZE_WIDTH] ;
assign m_axi_arburst = m_arpayload[G_AXI_ARBURST_INDEX+:G_AXI_ARBURST_WIDTH];
assign m_axi_arcache = m_arpayload[G_AXI_ARCACHE_INDEX+:G_AXI_ARCACHE_WIDTH];
assign m_axi_arlen = m_arpayload[G_AXI_ARLEN_INDEX+:G_AXI_ARLEN_WIDTH] ;
assign m_axi_arlock = m_arpayload[G_AXI_ARLOCK_INDEX+:G_AXI_ARLOCK_WIDTH] ;
assign m_axi_arid = m_arpayload[G_AXI_ARID_INDEX+:G_AXI_ARID_WIDTH] ;
assign m_axi_arqos = m_arpayload[G_AXI_ARQOS_INDEX+:G_AXI_ARQOS_WIDTH] ;
assign m_rpayload[G_AXI_RLAST_INDEX+:G_AXI_RLAST_WIDTH] = m_axi_rlast;
assign m_rpayload[G_AXI_RID_INDEX+:G_AXI_RID_WIDTH] = m_axi_rid ;
if (C_AXI_SUPPORTS_REGION_SIGNALS == 1 && G_AXI_AWREGION_WIDTH > 0) begin : gen_region_signals
assign m_axi_awregion = m_awpayload[G_AXI_AWREGION_INDEX+:G_AXI_AWREGION_WIDTH];
assign m_axi_arregion = m_arpayload[G_AXI_ARREGION_INDEX+:G_AXI_ARREGION_WIDTH];
end
else begin : gen_no_region_signals
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
end
if (C_AXI_SUPPORTS_USER_SIGNALS == 1 && C_AXI_PROTOCOL != 2) begin : gen_user_signals
assign m_axi_awuser = m_awpayload[G_AXI_AWUSER_INDEX+:G_AXI_AWUSER_WIDTH];
assign m_axi_wuser = m_wpayload[G_AXI_WUSER_INDEX+:G_AXI_WUSER_WIDTH] ;
assign m_bpayload[G_AXI_BUSER_INDEX+:G_AXI_BUSER_WIDTH] = m_axi_buser ;
assign m_axi_aruser = m_arpayload[G_AXI_ARUSER_INDEX+:G_AXI_ARUSER_WIDTH];
assign m_rpayload[G_AXI_RUSER_INDEX+:G_AXI_RUSER_WIDTH] = m_axi_ruser ;
end
else begin : gen_no_user_signals
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
end
else begin : gen_axi4lite_packing
assign m_axi_awsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_awburst = 'b0;
assign m_axi_awcache = 'b0;
assign m_axi_awlen = 'b0;
assign m_axi_awlock = 'b0;
assign m_axi_awid = 'b0;
assign m_axi_awqos = 'b0;
assign m_axi_wlast = 1'b1;
assign m_axi_wid = 'b0;
assign m_axi_arsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_arburst = 'b0;
assign m_axi_arcache = 'b0;
assign m_axi_arlen = 'b0;
assign m_axi_arlock = 'b0;
assign m_axi_arid = 'b0;
assign m_axi_arqos = 'b0;
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
endgenerate
endmodule
`default_nettype wire
|
// (c) Copyright 2012 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//-----------------------------------------------------------------------------
//
// axi to vector
// A generic module to merge all axi signals into one signal called payload.
// This is strictly wires, so no clk, reset, aclken, valid/ready are required.
//
// Verilog-standard: Verilog 2001
//--------------------------------------------------------------------------
//
`timescale 1ps/1ps
`default_nettype none
(* DowngradeIPIdentifiedWarnings="yes" *)
module axi_infrastructure_v1_1_vector2axi #
(
///////////////////////////////////////////////////////////////////////////////
// Parameter Definitions
///////////////////////////////////////////////////////////////////////////////
parameter integer C_AXI_PROTOCOL = 0,
parameter integer C_AXI_ID_WIDTH = 4,
parameter integer C_AXI_ADDR_WIDTH = 32,
parameter integer C_AXI_DATA_WIDTH = 32,
parameter integer C_AXI_SUPPORTS_USER_SIGNALS = 0,
parameter integer C_AXI_SUPPORTS_REGION_SIGNALS = 0,
parameter integer C_AXI_AWUSER_WIDTH = 1,
parameter integer C_AXI_WUSER_WIDTH = 1,
parameter integer C_AXI_BUSER_WIDTH = 1,
parameter integer C_AXI_ARUSER_WIDTH = 1,
parameter integer C_AXI_RUSER_WIDTH = 1,
parameter integer C_AWPAYLOAD_WIDTH = 61,
parameter integer C_WPAYLOAD_WIDTH = 73,
parameter integer C_BPAYLOAD_WIDTH = 6,
parameter integer C_ARPAYLOAD_WIDTH = 61,
parameter integer C_RPAYLOAD_WIDTH = 69
)
(
///////////////////////////////////////////////////////////////////////////////
// Port Declarations
///////////////////////////////////////////////////////////////////////////////
// Slave Interface Write Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_awid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_awaddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_awlen,
output wire [3-1:0] m_axi_awsize,
output wire [2-1:0] m_axi_awburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_awlock,
output wire [4-1:0] m_axi_awcache,
output wire [3-1:0] m_axi_awprot,
output wire [4-1:0] m_axi_awregion,
output wire [4-1:0] m_axi_awqos,
output wire [C_AXI_AWUSER_WIDTH-1:0] m_axi_awuser,
// Slave Interface Write Data Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_wid,
output wire [C_AXI_DATA_WIDTH-1:0] m_axi_wdata,
output wire [C_AXI_DATA_WIDTH/8-1:0] m_axi_wstrb,
output wire m_axi_wlast,
output wire [C_AXI_WUSER_WIDTH-1:0] m_axi_wuser,
// Slave Interface Write Response Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_bid,
input wire [2-1:0] m_axi_bresp,
input wire [C_AXI_BUSER_WIDTH-1:0] m_axi_buser,
// Slave Interface Read Address Ports
output wire [C_AXI_ID_WIDTH-1:0] m_axi_arid,
output wire [C_AXI_ADDR_WIDTH-1:0] m_axi_araddr,
output wire [((C_AXI_PROTOCOL == 1) ? 4 : 8)-1:0] m_axi_arlen,
output wire [3-1:0] m_axi_arsize,
output wire [2-1:0] m_axi_arburst,
output wire [((C_AXI_PROTOCOL == 1) ? 2 : 1)-1:0] m_axi_arlock,
output wire [4-1:0] m_axi_arcache,
output wire [3-1:0] m_axi_arprot,
output wire [4-1:0] m_axi_arregion,
output wire [4-1:0] m_axi_arqos,
output wire [C_AXI_ARUSER_WIDTH-1:0] m_axi_aruser,
// Slave Interface Read Data Ports
input wire [C_AXI_ID_WIDTH-1:0] m_axi_rid,
input wire [C_AXI_DATA_WIDTH-1:0] m_axi_rdata,
input wire [2-1:0] m_axi_rresp,
input wire m_axi_rlast,
input wire [C_AXI_RUSER_WIDTH-1:0] m_axi_ruser,
// payloads
input wire [C_AWPAYLOAD_WIDTH-1:0] m_awpayload,
input wire [C_WPAYLOAD_WIDTH-1:0] m_wpayload,
output wire [C_BPAYLOAD_WIDTH-1:0] m_bpayload,
input wire [C_ARPAYLOAD_WIDTH-1:0] m_arpayload,
output wire [C_RPAYLOAD_WIDTH-1:0] m_rpayload
);
////////////////////////////////////////////////////////////////////////////////
// Functions
////////////////////////////////////////////////////////////////////////////////
`include "axi_infrastructure_v1_1_header.vh"
////////////////////////////////////////////////////////////////////////////////
// Local parameters
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Wires/Reg declarations
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// BEGIN RTL
////////////////////////////////////////////////////////////////////////////////
// AXI4, AXI4LITE, AXI3 packing
assign m_axi_awaddr = m_awpayload[G_AXI_AWADDR_INDEX+:G_AXI_AWADDR_WIDTH];
assign m_axi_awprot = m_awpayload[G_AXI_AWPROT_INDEX+:G_AXI_AWPROT_WIDTH];
assign m_axi_wdata = m_wpayload[G_AXI_WDATA_INDEX+:G_AXI_WDATA_WIDTH];
assign m_axi_wstrb = m_wpayload[G_AXI_WSTRB_INDEX+:G_AXI_WSTRB_WIDTH];
assign m_bpayload[G_AXI_BRESP_INDEX+:G_AXI_BRESP_WIDTH] = m_axi_bresp;
assign m_axi_araddr = m_arpayload[G_AXI_ARADDR_INDEX+:G_AXI_ARADDR_WIDTH];
assign m_axi_arprot = m_arpayload[G_AXI_ARPROT_INDEX+:G_AXI_ARPROT_WIDTH];
assign m_rpayload[G_AXI_RDATA_INDEX+:G_AXI_RDATA_WIDTH] = m_axi_rdata;
assign m_rpayload[G_AXI_RRESP_INDEX+:G_AXI_RRESP_WIDTH] = m_axi_rresp;
generate
if (C_AXI_PROTOCOL == 0 || C_AXI_PROTOCOL == 1) begin : gen_axi4_or_axi3_packing
assign m_axi_awsize = m_awpayload[G_AXI_AWSIZE_INDEX+:G_AXI_AWSIZE_WIDTH] ;
assign m_axi_awburst = m_awpayload[G_AXI_AWBURST_INDEX+:G_AXI_AWBURST_WIDTH];
assign m_axi_awcache = m_awpayload[G_AXI_AWCACHE_INDEX+:G_AXI_AWCACHE_WIDTH];
assign m_axi_awlen = m_awpayload[G_AXI_AWLEN_INDEX+:G_AXI_AWLEN_WIDTH] ;
assign m_axi_awlock = m_awpayload[G_AXI_AWLOCK_INDEX+:G_AXI_AWLOCK_WIDTH] ;
assign m_axi_awid = m_awpayload[G_AXI_AWID_INDEX+:G_AXI_AWID_WIDTH] ;
assign m_axi_awqos = m_awpayload[G_AXI_AWQOS_INDEX+:G_AXI_AWQOS_WIDTH] ;
assign m_axi_wlast = m_wpayload[G_AXI_WLAST_INDEX+:G_AXI_WLAST_WIDTH] ;
if (C_AXI_PROTOCOL == 1) begin : gen_axi3_wid_packing
assign m_axi_wid = m_wpayload[G_AXI_WID_INDEX+:G_AXI_WID_WIDTH] ;
end
else begin : gen_no_axi3_wid_packing
assign m_axi_wid = 1'b0;
end
assign m_bpayload[G_AXI_BID_INDEX+:G_AXI_BID_WIDTH] = m_axi_bid;
assign m_axi_arsize = m_arpayload[G_AXI_ARSIZE_INDEX+:G_AXI_ARSIZE_WIDTH] ;
assign m_axi_arburst = m_arpayload[G_AXI_ARBURST_INDEX+:G_AXI_ARBURST_WIDTH];
assign m_axi_arcache = m_arpayload[G_AXI_ARCACHE_INDEX+:G_AXI_ARCACHE_WIDTH];
assign m_axi_arlen = m_arpayload[G_AXI_ARLEN_INDEX+:G_AXI_ARLEN_WIDTH] ;
assign m_axi_arlock = m_arpayload[G_AXI_ARLOCK_INDEX+:G_AXI_ARLOCK_WIDTH] ;
assign m_axi_arid = m_arpayload[G_AXI_ARID_INDEX+:G_AXI_ARID_WIDTH] ;
assign m_axi_arqos = m_arpayload[G_AXI_ARQOS_INDEX+:G_AXI_ARQOS_WIDTH] ;
assign m_rpayload[G_AXI_RLAST_INDEX+:G_AXI_RLAST_WIDTH] = m_axi_rlast;
assign m_rpayload[G_AXI_RID_INDEX+:G_AXI_RID_WIDTH] = m_axi_rid ;
if (C_AXI_SUPPORTS_REGION_SIGNALS == 1 && G_AXI_AWREGION_WIDTH > 0) begin : gen_region_signals
assign m_axi_awregion = m_awpayload[G_AXI_AWREGION_INDEX+:G_AXI_AWREGION_WIDTH];
assign m_axi_arregion = m_arpayload[G_AXI_ARREGION_INDEX+:G_AXI_ARREGION_WIDTH];
end
else begin : gen_no_region_signals
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
end
if (C_AXI_SUPPORTS_USER_SIGNALS == 1 && C_AXI_PROTOCOL != 2) begin : gen_user_signals
assign m_axi_awuser = m_awpayload[G_AXI_AWUSER_INDEX+:G_AXI_AWUSER_WIDTH];
assign m_axi_wuser = m_wpayload[G_AXI_WUSER_INDEX+:G_AXI_WUSER_WIDTH] ;
assign m_bpayload[G_AXI_BUSER_INDEX+:G_AXI_BUSER_WIDTH] = m_axi_buser ;
assign m_axi_aruser = m_arpayload[G_AXI_ARUSER_INDEX+:G_AXI_ARUSER_WIDTH];
assign m_rpayload[G_AXI_RUSER_INDEX+:G_AXI_RUSER_WIDTH] = m_axi_ruser ;
end
else begin : gen_no_user_signals
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
end
else begin : gen_axi4lite_packing
assign m_axi_awsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_awburst = 'b0;
assign m_axi_awcache = 'b0;
assign m_axi_awlen = 'b0;
assign m_axi_awlock = 'b0;
assign m_axi_awid = 'b0;
assign m_axi_awqos = 'b0;
assign m_axi_wlast = 1'b1;
assign m_axi_wid = 'b0;
assign m_axi_arsize = (C_AXI_DATA_WIDTH == 32) ? 3'd2 : 3'd3;
assign m_axi_arburst = 'b0;
assign m_axi_arcache = 'b0;
assign m_axi_arlen = 'b0;
assign m_axi_arlock = 'b0;
assign m_axi_arid = 'b0;
assign m_axi_arqos = 'b0;
assign m_axi_awregion = 'b0;
assign m_axi_arregion = 'b0;
assign m_axi_awuser = 'b0;
assign m_axi_wuser = 'b0;
assign m_axi_aruser = 'b0;
end
endgenerate
endmodule
`default_nettype wire
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__TAP_TB_V
`define SKY130_FD_SC_LP__TAP_TB_V
/**
* tap: Tap cell with no tap connections (no contacts on metal1).
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__tap.v"
module top();
// Inputs are registered
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
initial
begin
// Initial state is x for all inputs.
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 VGND = 1'b0;
#40 VNB = 1'b0;
#60 VPB = 1'b0;
#80 VPWR = 1'b0;
#100 VGND = 1'b1;
#120 VNB = 1'b1;
#140 VPB = 1'b1;
#160 VPWR = 1'b1;
#180 VGND = 1'b0;
#200 VNB = 1'b0;
#220 VPB = 1'b0;
#240 VPWR = 1'b0;
#260 VPWR = 1'b1;
#280 VPB = 1'b1;
#300 VNB = 1'b1;
#320 VGND = 1'b1;
#340 VPWR = 1'bx;
#360 VPB = 1'bx;
#380 VNB = 1'bx;
#400 VGND = 1'bx;
end
sky130_fd_sc_lp__tap dut (.VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__TAP_TB_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__FA_BLACKBOX_V
`define SKY130_FD_SC_MS__FA_BLACKBOX_V
/**
* fa: Full adder.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ms__fa (
COUT,
SUM ,
A ,
B ,
CIN
);
output COUT;
output SUM ;
input A ;
input B ;
input CIN ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_MS__FA_BLACKBOX_V
|
`timescale 1ns / 1ps
`include "constants.vh"
//////////////////////////////////////////////////////////////////////////////////
// Company: TU Darmstadt
// Engineer: Florian Beyer
//
// Create Date: 17:06:57 02/23/2017
// Design Name:
// Module Name: SpongentHash
// Project Name: spongent
// Target Devices:
// Tool versions:
// Description: SpongentHash is the top-level module of SPONGENT. This version
// has some potential to decrease it`s area and increase the overall performance.
// Therefore the input data, which contains the value to be hashed, has to be stored
// in the hardware ram.
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module SpongentHash(clk, rst, en, rdy, hash_out);
input rst;
input clk;
input en;
output reg rdy;
output reg [87:0] hash_out;
reg [263:0] state;
reg [512:0] data;
reg [ 87:0] hash;
reg [ 31:0] i, count;
reg wr_en;
reg [263:0] absorb_state_in;
reg absorb_enable;
reg absorb_rst;
wire absorb_out_rdy;
wire [263:0] absorb_state_out;
Absorb absorb_instance (
.state_in(absorb_state_in),
.state_out(absorb_state_out),
.clk(clk),
.rst(absorb_rst),
.en(absorb_enable),
.rdy(absorb_out_rdy)
);
always @ (posedge clk or posedge rst) begin
if (rst) begin
rdy = 0;
state = 0;
//data = {"Hello WorldHello WorldZY", 8'h80, 8'h00, 8'h00, 8'h00, 8'h00, 8'h00, 8'h00, 8'h00, 8'h00}; //padding
data = {"Spongent is a lightweight Hashfunction", 8'h80, 8'h00, 8'h00, 8'h00, 8'h00, 8'h00};
//count = 3;
count = 4;
wr_en = 1;
absorb_rst = rst;
absorb_state_in = 0;
absorb_enable = 0;
hash = 0;
end else if (en) begin
absorb_rst = 0;
if (wr_en) begin
wr_en = 0;
// Call Absorb for every block of data with length `R_SizeInBytes.
// The padding before ensures, that databitlen % rate(88) = 0;
if (count > 0) begin
// XOR (^) the last 11 bytes of state with the actual datablock.
for (i = 0; i < `R_SizeInBytes*8; i = i+8) begin
state[i+:8] = state[i+:8] ^ data[count * 88 - (i+8) +:8];
end
absorb_state_in = state;
absorb_enable = 1;
end else begin
for (i = 0; i < `R_SizeInBytes*8; i = i+8) begin
hash[i+:8] = hash[i+:8] ^ state[i +:8];
end
hash_out = hash;
rdy = 1;
end
end
// If output of absorb is ready, save it´s state and reset absorb.
// Then enable the computation in SpongentHash again (wr_en=1).
if (absorb_out_rdy) begin
state = absorb_state_out;
absorb_rst = 1;
if (count > 0) begin
count = count - 1;
wr_en = 1;
end
end
end
end
endmodule
|
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2016.4 (lin64) Build 1733598 Wed Dec 14 22:35:42 MST 2016
// Date : Sat Jan 21 14:32:46 2017
// Host : natu-OMEN-by-HP-Laptop running 64-bit Ubuntu 16.04.1 LTS
// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ mult16_16_sim_netlist.v
// Design : mult16_16
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xcku035-fbva676-3-e
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
(* CHECK_LICENSE_TYPE = "mult16_16,mult_gen_v12_0_12,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "mult_gen_v12_0_12,Vivado 2016.4" *)
(* NotValidForBitStream *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix
(CLK,
A,
B,
P);
(* x_interface_info = "xilinx.com:signal:clock:1.0 clk_intf CLK" *) input CLK;
(* x_interface_info = "xilinx.com:signal:data:1.0 a_intf DATA" *) input [15:0]A;
(* x_interface_info = "xilinx.com:signal:data:1.0 b_intf DATA" *) input [15:0]B;
(* x_interface_info = "xilinx.com:signal:data:1.0 p_intf DATA" *) output [7:0]P;
wire [15:0]A;
wire [15:0]B;
wire CLK;
wire [7:0]P;
wire [47:0]NLW_U0_PCASC_UNCONNECTED;
wire [1:0]NLW_U0_ZERO_DETECT_UNCONNECTED;
(* C_A_TYPE = "1" *)
(* C_A_WIDTH = "16" *)
(* C_B_TYPE = "1" *)
(* C_B_VALUE = "10000001" *)
(* C_B_WIDTH = "16" *)
(* C_CCM_IMP = "0" *)
(* C_CE_OVERRIDES_SCLR = "0" *)
(* C_HAS_CE = "0" *)
(* C_HAS_SCLR = "0" *)
(* C_HAS_ZERO_DETECT = "0" *)
(* C_LATENCY = "4" *)
(* C_MODEL_TYPE = "0" *)
(* C_MULT_TYPE = "0" *)
(* C_OUT_HIGH = "31" *)
(* C_OUT_LOW = "24" *)
(* C_ROUND_OUTPUT = "0" *)
(* C_ROUND_PT = "0" *)
(* C_VERBOSITY = "0" *)
(* C_XDEVICEFAMILY = "kintexu" *)
(* c_optimize_goal = "1" *)
(* downgradeipidentifiedwarnings = "yes" *)
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12 U0
(.A(A),
.B(B),
.CE(1'b1),
.CLK(CLK),
.P(P),
.PCASC(NLW_U0_PCASC_UNCONNECTED[47:0]),
.SCLR(1'b0),
.ZERO_DETECT(NLW_U0_ZERO_DETECT_UNCONNECTED[1:0]));
endmodule
(* C_A_TYPE = "1" *) (* C_A_WIDTH = "16" *) (* C_B_TYPE = "1" *)
(* C_B_VALUE = "10000001" *) (* C_B_WIDTH = "16" *) (* C_CCM_IMP = "0" *)
(* C_CE_OVERRIDES_SCLR = "0" *) (* C_HAS_CE = "0" *) (* C_HAS_SCLR = "0" *)
(* C_HAS_ZERO_DETECT = "0" *) (* C_LATENCY = "4" *) (* C_MODEL_TYPE = "0" *)
(* C_MULT_TYPE = "0" *) (* C_OPTIMIZE_GOAL = "1" *) (* C_OUT_HIGH = "31" *)
(* C_OUT_LOW = "24" *) (* C_ROUND_OUTPUT = "0" *) (* C_ROUND_PT = "0" *)
(* C_VERBOSITY = "0" *) (* C_XDEVICEFAMILY = "kintexu" *) (* downgradeipidentifiedwarnings = "yes" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12
(CLK,
A,
B,
CE,
SCLR,
ZERO_DETECT,
P,
PCASC);
input CLK;
input [15:0]A;
input [15:0]B;
input CE;
input SCLR;
output [1:0]ZERO_DETECT;
output [7:0]P;
output [47:0]PCASC;
wire \<const0> ;
wire [15:0]A;
wire [15:0]B;
wire CLK;
wire [7:0]P;
wire [47:0]NLW_i_mult_PCASC_UNCONNECTED;
wire [1:0]NLW_i_mult_ZERO_DETECT_UNCONNECTED;
assign PCASC[47] = \<const0> ;
assign PCASC[46] = \<const0> ;
assign PCASC[45] = \<const0> ;
assign PCASC[44] = \<const0> ;
assign PCASC[43] = \<const0> ;
assign PCASC[42] = \<const0> ;
assign PCASC[41] = \<const0> ;
assign PCASC[40] = \<const0> ;
assign PCASC[39] = \<const0> ;
assign PCASC[38] = \<const0> ;
assign PCASC[37] = \<const0> ;
assign PCASC[36] = \<const0> ;
assign PCASC[35] = \<const0> ;
assign PCASC[34] = \<const0> ;
assign PCASC[33] = \<const0> ;
assign PCASC[32] = \<const0> ;
assign PCASC[31] = \<const0> ;
assign PCASC[30] = \<const0> ;
assign PCASC[29] = \<const0> ;
assign PCASC[28] = \<const0> ;
assign PCASC[27] = \<const0> ;
assign PCASC[26] = \<const0> ;
assign PCASC[25] = \<const0> ;
assign PCASC[24] = \<const0> ;
assign PCASC[23] = \<const0> ;
assign PCASC[22] = \<const0> ;
assign PCASC[21] = \<const0> ;
assign PCASC[20] = \<const0> ;
assign PCASC[19] = \<const0> ;
assign PCASC[18] = \<const0> ;
assign PCASC[17] = \<const0> ;
assign PCASC[16] = \<const0> ;
assign PCASC[15] = \<const0> ;
assign PCASC[14] = \<const0> ;
assign PCASC[13] = \<const0> ;
assign PCASC[12] = \<const0> ;
assign PCASC[11] = \<const0> ;
assign PCASC[10] = \<const0> ;
assign PCASC[9] = \<const0> ;
assign PCASC[8] = \<const0> ;
assign PCASC[7] = \<const0> ;
assign PCASC[6] = \<const0> ;
assign PCASC[5] = \<const0> ;
assign PCASC[4] = \<const0> ;
assign PCASC[3] = \<const0> ;
assign PCASC[2] = \<const0> ;
assign PCASC[1] = \<const0> ;
assign PCASC[0] = \<const0> ;
assign ZERO_DETECT[1] = \<const0> ;
assign ZERO_DETECT[0] = \<const0> ;
GND GND
(.G(\<const0> ));
(* C_A_TYPE = "1" *)
(* C_A_WIDTH = "16" *)
(* C_B_TYPE = "1" *)
(* C_B_VALUE = "10000001" *)
(* C_B_WIDTH = "16" *)
(* C_CCM_IMP = "0" *)
(* C_CE_OVERRIDES_SCLR = "0" *)
(* C_HAS_CE = "0" *)
(* C_HAS_SCLR = "0" *)
(* C_HAS_ZERO_DETECT = "0" *)
(* C_LATENCY = "4" *)
(* C_MODEL_TYPE = "0" *)
(* C_MULT_TYPE = "0" *)
(* C_OUT_HIGH = "31" *)
(* C_OUT_LOW = "24" *)
(* C_ROUND_OUTPUT = "0" *)
(* C_ROUND_PT = "0" *)
(* C_VERBOSITY = "0" *)
(* C_XDEVICEFAMILY = "kintexu" *)
(* c_optimize_goal = "1" *)
(* downgradeipidentifiedwarnings = "yes" *)
decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mult_gen_v12_0_12_viv i_mult
(.A(A),
.B(B),
.CE(1'b0),
.CLK(CLK),
.P(P),
.PCASC(NLW_i_mult_PCASC_UNCONNECTED[47:0]),
.SCLR(1'b0),
.ZERO_DETECT(NLW_i_mult_ZERO_DETECT_UNCONNECTED[1:0]));
endmodule
`pragma protect begin_protected
`pragma protect version = 1
`pragma protect encrypt_agent = "XILINX"
`pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`pragma protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`pragma protect key_block
fPF16TcpNgM9dNC6nyb4WjUK+7bY8P+I62AEEiiM/KOMhIKuPOHBoWeWL2UjxSNO68WLeYIZp8lA
I7rHN/CieA==
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`pragma protect key_block
E6OKJxjnDRUVVFwAhrQMAtoyRVVpuMKsXlca4m9CcIt6QI8vnYN0tf7gH3uVuxZ90322B7kUeFw5
Pu0UeqAoBaSyysHuDqXazxHy7oyk4BIWChvcrp7LULlVLcL76obtSwsXi1ORVmpdTi5b+AcD+WUo
OP1PSFj5jpodG+LwXm4=
`pragma protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`pragma protect key_block
x+agogSsgbiI6PGyBpMY8RQCDzLctIr3EaG23mH5kJHlNmNKNolnP54yJ8Y7nIFi6yl6tlyOLMoF
/kxU0pyFmIj8QM0/MArMxPTiemXbDLS2VKtonyK9dDH7VbjFnRWwzK0Ngkas0+nbW3TqGPAY98x3
251QPjQoZCw3A7W9PDc=
`pragma protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
KNs7hA49BKKrboRSEkqIGldOa3ndCnhjRkSn8lL1xFfKUn+p+Wbc09ogKV6YYnPU/RaF1LbzyoE4
udPSNea4bST+08IjO5GAxXqUugcig44J+hzpGKmh7oO0TuyNbYq1CnYcsZXaD9vsmNYz8fBDoW2S
VK/mYa21mBKTOuTdQ1yp3wi73aJ1G9N6Ngt7ovDUrjyd5oNxxNlvWU8JkJDinbEnci0qjZ3Wu9Wg
y44pHUXf6xqwFYJpZ1ZcGRKl83P8p74+pLzt19lw9TPlTfKI++IowVjb6wo36ztNDJS0QjQE5Riv
hwbPU/Bt3S82MVCY5NAA6bKC/8NnoWMbmX8Wiw==
`pragma protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
QaRubtGbYrmCghuFdQuTgTEtoVYYLcPnD5z0C7mo18fwCG17qy0y8mj8xWiwE6bo49IP1/JXSIw7
rTBwHFOVrmbm926sWNrF1r3IHB83C5cstprQ1om7vnkw9XX87SjkscphhkrHmi08jjzW4qX96m61
/ymclz5TlAocMQJGz/jwscvIMOrrbuH4SkWQOLQnRfx9GIOv5Y7PM+w/wuDSeFXsAXz7Ahq3/qmU
cylNfSufW7/zfN4RZB4u+d28AXsuFe03aSF1dpW+uBK1xtNZccvj9h9NMN0cuwxt8ZUlLJw8l6e2
hqRfTTZl1F4qnnrJu6w8h8uEGrmgnQG1AW0epg==
`pragma protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`pragma protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`pragma protect key_block
XXj6Nc59BeA5Kznlx14IKravf7ohERw7h0fbO7pT7/HsiPDCWh2DlTGpFUcnbNZslPN2RfE0nJNX
WMzLQtaHK4Bm6kxY71OsXEKm7MAIjEdLwOMtJTtlZrbm7chBbSxcW6sjWvI36jk5De3Yct9Ao1py
DpQ9NICUtRTwGG8SAiRkAXRh2Jv3rKvnookQrlVxIkNRSBMSgbwuTbq1ze/KMUZebBWwJNUVIC9r
RV/i9wjYXBOeCCUk+cGDC5uSpwdLXYV9ZxhQUU6C1ufAaK2m4OIUeBqPc2ski2O0qQYQ67c35k50
ynO8H9PTEROPEOn5c37S7feU+36OcOOAsVBTBA==
`pragma protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`pragma protect encoding = (enctype="base64", line_length=76, bytes=256)
`pragma protect key_block
L1zMa0StCv+vw1vq/fpqh7OfePOn5ArXCA4VUDnzxmD4R7b2OJttL9SjMzwrgZaxhwPG21l6qyj6
2rfQi4d+Yt/xieCO/Z3bvsJqb6VPnbfeiBZskv5+hEU0idzpALgybEtJF4i57E5JrAtDdMoCZv9K
NvHHAKJdaXB34OlKELpihccvqFSUgH+NOHC/hGJEe25u8P/lSykP/URX4nGfZXMfaloqK+JD43st
I9jp2F7FZN/CNsRiEWL/yI/4hBRRk4Po7BqehhHC/UMG5iQeaZfjuTOxheqhPat7W3teq0CztpF9
2yRbiVdDE9S/E5eEDeOuLKqTcLPFjEM76cDNpw==
`pragma protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa"
`pragma protect encoding = (enctype="base64", line_length=76, bytes=256)
`pragma protect key_block
KCZxz1SQKWwiauLTp/BAcLdWTYaU4uv848IkCEWwj2ewunaADLAHsLOUARtPJvVxakoreyvqMD+H
OirWszNbqnjIOV4RkAiYdFCB8Zv+IIpEsa9FLdtQ6t9PX3cSjIfUA1YdYIAGgWm1yovyg+JopoZd
9e69bX7nAHHf4q2XHi22OyYHjiV29TX0jr6/Qg26ABsZtdEoRZt5ncnuKet4kC7TKsD88pioWoKj
fMIW/5NEitFqCbAT7oac+wwfIiDAZ4Lupoj5CNcKphryk7DEHk/6TgshV86n12iWx4Qvq6hCOq0R
g/fMqkYLyfy1YfX12B3qecK4P1aHWIxUxncIZA==
`pragma protect data_method = "AES128-CBC"
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 315392)
`pragma protect data_block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`pragma protect end_protected
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (weak1, weak0) GSR = GSR_int;
assign (weak1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
endmodule
`endif
|
//-----------------------------------------------------------------------------
// system_nfa_accept_samples_generic_hw_top_3_wrapper.v
//-----------------------------------------------------------------------------
module system_nfa_accept_samples_generic_hw_top_3_wrapper
(
aclk,
aresetn,
indices_MPLB_Clk,
indices_MPLB_Rst,
indices_M_request,
indices_M_priority,
indices_M_busLock,
indices_M_RNW,
indices_M_BE,
indices_M_MSize,
indices_M_size,
indices_M_type,
indices_M_TAttribute,
indices_M_lockErr,
indices_M_abort,
indices_M_UABus,
indices_M_ABus,
indices_M_wrDBus,
indices_M_wrBurst,
indices_M_rdBurst,
indices_PLB_MAddrAck,
indices_PLB_MSSize,
indices_PLB_MRearbitrate,
indices_PLB_MTimeout,
indices_PLB_MBusy,
indices_PLB_MRdErr,
indices_PLB_MWrErr,
indices_PLB_MIRQ,
indices_PLB_MRdDBus,
indices_PLB_MRdWdAddr,
indices_PLB_MRdDAck,
indices_PLB_MRdBTerm,
indices_PLB_MWrDAck,
indices_PLB_MWrBTerm,
nfa_finals_buckets_MPLB_Clk,
nfa_finals_buckets_MPLB_Rst,
nfa_finals_buckets_M_request,
nfa_finals_buckets_M_priority,
nfa_finals_buckets_M_busLock,
nfa_finals_buckets_M_RNW,
nfa_finals_buckets_M_BE,
nfa_finals_buckets_M_MSize,
nfa_finals_buckets_M_size,
nfa_finals_buckets_M_type,
nfa_finals_buckets_M_TAttribute,
nfa_finals_buckets_M_lockErr,
nfa_finals_buckets_M_abort,
nfa_finals_buckets_M_UABus,
nfa_finals_buckets_M_ABus,
nfa_finals_buckets_M_wrDBus,
nfa_finals_buckets_M_wrBurst,
nfa_finals_buckets_M_rdBurst,
nfa_finals_buckets_PLB_MAddrAck,
nfa_finals_buckets_PLB_MSSize,
nfa_finals_buckets_PLB_MRearbitrate,
nfa_finals_buckets_PLB_MTimeout,
nfa_finals_buckets_PLB_MBusy,
nfa_finals_buckets_PLB_MRdErr,
nfa_finals_buckets_PLB_MWrErr,
nfa_finals_buckets_PLB_MIRQ,
nfa_finals_buckets_PLB_MRdDBus,
nfa_finals_buckets_PLB_MRdWdAddr,
nfa_finals_buckets_PLB_MRdDAck,
nfa_finals_buckets_PLB_MRdBTerm,
nfa_finals_buckets_PLB_MWrDAck,
nfa_finals_buckets_PLB_MWrBTerm,
nfa_forward_buckets_MPLB_Clk,
nfa_forward_buckets_MPLB_Rst,
nfa_forward_buckets_M_request,
nfa_forward_buckets_M_priority,
nfa_forward_buckets_M_busLock,
nfa_forward_buckets_M_RNW,
nfa_forward_buckets_M_BE,
nfa_forward_buckets_M_MSize,
nfa_forward_buckets_M_size,
nfa_forward_buckets_M_type,
nfa_forward_buckets_M_TAttribute,
nfa_forward_buckets_M_lockErr,
nfa_forward_buckets_M_abort,
nfa_forward_buckets_M_UABus,
nfa_forward_buckets_M_ABus,
nfa_forward_buckets_M_wrDBus,
nfa_forward_buckets_M_wrBurst,
nfa_forward_buckets_M_rdBurst,
nfa_forward_buckets_PLB_MAddrAck,
nfa_forward_buckets_PLB_MSSize,
nfa_forward_buckets_PLB_MRearbitrate,
nfa_forward_buckets_PLB_MTimeout,
nfa_forward_buckets_PLB_MBusy,
nfa_forward_buckets_PLB_MRdErr,
nfa_forward_buckets_PLB_MWrErr,
nfa_forward_buckets_PLB_MIRQ,
nfa_forward_buckets_PLB_MRdDBus,
nfa_forward_buckets_PLB_MRdWdAddr,
nfa_forward_buckets_PLB_MRdDAck,
nfa_forward_buckets_PLB_MRdBTerm,
nfa_forward_buckets_PLB_MWrDAck,
nfa_forward_buckets_PLB_MWrBTerm,
nfa_initials_buckets_MPLB_Clk,
nfa_initials_buckets_MPLB_Rst,
nfa_initials_buckets_M_request,
nfa_initials_buckets_M_priority,
nfa_initials_buckets_M_busLock,
nfa_initials_buckets_M_RNW,
nfa_initials_buckets_M_BE,
nfa_initials_buckets_M_MSize,
nfa_initials_buckets_M_size,
nfa_initials_buckets_M_type,
nfa_initials_buckets_M_TAttribute,
nfa_initials_buckets_M_lockErr,
nfa_initials_buckets_M_abort,
nfa_initials_buckets_M_UABus,
nfa_initials_buckets_M_ABus,
nfa_initials_buckets_M_wrDBus,
nfa_initials_buckets_M_wrBurst,
nfa_initials_buckets_M_rdBurst,
nfa_initials_buckets_PLB_MAddrAck,
nfa_initials_buckets_PLB_MSSize,
nfa_initials_buckets_PLB_MRearbitrate,
nfa_initials_buckets_PLB_MTimeout,
nfa_initials_buckets_PLB_MBusy,
nfa_initials_buckets_PLB_MRdErr,
nfa_initials_buckets_PLB_MWrErr,
nfa_initials_buckets_PLB_MIRQ,
nfa_initials_buckets_PLB_MRdDBus,
nfa_initials_buckets_PLB_MRdWdAddr,
nfa_initials_buckets_PLB_MRdDAck,
nfa_initials_buckets_PLB_MRdBTerm,
nfa_initials_buckets_PLB_MWrDAck,
nfa_initials_buckets_PLB_MWrBTerm,
sample_buffer_MPLB_Clk,
sample_buffer_MPLB_Rst,
sample_buffer_M_request,
sample_buffer_M_priority,
sample_buffer_M_busLock,
sample_buffer_M_RNW,
sample_buffer_M_BE,
sample_buffer_M_MSize,
sample_buffer_M_size,
sample_buffer_M_type,
sample_buffer_M_TAttribute,
sample_buffer_M_lockErr,
sample_buffer_M_abort,
sample_buffer_M_UABus,
sample_buffer_M_ABus,
sample_buffer_M_wrDBus,
sample_buffer_M_wrBurst,
sample_buffer_M_rdBurst,
sample_buffer_PLB_MAddrAck,
sample_buffer_PLB_MSSize,
sample_buffer_PLB_MRearbitrate,
sample_buffer_PLB_MTimeout,
sample_buffer_PLB_MBusy,
sample_buffer_PLB_MRdErr,
sample_buffer_PLB_MWrErr,
sample_buffer_PLB_MIRQ,
sample_buffer_PLB_MRdDBus,
sample_buffer_PLB_MRdWdAddr,
sample_buffer_PLB_MRdDAck,
sample_buffer_PLB_MRdBTerm,
sample_buffer_PLB_MWrDAck,
sample_buffer_PLB_MWrBTerm,
splb_slv0_SPLB_Clk,
splb_slv0_SPLB_Rst,
splb_slv0_PLB_ABus,
splb_slv0_PLB_UABus,
splb_slv0_PLB_PAValid,
splb_slv0_PLB_SAValid,
splb_slv0_PLB_rdPrim,
splb_slv0_PLB_wrPrim,
splb_slv0_PLB_masterID,
splb_slv0_PLB_abort,
splb_slv0_PLB_busLock,
splb_slv0_PLB_RNW,
splb_slv0_PLB_BE,
splb_slv0_PLB_MSize,
splb_slv0_PLB_size,
splb_slv0_PLB_type,
splb_slv0_PLB_lockErr,
splb_slv0_PLB_wrDBus,
splb_slv0_PLB_wrBurst,
splb_slv0_PLB_rdBurst,
splb_slv0_PLB_wrPendReq,
splb_slv0_PLB_rdPendReq,
splb_slv0_PLB_wrPendPri,
splb_slv0_PLB_rdPendPri,
splb_slv0_PLB_reqPri,
splb_slv0_PLB_TAttribute,
splb_slv0_Sl_addrAck,
splb_slv0_Sl_SSize,
splb_slv0_Sl_wait,
splb_slv0_Sl_rearbitrate,
splb_slv0_Sl_wrDAck,
splb_slv0_Sl_wrComp,
splb_slv0_Sl_wrBTerm,
splb_slv0_Sl_rdDBus,
splb_slv0_Sl_rdWdAddr,
splb_slv0_Sl_rdDAck,
splb_slv0_Sl_rdComp,
splb_slv0_Sl_rdBTerm,
splb_slv0_Sl_MBusy,
splb_slv0_Sl_MWrErr,
splb_slv0_Sl_MRdErr,
splb_slv0_Sl_MIRQ
);
input aclk;
input aresetn;
input indices_MPLB_Clk;
input indices_MPLB_Rst;
output indices_M_request;
output [0:1] indices_M_priority;
output indices_M_busLock;
output indices_M_RNW;
output [0:7] indices_M_BE;
output [0:1] indices_M_MSize;
output [0:3] indices_M_size;
output [0:2] indices_M_type;
output [0:15] indices_M_TAttribute;
output indices_M_lockErr;
output indices_M_abort;
output [0:31] indices_M_UABus;
output [0:31] indices_M_ABus;
output [0:63] indices_M_wrDBus;
output indices_M_wrBurst;
output indices_M_rdBurst;
input indices_PLB_MAddrAck;
input [0:1] indices_PLB_MSSize;
input indices_PLB_MRearbitrate;
input indices_PLB_MTimeout;
input indices_PLB_MBusy;
input indices_PLB_MRdErr;
input indices_PLB_MWrErr;
input indices_PLB_MIRQ;
input [0:63] indices_PLB_MRdDBus;
input [0:3] indices_PLB_MRdWdAddr;
input indices_PLB_MRdDAck;
input indices_PLB_MRdBTerm;
input indices_PLB_MWrDAck;
input indices_PLB_MWrBTerm;
input nfa_finals_buckets_MPLB_Clk;
input nfa_finals_buckets_MPLB_Rst;
output nfa_finals_buckets_M_request;
output [0:1] nfa_finals_buckets_M_priority;
output nfa_finals_buckets_M_busLock;
output nfa_finals_buckets_M_RNW;
output [0:7] nfa_finals_buckets_M_BE;
output [0:1] nfa_finals_buckets_M_MSize;
output [0:3] nfa_finals_buckets_M_size;
output [0:2] nfa_finals_buckets_M_type;
output [0:15] nfa_finals_buckets_M_TAttribute;
output nfa_finals_buckets_M_lockErr;
output nfa_finals_buckets_M_abort;
output [0:31] nfa_finals_buckets_M_UABus;
output [0:31] nfa_finals_buckets_M_ABus;
output [0:63] nfa_finals_buckets_M_wrDBus;
output nfa_finals_buckets_M_wrBurst;
output nfa_finals_buckets_M_rdBurst;
input nfa_finals_buckets_PLB_MAddrAck;
input [0:1] nfa_finals_buckets_PLB_MSSize;
input nfa_finals_buckets_PLB_MRearbitrate;
input nfa_finals_buckets_PLB_MTimeout;
input nfa_finals_buckets_PLB_MBusy;
input nfa_finals_buckets_PLB_MRdErr;
input nfa_finals_buckets_PLB_MWrErr;
input nfa_finals_buckets_PLB_MIRQ;
input [0:63] nfa_finals_buckets_PLB_MRdDBus;
input [0:3] nfa_finals_buckets_PLB_MRdWdAddr;
input nfa_finals_buckets_PLB_MRdDAck;
input nfa_finals_buckets_PLB_MRdBTerm;
input nfa_finals_buckets_PLB_MWrDAck;
input nfa_finals_buckets_PLB_MWrBTerm;
input nfa_forward_buckets_MPLB_Clk;
input nfa_forward_buckets_MPLB_Rst;
output nfa_forward_buckets_M_request;
output [0:1] nfa_forward_buckets_M_priority;
output nfa_forward_buckets_M_busLock;
output nfa_forward_buckets_M_RNW;
output [0:7] nfa_forward_buckets_M_BE;
output [0:1] nfa_forward_buckets_M_MSize;
output [0:3] nfa_forward_buckets_M_size;
output [0:2] nfa_forward_buckets_M_type;
output [0:15] nfa_forward_buckets_M_TAttribute;
output nfa_forward_buckets_M_lockErr;
output nfa_forward_buckets_M_abort;
output [0:31] nfa_forward_buckets_M_UABus;
output [0:31] nfa_forward_buckets_M_ABus;
output [0:63] nfa_forward_buckets_M_wrDBus;
output nfa_forward_buckets_M_wrBurst;
output nfa_forward_buckets_M_rdBurst;
input nfa_forward_buckets_PLB_MAddrAck;
input [0:1] nfa_forward_buckets_PLB_MSSize;
input nfa_forward_buckets_PLB_MRearbitrate;
input nfa_forward_buckets_PLB_MTimeout;
input nfa_forward_buckets_PLB_MBusy;
input nfa_forward_buckets_PLB_MRdErr;
input nfa_forward_buckets_PLB_MWrErr;
input nfa_forward_buckets_PLB_MIRQ;
input [0:63] nfa_forward_buckets_PLB_MRdDBus;
input [0:3] nfa_forward_buckets_PLB_MRdWdAddr;
input nfa_forward_buckets_PLB_MRdDAck;
input nfa_forward_buckets_PLB_MRdBTerm;
input nfa_forward_buckets_PLB_MWrDAck;
input nfa_forward_buckets_PLB_MWrBTerm;
input nfa_initials_buckets_MPLB_Clk;
input nfa_initials_buckets_MPLB_Rst;
output nfa_initials_buckets_M_request;
output [0:1] nfa_initials_buckets_M_priority;
output nfa_initials_buckets_M_busLock;
output nfa_initials_buckets_M_RNW;
output [0:7] nfa_initials_buckets_M_BE;
output [0:1] nfa_initials_buckets_M_MSize;
output [0:3] nfa_initials_buckets_M_size;
output [0:2] nfa_initials_buckets_M_type;
output [0:15] nfa_initials_buckets_M_TAttribute;
output nfa_initials_buckets_M_lockErr;
output nfa_initials_buckets_M_abort;
output [0:31] nfa_initials_buckets_M_UABus;
output [0:31] nfa_initials_buckets_M_ABus;
output [0:63] nfa_initials_buckets_M_wrDBus;
output nfa_initials_buckets_M_wrBurst;
output nfa_initials_buckets_M_rdBurst;
input nfa_initials_buckets_PLB_MAddrAck;
input [0:1] nfa_initials_buckets_PLB_MSSize;
input nfa_initials_buckets_PLB_MRearbitrate;
input nfa_initials_buckets_PLB_MTimeout;
input nfa_initials_buckets_PLB_MBusy;
input nfa_initials_buckets_PLB_MRdErr;
input nfa_initials_buckets_PLB_MWrErr;
input nfa_initials_buckets_PLB_MIRQ;
input [0:63] nfa_initials_buckets_PLB_MRdDBus;
input [0:3] nfa_initials_buckets_PLB_MRdWdAddr;
input nfa_initials_buckets_PLB_MRdDAck;
input nfa_initials_buckets_PLB_MRdBTerm;
input nfa_initials_buckets_PLB_MWrDAck;
input nfa_initials_buckets_PLB_MWrBTerm;
input sample_buffer_MPLB_Clk;
input sample_buffer_MPLB_Rst;
output sample_buffer_M_request;
output [0:1] sample_buffer_M_priority;
output sample_buffer_M_busLock;
output sample_buffer_M_RNW;
output [0:7] sample_buffer_M_BE;
output [0:1] sample_buffer_M_MSize;
output [0:3] sample_buffer_M_size;
output [0:2] sample_buffer_M_type;
output [0:15] sample_buffer_M_TAttribute;
output sample_buffer_M_lockErr;
output sample_buffer_M_abort;
output [0:31] sample_buffer_M_UABus;
output [0:31] sample_buffer_M_ABus;
output [0:63] sample_buffer_M_wrDBus;
output sample_buffer_M_wrBurst;
output sample_buffer_M_rdBurst;
input sample_buffer_PLB_MAddrAck;
input [0:1] sample_buffer_PLB_MSSize;
input sample_buffer_PLB_MRearbitrate;
input sample_buffer_PLB_MTimeout;
input sample_buffer_PLB_MBusy;
input sample_buffer_PLB_MRdErr;
input sample_buffer_PLB_MWrErr;
input sample_buffer_PLB_MIRQ;
input [0:63] sample_buffer_PLB_MRdDBus;
input [0:3] sample_buffer_PLB_MRdWdAddr;
input sample_buffer_PLB_MRdDAck;
input sample_buffer_PLB_MRdBTerm;
input sample_buffer_PLB_MWrDAck;
input sample_buffer_PLB_MWrBTerm;
input splb_slv0_SPLB_Clk;
input splb_slv0_SPLB_Rst;
input [0:31] splb_slv0_PLB_ABus;
input [0:31] splb_slv0_PLB_UABus;
input splb_slv0_PLB_PAValid;
input splb_slv0_PLB_SAValid;
input splb_slv0_PLB_rdPrim;
input splb_slv0_PLB_wrPrim;
input [0:2] splb_slv0_PLB_masterID;
input splb_slv0_PLB_abort;
input splb_slv0_PLB_busLock;
input splb_slv0_PLB_RNW;
input [0:7] splb_slv0_PLB_BE;
input [0:1] splb_slv0_PLB_MSize;
input [0:3] splb_slv0_PLB_size;
input [0:2] splb_slv0_PLB_type;
input splb_slv0_PLB_lockErr;
input [0:63] splb_slv0_PLB_wrDBus;
input splb_slv0_PLB_wrBurst;
input splb_slv0_PLB_rdBurst;
input splb_slv0_PLB_wrPendReq;
input splb_slv0_PLB_rdPendReq;
input [0:1] splb_slv0_PLB_wrPendPri;
input [0:1] splb_slv0_PLB_rdPendPri;
input [0:1] splb_slv0_PLB_reqPri;
input [0:15] splb_slv0_PLB_TAttribute;
output splb_slv0_Sl_addrAck;
output [0:1] splb_slv0_Sl_SSize;
output splb_slv0_Sl_wait;
output splb_slv0_Sl_rearbitrate;
output splb_slv0_Sl_wrDAck;
output splb_slv0_Sl_wrComp;
output splb_slv0_Sl_wrBTerm;
output [0:63] splb_slv0_Sl_rdDBus;
output [0:3] splb_slv0_Sl_rdWdAddr;
output splb_slv0_Sl_rdDAck;
output splb_slv0_Sl_rdComp;
output splb_slv0_Sl_rdBTerm;
output [0:5] splb_slv0_Sl_MBusy;
output [0:5] splb_slv0_Sl_MWrErr;
output [0:5] splb_slv0_Sl_MRdErr;
output [0:5] splb_slv0_Sl_MIRQ;
nfa_accept_samples_generic_hw_top
#(
.RESET_ACTIVE_LOW ( 1 ),
.C_indices_REMOTE_DESTINATION_ADDRESS ( 32'h00000000 ),
.C_indices_AWIDTH ( 32 ),
.C_indices_DWIDTH ( 64 ),
.C_indices_NATIVE_DWIDTH ( 64 ),
.C_nfa_finals_buckets_REMOTE_DESTINATION_ADDRESS ( 32'h00000000 ),
.C_nfa_finals_buckets_AWIDTH ( 32 ),
.C_nfa_finals_buckets_DWIDTH ( 64 ),
.C_nfa_finals_buckets_NATIVE_DWIDTH ( 64 ),
.C_nfa_forward_buckets_REMOTE_DESTINATION_ADDRESS ( 32'h00000000 ),
.C_nfa_forward_buckets_AWIDTH ( 32 ),
.C_nfa_forward_buckets_DWIDTH ( 64 ),
.C_nfa_forward_buckets_NATIVE_DWIDTH ( 64 ),
.C_nfa_initials_buckets_REMOTE_DESTINATION_ADDRESS ( 32'h00000000 ),
.C_nfa_initials_buckets_AWIDTH ( 32 ),
.C_nfa_initials_buckets_DWIDTH ( 64 ),
.C_nfa_initials_buckets_NATIVE_DWIDTH ( 64 ),
.C_sample_buffer_REMOTE_DESTINATION_ADDRESS ( 32'h00000000 ),
.C_sample_buffer_AWIDTH ( 32 ),
.C_sample_buffer_DWIDTH ( 64 ),
.C_sample_buffer_NATIVE_DWIDTH ( 64 ),
.C_SPLB_SLV0_BASEADDR ( 32'hD3000000 ),
.C_SPLB_SLV0_HIGHADDR ( 32'hD30000FF ),
.C_SPLB_SLV0_AWIDTH ( 32 ),
.C_SPLB_SLV0_DWIDTH ( 64 ),
.C_SPLB_SLV0_NUM_MASTERS ( 6 ),
.C_SPLB_SLV0_MID_WIDTH ( 3 ),
.C_SPLB_SLV0_NATIVE_DWIDTH ( 32 ),
.C_SPLB_SLV0_P2P ( 0 ),
.C_SPLB_SLV0_SUPPORT_BURSTS ( 0 ),
.C_SPLB_SLV0_SMALLEST_MASTER ( 32 ),
.C_SPLB_SLV0_INCLUDE_DPHASE_TIMER ( 0 )
)
nfa_accept_samples_generic_hw_top_3 (
.aclk ( aclk ),
.aresetn ( aresetn ),
.indices_MPLB_Clk ( indices_MPLB_Clk ),
.indices_MPLB_Rst ( indices_MPLB_Rst ),
.indices_M_request ( indices_M_request ),
.indices_M_priority ( indices_M_priority ),
.indices_M_busLock ( indices_M_busLock ),
.indices_M_RNW ( indices_M_RNW ),
.indices_M_BE ( indices_M_BE ),
.indices_M_MSize ( indices_M_MSize ),
.indices_M_size ( indices_M_size ),
.indices_M_type ( indices_M_type ),
.indices_M_TAttribute ( indices_M_TAttribute ),
.indices_M_lockErr ( indices_M_lockErr ),
.indices_M_abort ( indices_M_abort ),
.indices_M_UABus ( indices_M_UABus ),
.indices_M_ABus ( indices_M_ABus ),
.indices_M_wrDBus ( indices_M_wrDBus ),
.indices_M_wrBurst ( indices_M_wrBurst ),
.indices_M_rdBurst ( indices_M_rdBurst ),
.indices_PLB_MAddrAck ( indices_PLB_MAddrAck ),
.indices_PLB_MSSize ( indices_PLB_MSSize ),
.indices_PLB_MRearbitrate ( indices_PLB_MRearbitrate ),
.indices_PLB_MTimeout ( indices_PLB_MTimeout ),
.indices_PLB_MBusy ( indices_PLB_MBusy ),
.indices_PLB_MRdErr ( indices_PLB_MRdErr ),
.indices_PLB_MWrErr ( indices_PLB_MWrErr ),
.indices_PLB_MIRQ ( indices_PLB_MIRQ ),
.indices_PLB_MRdDBus ( indices_PLB_MRdDBus ),
.indices_PLB_MRdWdAddr ( indices_PLB_MRdWdAddr ),
.indices_PLB_MRdDAck ( indices_PLB_MRdDAck ),
.indices_PLB_MRdBTerm ( indices_PLB_MRdBTerm ),
.indices_PLB_MWrDAck ( indices_PLB_MWrDAck ),
.indices_PLB_MWrBTerm ( indices_PLB_MWrBTerm ),
.nfa_finals_buckets_MPLB_Clk ( nfa_finals_buckets_MPLB_Clk ),
.nfa_finals_buckets_MPLB_Rst ( nfa_finals_buckets_MPLB_Rst ),
.nfa_finals_buckets_M_request ( nfa_finals_buckets_M_request ),
.nfa_finals_buckets_M_priority ( nfa_finals_buckets_M_priority ),
.nfa_finals_buckets_M_busLock ( nfa_finals_buckets_M_busLock ),
.nfa_finals_buckets_M_RNW ( nfa_finals_buckets_M_RNW ),
.nfa_finals_buckets_M_BE ( nfa_finals_buckets_M_BE ),
.nfa_finals_buckets_M_MSize ( nfa_finals_buckets_M_MSize ),
.nfa_finals_buckets_M_size ( nfa_finals_buckets_M_size ),
.nfa_finals_buckets_M_type ( nfa_finals_buckets_M_type ),
.nfa_finals_buckets_M_TAttribute ( nfa_finals_buckets_M_TAttribute ),
.nfa_finals_buckets_M_lockErr ( nfa_finals_buckets_M_lockErr ),
.nfa_finals_buckets_M_abort ( nfa_finals_buckets_M_abort ),
.nfa_finals_buckets_M_UABus ( nfa_finals_buckets_M_UABus ),
.nfa_finals_buckets_M_ABus ( nfa_finals_buckets_M_ABus ),
.nfa_finals_buckets_M_wrDBus ( nfa_finals_buckets_M_wrDBus ),
.nfa_finals_buckets_M_wrBurst ( nfa_finals_buckets_M_wrBurst ),
.nfa_finals_buckets_M_rdBurst ( nfa_finals_buckets_M_rdBurst ),
.nfa_finals_buckets_PLB_MAddrAck ( nfa_finals_buckets_PLB_MAddrAck ),
.nfa_finals_buckets_PLB_MSSize ( nfa_finals_buckets_PLB_MSSize ),
.nfa_finals_buckets_PLB_MRearbitrate ( nfa_finals_buckets_PLB_MRearbitrate ),
.nfa_finals_buckets_PLB_MTimeout ( nfa_finals_buckets_PLB_MTimeout ),
.nfa_finals_buckets_PLB_MBusy ( nfa_finals_buckets_PLB_MBusy ),
.nfa_finals_buckets_PLB_MRdErr ( nfa_finals_buckets_PLB_MRdErr ),
.nfa_finals_buckets_PLB_MWrErr ( nfa_finals_buckets_PLB_MWrErr ),
.nfa_finals_buckets_PLB_MIRQ ( nfa_finals_buckets_PLB_MIRQ ),
.nfa_finals_buckets_PLB_MRdDBus ( nfa_finals_buckets_PLB_MRdDBus ),
.nfa_finals_buckets_PLB_MRdWdAddr ( nfa_finals_buckets_PLB_MRdWdAddr ),
.nfa_finals_buckets_PLB_MRdDAck ( nfa_finals_buckets_PLB_MRdDAck ),
.nfa_finals_buckets_PLB_MRdBTerm ( nfa_finals_buckets_PLB_MRdBTerm ),
.nfa_finals_buckets_PLB_MWrDAck ( nfa_finals_buckets_PLB_MWrDAck ),
.nfa_finals_buckets_PLB_MWrBTerm ( nfa_finals_buckets_PLB_MWrBTerm ),
.nfa_forward_buckets_MPLB_Clk ( nfa_forward_buckets_MPLB_Clk ),
.nfa_forward_buckets_MPLB_Rst ( nfa_forward_buckets_MPLB_Rst ),
.nfa_forward_buckets_M_request ( nfa_forward_buckets_M_request ),
.nfa_forward_buckets_M_priority ( nfa_forward_buckets_M_priority ),
.nfa_forward_buckets_M_busLock ( nfa_forward_buckets_M_busLock ),
.nfa_forward_buckets_M_RNW ( nfa_forward_buckets_M_RNW ),
.nfa_forward_buckets_M_BE ( nfa_forward_buckets_M_BE ),
.nfa_forward_buckets_M_MSize ( nfa_forward_buckets_M_MSize ),
.nfa_forward_buckets_M_size ( nfa_forward_buckets_M_size ),
.nfa_forward_buckets_M_type ( nfa_forward_buckets_M_type ),
.nfa_forward_buckets_M_TAttribute ( nfa_forward_buckets_M_TAttribute ),
.nfa_forward_buckets_M_lockErr ( nfa_forward_buckets_M_lockErr ),
.nfa_forward_buckets_M_abort ( nfa_forward_buckets_M_abort ),
.nfa_forward_buckets_M_UABus ( nfa_forward_buckets_M_UABus ),
.nfa_forward_buckets_M_ABus ( nfa_forward_buckets_M_ABus ),
.nfa_forward_buckets_M_wrDBus ( nfa_forward_buckets_M_wrDBus ),
.nfa_forward_buckets_M_wrBurst ( nfa_forward_buckets_M_wrBurst ),
.nfa_forward_buckets_M_rdBurst ( nfa_forward_buckets_M_rdBurst ),
.nfa_forward_buckets_PLB_MAddrAck ( nfa_forward_buckets_PLB_MAddrAck ),
.nfa_forward_buckets_PLB_MSSize ( nfa_forward_buckets_PLB_MSSize ),
.nfa_forward_buckets_PLB_MRearbitrate ( nfa_forward_buckets_PLB_MRearbitrate ),
.nfa_forward_buckets_PLB_MTimeout ( nfa_forward_buckets_PLB_MTimeout ),
.nfa_forward_buckets_PLB_MBusy ( nfa_forward_buckets_PLB_MBusy ),
.nfa_forward_buckets_PLB_MRdErr ( nfa_forward_buckets_PLB_MRdErr ),
.nfa_forward_buckets_PLB_MWrErr ( nfa_forward_buckets_PLB_MWrErr ),
.nfa_forward_buckets_PLB_MIRQ ( nfa_forward_buckets_PLB_MIRQ ),
.nfa_forward_buckets_PLB_MRdDBus ( nfa_forward_buckets_PLB_MRdDBus ),
.nfa_forward_buckets_PLB_MRdWdAddr ( nfa_forward_buckets_PLB_MRdWdAddr ),
.nfa_forward_buckets_PLB_MRdDAck ( nfa_forward_buckets_PLB_MRdDAck ),
.nfa_forward_buckets_PLB_MRdBTerm ( nfa_forward_buckets_PLB_MRdBTerm ),
.nfa_forward_buckets_PLB_MWrDAck ( nfa_forward_buckets_PLB_MWrDAck ),
.nfa_forward_buckets_PLB_MWrBTerm ( nfa_forward_buckets_PLB_MWrBTerm ),
.nfa_initials_buckets_MPLB_Clk ( nfa_initials_buckets_MPLB_Clk ),
.nfa_initials_buckets_MPLB_Rst ( nfa_initials_buckets_MPLB_Rst ),
.nfa_initials_buckets_M_request ( nfa_initials_buckets_M_request ),
.nfa_initials_buckets_M_priority ( nfa_initials_buckets_M_priority ),
.nfa_initials_buckets_M_busLock ( nfa_initials_buckets_M_busLock ),
.nfa_initials_buckets_M_RNW ( nfa_initials_buckets_M_RNW ),
.nfa_initials_buckets_M_BE ( nfa_initials_buckets_M_BE ),
.nfa_initials_buckets_M_MSize ( nfa_initials_buckets_M_MSize ),
.nfa_initials_buckets_M_size ( nfa_initials_buckets_M_size ),
.nfa_initials_buckets_M_type ( nfa_initials_buckets_M_type ),
.nfa_initials_buckets_M_TAttribute ( nfa_initials_buckets_M_TAttribute ),
.nfa_initials_buckets_M_lockErr ( nfa_initials_buckets_M_lockErr ),
.nfa_initials_buckets_M_abort ( nfa_initials_buckets_M_abort ),
.nfa_initials_buckets_M_UABus ( nfa_initials_buckets_M_UABus ),
.nfa_initials_buckets_M_ABus ( nfa_initials_buckets_M_ABus ),
.nfa_initials_buckets_M_wrDBus ( nfa_initials_buckets_M_wrDBus ),
.nfa_initials_buckets_M_wrBurst ( nfa_initials_buckets_M_wrBurst ),
.nfa_initials_buckets_M_rdBurst ( nfa_initials_buckets_M_rdBurst ),
.nfa_initials_buckets_PLB_MAddrAck ( nfa_initials_buckets_PLB_MAddrAck ),
.nfa_initials_buckets_PLB_MSSize ( nfa_initials_buckets_PLB_MSSize ),
.nfa_initials_buckets_PLB_MRearbitrate ( nfa_initials_buckets_PLB_MRearbitrate ),
.nfa_initials_buckets_PLB_MTimeout ( nfa_initials_buckets_PLB_MTimeout ),
.nfa_initials_buckets_PLB_MBusy ( nfa_initials_buckets_PLB_MBusy ),
.nfa_initials_buckets_PLB_MRdErr ( nfa_initials_buckets_PLB_MRdErr ),
.nfa_initials_buckets_PLB_MWrErr ( nfa_initials_buckets_PLB_MWrErr ),
.nfa_initials_buckets_PLB_MIRQ ( nfa_initials_buckets_PLB_MIRQ ),
.nfa_initials_buckets_PLB_MRdDBus ( nfa_initials_buckets_PLB_MRdDBus ),
.nfa_initials_buckets_PLB_MRdWdAddr ( nfa_initials_buckets_PLB_MRdWdAddr ),
.nfa_initials_buckets_PLB_MRdDAck ( nfa_initials_buckets_PLB_MRdDAck ),
.nfa_initials_buckets_PLB_MRdBTerm ( nfa_initials_buckets_PLB_MRdBTerm ),
.nfa_initials_buckets_PLB_MWrDAck ( nfa_initials_buckets_PLB_MWrDAck ),
.nfa_initials_buckets_PLB_MWrBTerm ( nfa_initials_buckets_PLB_MWrBTerm ),
.sample_buffer_MPLB_Clk ( sample_buffer_MPLB_Clk ),
.sample_buffer_MPLB_Rst ( sample_buffer_MPLB_Rst ),
.sample_buffer_M_request ( sample_buffer_M_request ),
.sample_buffer_M_priority ( sample_buffer_M_priority ),
.sample_buffer_M_busLock ( sample_buffer_M_busLock ),
.sample_buffer_M_RNW ( sample_buffer_M_RNW ),
.sample_buffer_M_BE ( sample_buffer_M_BE ),
.sample_buffer_M_MSize ( sample_buffer_M_MSize ),
.sample_buffer_M_size ( sample_buffer_M_size ),
.sample_buffer_M_type ( sample_buffer_M_type ),
.sample_buffer_M_TAttribute ( sample_buffer_M_TAttribute ),
.sample_buffer_M_lockErr ( sample_buffer_M_lockErr ),
.sample_buffer_M_abort ( sample_buffer_M_abort ),
.sample_buffer_M_UABus ( sample_buffer_M_UABus ),
.sample_buffer_M_ABus ( sample_buffer_M_ABus ),
.sample_buffer_M_wrDBus ( sample_buffer_M_wrDBus ),
.sample_buffer_M_wrBurst ( sample_buffer_M_wrBurst ),
.sample_buffer_M_rdBurst ( sample_buffer_M_rdBurst ),
.sample_buffer_PLB_MAddrAck ( sample_buffer_PLB_MAddrAck ),
.sample_buffer_PLB_MSSize ( sample_buffer_PLB_MSSize ),
.sample_buffer_PLB_MRearbitrate ( sample_buffer_PLB_MRearbitrate ),
.sample_buffer_PLB_MTimeout ( sample_buffer_PLB_MTimeout ),
.sample_buffer_PLB_MBusy ( sample_buffer_PLB_MBusy ),
.sample_buffer_PLB_MRdErr ( sample_buffer_PLB_MRdErr ),
.sample_buffer_PLB_MWrErr ( sample_buffer_PLB_MWrErr ),
.sample_buffer_PLB_MIRQ ( sample_buffer_PLB_MIRQ ),
.sample_buffer_PLB_MRdDBus ( sample_buffer_PLB_MRdDBus ),
.sample_buffer_PLB_MRdWdAddr ( sample_buffer_PLB_MRdWdAddr ),
.sample_buffer_PLB_MRdDAck ( sample_buffer_PLB_MRdDAck ),
.sample_buffer_PLB_MRdBTerm ( sample_buffer_PLB_MRdBTerm ),
.sample_buffer_PLB_MWrDAck ( sample_buffer_PLB_MWrDAck ),
.sample_buffer_PLB_MWrBTerm ( sample_buffer_PLB_MWrBTerm ),
.splb_slv0_SPLB_Clk ( splb_slv0_SPLB_Clk ),
.splb_slv0_SPLB_Rst ( splb_slv0_SPLB_Rst ),
.splb_slv0_PLB_ABus ( splb_slv0_PLB_ABus ),
.splb_slv0_PLB_UABus ( splb_slv0_PLB_UABus ),
.splb_slv0_PLB_PAValid ( splb_slv0_PLB_PAValid ),
.splb_slv0_PLB_SAValid ( splb_slv0_PLB_SAValid ),
.splb_slv0_PLB_rdPrim ( splb_slv0_PLB_rdPrim ),
.splb_slv0_PLB_wrPrim ( splb_slv0_PLB_wrPrim ),
.splb_slv0_PLB_masterID ( splb_slv0_PLB_masterID ),
.splb_slv0_PLB_abort ( splb_slv0_PLB_abort ),
.splb_slv0_PLB_busLock ( splb_slv0_PLB_busLock ),
.splb_slv0_PLB_RNW ( splb_slv0_PLB_RNW ),
.splb_slv0_PLB_BE ( splb_slv0_PLB_BE ),
.splb_slv0_PLB_MSize ( splb_slv0_PLB_MSize ),
.splb_slv0_PLB_size ( splb_slv0_PLB_size ),
.splb_slv0_PLB_type ( splb_slv0_PLB_type ),
.splb_slv0_PLB_lockErr ( splb_slv0_PLB_lockErr ),
.splb_slv0_PLB_wrDBus ( splb_slv0_PLB_wrDBus ),
.splb_slv0_PLB_wrBurst ( splb_slv0_PLB_wrBurst ),
.splb_slv0_PLB_rdBurst ( splb_slv0_PLB_rdBurst ),
.splb_slv0_PLB_wrPendReq ( splb_slv0_PLB_wrPendReq ),
.splb_slv0_PLB_rdPendReq ( splb_slv0_PLB_rdPendReq ),
.splb_slv0_PLB_wrPendPri ( splb_slv0_PLB_wrPendPri ),
.splb_slv0_PLB_rdPendPri ( splb_slv0_PLB_rdPendPri ),
.splb_slv0_PLB_reqPri ( splb_slv0_PLB_reqPri ),
.splb_slv0_PLB_TAttribute ( splb_slv0_PLB_TAttribute ),
.splb_slv0_Sl_addrAck ( splb_slv0_Sl_addrAck ),
.splb_slv0_Sl_SSize ( splb_slv0_Sl_SSize ),
.splb_slv0_Sl_wait ( splb_slv0_Sl_wait ),
.splb_slv0_Sl_rearbitrate ( splb_slv0_Sl_rearbitrate ),
.splb_slv0_Sl_wrDAck ( splb_slv0_Sl_wrDAck ),
.splb_slv0_Sl_wrComp ( splb_slv0_Sl_wrComp ),
.splb_slv0_Sl_wrBTerm ( splb_slv0_Sl_wrBTerm ),
.splb_slv0_Sl_rdDBus ( splb_slv0_Sl_rdDBus ),
.splb_slv0_Sl_rdWdAddr ( splb_slv0_Sl_rdWdAddr ),
.splb_slv0_Sl_rdDAck ( splb_slv0_Sl_rdDAck ),
.splb_slv0_Sl_rdComp ( splb_slv0_Sl_rdComp ),
.splb_slv0_Sl_rdBTerm ( splb_slv0_Sl_rdBTerm ),
.splb_slv0_Sl_MBusy ( splb_slv0_Sl_MBusy ),
.splb_slv0_Sl_MWrErr ( splb_slv0_Sl_MWrErr ),
.splb_slv0_Sl_MRdErr ( splb_slv0_Sl_MRdErr ),
.splb_slv0_Sl_MIRQ ( splb_slv0_Sl_MIRQ )
);
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__O21A_BEHAVIORAL_V
`define SKY130_FD_SC_LP__O21A_BEHAVIORAL_V
/**
* o21a: 2-input OR into first input of 2-input AND.
*
* X = ((A1 | A2) & B1)
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_lp__o21a (
X ,
A1,
A2,
B1
);
// Module ports
output X ;
input A1;
input A2;
input B1;
// Module supplies
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
// Local signals
wire or0_out ;
wire and0_out_X;
// Name Output Other arguments
or or0 (or0_out , A2, A1 );
and and0 (and0_out_X, or0_out, B1 );
buf buf0 (X , and0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__O21A_BEHAVIORAL_V |
// (C) 2001-2018 Intel Corporation. All rights reserved.
// Your use of Intel Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions, and any output
// files from any of the foregoing (including device programming or simulation
// files), and any associated documentation or information are expressly subject
// to the terms and conditions of the Intel Program License Subscription
// Agreement, Intel FPGA IP License Agreement, or other applicable
// license agreement, including, without limitation, that your use is for the
// sole purpose of programming logic devices manufactured by Intel and sold by
// Intel or its authorized distributors. Please refer to the applicable
// agreement for further details.
// $File: //acds/rel/18.1std/ip/avalon_st/altera_avalon_st_pipeline_stage/altera_avalon_st_pipeline_base.v $
// $Revision: #1 $
// $Date: 2018/07/18 $
// $Author: psgswbuild $
//------------------------------------------------------------------------------
`timescale 1ns / 1ns
module altera_avalon_st_pipeline_base (
clk,
reset,
in_ready,
in_valid,
in_data,
out_ready,
out_valid,
out_data
);
parameter SYMBOLS_PER_BEAT = 1;
parameter BITS_PER_SYMBOL = 8;
parameter PIPELINE_READY = 1;
localparam DATA_WIDTH = SYMBOLS_PER_BEAT * BITS_PER_SYMBOL;
input clk;
input reset;
output in_ready;
input in_valid;
input [DATA_WIDTH-1:0] in_data;
input out_ready;
output out_valid;
output [DATA_WIDTH-1:0] out_data;
reg full0;
reg full1;
reg [DATA_WIDTH-1:0] data0;
reg [DATA_WIDTH-1:0] data1;
assign out_valid = full1;
assign out_data = data1;
generate if (PIPELINE_READY == 1)
begin : REGISTERED_READY_PLINE
assign in_ready = !full0;
always @(posedge clk, posedge reset) begin
if (reset) begin
data0 <= {DATA_WIDTH{1'b0}};
data1 <= {DATA_WIDTH{1'b0}};
end else begin
// ----------------------------
// always load the second slot if we can
// ----------------------------
if (~full0)
data0 <= in_data;
// ----------------------------
// first slot is loaded either from the second,
// or with new data
// ----------------------------
if (~full1 || (out_ready && out_valid)) begin
if (full0)
data1 <= data0;
else
data1 <= in_data;
end
end
end
always @(posedge clk or posedge reset) begin
if (reset) begin
full0 <= 1'b0;
full1 <= 1'b0;
end else begin
// no data in pipeline
if (~full0 & ~full1) begin
if (in_valid) begin
full1 <= 1'b1;
end
end // ~f1 & ~f0
// one datum in pipeline
if (full1 & ~full0) begin
if (in_valid & ~out_ready) begin
full0 <= 1'b1;
end
// back to empty
if (~in_valid & out_ready) begin
full1 <= 1'b0;
end
end // f1 & ~f0
// two data in pipeline
if (full1 & full0) begin
// go back to one datum state
if (out_ready) begin
full0 <= 1'b0;
end
end // end go back to one datum stage
end
end
end
else
begin : UNREGISTERED_READY_PLINE
// in_ready will be a pass through of the out_ready signal as it is not registered
assign in_ready = (~full1) | out_ready;
always @(posedge clk or posedge reset) begin
if (reset) begin
data1 <= 'b0;
full1 <= 1'b0;
end
else begin
if (in_ready) begin
data1 <= in_data;
full1 <= in_valid;
end
end
end
end
endgenerate
endmodule
|
//-----------------------------------------------------
// Design Name : hw1_B_testbench
// File Name : hw1_B_testbench.v
// Function : This program will test hw1_B.v
// Coder : hydai
//-----------------------------------------------------
`timescale 1 ns/1 ns
`include "hw1_B.v"
module hw1_B_testbench ;
reg [15:0] data;
reg [15:0] control;
reg clk, rst_n;
wire [15:0] R0, R1, R2, R3;
hw1_B testB(data,
control,
clk,
rst_n,
R0,
R1,
R2,
R3);
initial begin
#0 rst_n = 1'b0; clk = 1'b0; control = 16'h0000;
$display ("====================================================================");
$display ("Simulate hw1_B");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
#20 rst_n = 1'b1;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
// R0 <- 0x0101
#20 data = 16'h0101; control = 16'b011_111_111_111_0001;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
// R0 <- 0x0202; R1 <- R0
#20 data = 16'h0202; control = 16'b011_000_111_111_0011;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
// R0 <- 0x0303, R1 <- R0, R2 <- R1
#20 data = 16'h0303; control = 16'b011_000_001_111_0111;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
// R0 <- 0x0404, R1 <- R0, R2 <- R1, R3 <- R2
#20 data = 16'h0404; control = 16'b011_000_001_010_1111;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
// R0 <- R3, R1 <- R0, R2 <- R1, R3 <- R2
#20 control = 16'b010_000_001_010_1111;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
// R0 <- R3, R1 <- R0, R2 <- R1, R3 <- R2
#20 control = 16'b010_000_001_010_1111;
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
#20
$display ("====================================================================");
$display ("Time %t status", $time);
$display ("rst_n = %b\tdata = %h\tcontrol = %b\nR0 = %h\tR1 = %h\tR2 = %h\tR3 = %h",
rst_n, data, control, R0, R1, R2, R3);
$display ("====================================================================");
#40 $finish;
end
always begin
#10 clk = ~clk;
end
initial begin
$fsdbDumpfile("hw1_B_testbench.fsdb");
$fsdbDumpvars;
end
endmodule // End of Module hw1_B_testbench
|
/*
Anthony De Caria - June 15, 2014
This module is the structure that interfaces NIOS-II with two AD7264 A-D-Cs.
This is done using SPI protocol.
It takes key signals from NIOS (CPOL, CPHA, ss), and transforms them into SCLK and SS signals.
In addition, it also creates the structures needed to collect and transmit data to and from the AD7264s
A 16-bit serializer for transmitting.
And two 14-bit deserializers for receiving, as the AD7264 is a dual channel device.
*/
module ADCConnector
(
SPIClock, resetn,
CPOL, CPHA, ss,
SCLK, SS, MOSI1, MISO1A, MISO1B, MOSI2, MISO2A, MISO2B,
dataOutOfMaster1, dataIntoMaster1A, dataIntoMaster1B, dataOutOfMaster2, dataIntoMaster2A, dataIntoMaster2B,
masterSaysLoad1, masterSaysLoad2, finishedCycling, loadedData1, loadedData2
);
/*
I/Os
*/
// General I/Os //
input SPIClock;
input resetn;
// CPU I/Os //
input CPOL;
input CPHA;
input ss;
input masterSaysLoad1;
input masterSaysLoad2;
output finishedCycling;
output loadedData1;
output loadedData2;
// Data I/Os //
input [15:0]dataOutOfMaster1;
output [13:0]dataIntoMaster1A;
output [13:0]dataIntoMaster1B;
input [15:0]dataOutOfMaster2;
output [13:0]dataIntoMaster2A;
output [13:0]dataIntoMaster2B;
// SPI I/Os //
output SCLK;
output SS;
output MOSI1;
input MISO1A;
input MISO1B;
output MOSI2;
input MISO2A;
input MISO2B;
// Intra-Connector wires //
wire [5:0] master_counter_bit;
wire Des_en, Ser_en;
wire inboxLineIn1A, inboxLineIn1B, inboxLineIn2A, inboxLineIn2B, outboxLineOut1, outboxLineOut2;
wire [15:0] outboxQ1, outboxQ2;
wire registerSignal;
// Early assignments //
assign SS = ss;
assign Ser_en = ~master_counter_bit[5] & ~master_counter_bit[4];
assign Des_en = (~master_counter_bit[5] & master_counter_bit[4] & (master_counter_bit[3] | master_counter_bit[2] | master_counter_bit[1] & master_counter_bit[0]) ) | (master_counter_bit[5] & ~master_counter_bit[4] & ~master_counter_bit[3] & ~master_counter_bit[2] & ~master_counter_bit[1] & ~master_counter_bit[0]);
assign finishedCycling = master_counter_bit[5];
assign loadedData1 = (outboxQ1 == dataOutOfMaster1)? 1'b1: 1'b0;
// assign loadedData2 = (outboxQ2 == dataOutOfMaster2)? 1'b1: 1'b0;
assign loadedData2 = 1'b1;
assign outboxLineOut1 = outboxQ1[15];
assign outboxLineOut2 = outboxQ2[15];
/*
Counter
This is the counter that will be used to pace out the sending out and receiving parts of the
*/
Six_Bit_Counter_Enable_Async PocketWatch
(
.clk(SPIClock),
.resetn(resetn & ~SS),
.enable(~SS & ~(master_counter_bit[5] & ~master_counter_bit[4] & ~master_counter_bit[3] & ~master_counter_bit[2] & ~master_counter_bit[1] & master_counter_bit[0]) ),
.q(master_counter_bit)
);
/*
Signal Makers
*/
SCLKMaker TimeLord
(
.Clk(SPIClock),
.S(ss),
.CPOL(CPOL),
.SCLK(SCLK)
);
SPIRSMaker Level
(
.CPHA(CPHA),
.CPOL(CPOL),
.RS(registerSignal)
);
/*
Serializers
*/
Shift_Register_16_Enable_Async_Muxed OutBox1
(
.clk(~(SPIClock ^ registerSignal)),
.resetn(resetn),
.enable(Ser_en),
.select(masterSaysLoad1),
.d(dataOutOfMaster1),
.q(outboxQ1)
);
/*
Deserializers
*/
Shift_Register_14_Enable_Async InBox1A
(
.clk(~(SPIClock ^ registerSignal)),
.resetn(resetn),
.enable(Des_en),
.d(inboxLineIn1A),
.q(dataIntoMaster1A)
);
Shift_Register_14_Enable_Async InBox1B
(
.clk(~(SPIClock ^ registerSignal)),
.resetn(resetn),
.enable(Des_en),
.d(inboxLineIn1B),
.q(dataIntoMaster1B)
);
/*
Tri-state buffers
*/
TriStateBuffer_2_1bit BorderGuardOut1
(
.In(outboxLineOut1),
.Select(Ser_en),
.Out(MOSI1)
);
TriStateBuffer_2_1bit BorderGuardIn1A
(
.In(MISO1A),
.Select(Des_en),
.Out(inboxLineIn1A)
);
TriStateBuffer_2_1bit BorderGuardIn1B
(
.In(MISO1B),
.Select(Des_en),
.Out(inboxLineIn1B)
);
endmodule
|
///////////////////////////////////////////////////////////////////////////////
// (c) Copyright 2008 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//
///////////////////////////////////////////////////////////////////////////////
//
// GLOBAL_LOGIC
//
//
// Description: The GLOBAL_LOGIC module handles channel bonding, channel
// verification, channel error manangement and idle generation.
//
// This module supports 4 2-byte lane designs
//
`timescale 1 ns / 1 ps
module aur1_GLOBAL_LOGIC
(
// GTP Interface
CH_BOND_DONE,
EN_CHAN_SYNC,
// Aurora Lane Interface
LANE_UP,
SOFT_ERROR,
HARD_ERROR,
CHANNEL_BOND_LOAD,
GOT_A,
GOT_V,
GEN_A,
GEN_K,
GEN_R,
GEN_V,
RESET_LANES,
// System Interface
USER_CLK,
RESET,
POWER_DOWN,
CHANNEL_UP,
START_RX,
CHANNEL_SOFT_ERROR,
CHANNEL_HARD_ERROR
);
`define DLY #1
//***********************************Port Declarations*******************************
// GTP Interface
input [0:3] CH_BOND_DONE;
output EN_CHAN_SYNC;
// Aurora Lane Interface
input [0:3] SOFT_ERROR;
input [0:3] LANE_UP;
input [0:3] HARD_ERROR;
input [0:3] CHANNEL_BOND_LOAD;
input [0:7] GOT_A;
input [0:3] GOT_V;
output [0:3] GEN_A;
output [0:7] GEN_K;
output [0:7] GEN_R;
output [0:7] GEN_V;
output [0:3] RESET_LANES;
// System Interface
input USER_CLK;
input RESET;
input POWER_DOWN;
output CHANNEL_UP;
output START_RX;
output CHANNEL_SOFT_ERROR;
output CHANNEL_HARD_ERROR;
//*********************************Wire Declarations**********************************
wire gen_ver_i;
wire reset_channel_i;
wire did_ver_i;
//*********************************Main Body of Code**********************************
// State Machine for channel bonding and verification.
aur1_CHANNEL_INIT_SM channel_init_sm_i
(
// GTP Interface
.CH_BOND_DONE(CH_BOND_DONE),
.EN_CHAN_SYNC(EN_CHAN_SYNC),
// Aurora Lane Interface
.CHANNEL_BOND_LOAD(CHANNEL_BOND_LOAD),
.GOT_A(GOT_A),
.GOT_V(GOT_V),
.RESET_LANES(RESET_LANES),
// System Interface
.USER_CLK(USER_CLK),
.RESET(RESET),
.START_RX(START_RX),
.CHANNEL_UP(CHANNEL_UP),
// Idle and Verification Sequence Generator Interface
.DID_VER(did_ver_i),
.GEN_VER(gen_ver_i),
// Channel Error Management Module Interface
.RESET_CHANNEL(reset_channel_i)
);
// Idle and verification sequence generator module.
aur1_IDLE_AND_VER_GEN idle_and_ver_gen_i
(
// Channel Init SM Interface
.GEN_VER(gen_ver_i),
.DID_VER(did_ver_i),
// Aurora Lane Interface
.GEN_A(GEN_A),
.GEN_K(GEN_K),
.GEN_R(GEN_R),
.GEN_V(GEN_V),
// System Interface
.RESET(RESET),
.USER_CLK(USER_CLK)
);
// Channel Error Management module.
aur1_CHANNEL_ERROR_DETECT channel_error_detect_i
(
// Aurora Lane Interface
.SOFT_ERROR(SOFT_ERROR),
.HARD_ERROR(HARD_ERROR),
.LANE_UP(LANE_UP),
// System Interface
.USER_CLK(USER_CLK),
.POWER_DOWN(POWER_DOWN),
.CHANNEL_SOFT_ERROR(CHANNEL_SOFT_ERROR),
.CHANNEL_HARD_ERROR(CHANNEL_HARD_ERROR),
// Channel Init State Machine Interface
.RESET_CHANNEL(reset_channel_i)
);
endmodule
|
// (C) 2001-2015 Altera Corporation. All rights reserved.
// Your use of Altera Corporation's design tools, logic functions and other
// software and tools, and its AMPP partner logic functions, and any output
// files any of the foregoing (including device programming or simulation
// files), and any associated documentation or information are expressly subject
// to the terms and conditions of the Altera Program License Subscription
// Agreement, Altera MegaCore Function License Agreement, or other applicable
// license agreement, including, without limitation, that your use is for the
// sole purpose of programming logic devices manufactured by Altera and sold by
// Altera or its authorized distributors. Please refer to the applicable
// agreement for further details.
`timescale 1 ps / 1 ps
module rw_manager_lfsr72(
clk,
nrst,
ena,
word
);
input clk;
input nrst;
input ena;
output reg [71:0] word;
always @(posedge clk or negedge nrst) begin
if(~nrst) begin
word <= 72'hAAF0F0AA55F0F0AA55;
end
else if(ena) begin
word[71] <= word[0];
word[70:66] <= word[71:67];
word[65] <= word[66] ^ word[0];
word[64:25] <= word[65:26];
word[24] <= word[25] ^ word[0];
word[23:19] <= word[24:20];
word[18] <= word[19] ^ word[0];
word[17:0] <= word[18:1];
end
end
endmodule
|
// megafunction wizard: %ALTPLL%VBB%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altpll
// ============================================================
// File Name: frame_rate.v
// Megafunction Name(s):
// altpll
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 15.1.0 Build 185 10/21/2015 SJ Lite Edition
// ************************************************************
//Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, the Altera Quartus Prime License Agreement,
//the Altera MegaCore Function License Agreement, or other
//applicable license agreement, including, without limitation,
//that your use is for the sole purpose of programming logic
//devices manufactured by Altera and sold by Altera or its
//authorized distributors. Please refer to the applicable
//agreement for further details.
module frame_rate (
inclk0,
c0,
c1,
locked);
input inclk0;
output c0;
output c1;
output locked;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c1"
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "10000"
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "0.005000"
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "0.010000"
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "ps"
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "0.00500000"
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "0.01000000"
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ps"
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "frame_rate.mif"
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "10000"
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "5000"
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1"
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK1"
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON"
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate.ppf TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate_inst.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL frame_rate_bb.v TRUE
// Retrieval info: LIB_FILE: altera_mf
// Retrieval info: CBX_MODULE_PREFIX: ON
|
//reads data and puts it on out
module usb_input(clk,reset,data,rd,rxf,out,newout,hold,state);
input clk, reset; //clock and reset
input [7:0] data; //the data pins from the USB fifo
input rxf; //the rxf pin from the USB fifo
output rd; //the rd pin from the USB fifo
reg rd;
output[7:0] out; //this is where data goes when it has been read from the fifo
reg[7:0] out;
output newout; //when this is high, out contains a new chunk of data
reg newout;
input hold; //as long as hold is high, this module sits
//still module and will not accept new data from the fifo
output state; //for debugging purposes
reg[3:0] state;
parameter RESET = 0; //state data
parameter WAIT = 1;
parameter WAIT2 = 2;
parameter WAIT3 = 3;
parameter DATA_COMING = 4;
parameter DATA_COMING_2 = 5;
parameter DATA_COMING_3 = 6;
parameter DATA_COMING_4 = 7;
parameter DATA_COMING_5 = 8;
parameter DATA_HERE = 9;
parameter DATA_LEAVING =10;
parameter DATA_LEAVING_2=11;
parameter DATA_LEAVING_3=12;
parameter DATA_LEAVING_4=13;
parameter DATA_LEAVING_5=14;
parameter DATA_LEAVING_6=15;
initial
state <= WAIT;
always @ (posedge clk)
if(reset)
begin
newout <= 0;
rd <= 1; //we can't read data
state <= WAIT;
end
else
if(~hold)
begin
newout <= 0;
case(state)
WAIT:
if(~rxf) //if rxf is low and nobody's asking us to wait then there is data waiting for us
begin
rd <= 1; //so ask for it
state <= WAIT2; //and start waiting for it
end
WAIT2:
if(~rxf) //double check
begin
rd <= 1;
state <= WAIT3;
end
else
state <= WAIT;
WAIT3:
if(~rxf) //and triple check (should only need one, but oh well...)
begin
rd <= 0;
state <= DATA_COMING;
end
else
state <= WAIT;
DATA_COMING: //once rd goes low we gotta wait a bit for the data to stabilize
state <= DATA_COMING_2;
DATA_COMING_2:
state <= DATA_COMING_3;
DATA_COMING_3:
state <= DATA_HERE;
DATA_HERE:
begin
out <= data; //the data is valid by now so read it
state <= DATA_LEAVING;
newout <= 1; //let folks know we've got new data
end
DATA_LEAVING: //wait a cycle to clear the data to make sure we latch onto it correctly
begin
//rd <= 1; // ORIGINAL
state <= DATA_LEAVING_2;
newout <= 0; //let folks know the data's a clock cycle old now
end
DATA_LEAVING_2: //wait another cycle to make sure that the RD to RD pre-charge time is met
state <= DATA_LEAVING_3;
DATA_LEAVING_3: //wait another cycle to make sure that the RD to RD pre-charge time is met
state <= DATA_LEAVING_4;
DATA_LEAVING_4: //wait another cycle to make sure that the RD to RD pre-charge time is met
state <= DATA_LEAVING_5;
DATA_LEAVING_5: //wait another cycle to make sure that the RD to RD pre-charge time is met
state <= DATA_LEAVING_6;
DATA_LEAVING_6: //wait another cycle to make sure that the RD to RD pre-charge time is met
begin
state <= WAIT;
rd <= 1;
end
default:
state <= WAIT;
endcase
end
endmodule |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__DFXTP_PP_SYMBOL_V
`define SKY130_FD_SC_HS__DFXTP_PP_SYMBOL_V
/**
* dfxtp: Delay flop, single output.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__dfxtp (
//# {{data|Data Signals}}
input D ,
output Q ,
//# {{clocks|Clocking}}
input CLK ,
//# {{power|Power}}
input VPWR,
input VGND
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__DFXTP_PP_SYMBOL_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__OR2B_PP_SYMBOL_V
`define SKY130_FD_SC_HDLL__OR2B_PP_SYMBOL_V
/**
* or2b: 2-input OR, first input inverted.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__or2b (
//# {{data|Data Signals}}
input A ,
input B_N ,
output X ,
//# {{power|Power}}
input VPB ,
input VPWR,
input VGND,
input VNB
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__OR2B_PP_SYMBOL_V
|
module write(clk, vsel, write, writenum, C, mdata, sximm8, PC, reg0, reg1, reg2, reg3, reg4, reg5, reg6, reg7);
parameter width= 1;
input clk, write;
input [1:0] vsel;
input [2:0] writenum;
input [7:0] PC;
input [15:0] C, mdata, sximm8;
output [15:0] reg0, reg1, reg2, reg3, reg4, reg5, reg6, reg7;
reg [15:0] data_in;
reg [7:0] regSelect;
//Update based on clock
//regSelect chooses which register to update -> 1 means update
always @(*) begin
case(writenum)
3'b000: regSelect= write? 8'b00000001: 8'b00000000; //if write= 1 and writenum= 000
3'b001: regSelect= write? 8'b00000010: 8'b00000000; //if write= 1 and writenum= 001
3'b010: regSelect= write? 8'b00000100: 8'b00000000; //if write= 1 and writenum= 010
3'b011: regSelect= write? 8'b00001000: 8'b00000000; //if write= 1 and writenum= 011
3'b100: regSelect= write? 8'b00010000: 8'b00000000; //if write= 1 and writenum= 100
3'b101: regSelect= write? 8'b00100000: 8'b00000000; //if write= 1 and writenum= 101
3'b110: regSelect= write? 8'b01000000: 8'b00000000; //if write= 1 and writenum= 110
3'b111: regSelect= write? 8'b10000000: 8'b00000000; //if write= 1 and writenum= 111
default: regSelect= {8{1'bx}}; //default all x
endcase
end
//Update registers on a clock
DFlipFlopAllow #(.width(width)) loadreg0Data(clk, regSelect[0], data_in, reg0);
DFlipFlopAllow #(.width(width)) loadreg1Data(clk, regSelect[1], data_in, reg1);
DFlipFlopAllow #(.width(width)) loadreg2Data(clk, regSelect[2], data_in, reg2);
DFlipFlopAllow #(.width(width)) loadreg3Data(clk, regSelect[3], data_in, reg3);
DFlipFlopAllow #(.width(width)) loadreg4Data(clk, regSelect[4], data_in, reg4);
DFlipFlopAllow #(.width(width)) loadreg5Data(clk, regSelect[5], data_in, reg5);
DFlipFlopAllow #(.width(width)) loadreg6Data(clk, regSelect[6], data_in, reg6);
DFlipFlopAllow #(.width(width)) loadreg7Data(clk, regSelect[7], data_in, reg7);
//update data_in depending on value of vsel
always @(*) begin
case(vsel)
2'b00: data_in= mdata;
2'b01: data_in= sximm8;
2'b10: data_in= {8'b00000000, PC};
2'b11: data_in= C;
default: data_in={16{1'bx}};
endcase
end
endmodule
|
/*
File: fifo_empty_block.v
This file is part of the Parallella FPGA Reference Design.
Copyright (C) 2013 Adapteva, Inc.
Contributed by Roman Trogan <[email protected]>
This program is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with this program (see the file COPYING). If not, see
<http://www.gnu.org/licenses/>.
*/
module fifo_empty_block (/*AUTOARG*/
// Outputs
rd_fifo_empty, rd_addr, rd_gray_pointer,
// Inputs
reset, rd_clk, rd_wr_gray_pointer, rd_read
);
parameter AW = 2; // Number of bits to access all the entries
//##########
//# INPUTS
//##########
input reset;
input rd_clk;
input [AW:0] rd_wr_gray_pointer;//from other clock domain
input rd_read;
//###########
//# OUTPUTS
//###########
output rd_fifo_empty;
output [AW-1:0] rd_addr;
output [AW:0] rd_gray_pointer;
//#########
//# REGS
//#########
reg [AW:0] rd_gray_pointer;
reg [AW:0] rd_binary_pointer;
reg rd_fifo_empty;
//##########
//# WIRES
//##########
wire rd_fifo_empty_next;
wire [AW:0] rd_binary_next;
wire [AW:0] rd_gray_next;
//Counter States
always @(posedge rd_clk or posedge reset)
if(reset)
begin
rd_binary_pointer[AW:0] <= {(AW+1){1'b0}};
rd_gray_pointer[AW:0] <= {(AW+1){1'b0}};
end
else if(rd_read)
begin
rd_binary_pointer[AW:0] <= rd_binary_next[AW:0];
rd_gray_pointer[AW:0] <= rd_gray_next[AW:0];
end
//Read Address
assign rd_addr[AW-1:0] = rd_binary_pointer[AW-1:0];
//Updating binary pointer
assign rd_binary_next[AW:0] = rd_binary_pointer[AW:0] +
{{(AW){1'b0}},rd_read};
//Gray Pointer Conversion (for more reliable synchronization)!
assign rd_gray_next[AW:0] = {1'b0,rd_binary_next[AW:1]} ^
rd_binary_next[AW:0];
//# FIFO empty indication
assign rd_fifo_empty_next = (rd_gray_next[AW:0]==rd_wr_gray_pointer[AW:0]);
always @ (posedge rd_clk or posedge reset)
if(reset)
rd_fifo_empty <= 1'b1;
else
rd_fifo_empty <= rd_fifo_empty_next;
endmodule // fifo_empty_block
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__A21O_LP_V
`define SKY130_FD_SC_LP__A21O_LP_V
/**
* a21o: 2-input AND into first input of 2-input OR.
*
* X = ((A1 & A2) | B1)
*
* Verilog wrapper for a21o with size for low power.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__a21o.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__a21o_lp (
X ,
A1 ,
A2 ,
B1 ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A1 ;
input A2 ;
input B1 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__a21o base (
.X(X),
.A1(A1),
.A2(A2),
.B1(B1),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__a21o_lp (
X ,
A1,
A2,
B1
);
output X ;
input A1;
input A2;
input B1;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__a21o base (
.X(X),
.A1(A1),
.A2(A2),
.B1(B1)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__A21O_LP_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__A2111O_BEHAVIORAL_PP_V
`define SKY130_FD_SC_MS__A2111O_BEHAVIORAL_PP_V
/**
* a2111o: 2-input AND into first input of 4-input OR.
*
* X = ((A1 & A2) | B1 | C1 | D1)
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_ms__udp_pwrgood_pp_pg.v"
`celldefine
module sky130_fd_sc_ms__a2111o (
X ,
A1 ,
A2 ,
B1 ,
C1 ,
D1 ,
VPWR,
VGND,
VPB ,
VNB
);
// Module ports
output X ;
input A1 ;
input A2 ;
input B1 ;
input C1 ;
input D1 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
// Local signals
wire and0_out ;
wire or0_out_X ;
wire pwrgood_pp0_out_X;
// Name Output Other arguments
and and0 (and0_out , A1, A2 );
or or0 (or0_out_X , C1, B1, and0_out, D1 );
sky130_fd_sc_ms__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, or0_out_X, VPWR, VGND);
buf buf0 (X , pwrgood_pp0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_MS__A2111O_BEHAVIORAL_PP_V |
module bus_arb (
clk,
reset_,
mcs_addr,
mcs_ready,
mcs_wr_data,
mcs_wr_enable,
mcs_rd_data,
mcs_rd_enable,
mcs_byte_enable,
addr,
rnw,
req,
wr_data,
gpio_cs,
gpio_rd_data,
gpio_rdy,
disp_cs,
disp_rd_data,
disp_rdy,
uart_cs,
uart_rd_data,
uart_rdy);
input clk;
input reset_;
// Bus controller to distribute MicroBlaze IO bus to our own hardware modules.
// This circuit decodes the address bus and generates module-independent
// bus control signals to each hardware module (like display, uart, gpio)
// and provides a ready timeout function to prevent the CPU from waiting
// indefinitely for a response (as would be the case if software tried to
// access an unmapped memory location).
// MicroBlaze IO Bus
input [31:0] mcs_addr; // Address from MicroBlaze
output mcs_ready; // Request complete indicator to MicroBlaze
input [31:0] mcs_wr_data; // Write data from MicroBlaze
input mcs_wr_enable; // Write enable from MicroBlaze
output [31:0] mcs_rd_data; // Read data from hardware
input mcs_rd_enable; // Read enable from MicroBlaze
input [3:0] mcs_byte_enable; // Which byte(s) in 32-bit longword are being accessed
// Local IO Bus
output [7:0] addr; // Address to lsuc module
output rnw; // Read, not write, indicator
output req; // Bus request
output [7:0] wr_data; // Write data to lsuc module
output gpio_cs; // GPIO module chip select
input [7:0] gpio_rd_data; // Read data from GPIO module
input gpio_rdy; // Ready indicator from GPIO module
output disp_cs; // Display module chip select
input [7:0] disp_rd_data; // Read data from display module
input disp_rdy; // Ready indicator from display module
output uart_cs; // UART module chip select
input [7:0] uart_rd_data; // Read data from UART module
input uart_rdy; // Ready indicator from UART module
reg [31:0] mcs_rd_data;
reg mcs_ready;
reg [9:0] req_timeout_ctr;
assign addr = mcs_addr[7:0];
assign rnw = ~mcs_wr_enable;
assign req = mcs_rd_enable || mcs_wr_enable;
assign wr_data = mcs_wr_data[7:0];
// Top-level memory mapping
assign gpio_cs = mcs_addr[31:28] == 4'hc; // GPIO module mapped to 0x4000_00xx addresses
assign disp_cs = mcs_addr[31:28] == 4'hd; // Display module mapped to 0x4000_00xx addresses
assign uart_cs = mcs_addr[31:28] == 4'he; // UART module mapped to 0x4000_00xx addresses
// Readback generation
always@ (posedge clk or negedge reset_)
if (!reset_)
mcs_rd_data <= 32'h0000_0000;
else if (rnw && gpio_cs && gpio_rdy)
mcs_rd_data <= {4{gpio_rd_data}};
else if (rnw && disp_cs && disp_rdy)
mcs_rd_data <= {4{disp_rd_data}};
else if (rnw && uart_cs && uart_rdy)
mcs_rd_data <= {4{uart_rd_data}};
// Request ready generation
always@ (posedge clk or negedge reset_)
if (!reset_)
mcs_ready <= 1'b0;
else if (gpio_cs)
mcs_ready <= gpio_rdy;
else if (disp_cs)
mcs_ready <= disp_rdy;
else if (uart_cs)
mcs_ready <= uart_rdy;
else
mcs_ready <= &req_timeout_ctr;
// Request timeout generation (prevents CPU from locking if no harware responds to request)
always@ (posedge clk or negedge reset_)
if (!reset_)
req_timeout_ctr <= 10'd0;
else if (mcs_ready)
req_timeout_ctr <= 10'd0;
else if (req)
req_timeout_ctr <= 10'd1;
else if (req_timeout_ctr != 10'd0)
req_timeout_ctr <= req_timeout_ctr + 10'd1;
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__FILL_TB_V
`define SKY130_FD_SC_HD__FILL_TB_V
/**
* fill: Fill cell.
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__fill.v"
module top();
// Inputs are registered
reg VPWR;
reg VGND;
reg VPB;
reg VNB;
// Outputs are wires
initial
begin
// Initial state is x for all inputs.
VGND = 1'bX;
VNB = 1'bX;
VPB = 1'bX;
VPWR = 1'bX;
#20 VGND = 1'b0;
#40 VNB = 1'b0;
#60 VPB = 1'b0;
#80 VPWR = 1'b0;
#100 VGND = 1'b1;
#120 VNB = 1'b1;
#140 VPB = 1'b1;
#160 VPWR = 1'b1;
#180 VGND = 1'b0;
#200 VNB = 1'b0;
#220 VPB = 1'b0;
#240 VPWR = 1'b0;
#260 VPWR = 1'b1;
#280 VPB = 1'b1;
#300 VNB = 1'b1;
#320 VGND = 1'b1;
#340 VPWR = 1'bx;
#360 VPB = 1'bx;
#380 VNB = 1'bx;
#400 VGND = 1'bx;
end
sky130_fd_sc_hd__fill dut (.VPWR(VPWR), .VGND(VGND), .VPB(VPB), .VNB(VNB));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__FILL_TB_V
|
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016
// Date : Thu Sep 14 11:02:39 2017
// Host : PC4719 running 64-bit Service Pack 1 (build 7601)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ vio_0_stub.v
// Design : vio_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7k325tffg676-2
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* X_CORE_INFO = "vio,Vivado 2016.3" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk, probe_in0, probe_in1, probe_in2, probe_in3)
/* synthesis syn_black_box black_box_pad_pin="clk,probe_in0[0:0],probe_in1[0:0],probe_in2[0:0],probe_in3[0:0]" */;
input clk;
input [0:0]probe_in0;
input [0:0]probe_in1;
input [0:0]probe_in2;
input [0:0]probe_in3;
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__DFRTN_FUNCTIONAL_V
`define SKY130_FD_SC_LP__DFRTN_FUNCTIONAL_V
/**
* dfrtn: Delay flop, inverted reset, inverted clock,
* complementary outputs.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_dff_pr/sky130_fd_sc_lp__udp_dff_pr.v"
`celldefine
module sky130_fd_sc_lp__dfrtn (
Q ,
CLK_N ,
D ,
RESET_B
);
// Module ports
output Q ;
input CLK_N ;
input D ;
input RESET_B;
// Local signals
wire buf_Q ;
wire RESET ;
wire intclk;
// Delay Name Output Other arguments
not not0 (RESET , RESET_B );
not not1 (intclk, CLK_N );
sky130_fd_sc_lp__udp_dff$PR `UNIT_DELAY dff0 (buf_Q , D, intclk, RESET);
buf buf0 (Q , buf_Q );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__DFRTN_FUNCTIONAL_V |
//-----------------------------------------------------------------------------
//
// (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//-----------------------------------------------------------------------------
// Project : Series-7 Integrated Block for PCI Express
// File : pcie_2_1_rport_7x.v
// Version : 1.8
//-- Description: 7-series solution wrapper : Root Port for PCI Express
//--
//--
//--
//--------------------------------------------------------------------------------
`timescale 1ps/1ps
module pcie_2_1_rport_7x # (
parameter CFG_VEND_ID = 16'h10ee,
parameter CFG_DEV_ID = 16'h7121,
parameter CFG_REV_ID = 8'h00,
parameter CFG_SUBSYS_VEND_ID = 16'h10ee,
parameter CFG_SUBSYS_ID = 16'h0007,
parameter PIPE_SIM_MODE = "FALSE",
// PCIE_2_1 params
parameter REF_CLK_FREQ = 0,
parameter PCIE_EXT_CLK = "FALSE",
parameter PIPE_PIPELINE_STAGES = 0,
parameter [11:0] AER_BASE_PTR = 12'h128,
parameter AER_CAP_ECRC_CHECK_CAPABLE = "FALSE",
parameter AER_CAP_ECRC_GEN_CAPABLE = "FALSE",
parameter [15:0] AER_CAP_ID = 16'h0001,
parameter AER_CAP_MULTIHEADER = "FALSE",
parameter [11:0] AER_CAP_NEXTPTR = 12'h160,
parameter AER_CAP_ON = "FALSE",
parameter [23:0] AER_CAP_OPTIONAL_ERR_SUPPORT = 24'h000000,
parameter AER_CAP_PERMIT_ROOTERR_UPDATE = "TRUE",
parameter [3:0] AER_CAP_VERSION = 4'h1,
parameter ALLOW_X8_GEN2 = "FALSE",
parameter [31:0] BAR0 = 32'hFFFFFF00,
parameter [31:0] BAR1 = 32'hFFFF0000,
parameter [31:0] BAR2 = 32'hFFFF000C,
parameter [31:0] BAR3 = 32'hFFFFFFFF,
parameter [31:0] BAR4 = 32'h00000000,
parameter [31:0] BAR5 = 32'h00000000,
parameter C_DATA_WIDTH = 64,
parameter [7:0] CAPABILITIES_PTR = 8'h40,
parameter [31:0] CARDBUS_CIS_POINTER = 32'h00000000,
parameter CFG_ECRC_ERR_CPLSTAT = 0,
parameter [23:0] CLASS_CODE = 24'h000000,
parameter CMD_INTX_IMPLEMENTED = "TRUE",
parameter CPL_TIMEOUT_DISABLE_SUPPORTED = "FALSE",
parameter [3:0] CPL_TIMEOUT_RANGES_SUPPORTED = 4'h0,
parameter [6:0] CRM_MODULE_RSTS = 7'h00,
parameter DEV_CAP2_ARI_FORWARDING_SUPPORTED = "FALSE",
parameter DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED = "FALSE",
parameter DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED = "FALSE",
parameter DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED = "FALSE",
parameter DEV_CAP2_CAS128_COMPLETER_SUPPORTED = "FALSE",
parameter DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED = "FALSE",
parameter DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED = "FALSE",
parameter DEV_CAP2_LTR_MECHANISM_SUPPORTED = "FALSE",
parameter [1:0] DEV_CAP2_MAX_ENDEND_TLP_PREFIXES = 2'h0,
parameter DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING = "FALSE",
parameter [1:0] DEV_CAP2_TPH_COMPLETER_SUPPORTED = 2'h0,
parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE = "FALSE",
parameter DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE = "FALSE",
parameter integer DEV_CAP_ENDPOINT_L0S_LATENCY = 0,
parameter integer DEV_CAP_ENDPOINT_L1_LATENCY = 0,
parameter DEV_CAP_EXT_TAG_SUPPORTED = "TRUE",
parameter DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE = "FALSE",
parameter integer DEV_CAP_MAX_PAYLOAD_SUPPORTED = 2,
parameter integer DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT = 0,
parameter DEV_CAP_ROLE_BASED_ERROR = "TRUE",
parameter integer DEV_CAP_RSVD_14_12 = 0,
parameter integer DEV_CAP_RSVD_17_16 = 0,
parameter integer DEV_CAP_RSVD_31_29 = 0,
parameter DEV_CONTROL_AUX_POWER_SUPPORTED = "FALSE",
parameter DEV_CONTROL_EXT_TAG_DEFAULT = "FALSE",
parameter DISABLE_ASPM_L1_TIMER = "FALSE",
parameter DISABLE_BAR_FILTERING = "FALSE",
parameter DISABLE_ERR_MSG = "FALSE",
parameter DISABLE_ID_CHECK = "FALSE",
parameter DISABLE_LANE_REVERSAL = "FALSE",
parameter DISABLE_LOCKED_FILTER = "FALSE",
parameter DISABLE_PPM_FILTER = "FALSE",
parameter DISABLE_RX_POISONED_RESP = "FALSE",
parameter DISABLE_RX_TC_FILTER = "FALSE",
parameter DISABLE_SCRAMBLING = "FALSE",
parameter [7:0] DNSTREAM_LINK_NUM = 8'h00,
parameter [11:0] DSN_BASE_PTR = 12'h100,
parameter [15:0] DSN_CAP_ID = 16'h0003,
parameter [11:0] DSN_CAP_NEXTPTR = 12'h10C,
parameter DSN_CAP_ON = "TRUE",
parameter [3:0] DSN_CAP_VERSION = 4'h1,
parameter [10:0] ENABLE_MSG_ROUTE = 11'h000,
parameter ENABLE_RX_TD_ECRC_TRIM = "FALSE",
parameter ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED = "FALSE",
parameter ENTER_RVRY_EI_L0 = "TRUE",
parameter EXIT_LOOPBACK_ON_EI = "TRUE",
parameter [31:0] EXPANSION_ROM = 32'hFFFFF001,
parameter [5:0] EXT_CFG_CAP_PTR = 6'h3F,
parameter [9:0] EXT_CFG_XP_CAP_PTR = 10'h3FF,
parameter [7:0] HEADER_TYPE = 8'h01,
parameter [4:0] INFER_EI = 5'h00,
parameter [7:0] INTERRUPT_PIN = 8'h01,
parameter INTERRUPT_STAT_AUTO = "TRUE",
parameter IS_SWITCH = "FALSE",
parameter [9:0] LAST_CONFIG_DWORD = 10'h3FF,
parameter LINK_CAP_ASPM_OPTIONALITY = "TRUE",
parameter integer LINK_CAP_ASPM_SUPPORT = 1,
parameter LINK_CAP_CLOCK_POWER_MANAGEMENT = "FALSE",
parameter LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP = "FALSE",
parameter integer LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 = 7,
parameter integer LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 = 7,
parameter integer LINK_CAP_L0S_EXIT_LATENCY_GEN1 = 7,
parameter integer LINK_CAP_L0S_EXIT_LATENCY_GEN2 = 7,
parameter integer LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 = 7,
parameter integer LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 = 7,
parameter integer LINK_CAP_L1_EXIT_LATENCY_GEN1 = 7,
parameter integer LINK_CAP_L1_EXIT_LATENCY_GEN2 = 7,
parameter LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP = "FALSE",
parameter [3:0] LINK_CAP_MAX_LINK_SPEED = 4'h1,
parameter [5:0] LINK_CAP_MAX_LINK_WIDTH = 6'h08,
parameter integer LINK_CAP_RSVD_23 = 0,
parameter LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE = "FALSE",
parameter integer LINK_CONTROL_RCB = 0,
parameter LINK_CTRL2_DEEMPHASIS = "FALSE",
parameter LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE = "FALSE",
parameter [3:0] LINK_CTRL2_TARGET_LINK_SPEED = 4'h2,
parameter LINK_STATUS_SLOT_CLOCK_CONFIG = "TRUE",
parameter [14:0] LL_ACK_TIMEOUT = 15'h0000,
parameter LL_ACK_TIMEOUT_EN = "FALSE",
parameter integer LL_ACK_TIMEOUT_FUNC = 0,
parameter [14:0] LL_REPLAY_TIMEOUT = 15'h0000,
parameter LL_REPLAY_TIMEOUT_EN = "FALSE",
parameter integer LL_REPLAY_TIMEOUT_FUNC = 0,
parameter [5:0] LTSSM_MAX_LINK_WIDTH = LINK_CAP_MAX_LINK_WIDTH,
parameter MPS_FORCE = "FALSE",
parameter [7:0] MSIX_BASE_PTR = 8'h9C,
parameter [7:0] MSIX_CAP_ID = 8'h11,
parameter [7:0] MSIX_CAP_NEXTPTR = 8'h00,
parameter MSIX_CAP_ON = "FALSE",
parameter integer MSIX_CAP_PBA_BIR = 0,
parameter [28:0] MSIX_CAP_PBA_OFFSET = 29'h00000050,
parameter integer MSIX_CAP_TABLE_BIR = 0,
parameter [28:0] MSIX_CAP_TABLE_OFFSET = 29'h00000040,
parameter [10:0] MSIX_CAP_TABLE_SIZE = 11'h000,
parameter [7:0] MSI_BASE_PTR = 8'h48,
parameter MSI_CAP_64_BIT_ADDR_CAPABLE = "TRUE",
parameter [7:0] MSI_CAP_ID = 8'h05,
parameter integer MSI_CAP_MULTIMSGCAP = 0,
parameter integer MSI_CAP_MULTIMSG_EXTENSION = 0,
parameter [7:0] MSI_CAP_NEXTPTR = 8'h60,
parameter MSI_CAP_ON = "FALSE",
parameter MSI_CAP_PER_VECTOR_MASKING_CAPABLE = "TRUE",
parameter integer N_FTS_COMCLK_GEN1 = 255,
parameter integer N_FTS_COMCLK_GEN2 = 255,
parameter integer N_FTS_GEN1 = 255,
parameter integer N_FTS_GEN2 = 255,
parameter [7:0] PCIE_BASE_PTR = 8'h60,
parameter [7:0] PCIE_CAP_CAPABILITY_ID = 8'h10,
parameter [3:0] PCIE_CAP_CAPABILITY_VERSION = 4'h2,
parameter [3:0] PCIE_CAP_DEVICE_PORT_TYPE = 4'h4,
parameter [7:0] PCIE_CAP_NEXTPTR = 8'h9C,
parameter PCIE_CAP_ON = "TRUE",
parameter integer PCIE_CAP_RSVD_15_14 = 0,
parameter PCIE_CAP_SLOT_IMPLEMENTED = "FALSE",
parameter integer PCIE_REVISION = 2,
parameter integer PL_AUTO_CONFIG = 0,
parameter PL_FAST_TRAIN = "FALSE",
parameter [14:0] PM_ASPML0S_TIMEOUT = 15'h0000,
parameter PM_ASPML0S_TIMEOUT_EN = "FALSE",
parameter integer PM_ASPML0S_TIMEOUT_FUNC = 0,
parameter PM_ASPM_FASTEXIT = "FALSE",
parameter [7:0] PM_BASE_PTR = 8'h40,
parameter integer PM_CAP_AUXCURRENT = 0,
parameter PM_CAP_D1SUPPORT = "TRUE",
parameter PM_CAP_D2SUPPORT = "TRUE",
parameter PM_CAP_DSI = "FALSE",
parameter [7:0] PM_CAP_ID = 8'h01,
parameter [7:0] PM_CAP_NEXTPTR = 8'h48,
parameter PM_CAP_ON = "TRUE",
parameter [4:0] PM_CAP_PMESUPPORT = 5'h0F,
parameter PM_CAP_PME_CLOCK = "FALSE",
parameter integer PM_CAP_RSVD_04 = 0,
parameter integer PM_CAP_VERSION = 3,
parameter PM_CSR_B2B3 = "FALSE",
parameter PM_CSR_BPCCEN = "FALSE",
parameter PM_CSR_NOSOFTRST = "TRUE",
parameter [7:0] PM_DATA0 = 8'h01,
parameter [7:0] PM_DATA1 = 8'h01,
parameter [7:0] PM_DATA2 = 8'h01,
parameter [7:0] PM_DATA3 = 8'h01,
parameter [7:0] PM_DATA4 = 8'h01,
parameter [7:0] PM_DATA5 = 8'h01,
parameter [7:0] PM_DATA6 = 8'h01,
parameter [7:0] PM_DATA7 = 8'h01,
parameter [1:0] PM_DATA_SCALE0 = 2'h1,
parameter [1:0] PM_DATA_SCALE1 = 2'h1,
parameter [1:0] PM_DATA_SCALE2 = 2'h1,
parameter [1:0] PM_DATA_SCALE3 = 2'h1,
parameter [1:0] PM_DATA_SCALE4 = 2'h1,
parameter [1:0] PM_DATA_SCALE5 = 2'h1,
parameter [1:0] PM_DATA_SCALE6 = 2'h1,
parameter [1:0] PM_DATA_SCALE7 = 2'h1,
parameter PM_MF = "FALSE",
parameter [11:0] RBAR_BASE_PTR = 12'h178,
parameter [4:0] RBAR_CAP_CONTROL_ENCODEDBAR0 = 5'h00,
parameter [4:0] RBAR_CAP_CONTROL_ENCODEDBAR1 = 5'h00,
parameter [4:0] RBAR_CAP_CONTROL_ENCODEDBAR2 = 5'h00,
parameter [4:0] RBAR_CAP_CONTROL_ENCODEDBAR3 = 5'h00,
parameter [4:0] RBAR_CAP_CONTROL_ENCODEDBAR4 = 5'h00,
parameter [4:0] RBAR_CAP_CONTROL_ENCODEDBAR5 = 5'h00,
parameter [15:0] RBAR_CAP_ID = 16'h0015,
parameter [2:0] RBAR_CAP_INDEX0 = 3'h0,
parameter [2:0] RBAR_CAP_INDEX1 = 3'h0,
parameter [2:0] RBAR_CAP_INDEX2 = 3'h0,
parameter [2:0] RBAR_CAP_INDEX3 = 3'h0,
parameter [2:0] RBAR_CAP_INDEX4 = 3'h0,
parameter [2:0] RBAR_CAP_INDEX5 = 3'h0,
parameter [11:0] RBAR_CAP_NEXTPTR = 12'h000,
parameter RBAR_CAP_ON = "FALSE",
parameter [31:0] RBAR_CAP_SUP0 = 32'h00000000,
parameter [31:0] RBAR_CAP_SUP1 = 32'h00000000,
parameter [31:0] RBAR_CAP_SUP2 = 32'h00000000,
parameter [31:0] RBAR_CAP_SUP3 = 32'h00000000,
parameter [31:0] RBAR_CAP_SUP4 = 32'h00000000,
parameter [31:0] RBAR_CAP_SUP5 = 32'h00000000,
parameter [3:0] RBAR_CAP_VERSION = 4'h1,
parameter [2:0] RBAR_NUM = 3'h1,
parameter integer RECRC_CHK = 0,
parameter RECRC_CHK_TRIM = "FALSE",
parameter REM_WIDTH = (C_DATA_WIDTH == 128) ? 2 : 1,
parameter ROOT_CAP_CRS_SW_VISIBILITY = "FALSE",
parameter [1:0] RP_AUTO_SPD = 2'h1,
parameter [4:0] RP_AUTO_SPD_LOOPCNT = 5'h1f,
parameter SELECT_DLL_IF = "FALSE",
parameter SIM_VERSION = "1.0",
parameter SLOT_CAP_ATT_BUTTON_PRESENT = "FALSE",
parameter SLOT_CAP_ATT_INDICATOR_PRESENT = "FALSE",
parameter SLOT_CAP_ELEC_INTERLOCK_PRESENT = "FALSE",
parameter SLOT_CAP_HOTPLUG_CAPABLE = "FALSE",
parameter SLOT_CAP_HOTPLUG_SURPRISE = "FALSE",
parameter SLOT_CAP_MRL_SENSOR_PRESENT = "FALSE",
parameter SLOT_CAP_NO_CMD_COMPLETED_SUPPORT = "FALSE",
parameter [12:0] SLOT_CAP_PHYSICAL_SLOT_NUM = 13'h0000,
parameter SLOT_CAP_POWER_CONTROLLER_PRESENT = "FALSE",
parameter SLOT_CAP_POWER_INDICATOR_PRESENT = "FALSE",
parameter integer SLOT_CAP_SLOT_POWER_LIMIT_SCALE = 0,
parameter [7:0] SLOT_CAP_SLOT_POWER_LIMIT_VALUE = 8'h00,
parameter integer SPARE_BIT0 = 0,
parameter integer SPARE_BIT1 = 0,
parameter integer SPARE_BIT2 = 0,
parameter integer SPARE_BIT3 = 0,
parameter integer SPARE_BIT4 = 0,
parameter integer SPARE_BIT5 = 0,
parameter integer SPARE_BIT6 = 0,
parameter integer SPARE_BIT7 = 0,
parameter integer SPARE_BIT8 = 0,
parameter [7:0] SPARE_BYTE0 = 8'h00,
parameter [7:0] SPARE_BYTE1 = 8'h00,
parameter [7:0] SPARE_BYTE2 = 8'h00,
parameter [7:0] SPARE_BYTE3 = 8'h00,
parameter [31:0] SPARE_WORD0 = 32'h00000000,
parameter [31:0] SPARE_WORD1 = 32'h00000000,
parameter [31:0] SPARE_WORD2 = 32'h00000000,
parameter [31:0] SPARE_WORD3 = 32'h00000000,
parameter SSL_MESSAGE_AUTO = "FALSE",
parameter KEEP_WIDTH = C_DATA_WIDTH / 8,
parameter TECRC_EP_INV = "FALSE",
parameter TL_RBYPASS = "FALSE",
parameter integer TL_RX_RAM_RADDR_LATENCY = 0,
parameter integer TL_RX_RAM_RDATA_LATENCY = 2,
parameter integer TL_RX_RAM_WRITE_LATENCY = 0,
parameter TL_TFC_DISABLE = "FALSE",
parameter TL_TX_CHECKS_DISABLE = "FALSE",
parameter integer TL_TX_RAM_RADDR_LATENCY = 0,
parameter integer TL_TX_RAM_RDATA_LATENCY = 2,
parameter integer TL_TX_RAM_WRITE_LATENCY = 0,
parameter TRN_DW = "FALSE",
parameter TRN_NP_FC = "FALSE",
parameter UPCONFIG_CAPABLE = "TRUE",
parameter UPSTREAM_FACING = "FALSE",
parameter UR_ATOMIC = "TRUE",
parameter UR_CFG1 = "TRUE",
parameter UR_INV_REQ = "TRUE",
parameter UR_PRS_RESPONSE = "TRUE",
parameter USER_CLK2_DIV2 = "FALSE",
parameter integer USER_CLK_FREQ = 3,
parameter USE_RID_PINS = "FALSE",
parameter VC0_CPL_INFINITE = "TRUE",
parameter [12:0] VC0_RX_RAM_LIMIT = 13'h03FF,
parameter integer VC0_TOTAL_CREDITS_CD = 127,
parameter integer VC0_TOTAL_CREDITS_CH = 31,
parameter integer VC0_TOTAL_CREDITS_NPD = 24,
parameter integer VC0_TOTAL_CREDITS_NPH = 12,
parameter integer VC0_TOTAL_CREDITS_PD = 288,
parameter integer VC0_TOTAL_CREDITS_PH = 32,
parameter integer VC0_TX_LASTPACKET = 31,
parameter [11:0] VC_BASE_PTR = 12'h10C,
parameter [15:0] VC_CAP_ID = 16'h0002,
parameter [11:0] VC_CAP_NEXTPTR = 12'h128,
parameter VC_CAP_ON = "FALSE",
parameter VC_CAP_REJECT_SNOOP_TRANSACTIONS = "FALSE",
parameter [3:0] VC_CAP_VERSION = 4'h1,
parameter [11:0] VSEC_BASE_PTR = 12'h160,
parameter [15:0] VSEC_CAP_HDR_ID = 16'h1234,
parameter [11:0] VSEC_CAP_HDR_LENGTH = 12'h018,
parameter [3:0] VSEC_CAP_HDR_REVISION = 4'h1,
parameter [15:0] VSEC_CAP_ID = 16'h000B,
parameter VSEC_CAP_IS_LINK_VISIBLE = "TRUE",
parameter [11:0] VSEC_CAP_NEXTPTR = 12'h000,
parameter VSEC_CAP_ON = "FALSE",
parameter [3:0] VSEC_CAP_VERSION = 4'h1,
parameter PCIE_USE_MODE = "3.0",
parameter PCIE_GT_DEVICE = "GTX",
parameter PCIE_CHAN_BOND = 0,
parameter PCIE_PLL_SEL = "CPLL",
parameter PCIE_ASYNC_EN = "FALSE",
parameter PCIE_TXBUF_EN = "FALSE"
)
(
//-------------------------------------------------------
// 1. PCI Express (pci_exp) Interface
//-------------------------------------------------------
// Tx
output [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] pci_exp_txn,
output [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] pci_exp_txp,
// Rx
input [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] pci_exp_rxn,
input [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] pci_exp_rxp,
//----------------------------------------------------------------------------------------------------------------//
// 2. Clock Inputs - For Partial Reconfig Support //
//----------------------------------------------------------------------------------------------------------------//
input PIPE_PCLK_IN,
input PIPE_RXUSRCLK_IN,
input [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] PIPE_RXOUTCLK_IN,
input PIPE_DCLK_IN,
input PIPE_USERCLK1_IN,
input PIPE_USERCLK2_IN,
input PIPE_OOBCLK_IN,
input PIPE_MMCM_LOCK_IN,
output PIPE_TXOUTCLK_OUT,
output [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] PIPE_RXOUTCLK_OUT,
output [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] PIPE_PCLK_SEL_OUT,
output PIPE_GEN3_OUT,
//----------------------------------------------------------------------------------------------------------------//
// 3. AXI-S Interface //
//----------------------------------------------------------------------------------------------------------------//
// Common
output user_clk_out,
output reg user_reset_out,
output reg user_lnk_up,
// AXI TX
//-----------
output [5:0] tx_buf_av,
output tx_err_drop,
output tx_cfg_req,
input [C_DATA_WIDTH-1:0] s_axis_tx_tdata,
input s_axis_tx_tvalid,
output s_axis_tx_tready,
input [KEEP_WIDTH-1:0] s_axis_tx_tkeep,
input s_axis_tx_tlast,
input [3:0] s_axis_tx_tuser,
input tx_cfg_gnt,
// AXI RX
//-----------
output [C_DATA_WIDTH-1:0] m_axis_rx_tdata,
output m_axis_rx_tvalid,
input m_axis_rx_tready,
output [KEEP_WIDTH-1:0] m_axis_rx_tkeep,
output m_axis_rx_tlast,
output [21:0] m_axis_rx_tuser,
input rx_np_ok,
input rx_np_req,
// Flow Control
output [11:0] fc_cpld,
output [7:0] fc_cplh,
output [11:0] fc_npd,
output [7:0] fc_nph,
output [11:0] fc_pd,
output [7:0] fc_ph,
input [2:0] fc_sel,
//-------------------------------------------------------
// 4. Configuration (CFG) Interface
//-------------------------------------------------------
//------------------------------------------------//
// EP and RP //
//------------------------------------------------//
output wire [15:0] cfg_status,
output wire [15:0] cfg_command,
output wire [15:0] cfg_dstatus,
output wire [15:0] cfg_dcommand,
output wire [15:0] cfg_lstatus,
output wire [15:0] cfg_lcommand,
output wire [15:0] cfg_dcommand2,
output [2:0] cfg_pcie_link_state,
output wire cfg_pmcsr_pme_en,
output wire [1:0] cfg_pmcsr_powerstate,
output wire cfg_pmcsr_pme_status,
output wire cfg_received_func_lvl_rst,
// Management Interface
output wire [31:0] cfg_mgmt_do,
output wire cfg_mgmt_rd_wr_done,
input wire [31:0] cfg_mgmt_di,
input wire [3:0] cfg_mgmt_byte_en,
input wire [9:0] cfg_mgmt_dwaddr,
input wire cfg_mgmt_wr_en,
input wire cfg_mgmt_rd_en,
input wire cfg_mgmt_wr_readonly,
// Error Reporting Interface
input wire cfg_err_ecrc,
input wire cfg_err_ur,
input wire cfg_err_cpl_timeout,
input wire cfg_err_cpl_unexpect,
input wire cfg_err_cpl_abort,
input wire cfg_err_posted,
input wire cfg_err_cor,
input wire cfg_err_atomic_egress_blocked,
input wire cfg_err_internal_cor,
input wire cfg_err_malformed,
input wire cfg_err_mc_blocked,
input wire cfg_err_poisoned,
input wire cfg_err_norecovery,
input wire [47:0] cfg_err_tlp_cpl_header,
output wire cfg_err_cpl_rdy,
input wire cfg_err_locked,
input wire cfg_err_acs,
input wire cfg_err_internal_uncor,
input wire cfg_trn_pending,
input wire cfg_pm_halt_aspm_l0s,
input wire cfg_pm_halt_aspm_l1,
input wire cfg_pm_force_state_en,
input wire [1:0] cfg_pm_force_state,
input wire [63:0] cfg_dsn,
output cfg_msg_received,
output [15:0] cfg_msg_data,
//------------------------------------------------//
// EP Only //
//------------------------------------------------//
input wire cfg_interrupt,
output wire cfg_interrupt_rdy,
input wire cfg_interrupt_assert,
input wire [7:0] cfg_interrupt_di,
output wire [7:0] cfg_interrupt_do,
output wire [2:0] cfg_interrupt_mmenable,
output wire cfg_interrupt_msienable,
output wire cfg_interrupt_msixenable,
output wire cfg_interrupt_msixfm,
input wire cfg_interrupt_stat,
input wire [4:0] cfg_pciecap_interrupt_msgnum,
output cfg_to_turnoff,
input wire cfg_turnoff_ok,
output wire [7:0] cfg_bus_number,
output wire [4:0] cfg_device_number,
output wire [2:0] cfg_function_number,
input wire cfg_pm_wake,
output wire cfg_msg_received_pm_as_nak,
output wire cfg_msg_received_setslotpowerlimit,
//------------------------------------------------//
// RP Only //
//------------------------------------------------//
input wire cfg_pm_send_pme_to,
input wire [7:0] cfg_ds_bus_number,
input wire [4:0] cfg_ds_device_number,
input wire [2:0] cfg_ds_function_number,
input wire cfg_mgmt_wr_rw1c_as_rw,
output wire cfg_bridge_serr_en,
output wire cfg_slot_control_electromech_il_ctl_pulse,
output wire cfg_root_control_syserr_corr_err_en,
output wire cfg_root_control_syserr_non_fatal_err_en,
output wire cfg_root_control_syserr_fatal_err_en,
output wire cfg_root_control_pme_int_en,
output wire cfg_aer_rooterr_corr_err_reporting_en,
output wire cfg_aer_rooterr_non_fatal_err_reporting_en,
output wire cfg_aer_rooterr_fatal_err_reporting_en,
output wire cfg_aer_rooterr_corr_err_received,
output wire cfg_aer_rooterr_non_fatal_err_received,
output wire cfg_aer_rooterr_fatal_err_received,
output wire cfg_msg_received_err_cor,
output wire cfg_msg_received_err_non_fatal,
output wire cfg_msg_received_err_fatal,
output wire cfg_msg_received_pm_pme,
output wire cfg_msg_received_pme_to_ack,
output wire cfg_msg_received_assert_int_a,
output wire cfg_msg_received_assert_int_b,
output wire cfg_msg_received_assert_int_c,
output wire cfg_msg_received_assert_int_d,
output wire cfg_msg_received_deassert_int_a,
output wire cfg_msg_received_deassert_int_b,
output wire cfg_msg_received_deassert_int_c,
output wire cfg_msg_received_deassert_int_d,
//-------------------------------------------------------
// 5. Physical Layer Control and Status (PL) Interface
//-------------------------------------------------------
//------------------------------------------------//
// EP and RP //
//------------------------------------------------//
input wire [1:0] pl_directed_link_change,
input wire [1:0] pl_directed_link_width,
input wire pl_directed_link_speed,
input wire pl_directed_link_auton,
input wire pl_upstream_prefer_deemph,
output wire pl_sel_lnk_rate,
output wire [1:0] pl_sel_lnk_width,
output wire [5:0] pl_ltssm_state,
output wire [1:0] pl_lane_reversal_mode,
output wire pl_phy_lnk_up,
output wire [2:0] pl_tx_pm_state,
output wire [1:0] pl_rx_pm_state,
output wire pl_link_upcfg_cap,
output wire pl_link_gen2_cap,
output wire pl_link_partner_gen2_supported,
output wire [2:0] pl_initial_link_width,
output wire pl_directed_change_done,
//------------------------------------------------//
// EP Only //
//------------------------------------------------//
output wire pl_received_hot_rst,
//------------------------------------------------//
// RP Only //
//------------------------------------------------//
input wire pl_downstream_deemph_source,
input wire pl_transmit_hot_rst,
//----------------------------------------------------------------------------------------------------------------//
// 6. AER interface //
//----------------------------------------------------------------------------------------------------------------//
input wire [127:0] cfg_err_aer_headerlog,
input wire [4:0] cfg_aer_interrupt_msgnum,
output wire cfg_err_aer_headerlog_set,
output wire cfg_aer_ecrc_check_en,
output wire cfg_aer_ecrc_gen_en,
//----------------------------------------------------------------------------------------------------------------//
// 7. VC interface //
//----------------------------------------------------------------------------------------------------------------//
output wire [6:0] cfg_vc_tcvc_map,
//----------------------------------------------------------------------------------------------------------------//
// 8. System(SYS) Interface //
//----------------------------------------------------------------------------------------------------------------//
input wire sys_clk,
input wire sys_rst_n
);
wire user_clk;
wire user_clk2;
wire [15:0] cfg_vend_id = CFG_VEND_ID;
wire [15:0] cfg_dev_id = CFG_DEV_ID;
wire [7:0] cfg_rev_id = CFG_REV_ID;
wire [15:0] cfg_subsys_vend_id = CFG_SUBSYS_VEND_ID;
wire [15:0] cfg_subsys_id = CFG_SUBSYS_ID;
// PIPE Interface Wires
wire phy_rdy_n;
wire pipe_rx0_polarity_gt;
wire pipe_rx1_polarity_gt;
wire pipe_rx2_polarity_gt;
wire pipe_rx3_polarity_gt;
wire pipe_rx4_polarity_gt;
wire pipe_rx5_polarity_gt;
wire pipe_rx6_polarity_gt;
wire pipe_rx7_polarity_gt;
wire pipe_tx_deemph_gt;
wire [2:0] pipe_tx_margin_gt;
wire pipe_tx_rate_gt;
wire pipe_tx_rcvr_det_gt;
wire [1:0] pipe_tx0_char_is_k_gt;
wire pipe_tx0_compliance_gt;
wire [15:0] pipe_tx0_data_gt;
wire pipe_tx0_elec_idle_gt;
wire [1:0] pipe_tx0_powerdown_gt;
wire [1:0] pipe_tx1_char_is_k_gt;
wire pipe_tx1_compliance_gt;
wire [15:0] pipe_tx1_data_gt;
wire pipe_tx1_elec_idle_gt;
wire [1:0] pipe_tx1_powerdown_gt;
wire [1:0] pipe_tx2_char_is_k_gt;
wire pipe_tx2_compliance_gt;
wire [15:0] pipe_tx2_data_gt;
wire pipe_tx2_elec_idle_gt;
wire [1:0] pipe_tx2_powerdown_gt;
wire [1:0] pipe_tx3_char_is_k_gt;
wire pipe_tx3_compliance_gt;
wire [15:0] pipe_tx3_data_gt;
wire pipe_tx3_elec_idle_gt;
wire [1:0] pipe_tx3_powerdown_gt;
wire [1:0] pipe_tx4_char_is_k_gt;
wire pipe_tx4_compliance_gt;
wire [15:0] pipe_tx4_data_gt;
wire pipe_tx4_elec_idle_gt;
wire [1:0] pipe_tx4_powerdown_gt;
wire [1:0] pipe_tx5_char_is_k_gt;
wire pipe_tx5_compliance_gt;
wire [15:0] pipe_tx5_data_gt;
wire pipe_tx5_elec_idle_gt;
wire [1:0] pipe_tx5_powerdown_gt;
wire [1:0] pipe_tx6_char_is_k_gt;
wire pipe_tx6_compliance_gt;
wire [15:0] pipe_tx6_data_gt;
wire pipe_tx6_elec_idle_gt;
wire [1:0] pipe_tx6_powerdown_gt;
wire [1:0] pipe_tx7_char_is_k_gt;
wire pipe_tx7_compliance_gt;
wire [15:0] pipe_tx7_data_gt;
wire pipe_tx7_elec_idle_gt;
wire [1:0] pipe_tx7_powerdown_gt;
wire pipe_rx0_chanisaligned_gt;
wire [1:0] pipe_rx0_char_is_k_gt;
wire [15:0] pipe_rx0_data_gt;
wire pipe_rx0_elec_idle_gt;
wire pipe_rx0_phy_status_gt;
wire [2:0] pipe_rx0_status_gt;
wire pipe_rx0_valid_gt;
wire pipe_rx1_chanisaligned_gt;
wire [1:0] pipe_rx1_char_is_k_gt;
wire [15:0] pipe_rx1_data_gt;
wire pipe_rx1_elec_idle_gt;
wire pipe_rx1_phy_status_gt;
wire [2:0] pipe_rx1_status_gt;
wire pipe_rx1_valid_gt;
wire pipe_rx2_chanisaligned_gt;
wire [1:0] pipe_rx2_char_is_k_gt;
wire [15:0] pipe_rx2_data_gt;
wire pipe_rx2_elec_idle_gt;
wire pipe_rx2_phy_status_gt;
wire [2:0] pipe_rx2_status_gt;
wire pipe_rx2_valid_gt;
wire pipe_rx3_chanisaligned_gt;
wire [1:0] pipe_rx3_char_is_k_gt;
wire [15:0] pipe_rx3_data_gt;
wire pipe_rx3_elec_idle_gt;
wire pipe_rx3_phy_status_gt;
wire [2:0] pipe_rx3_status_gt;
wire pipe_rx3_valid_gt;
wire pipe_rx4_chanisaligned_gt;
wire [1:0] pipe_rx4_char_is_k_gt;
wire [15:0] pipe_rx4_data_gt;
wire pipe_rx4_elec_idle_gt;
wire pipe_rx4_phy_status_gt;
wire [2:0] pipe_rx4_status_gt;
wire pipe_rx4_valid_gt;
wire pipe_rx5_chanisaligned_gt;
wire [1:0] pipe_rx5_char_is_k_gt;
wire [15:0] pipe_rx5_data_gt;
wire pipe_rx5_elec_idle_gt;
wire pipe_rx5_phy_status_gt;
wire [2:0] pipe_rx5_status_gt;
wire pipe_rx5_valid_gt;
wire pipe_rx6_chanisaligned_gt;
wire [1:0] pipe_rx6_char_is_k_gt;
wire [15:0] pipe_rx6_data_gt;
wire pipe_rx6_elec_idle_gt;
wire pipe_rx6_phy_status_gt;
wire [2:0] pipe_rx6_status_gt;
wire pipe_rx6_valid_gt;
wire pipe_rx7_chanisaligned_gt;
wire [1:0] pipe_rx7_char_is_k_gt;
wire [15:0] pipe_rx7_data_gt;
wire pipe_rx7_elec_idle_gt;
wire pipe_rx7_phy_status_gt;
wire [2:0] pipe_rx7_status_gt;
wire pipe_rx7_valid_gt;
reg user_lnk_up_int;
reg user_reset_int;
wire user_rst_n;
reg pl_received_hot_rst_q;
wire pl_received_hot_rst_wire;
reg pl_phy_lnk_up_q;
wire pl_phy_lnk_up_wire;
wire sys_or_hot_rst;
wire trn_lnk_up;
// wire sys_rst_n;
wire [5:0] pl_ltssm_state_int;
localparam TCQ = 100;
// Assign outputs
assign pl_ltssm_state = pl_ltssm_state_int;
assign pl_received_hot_rst = pl_received_hot_rst_q;
assign pl_phy_lnk_up = pl_phy_lnk_up_q;
// Register block outputs pl_received_hot_rst and phy_lnk_up to ease timing on block output
assign sys_or_hot_rst = !sys_rst_n || pl_received_hot_rst_q;
always @(posedge user_clk_out)
begin
if (!sys_rst_n) begin
pl_received_hot_rst_q <= #TCQ 1'b0;
pl_phy_lnk_up_q <= #TCQ 1'b0;
end else begin
pl_received_hot_rst_q <= #TCQ pl_received_hot_rst_wire;
pl_phy_lnk_up_q <= #TCQ pl_phy_lnk_up_wire;
end
end
// Convert incomign reset from AXI required active High
// to active low as that is what is required by GT and PCIe Block
// assign sys_rst_n = ~sys_reset;
// Generate user_lnk_up
always @(posedge user_clk_out)
begin
if (!sys_rst_n) begin
user_lnk_up <= #TCQ 1'b0;
end else begin
user_lnk_up <= #TCQ user_lnk_up_int;
end
end
always @(posedge user_clk_out)
begin
if (!sys_rst_n) begin
user_lnk_up_int <= #TCQ 1'b0;
end else begin
user_lnk_up_int <= #TCQ trn_lnk_up;
end
end
// Generate user_reset_out
// Once user reset output of PCIE and Phy Layer is active, de-assert reset
// Only assert reset if system reset or hot reset is seen. Keep AXI backend/user application alive otherwise
always @(posedge user_clk_out or posedge sys_or_hot_rst)
begin
if (sys_or_hot_rst) begin
user_reset_int <= #TCQ 1'b1;
end else if (user_rst_n && pl_phy_lnk_up_q) begin
user_reset_int <= #TCQ 1'b0;
end
end
// Invert active low reset to active high AXI reset
always @(posedge user_clk_out or posedge sys_or_hot_rst)
begin
if (sys_or_hot_rst) begin
user_reset_out <= #TCQ 1'b1;
end else begin
user_reset_out <= #TCQ user_reset_int;
end
end
//--------------------------------------------------------------------------------------------------------------------//
// **** PCI Express Core Wrapper **** //
// The PCI Express Core Wrapper includes the following: //
// 1) AXI Streaming Bridge //
// 2) PCIE 2_1 Hard Block //
// 3) PCIE PIPE Interface Pipeline //
//--------------------------------------------------------------------------------------------------------------------//
pcie_core_pcie_top # (
.PIPE_PIPELINE_STAGES ( PIPE_PIPELINE_STAGES ),
.AER_BASE_PTR ( AER_BASE_PTR ),
.AER_CAP_ECRC_CHECK_CAPABLE ( AER_CAP_ECRC_CHECK_CAPABLE ),
.DEV_CAP_ROLE_BASED_ERROR ( DEV_CAP_ROLE_BASED_ERROR ),
.AER_CAP_ECRC_GEN_CAPABLE ( AER_CAP_ECRC_GEN_CAPABLE ),
.LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ( LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE ),
.AER_CAP_ID ( AER_CAP_ID ),
.AER_CAP_MULTIHEADER ( AER_CAP_MULTIHEADER ),
.AER_CAP_NEXTPTR ( AER_CAP_NEXTPTR ),
.AER_CAP_ON ( AER_CAP_ON ),
.AER_CAP_OPTIONAL_ERR_SUPPORT ( AER_CAP_OPTIONAL_ERR_SUPPORT ),
.AER_CAP_PERMIT_ROOTERR_UPDATE ( AER_CAP_PERMIT_ROOTERR_UPDATE ),
.AER_CAP_VERSION ( AER_CAP_VERSION ),
.ALLOW_X8_GEN2 ( ALLOW_X8_GEN2 ),
.BAR0 ( BAR0 ),
.BAR1 ( BAR1 ),
.BAR2 ( BAR2 ),
.BAR3 ( BAR3 ),
.BAR4 ( BAR4 ),
.BAR5 ( BAR5 ),
.C_DATA_WIDTH ( C_DATA_WIDTH ),
.CAPABILITIES_PTR ( CAPABILITIES_PTR ),
.CARDBUS_CIS_POINTER ( CARDBUS_CIS_POINTER ),
.CFG_ECRC_ERR_CPLSTAT ( CFG_ECRC_ERR_CPLSTAT ),
.CLASS_CODE ( CLASS_CODE ),
.CMD_INTX_IMPLEMENTED ( CMD_INTX_IMPLEMENTED ),
.CPL_TIMEOUT_DISABLE_SUPPORTED ( CPL_TIMEOUT_DISABLE_SUPPORTED ),
.CPL_TIMEOUT_RANGES_SUPPORTED ( CPL_TIMEOUT_RANGES_SUPPORTED ),
.CRM_MODULE_RSTS ( CRM_MODULE_RSTS ),
.DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE ),
.DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ( DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE ),
.DEV_CAP_ENDPOINT_L0S_LATENCY ( DEV_CAP_ENDPOINT_L0S_LATENCY ),
.DEV_CAP_ENDPOINT_L1_LATENCY ( DEV_CAP_ENDPOINT_L1_LATENCY ),
.DEV_CAP_EXT_TAG_SUPPORTED ( DEV_CAP_EXT_TAG_SUPPORTED ),
.DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ( DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE ),
.DEV_CAP_MAX_PAYLOAD_SUPPORTED ( DEV_CAP_MAX_PAYLOAD_SUPPORTED ),
.DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ( DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT ),
.DEV_CAP_RSVD_14_12 ( DEV_CAP_RSVD_14_12 ),
.DEV_CAP_RSVD_17_16 ( DEV_CAP_RSVD_17_16 ),
.DEV_CAP_RSVD_31_29 ( DEV_CAP_RSVD_31_29 ),
.DEV_CONTROL_AUX_POWER_SUPPORTED ( DEV_CONTROL_AUX_POWER_SUPPORTED ),
.DEV_CONTROL_EXT_TAG_DEFAULT ( DEV_CONTROL_EXT_TAG_DEFAULT ),
.DISABLE_ASPM_L1_TIMER ( DISABLE_ASPM_L1_TIMER ),
.DISABLE_BAR_FILTERING ( DISABLE_BAR_FILTERING ),
.DISABLE_ID_CHECK ( DISABLE_ID_CHECK ),
.DISABLE_LANE_REVERSAL ( DISABLE_LANE_REVERSAL ),
.DISABLE_RX_POISONED_RESP ( DISABLE_RX_POISONED_RESP ),
.DISABLE_RX_TC_FILTER ( DISABLE_RX_TC_FILTER ),
.DISABLE_SCRAMBLING ( DISABLE_SCRAMBLING ),
.DNSTREAM_LINK_NUM ( DNSTREAM_LINK_NUM ),
.DSN_BASE_PTR ( DSN_BASE_PTR ),
.DSN_CAP_ID ( DSN_CAP_ID ),
.DSN_CAP_NEXTPTR ( DSN_CAP_NEXTPTR ),
.DSN_CAP_ON ( DSN_CAP_ON ),
.DSN_CAP_VERSION ( DSN_CAP_VERSION ),
.DEV_CAP2_ARI_FORWARDING_SUPPORTED ( DEV_CAP2_ARI_FORWARDING_SUPPORTED ),
.DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED ( DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED ),
.DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED ( DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED ),
.DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED ( DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED ),
.DEV_CAP2_CAS128_COMPLETER_SUPPORTED ( DEV_CAP2_CAS128_COMPLETER_SUPPORTED ),
.DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED ( DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED ),
.DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED ( DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED ),
.DEV_CAP2_LTR_MECHANISM_SUPPORTED ( DEV_CAP2_LTR_MECHANISM_SUPPORTED ),
.DEV_CAP2_MAX_ENDEND_TLP_PREFIXES ( DEV_CAP2_MAX_ENDEND_TLP_PREFIXES ),
.DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING ( DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING ),
.DEV_CAP2_TPH_COMPLETER_SUPPORTED ( DEV_CAP2_TPH_COMPLETER_SUPPORTED ),
.DISABLE_ERR_MSG ( DISABLE_ERR_MSG ),
.DISABLE_LOCKED_FILTER ( DISABLE_LOCKED_FILTER ),
.DISABLE_PPM_FILTER ( DISABLE_PPM_FILTER ),
.ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED ( ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED ),
.ENABLE_MSG_ROUTE ( ENABLE_MSG_ROUTE ),
.ENABLE_RX_TD_ECRC_TRIM ( ENABLE_RX_TD_ECRC_TRIM ),
.ENTER_RVRY_EI_L0 ( ENTER_RVRY_EI_L0 ),
.EXIT_LOOPBACK_ON_EI ( EXIT_LOOPBACK_ON_EI ),
.EXPANSION_ROM ( EXPANSION_ROM ),
.EXT_CFG_CAP_PTR ( EXT_CFG_CAP_PTR ),
.EXT_CFG_XP_CAP_PTR ( EXT_CFG_XP_CAP_PTR ),
.HEADER_TYPE ( HEADER_TYPE ),
.INFER_EI ( INFER_EI ),
.INTERRUPT_PIN ( INTERRUPT_PIN ),
.INTERRUPT_STAT_AUTO ( INTERRUPT_STAT_AUTO ),
.IS_SWITCH ( IS_SWITCH ),
.LAST_CONFIG_DWORD ( LAST_CONFIG_DWORD ),
.LINK_CAP_ASPM_OPTIONALITY ( LINK_CAP_ASPM_OPTIONALITY ),
.LINK_CAP_ASPM_SUPPORT ( LINK_CAP_ASPM_SUPPORT ),
.LINK_CAP_CLOCK_POWER_MANAGEMENT ( LINK_CAP_CLOCK_POWER_MANAGEMENT ),
.LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ( LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP ),
.LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1 ),
.LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2 ),
.LINK_CAP_L0S_EXIT_LATENCY_GEN1 ( LINK_CAP_L0S_EXIT_LATENCY_GEN1 ),
.LINK_CAP_L0S_EXIT_LATENCY_GEN2 ( LINK_CAP_L0S_EXIT_LATENCY_GEN2 ),
.LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1 ),
.LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2 ),
.LINK_CAP_L1_EXIT_LATENCY_GEN1 ( LINK_CAP_L1_EXIT_LATENCY_GEN1 ),
.LINK_CAP_L1_EXIT_LATENCY_GEN2 ( LINK_CAP_L1_EXIT_LATENCY_GEN2 ),
.LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ( LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP ),
.LINK_CAP_MAX_LINK_SPEED ( LINK_CAP_MAX_LINK_SPEED ),
.LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
.LINK_CAP_RSVD_23 ( LINK_CAP_RSVD_23 ),
.LINK_CONTROL_RCB ( LINK_CONTROL_RCB ),
.LINK_CTRL2_DEEMPHASIS ( LINK_CTRL2_DEEMPHASIS ),
.LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ( LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE ),
.LINK_CTRL2_TARGET_LINK_SPEED ( LINK_CTRL2_TARGET_LINK_SPEED ),
.LINK_STATUS_SLOT_CLOCK_CONFIG ( LINK_STATUS_SLOT_CLOCK_CONFIG ),
.LL_ACK_TIMEOUT ( LL_ACK_TIMEOUT ),
.LL_ACK_TIMEOUT_EN ( LL_ACK_TIMEOUT_EN ),
.LL_ACK_TIMEOUT_FUNC ( LL_ACK_TIMEOUT_FUNC ),
.LL_REPLAY_TIMEOUT ( LL_REPLAY_TIMEOUT ),
.LL_REPLAY_TIMEOUT_EN ( LL_REPLAY_TIMEOUT_EN ),
.LL_REPLAY_TIMEOUT_FUNC ( LL_REPLAY_TIMEOUT_FUNC ),
.LTSSM_MAX_LINK_WIDTH ( LTSSM_MAX_LINK_WIDTH ),
.MPS_FORCE ( MPS_FORCE),
.MSI_BASE_PTR ( MSI_BASE_PTR ),
.MSI_CAP_ID ( MSI_CAP_ID ),
.MSI_CAP_MULTIMSGCAP ( MSI_CAP_MULTIMSGCAP ),
.MSI_CAP_MULTIMSG_EXTENSION ( MSI_CAP_MULTIMSG_EXTENSION ),
.MSI_CAP_NEXTPTR ( MSI_CAP_NEXTPTR ),
.MSI_CAP_ON ( MSI_CAP_ON ),
.MSI_CAP_PER_VECTOR_MASKING_CAPABLE ( MSI_CAP_PER_VECTOR_MASKING_CAPABLE ),
.MSI_CAP_64_BIT_ADDR_CAPABLE ( MSI_CAP_64_BIT_ADDR_CAPABLE ),
.MSIX_BASE_PTR ( MSIX_BASE_PTR ),
.MSIX_CAP_ID ( MSIX_CAP_ID ),
.MSIX_CAP_NEXTPTR ( MSIX_CAP_NEXTPTR ),
.MSIX_CAP_ON ( MSIX_CAP_ON ),
.MSIX_CAP_PBA_BIR ( MSIX_CAP_PBA_BIR ),
.MSIX_CAP_PBA_OFFSET ( MSIX_CAP_PBA_OFFSET ),
.MSIX_CAP_TABLE_BIR ( MSIX_CAP_TABLE_BIR ),
.MSIX_CAP_TABLE_OFFSET ( MSIX_CAP_TABLE_OFFSET ),
.MSIX_CAP_TABLE_SIZE ( MSIX_CAP_TABLE_SIZE ),
.N_FTS_COMCLK_GEN1 ( N_FTS_COMCLK_GEN1 ),
.N_FTS_COMCLK_GEN2 ( N_FTS_COMCLK_GEN2 ),
.N_FTS_GEN1 ( N_FTS_GEN1 ),
.N_FTS_GEN2 ( N_FTS_GEN2 ),
.PCIE_BASE_PTR ( PCIE_BASE_PTR ),
.PCIE_CAP_CAPABILITY_ID ( PCIE_CAP_CAPABILITY_ID ),
.PCIE_CAP_CAPABILITY_VERSION ( PCIE_CAP_CAPABILITY_VERSION ),
.PCIE_CAP_DEVICE_PORT_TYPE ( PCIE_CAP_DEVICE_PORT_TYPE ),
.PCIE_CAP_NEXTPTR ( PCIE_CAP_NEXTPTR ),
.PCIE_CAP_ON ( PCIE_CAP_ON ),
.PCIE_CAP_RSVD_15_14 ( PCIE_CAP_RSVD_15_14 ),
.PCIE_CAP_SLOT_IMPLEMENTED ( PCIE_CAP_SLOT_IMPLEMENTED ),
.PCIE_REVISION ( PCIE_REVISION ),
.PL_AUTO_CONFIG ( PL_AUTO_CONFIG ),
.PL_FAST_TRAIN ( PL_FAST_TRAIN ),
.PM_ASPML0S_TIMEOUT ( PM_ASPML0S_TIMEOUT ),
.PM_ASPML0S_TIMEOUT_EN ( PM_ASPML0S_TIMEOUT_EN ),
.PM_ASPML0S_TIMEOUT_FUNC ( PM_ASPML0S_TIMEOUT_FUNC ),
.PM_ASPM_FASTEXIT ( PM_ASPM_FASTEXIT ),
.PM_BASE_PTR ( PM_BASE_PTR ),
.PM_CAP_AUXCURRENT ( PM_CAP_AUXCURRENT ),
.PM_CAP_D1SUPPORT ( PM_CAP_D1SUPPORT ),
.PM_CAP_D2SUPPORT ( PM_CAP_D2SUPPORT ),
.PM_CAP_DSI ( PM_CAP_DSI ),
.PM_CAP_ID ( PM_CAP_ID ),
.PM_CAP_NEXTPTR ( PM_CAP_NEXTPTR ),
.PM_CAP_ON ( PM_CAP_ON ),
.PM_CAP_PME_CLOCK ( PM_CAP_PME_CLOCK ),
.PM_CAP_PMESUPPORT ( PM_CAP_PMESUPPORT ),
.PM_CAP_RSVD_04 ( PM_CAP_RSVD_04 ),
.PM_CAP_VERSION ( PM_CAP_VERSION ),
.PM_CSR_B2B3 ( PM_CSR_B2B3 ),
.PM_CSR_BPCCEN ( PM_CSR_BPCCEN ),
.PM_CSR_NOSOFTRST ( PM_CSR_NOSOFTRST ),
.PM_DATA0 ( PM_DATA0 ),
.PM_DATA1 ( PM_DATA1 ),
.PM_DATA2 ( PM_DATA2 ),
.PM_DATA3 ( PM_DATA3 ),
.PM_DATA4 ( PM_DATA4 ),
.PM_DATA5 ( PM_DATA5 ),
.PM_DATA6 ( PM_DATA6 ),
.PM_DATA7 ( PM_DATA7 ),
.PM_DATA_SCALE0 ( PM_DATA_SCALE0 ),
.PM_DATA_SCALE1 ( PM_DATA_SCALE1 ),
.PM_DATA_SCALE2 ( PM_DATA_SCALE2 ),
.PM_DATA_SCALE3 ( PM_DATA_SCALE3 ),
.PM_DATA_SCALE4 ( PM_DATA_SCALE4 ),
.PM_DATA_SCALE5 ( PM_DATA_SCALE5 ),
.PM_DATA_SCALE6 ( PM_DATA_SCALE6 ),
.PM_DATA_SCALE7 ( PM_DATA_SCALE7 ),
.PM_MF ( PM_MF ),
.RBAR_BASE_PTR ( RBAR_BASE_PTR ),
.RBAR_CAP_CONTROL_ENCODEDBAR0 ( RBAR_CAP_CONTROL_ENCODEDBAR0 ),
.RBAR_CAP_CONTROL_ENCODEDBAR1 ( RBAR_CAP_CONTROL_ENCODEDBAR1 ),
.RBAR_CAP_CONTROL_ENCODEDBAR2 ( RBAR_CAP_CONTROL_ENCODEDBAR2 ),
.RBAR_CAP_CONTROL_ENCODEDBAR3 ( RBAR_CAP_CONTROL_ENCODEDBAR3 ),
.RBAR_CAP_CONTROL_ENCODEDBAR4 ( RBAR_CAP_CONTROL_ENCODEDBAR4 ),
.RBAR_CAP_CONTROL_ENCODEDBAR5 ( RBAR_CAP_CONTROL_ENCODEDBAR5 ),
.RBAR_CAP_ID ( RBAR_CAP_ID),
.RBAR_CAP_INDEX0 ( RBAR_CAP_INDEX0 ),
.RBAR_CAP_INDEX1 ( RBAR_CAP_INDEX1 ),
.RBAR_CAP_INDEX2 ( RBAR_CAP_INDEX2 ),
.RBAR_CAP_INDEX3 ( RBAR_CAP_INDEX3 ),
.RBAR_CAP_INDEX4 ( RBAR_CAP_INDEX4 ),
.RBAR_CAP_INDEX5 ( RBAR_CAP_INDEX5 ),
.RBAR_CAP_NEXTPTR ( RBAR_CAP_NEXTPTR ),
.RBAR_CAP_ON ( RBAR_CAP_ON ),
.RBAR_CAP_SUP0 ( RBAR_CAP_SUP0 ),
.RBAR_CAP_SUP1 ( RBAR_CAP_SUP1 ),
.RBAR_CAP_SUP2 ( RBAR_CAP_SUP2 ),
.RBAR_CAP_SUP3 ( RBAR_CAP_SUP3 ),
.RBAR_CAP_SUP4 ( RBAR_CAP_SUP4 ),
.RBAR_CAP_SUP5 ( RBAR_CAP_SUP5 ),
.RBAR_CAP_VERSION ( RBAR_CAP_VERSION ),
.RBAR_NUM ( RBAR_NUM ),
.RECRC_CHK ( RECRC_CHK ),
.RECRC_CHK_TRIM ( RECRC_CHK_TRIM ),
// .REF_CLK_FREQ ( REF_CLK_FREQ ),
.ROOT_CAP_CRS_SW_VISIBILITY ( ROOT_CAP_CRS_SW_VISIBILITY ),
.RP_AUTO_SPD ( RP_AUTO_SPD ),
.RP_AUTO_SPD_LOOPCNT ( RP_AUTO_SPD_LOOPCNT ),
.SELECT_DLL_IF ( SELECT_DLL_IF ),
.SLOT_CAP_ATT_BUTTON_PRESENT ( SLOT_CAP_ATT_BUTTON_PRESENT ),
.SLOT_CAP_ATT_INDICATOR_PRESENT ( SLOT_CAP_ATT_INDICATOR_PRESENT ),
.SLOT_CAP_ELEC_INTERLOCK_PRESENT ( SLOT_CAP_ELEC_INTERLOCK_PRESENT ),
.SLOT_CAP_HOTPLUG_CAPABLE ( SLOT_CAP_HOTPLUG_CAPABLE ),
.SLOT_CAP_HOTPLUG_SURPRISE ( SLOT_CAP_HOTPLUG_SURPRISE ),
.SLOT_CAP_MRL_SENSOR_PRESENT ( SLOT_CAP_MRL_SENSOR_PRESENT ),
.SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ( SLOT_CAP_NO_CMD_COMPLETED_SUPPORT ),
.SLOT_CAP_PHYSICAL_SLOT_NUM ( SLOT_CAP_PHYSICAL_SLOT_NUM ),
.SLOT_CAP_POWER_CONTROLLER_PRESENT ( SLOT_CAP_POWER_CONTROLLER_PRESENT ),
.SLOT_CAP_POWER_INDICATOR_PRESENT ( SLOT_CAP_POWER_INDICATOR_PRESENT ),
.SLOT_CAP_SLOT_POWER_LIMIT_SCALE ( SLOT_CAP_SLOT_POWER_LIMIT_SCALE ),
.SLOT_CAP_SLOT_POWER_LIMIT_VALUE ( SLOT_CAP_SLOT_POWER_LIMIT_VALUE ),
.SPARE_BIT0 ( SPARE_BIT0 ),
.SPARE_BIT1 ( SPARE_BIT1 ),
.SPARE_BIT2 ( SPARE_BIT2 ),
.SPARE_BIT3 ( SPARE_BIT3 ),
.SPARE_BIT4 ( SPARE_BIT4 ),
.SPARE_BIT5 ( SPARE_BIT5 ),
.SPARE_BIT6 ( SPARE_BIT6 ),
.SPARE_BIT7 ( SPARE_BIT7 ),
.SPARE_BIT8 ( SPARE_BIT8 ),
.SPARE_BYTE0 ( SPARE_BYTE0 ),
.SPARE_BYTE1 ( SPARE_BYTE1 ),
.SPARE_BYTE2 ( SPARE_BYTE2 ),
.SPARE_BYTE3 ( SPARE_BYTE3 ),
.SPARE_WORD0 ( SPARE_WORD0 ),
.SPARE_WORD1 ( SPARE_WORD1 ),
.SPARE_WORD2 ( SPARE_WORD2 ),
.SPARE_WORD3 ( SPARE_WORD3 ),
.SSL_MESSAGE_AUTO ( SSL_MESSAGE_AUTO ),
.TECRC_EP_INV ( TECRC_EP_INV ),
.TL_RBYPASS ( TL_RBYPASS ),
.TL_RX_RAM_RADDR_LATENCY ( TL_RX_RAM_RADDR_LATENCY ),
.TL_RX_RAM_RDATA_LATENCY ( TL_RX_RAM_RDATA_LATENCY ),
.TL_RX_RAM_WRITE_LATENCY ( TL_RX_RAM_WRITE_LATENCY ),
.TL_TFC_DISABLE ( TL_TFC_DISABLE ),
.TL_TX_CHECKS_DISABLE ( TL_TX_CHECKS_DISABLE ),
.TL_TX_RAM_RADDR_LATENCY ( TL_TX_RAM_RADDR_LATENCY ),
.TL_TX_RAM_RDATA_LATENCY ( TL_TX_RAM_RDATA_LATENCY ),
.TL_TX_RAM_WRITE_LATENCY ( TL_TX_RAM_WRITE_LATENCY ),
.TRN_DW ( TRN_DW ),
.TRN_NP_FC ( TRN_NP_FC ),
.UPCONFIG_CAPABLE ( UPCONFIG_CAPABLE ),
.UPSTREAM_FACING ( UPSTREAM_FACING ),
.UR_ATOMIC ( UR_ATOMIC ),
.UR_CFG1 ( UR_CFG1 ),
.UR_INV_REQ ( UR_INV_REQ ),
.UR_PRS_RESPONSE ( UR_PRS_RESPONSE ),
.USER_CLK2_DIV2 ( USER_CLK2_DIV2 ),
.USER_CLK_FREQ ( USER_CLK_FREQ ),
.USE_RID_PINS ( USE_RID_PINS ),
.VC0_CPL_INFINITE ( VC0_CPL_INFINITE ),
.VC0_RX_RAM_LIMIT ( VC0_RX_RAM_LIMIT ),
.VC0_TOTAL_CREDITS_CD ( VC0_TOTAL_CREDITS_CD ),
.VC0_TOTAL_CREDITS_CH ( VC0_TOTAL_CREDITS_CH ),
.VC0_TOTAL_CREDITS_NPD ( VC0_TOTAL_CREDITS_NPD),
.VC0_TOTAL_CREDITS_NPH ( VC0_TOTAL_CREDITS_NPH ),
.VC0_TOTAL_CREDITS_PD ( VC0_TOTAL_CREDITS_PD ),
.VC0_TOTAL_CREDITS_PH ( VC0_TOTAL_CREDITS_PH ),
.VC0_TX_LASTPACKET ( VC0_TX_LASTPACKET ),
.VC_BASE_PTR ( VC_BASE_PTR ),
.VC_CAP_ID ( VC_CAP_ID ),
.VC_CAP_NEXTPTR ( VC_CAP_NEXTPTR ),
.VC_CAP_ON ( VC_CAP_ON ),
.VC_CAP_REJECT_SNOOP_TRANSACTIONS ( VC_CAP_REJECT_SNOOP_TRANSACTIONS ),
.VC_CAP_VERSION ( VC_CAP_VERSION ),
.VSEC_BASE_PTR ( VSEC_BASE_PTR ),
.VSEC_CAP_HDR_ID ( VSEC_CAP_HDR_ID ),
.VSEC_CAP_HDR_LENGTH ( VSEC_CAP_HDR_LENGTH ),
.VSEC_CAP_HDR_REVISION ( VSEC_CAP_HDR_REVISION ),
.VSEC_CAP_ID ( VSEC_CAP_ID ),
.VSEC_CAP_IS_LINK_VISIBLE ( VSEC_CAP_IS_LINK_VISIBLE ),
.VSEC_CAP_NEXTPTR ( VSEC_CAP_NEXTPTR ),
.VSEC_CAP_ON ( VSEC_CAP_ON ),
.VSEC_CAP_VERSION ( VSEC_CAP_VERSION )
// I/O
) pcie_top_i (
// AXI Interface
.user_clk_out ( user_clk_out ),
.user_reset ( user_reset_out ),
.user_lnk_up ( user_lnk_up ),
.user_rst_n ( user_rst_n ),
.trn_lnk_up ( trn_lnk_up ),
.tx_buf_av ( tx_buf_av ),
.tx_err_drop ( tx_err_drop ),
.tx_cfg_req ( tx_cfg_req ),
.s_axis_tx_tready ( s_axis_tx_tready ),
.s_axis_tx_tdata ( s_axis_tx_tdata ),
.s_axis_tx_tkeep ( s_axis_tx_tkeep ),
.s_axis_tx_tuser ( s_axis_tx_tuser ),
.s_axis_tx_tlast ( s_axis_tx_tlast ),
.s_axis_tx_tvalid ( s_axis_tx_tvalid ),
.tx_cfg_gnt ( trn_tcfg_gnt ),
.m_axis_rx_tdata ( m_axis_rx_tdata ),
.m_axis_rx_tkeep ( m_axis_rx_tkeep ),
.m_axis_rx_tlast ( m_axis_rx_tlast ),
.m_axis_rx_tvalid ( m_axis_rx_tvalid ),
.m_axis_rx_tready ( m_axis_rx_tready ),
.m_axis_rx_tuser ( m_axis_rx_tuser ),
.rx_np_ok ( rx_np_ok ),
.rx_np_req ( rx_np_req ),
.fc_cpld ( fc_cpld ),
.fc_cplh ( fc_cplh ),
.fc_npd ( fc_npd ),
.fc_nph ( fc_nph ),
.fc_pd ( fc_pd ),
.fc_ph ( fc_ph ),
.fc_sel ( fc_sel ),
.cfg_turnoff_ok ( cfg_turnoff_ok ),
.cfg_received_func_lvl_rst ( cfg_received_func_lvl_rst ),
.cm_rst_n ( 1'b1 ),
.func_lvl_rst_n ( 1'b1 ),
.lnk_clk_en ( ),
.cfg_dev_id ( cfg_dev_id ),
.cfg_vend_id ( cfg_vend_id ),
.cfg_rev_id ( cfg_rev_id ),
.cfg_subsys_id ( cfg_subsys_id ),
.cfg_subsys_vend_id ( cfg_subsys_vend_id ),
.cfg_pciecap_interrupt_msgnum ( cfg_pciecap_interrupt_msgnum ),
.cfg_bridge_serr_en ( cfg_bridge_serr_en ),
.cfg_command_bus_master_enable ( ),
.cfg_command_interrupt_disable ( ),
.cfg_command_io_enable ( ),
.cfg_command_mem_enable ( ),
.cfg_command_serr_en ( ),
.cfg_dev_control_aux_power_en ( ),
.cfg_dev_control_corr_err_reporting_en ( ),
.cfg_dev_control_enable_ro ( ),
.cfg_dev_control_ext_tag_en ( ),
.cfg_dev_control_fatal_err_reporting_en ( ),
.cfg_dev_control_max_payload ( ),
.cfg_dev_control_max_read_req ( ),
.cfg_dev_control_non_fatal_reporting_en ( ),
.cfg_dev_control_no_snoop_en ( ),
.cfg_dev_control_phantom_en ( ),
.cfg_dev_control_ur_err_reporting_en ( ),
.cfg_dev_control2_cpl_timeout_dis ( ),
.cfg_dev_control2_cpl_timeout_val ( ),
.cfg_dev_control2_ari_forward_en ( ),
.cfg_dev_control2_atomic_requester_en ( ),
.cfg_dev_control2_atomic_egress_block ( ),
.cfg_dev_control2_ido_req_en ( ),
.cfg_dev_control2_ido_cpl_en ( ),
.cfg_dev_control2_ltr_en ( ),
.cfg_dev_control2_tlp_prefix_block ( ),
.cfg_dev_status_corr_err_detected ( ),
.cfg_dev_status_fatal_err_detected ( ),
.cfg_dev_status_non_fatal_err_detected ( ),
.cfg_dev_status_ur_detected ( ),
.cfg_mgmt_do ( cfg_mgmt_do ),
.cfg_err_aer_headerlog_set ( cfg_err_aer_headerlog_set ),
.cfg_err_aer_headerlog ( cfg_err_aer_headerlog ),
.cfg_err_cpl_rdy ( cfg_err_cpl_rdy ),
.cfg_interrupt_do ( cfg_interrupt_do ),
.cfg_interrupt_mmenable ( cfg_interrupt_mmenable ),
.cfg_interrupt_msienable ( cfg_interrupt_msienable ),
.cfg_interrupt_msixenable ( cfg_interrupt_msixenable ),
.cfg_interrupt_msixfm ( cfg_interrupt_msixfm ),
.cfg_interrupt_rdy ( cfg_interrupt_rdy ),
.cfg_link_control_rcb ( ),
.cfg_link_control_aspm_control ( ),
.cfg_link_control_auto_bandwidth_int_en ( ),
.cfg_link_control_bandwidth_int_en ( ),
.cfg_link_control_clock_pm_en ( ),
.cfg_link_control_common_clock ( ),
.cfg_link_control_extended_sync ( ),
.cfg_link_control_hw_auto_width_dis ( ),
.cfg_link_control_link_disable ( ),
.cfg_link_control_retrain_link ( ),
.cfg_link_status_auto_bandwidth_status ( ),
.cfg_link_status_bandwidth_status ( ),
.cfg_link_status_current_speed ( ),
.cfg_link_status_dll_active ( ),
.cfg_link_status_link_training ( ),
.cfg_link_status_negotiated_width ( ),
.cfg_msg_data ( cfg_msg_data ),
.cfg_msg_received ( cfg_msg_received ),
.cfg_msg_received_assert_int_a ( cfg_msg_received_assert_int_a ),
.cfg_msg_received_assert_int_b ( cfg_msg_received_assert_int_b ),
.cfg_msg_received_assert_int_c ( cfg_msg_received_assert_int_c ),
.cfg_msg_received_assert_int_d ( cfg_msg_received_assert_int_d ),
.cfg_msg_received_deassert_int_a ( cfg_msg_received_deassert_int_a ),
.cfg_msg_received_deassert_int_b ( cfg_msg_received_deassert_int_b ),
.cfg_msg_received_deassert_int_c ( cfg_msg_received_deassert_int_c ),
.cfg_msg_received_deassert_int_d ( cfg_msg_received_deassert_int_d ),
.cfg_msg_received_err_cor ( cfg_msg_received_err_cor ),
.cfg_msg_received_err_fatal ( cfg_msg_received_err_fatal ),
.cfg_msg_received_err_non_fatal ( cfg_msg_received_err_non_fatal ),
.cfg_msg_received_pm_as_nak ( cfg_msg_received_pm_as_nak ),
.cfg_msg_received_pme_to ( ),
.cfg_msg_received_pme_to_ack ( cfg_msg_received_pme_to_ack ),
.cfg_msg_received_pm_pme ( cfg_msg_received_pm_pme ),
.cfg_msg_received_setslotpowerlimit ( cfg_msg_received_setslotpowerlimit ),
.cfg_msg_received_unlock ( ),
.cfg_to_turnoff ( cfg_to_turnoff ),
.cfg_status ( cfg_status ),
.cfg_command ( cfg_command ),
.cfg_dstatus ( cfg_dstatus ),
.cfg_dcommand ( cfg_dcommand ),
.cfg_lstatus ( cfg_lstatus ),
.cfg_lcommand ( cfg_lcommand ),
.cfg_dcommand2 ( cfg_dcommand2 ),
.cfg_pcie_link_state ( cfg_pcie_link_state ),
.cfg_pmcsr_pme_en ( cfg_pmcsr_pme_en ),
.cfg_pmcsr_powerstate ( cfg_pmcsr_powerstate ),
.cfg_pmcsr_pme_status ( cfg_pmcsr_pme_status ),
.cfg_pm_rcv_as_req_l1_n ( ),
.cfg_pm_rcv_enter_l1_n ( ),
.cfg_pm_rcv_enter_l23_n ( ),
.cfg_pm_rcv_req_ack_n ( ),
.cfg_mgmt_rd_wr_done ( cfg_mgmt_rd_wr_done ),
.cfg_slot_control_electromech_il_ctl_pulse ( cfg_slot_control_electromech_il_ctl_pulse ),
.cfg_root_control_syserr_corr_err_en ( cfg_root_control_syserr_corr_err_en ),
.cfg_root_control_syserr_non_fatal_err_en ( cfg_root_control_syserr_non_fatal_err_en ),
.cfg_root_control_syserr_fatal_err_en ( cfg_root_control_syserr_fatal_err_en ),
.cfg_root_control_pme_int_en ( cfg_root_control_pme_int_en),
.cfg_aer_ecrc_check_en ( cfg_aer_ecrc_check_en ),
.cfg_aer_ecrc_gen_en ( cfg_aer_ecrc_gen_en ),
.cfg_aer_rooterr_corr_err_reporting_en ( cfg_aer_rooterr_corr_err_reporting_en ),
.cfg_aer_rooterr_non_fatal_err_reporting_en ( cfg_aer_rooterr_non_fatal_err_reporting_en ),
.cfg_aer_rooterr_fatal_err_reporting_en ( cfg_aer_rooterr_fatal_err_reporting_en ),
.cfg_aer_rooterr_corr_err_received ( cfg_aer_rooterr_corr_err_received ),
.cfg_aer_rooterr_non_fatal_err_received ( cfg_aer_rooterr_non_fatal_err_received ),
.cfg_aer_rooterr_fatal_err_received ( cfg_aer_rooterr_fatal_err_received ),
.cfg_aer_interrupt_msgnum ( cfg_aer_interrupt_msgnum ),
.cfg_transaction ( ),
.cfg_transaction_addr ( ),
.cfg_transaction_type ( ),
.cfg_vc_tcvc_map ( cfg_vc_tcvc_map ),
.cfg_mgmt_byte_en_n ( ~cfg_mgmt_byte_en ),
.cfg_mgmt_di ( cfg_mgmt_di ),
.cfg_dsn ( cfg_dsn ),
.cfg_mgmt_dwaddr ( cfg_mgmt_dwaddr ),
.cfg_err_acs_n ( 1'b1 ),
.cfg_err_cor_n ( ~cfg_err_cor ),
.cfg_err_cpl_abort_n ( ~cfg_err_cpl_abort ),
.cfg_err_cpl_timeout_n ( ~cfg_err_cpl_timeout ),
.cfg_err_cpl_unexpect_n ( ~cfg_err_cpl_unexpect ),
.cfg_err_ecrc_n ( ~cfg_err_ecrc ),
.cfg_err_locked_n ( ~cfg_err_locked ),
.cfg_err_posted_n ( ~cfg_err_posted ),
.cfg_err_tlp_cpl_header ( cfg_err_tlp_cpl_header ),
.cfg_err_ur_n ( ~cfg_err_ur ),
.cfg_err_malformed_n ( ~cfg_err_malformed ),
.cfg_err_poisoned_n ( ~cfg_err_poisoned ),
.cfg_err_atomic_egress_blocked_n ( ~cfg_err_atomic_egress_blocked ),
.cfg_err_mc_blocked_n ( ~cfg_err_mc_blocked ),
.cfg_err_internal_uncor_n ( ~cfg_err_internal_uncor ),
.cfg_err_internal_cor_n ( ~cfg_err_internal_cor ),
.cfg_err_norecovery_n ( ~cfg_err_norecovery ),
.cfg_interrupt_assert_n ( ~cfg_interrupt_assert ),
.cfg_interrupt_di ( cfg_interrupt_di ),
.cfg_interrupt_n ( ~cfg_interrupt ),
.cfg_interrupt_stat_n ( ~cfg_interrupt_stat ),
.cfg_bus_number ( cfg_bus_number ),
.cfg_device_number ( cfg_device_number ),
.cfg_function_number ( cfg_function_number ),
.cfg_ds_bus_number ( cfg_ds_bus_number ),
.cfg_ds_device_number ( cfg_ds_device_number ),
.cfg_ds_function_number ( cfg_ds_function_number ),
.cfg_pm_send_pme_to_n ( 1'b1 ),
.cfg_pm_wake_n ( ~cfg_pm_wake ),
.cfg_pm_halt_aspm_l0s_n ( ~cfg_pm_halt_aspm_l0s ),
.cfg_pm_halt_aspm_l1_n ( ~cfg_pm_halt_aspm_l1 ),
.cfg_pm_force_state_en_n ( ~cfg_pm_force_state_en),
.cfg_pm_force_state ( cfg_pm_force_state ),
.cfg_force_mps ( 3'b0 ),
.cfg_force_common_clock_off ( 1'b0 ),
.cfg_force_extended_sync_on ( 1'b0 ),
.cfg_port_number ( 8'b0 ),
.cfg_mgmt_rd_en_n ( ~cfg_mgmt_rd_en ),
.cfg_trn_pending ( cfg_trn_pending ),
.cfg_mgmt_wr_en_n ( ~cfg_mgmt_wr_en ),
.cfg_mgmt_wr_readonly_n ( ~cfg_mgmt_wr_readonly ),
.cfg_mgmt_wr_rw1c_as_rw_n ( ~cfg_mgmt_wr_rw1c_as_rw ),
.pl_initial_link_width ( pl_initial_link_width ),
.pl_lane_reversal_mode ( pl_lane_reversal_mode ),
.pl_link_gen2_cap ( pl_link_gen2_cap ),
.pl_link_partner_gen2_supported ( pl_link_partner_gen2_supported ),
.pl_link_upcfg_cap ( pl_link_upcfg_cap ),
.pl_ltssm_state ( pl_ltssm_state_int ),
.pl_phy_lnk_up ( pl_phy_lnk_up_wire ),
.pl_received_hot_rst ( pl_received_hot_rst_wire ),
.pl_rx_pm_state ( pl_rx_pm_state ),
.pl_sel_lnk_rate ( pl_sel_lnk_rate ),
.pl_sel_lnk_width ( pl_sel_lnk_width ),
.pl_tx_pm_state ( pl_tx_pm_state ),
.pl_directed_link_auton ( pl_directed_link_auton ),
.pl_directed_link_change ( pl_directed_link_change ),
.pl_directed_link_speed ( pl_directed_link_speed ),
.pl_directed_link_width ( pl_directed_link_width ),
.pl_downstream_deemph_source ( pl_downstream_deemph_source ),
.pl_upstream_prefer_deemph ( pl_upstream_prefer_deemph ),
.pl_transmit_hot_rst ( pl_transmit_hot_rst ),
.pl_directed_ltssm_new_vld ( 1'b0 ),
.pl_directed_ltssm_new ( 6'b0 ),
.pl_directed_ltssm_stall ( 1'b0 ),
.pl_directed_change_done ( pl_directed_change_done ),
.phy_rdy_n ( phy_rdy_n ),
.dbg_sclr_a ( ),
.dbg_sclr_b ( ),
.dbg_sclr_c ( ),
.dbg_sclr_d ( ),
.dbg_sclr_e ( ),
.dbg_sclr_f ( ),
.dbg_sclr_g ( ),
.dbg_sclr_h ( ),
.dbg_sclr_i ( ),
.dbg_sclr_j ( ),
.dbg_sclr_k ( ),
.dbg_vec_a ( ),
.dbg_vec_b ( ),
.dbg_vec_c ( ),
.pl_dbg_vec ( ),
.trn_rdllp_data ( ),
.trn_rdllp_src_rdy ( ),
.dbg_mode ( ),
.dbg_sub_mode ( ),
.pl_dbg_mode ( ),
.drp_clk ( 1'b0 ),
.drp_do ( ),
.drp_rdy ( ),
.drp_addr ( 9'b0 ),
.drp_en ( 1'b0 ),
.drp_di ( 16'b0 ),
.drp_we ( 1'b0 ),
// Pipe Interface
.pipe_clk ( pipe_clk ),
.user_clk ( user_clk ),
.user_clk2 ( user_clk2 ),
.pipe_rx0_polarity_gt ( pipe_rx0_polarity_gt ),
.pipe_rx1_polarity_gt ( pipe_rx1_polarity_gt ),
.pipe_rx2_polarity_gt ( pipe_rx2_polarity_gt ),
.pipe_rx3_polarity_gt ( pipe_rx3_polarity_gt ),
.pipe_rx4_polarity_gt ( pipe_rx4_polarity_gt ),
.pipe_rx5_polarity_gt ( pipe_rx5_polarity_gt ),
.pipe_rx6_polarity_gt ( pipe_rx6_polarity_gt ),
.pipe_rx7_polarity_gt ( pipe_rx7_polarity_gt ),
.pipe_tx_deemph_gt ( pipe_tx_deemph_gt ),
.pipe_tx_margin_gt ( pipe_tx_margin_gt ),
.pipe_tx_rate_gt ( pipe_tx_rate_gt ),
.pipe_tx_rcvr_det_gt ( pipe_tx_rcvr_det_gt ),
.pipe_tx0_char_is_k_gt ( pipe_tx0_char_is_k_gt ),
.pipe_tx0_compliance_gt ( pipe_tx0_compliance_gt ),
.pipe_tx0_data_gt ( pipe_tx0_data_gt ),
.pipe_tx0_elec_idle_gt ( pipe_tx0_elec_idle_gt ),
.pipe_tx0_powerdown_gt ( pipe_tx0_powerdown_gt ),
.pipe_tx1_char_is_k_gt ( pipe_tx1_char_is_k_gt ),
.pipe_tx1_compliance_gt ( pipe_tx1_compliance_gt ),
.pipe_tx1_data_gt ( pipe_tx1_data_gt ),
.pipe_tx1_elec_idle_gt ( pipe_tx1_elec_idle_gt ),
.pipe_tx1_powerdown_gt ( pipe_tx1_powerdown_gt ),
.pipe_tx2_char_is_k_gt ( pipe_tx2_char_is_k_gt ),
.pipe_tx2_compliance_gt ( pipe_tx2_compliance_gt ),
.pipe_tx2_data_gt ( pipe_tx2_data_gt ),
.pipe_tx2_elec_idle_gt ( pipe_tx2_elec_idle_gt ),
.pipe_tx2_powerdown_gt ( pipe_tx2_powerdown_gt ),
.pipe_tx3_char_is_k_gt ( pipe_tx3_char_is_k_gt ),
.pipe_tx3_compliance_gt ( pipe_tx3_compliance_gt ),
.pipe_tx3_data_gt ( pipe_tx3_data_gt ),
.pipe_tx3_elec_idle_gt ( pipe_tx3_elec_idle_gt ),
.pipe_tx3_powerdown_gt ( pipe_tx3_powerdown_gt ),
.pipe_tx4_char_is_k_gt ( pipe_tx4_char_is_k_gt ),
.pipe_tx4_compliance_gt ( pipe_tx4_compliance_gt ),
.pipe_tx4_data_gt ( pipe_tx4_data_gt ),
.pipe_tx4_elec_idle_gt ( pipe_tx4_elec_idle_gt ),
.pipe_tx4_powerdown_gt ( pipe_tx4_powerdown_gt ),
.pipe_tx5_char_is_k_gt ( pipe_tx5_char_is_k_gt ),
.pipe_tx5_compliance_gt ( pipe_tx5_compliance_gt ),
.pipe_tx5_data_gt ( pipe_tx5_data_gt ),
.pipe_tx5_elec_idle_gt ( pipe_tx5_elec_idle_gt ),
.pipe_tx5_powerdown_gt ( pipe_tx5_powerdown_gt ),
.pipe_tx6_char_is_k_gt ( pipe_tx6_char_is_k_gt ),
.pipe_tx6_compliance_gt ( pipe_tx6_compliance_gt ),
.pipe_tx6_data_gt ( pipe_tx6_data_gt ),
.pipe_tx6_elec_idle_gt ( pipe_tx6_elec_idle_gt ),
.pipe_tx6_powerdown_gt ( pipe_tx6_powerdown_gt ),
.pipe_tx7_char_is_k_gt ( pipe_tx7_char_is_k_gt ),
.pipe_tx7_compliance_gt ( pipe_tx7_compliance_gt ),
.pipe_tx7_data_gt ( pipe_tx7_data_gt ),
.pipe_tx7_elec_idle_gt ( pipe_tx7_elec_idle_gt ),
.pipe_tx7_powerdown_gt ( pipe_tx7_powerdown_gt ),
.pipe_rx0_chanisaligned_gt ( pipe_rx0_chanisaligned_gt ),
.pipe_rx0_char_is_k_gt ( pipe_rx0_char_is_k_gt ),
.pipe_rx0_data_gt ( pipe_rx0_data_gt ),
.pipe_rx0_elec_idle_gt ( pipe_rx0_elec_idle_gt ),
.pipe_rx0_phy_status_gt ( pipe_rx0_phy_status_gt ),
.pipe_rx0_status_gt ( pipe_rx0_status_gt ),
.pipe_rx0_valid_gt ( pipe_rx0_valid_gt ),
.pipe_rx1_chanisaligned_gt ( pipe_rx1_chanisaligned_gt ),
.pipe_rx1_char_is_k_gt ( pipe_rx1_char_is_k_gt ),
.pipe_rx1_data_gt ( pipe_rx1_data_gt ),
.pipe_rx1_elec_idle_gt ( pipe_rx1_elec_idle_gt ),
.pipe_rx1_phy_status_gt ( pipe_rx1_phy_status_gt ),
.pipe_rx1_status_gt ( pipe_rx1_status_gt ),
.pipe_rx1_valid_gt ( pipe_rx1_valid_gt ),
.pipe_rx2_chanisaligned_gt ( pipe_rx2_chanisaligned_gt ),
.pipe_rx2_char_is_k_gt ( pipe_rx2_char_is_k_gt ),
.pipe_rx2_data_gt ( pipe_rx2_data_gt ),
.pipe_rx2_elec_idle_gt ( pipe_rx2_elec_idle_gt ),
.pipe_rx2_phy_status_gt ( pipe_rx2_phy_status_gt ),
.pipe_rx2_status_gt ( pipe_rx2_status_gt ),
.pipe_rx2_valid_gt ( pipe_rx2_valid_gt ),
.pipe_rx3_chanisaligned_gt ( pipe_rx3_chanisaligned_gt ),
.pipe_rx3_char_is_k_gt ( pipe_rx3_char_is_k_gt ),
.pipe_rx3_data_gt ( pipe_rx3_data_gt ),
.pipe_rx3_elec_idle_gt ( pipe_rx3_elec_idle_gt ),
.pipe_rx3_phy_status_gt ( pipe_rx3_phy_status_gt ),
.pipe_rx3_status_gt ( pipe_rx3_status_gt ),
.pipe_rx3_valid_gt ( pipe_rx3_valid_gt ),
.pipe_rx4_chanisaligned_gt ( pipe_rx4_chanisaligned_gt ),
.pipe_rx4_char_is_k_gt ( pipe_rx4_char_is_k_gt ),
.pipe_rx4_data_gt ( pipe_rx4_data_gt ),
.pipe_rx4_elec_idle_gt ( pipe_rx4_elec_idle_gt ),
.pipe_rx4_phy_status_gt ( pipe_rx4_phy_status_gt ),
.pipe_rx4_status_gt ( pipe_rx4_status_gt ),
.pipe_rx4_valid_gt ( pipe_rx4_valid_gt ),
.pipe_rx5_chanisaligned_gt ( pipe_rx5_chanisaligned_gt ),
.pipe_rx5_char_is_k_gt ( pipe_rx5_char_is_k_gt ),
.pipe_rx5_data_gt ( pipe_rx5_data_gt ),
.pipe_rx5_elec_idle_gt ( pipe_rx5_elec_idle_gt ),
.pipe_rx5_phy_status_gt ( pipe_rx5_phy_status_gt ),
.pipe_rx5_status_gt ( pipe_rx5_status_gt ),
.pipe_rx5_valid_gt ( pipe_rx5_valid_gt ),
.pipe_rx6_chanisaligned_gt ( pipe_rx6_chanisaligned_gt ),
.pipe_rx6_char_is_k_gt ( pipe_rx6_char_is_k_gt ),
.pipe_rx6_data_gt ( pipe_rx6_data_gt ),
.pipe_rx6_elec_idle_gt ( pipe_rx6_elec_idle_gt ),
.pipe_rx6_phy_status_gt ( pipe_rx6_phy_status_gt ),
.pipe_rx6_status_gt ( pipe_rx6_status_gt ),
.pipe_rx6_valid_gt ( pipe_rx6_valid_gt ),
.pipe_rx7_chanisaligned_gt ( pipe_rx7_chanisaligned_gt ),
.pipe_rx7_char_is_k_gt ( pipe_rx7_char_is_k_gt ),
.pipe_rx7_data_gt ( pipe_rx7_data_gt ),
.pipe_rx7_elec_idle_gt ( pipe_rx7_elec_idle_gt ),
.pipe_rx7_phy_status_gt ( pipe_rx7_phy_status_gt ),
.pipe_rx7_status_gt ( pipe_rx7_status_gt ),
.pipe_rx7_valid_gt ( pipe_rx7_valid_gt )
);
//--------------------------------------------------------------------------------------------------------------------//
// **** Virtex7 GTX Wrapper **** //
// The Virtex7 GTX Wrapper includes the following: //
// 1) Virtex-7 GTX //
//--------------------------------------------------------------------------------------------------------------------//
// Selection of pipe_sim should instantiate both gt_top & gt_top_pipe_mode modules, If not selected then only gt_top//
// Printed the code such a way that bydefault it will keep gt_top and gt_top & gt_top_pipe_mode on pipe_sim mode //
//------------------------------------------------------------------------------------------------------------------//
generate
if (PIPE_SIM_MODE == "FALSE")
begin : gt_top
pcie_core_gt_top #(
.LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
.REF_CLK_FREQ ( REF_CLK_FREQ ),
.USER_CLK_FREQ ( USER_CLK_FREQ ),
.USER_CLK2_DIV2 ( USER_CLK2_DIV2 ),
.PL_FAST_TRAIN ( PL_FAST_TRAIN ),
.PCIE_EXT_CLK ( PCIE_EXT_CLK ),
.PCIE_USE_MODE ( PCIE_USE_MODE ),
.PCIE_GT_DEVICE ( PCIE_GT_DEVICE ),
.PCIE_PLL_SEL ( PCIE_PLL_SEL ),
.PCIE_ASYNC_EN ( PCIE_ASYNC_EN ),
.PCIE_TXBUF_EN ( PCIE_TXBUF_EN ),
.PCIE_CHAN_BOND ( PCIE_CHAN_BOND )
) gt_top_i (
// pl ltssm
.pl_ltssm_state ( pl_ltssm_state_int ),
// Pipe Common Signals
.pipe_tx_rcvr_det ( pipe_tx_rcvr_det_gt ),
.pipe_tx_reset ( 1'b0 ),
.pipe_tx_rate ( pipe_tx_rate_gt ),
.pipe_tx_deemph ( pipe_tx_deemph_gt ),
.pipe_tx_margin ( pipe_tx_margin_gt ),
.pipe_tx_swing ( 1'b0 ),
// Pipe Per-Lane Signals - Lane 0
.pipe_rx0_char_is_k ( pipe_rx0_char_is_k_gt),
.pipe_rx0_data ( pipe_rx0_data_gt ),
.pipe_rx0_valid ( pipe_rx0_valid_gt ),
.pipe_rx0_chanisaligned ( pipe_rx0_chanisaligned_gt ),
.pipe_rx0_status ( pipe_rx0_status_gt ),
.pipe_rx0_phy_status ( pipe_rx0_phy_status_gt ),
.pipe_rx0_elec_idle ( pipe_rx0_elec_idle_gt ),
.pipe_rx0_polarity ( pipe_rx0_polarity_gt ),
.pipe_tx0_compliance ( pipe_tx0_compliance_gt ),
.pipe_tx0_char_is_k ( pipe_tx0_char_is_k_gt ),
.pipe_tx0_data ( pipe_tx0_data_gt ),
.pipe_tx0_elec_idle ( pipe_tx0_elec_idle_gt ),
.pipe_tx0_powerdown ( pipe_tx0_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 1
.pipe_rx1_char_is_k ( pipe_rx1_char_is_k_gt),
.pipe_rx1_data ( pipe_rx1_data_gt ),
.pipe_rx1_valid ( pipe_rx1_valid_gt ),
.pipe_rx1_chanisaligned ( pipe_rx1_chanisaligned_gt ),
.pipe_rx1_status ( pipe_rx1_status_gt ),
.pipe_rx1_phy_status ( pipe_rx1_phy_status_gt ),
.pipe_rx1_elec_idle ( pipe_rx1_elec_idle_gt ),
.pipe_rx1_polarity ( pipe_rx1_polarity_gt ),
.pipe_tx1_compliance ( pipe_tx1_compliance_gt ),
.pipe_tx1_char_is_k ( pipe_tx1_char_is_k_gt ),
.pipe_tx1_data ( pipe_tx1_data_gt ),
.pipe_tx1_elec_idle ( pipe_tx1_elec_idle_gt ),
.pipe_tx1_powerdown ( pipe_tx1_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 2
.pipe_rx2_char_is_k ( pipe_rx2_char_is_k_gt),
.pipe_rx2_data ( pipe_rx2_data_gt ),
.pipe_rx2_valid ( pipe_rx2_valid_gt ),
.pipe_rx2_chanisaligned ( pipe_rx2_chanisaligned_gt ),
.pipe_rx2_status ( pipe_rx2_status_gt ),
.pipe_rx2_phy_status ( pipe_rx2_phy_status_gt ),
.pipe_rx2_elec_idle ( pipe_rx2_elec_idle_gt ),
.pipe_rx2_polarity ( pipe_rx2_polarity_gt ),
.pipe_tx2_compliance ( pipe_tx2_compliance_gt ),
.pipe_tx2_char_is_k ( pipe_tx2_char_is_k_gt ),
.pipe_tx2_data ( pipe_tx2_data_gt ),
.pipe_tx2_elec_idle ( pipe_tx2_elec_idle_gt ),
.pipe_tx2_powerdown ( pipe_tx2_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 3
.pipe_rx3_char_is_k ( pipe_rx3_char_is_k_gt),
.pipe_rx3_data ( pipe_rx3_data_gt ),
.pipe_rx3_valid ( pipe_rx3_valid_gt ),
.pipe_rx3_chanisaligned ( pipe_rx3_chanisaligned_gt ),
.pipe_rx3_status ( pipe_rx3_status_gt ),
.pipe_rx3_phy_status ( pipe_rx3_phy_status_gt ),
.pipe_rx3_elec_idle ( pipe_rx3_elec_idle_gt ),
.pipe_rx3_polarity ( pipe_rx3_polarity_gt ),
.pipe_tx3_compliance ( pipe_tx3_compliance_gt ),
.pipe_tx3_char_is_k ( pipe_tx3_char_is_k_gt ),
.pipe_tx3_data ( pipe_tx3_data_gt ),
.pipe_tx3_elec_idle ( pipe_tx3_elec_idle_gt ),
.pipe_tx3_powerdown ( pipe_tx3_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 4
.pipe_rx4_char_is_k ( pipe_rx4_char_is_k_gt),
.pipe_rx4_data ( pipe_rx4_data_gt ),
.pipe_rx4_valid ( pipe_rx4_valid_gt ),
.pipe_rx4_chanisaligned ( pipe_rx4_chanisaligned_gt ),
.pipe_rx4_status ( pipe_rx4_status_gt ),
.pipe_rx4_phy_status ( pipe_rx4_phy_status_gt ),
.pipe_rx4_elec_idle ( pipe_rx4_elec_idle_gt ),
.pipe_rx4_polarity ( pipe_rx4_polarity_gt ),
.pipe_tx4_compliance ( pipe_tx4_compliance_gt ),
.pipe_tx4_char_is_k ( pipe_tx4_char_is_k_gt ),
.pipe_tx4_data ( pipe_tx4_data_gt ),
.pipe_tx4_elec_idle ( pipe_tx4_elec_idle_gt ),
.pipe_tx4_powerdown ( pipe_tx4_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 5
.pipe_rx5_char_is_k ( pipe_rx5_char_is_k_gt),
.pipe_rx5_data ( pipe_rx5_data_gt ),
.pipe_rx5_valid ( pipe_rx5_valid_gt ),
.pipe_rx5_chanisaligned ( pipe_rx5_chanisaligned_gt ),
.pipe_rx5_status ( pipe_rx5_status_gt ),
.pipe_rx5_phy_status ( pipe_rx5_phy_status_gt ),
.pipe_rx5_elec_idle ( pipe_rx5_elec_idle_gt ),
.pipe_rx5_polarity ( pipe_rx5_polarity_gt ),
.pipe_tx5_compliance ( pipe_tx5_compliance_gt ),
.pipe_tx5_char_is_k ( pipe_tx5_char_is_k_gt ),
.pipe_tx5_data ( pipe_tx5_data_gt ),
.pipe_tx5_elec_idle ( pipe_tx5_elec_idle_gt ),
.pipe_tx5_powerdown ( pipe_tx5_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 6
.pipe_rx6_char_is_k ( pipe_rx6_char_is_k_gt),
.pipe_rx6_data ( pipe_rx6_data_gt ),
.pipe_rx6_valid ( pipe_rx6_valid_gt ),
.pipe_rx6_chanisaligned ( pipe_rx6_chanisaligned_gt ),
.pipe_rx6_status ( pipe_rx6_status_gt ),
.pipe_rx6_phy_status ( pipe_rx6_phy_status_gt ),
.pipe_rx6_elec_idle ( pipe_rx6_elec_idle_gt ),
.pipe_rx6_polarity ( pipe_rx6_polarity_gt ),
.pipe_tx6_compliance ( pipe_tx6_compliance_gt ),
.pipe_tx6_char_is_k ( pipe_tx6_char_is_k_gt ),
.pipe_tx6_data ( pipe_tx6_data_gt ),
.pipe_tx6_elec_idle ( pipe_tx6_elec_idle_gt ),
.pipe_tx6_powerdown ( pipe_tx6_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 7
.pipe_rx7_char_is_k ( pipe_rx7_char_is_k_gt),
.pipe_rx7_data ( pipe_rx7_data_gt ),
.pipe_rx7_valid ( pipe_rx7_valid_gt ),
.pipe_rx7_chanisaligned ( pipe_rx7_chanisaligned_gt ),
.pipe_rx7_status ( pipe_rx7_status_gt ),
.pipe_rx7_phy_status ( pipe_rx7_phy_status_gt ),
.pipe_rx7_elec_idle ( pipe_rx7_elec_idle_gt ),
.pipe_rx7_polarity ( pipe_rx7_polarity_gt ),
.pipe_tx7_compliance ( pipe_tx7_compliance_gt ),
.pipe_tx7_char_is_k ( pipe_tx7_char_is_k_gt ),
.pipe_tx7_data ( pipe_tx7_data_gt ),
.pipe_tx7_elec_idle ( pipe_tx7_elec_idle_gt ),
.pipe_tx7_powerdown ( pipe_tx7_powerdown_gt ),
// PCI Express Signals
.pci_exp_txn ( pci_exp_txn ),
.pci_exp_txp ( pci_exp_txp ),
.pci_exp_rxn ( pci_exp_rxn ),
.pci_exp_rxp ( pci_exp_rxp ),
// Non PIPE Signals
.sys_clk ( sys_clk ),
.sys_rst_n ( sys_rst_n ),
.pipe_clk ( pipe_clk ),
.user_clk ( user_clk ),
.user_clk2 ( user_clk2 ),
.phy_rdy_n ( phy_rdy_n ),
.PIPE_PCLK_IN ( PIPE_PCLK_IN ),
.PIPE_RXUSRCLK_IN ( PIPE_RXUSRCLK_IN ),
.PIPE_RXOUTCLK_IN ( PIPE_RXOUTCLK_IN ),
.PIPE_DCLK_IN ( PIPE_DCLK_IN ),
.PIPE_USERCLK1_IN ( PIPE_USERCLK1_IN ),
.PIPE_USERCLK2_IN ( PIPE_USERCLK2_IN ),
.PIPE_OOBCLK_IN ( PIPE_OOBCLK_IN ),
.PIPE_MMCM_LOCK_IN ( PIPE_MMCM_LOCK_IN ),
.PIPE_TXOUTCLK_OUT ( PIPE_TXOUTCLK_OUT ),
.PIPE_RXOUTCLK_OUT ( PIPE_RXOUTCLK_OUT ),
.PIPE_PCLK_SEL_OUT ( PIPE_PCLK_SEL_OUT ),
.PIPE_GEN3_OUT ( PIPE_GEN3_OUT )
);
end
else
begin : gt_top
pcie_core_gt_top_pipe_mode #(
.LINK_CAP_MAX_LINK_WIDTH ( LINK_CAP_MAX_LINK_WIDTH ),
.REF_CLK_FREQ ( REF_CLK_FREQ ),
.USER_CLK_FREQ ( USER_CLK_FREQ ),
.USER_CLK2_DIV2 ( USER_CLK2_DIV2 ),
.PL_FAST_TRAIN ( PL_FAST_TRAIN ),
.PCIE_EXT_CLK ( PCIE_EXT_CLK ),
.PCIE_USE_MODE ( PCIE_USE_MODE ),
.PCIE_GT_DEVICE ( PCIE_GT_DEVICE ),
.PCIE_PLL_SEL ( PCIE_PLL_SEL ),
.PCIE_ASYNC_EN ( PCIE_ASYNC_EN ),
.PCIE_TXBUF_EN ( PCIE_TXBUF_EN ),
.PCIE_CHAN_BOND ( PCIE_CHAN_BOND )
) gt_top_i (
// pl ltssm
.pl_ltssm_state ( pl_ltssm_state_int ),
// Pipe Common Signals
.pipe_tx_rcvr_det ( pipe_tx_rcvr_det_gt ),
.pipe_tx_reset ( 1'b0 ),
.pipe_tx_rate ( pipe_tx_rate_gt ),
.pipe_tx_deemph ( pipe_tx_deemph_gt ),
.pipe_tx_margin ( pipe_tx_margin_gt ),
.pipe_tx_swing ( 1'b0 ),
// Pipe Per-Lane Signals - Lane 0
.pipe_rx0_char_is_k ( pipe_rx0_char_is_k_gt),
.pipe_rx0_data ( pipe_rx0_data_gt ),
.pipe_rx0_valid ( pipe_rx0_valid_gt ),
.pipe_rx0_chanisaligned ( pipe_rx0_chanisaligned_gt ),
.pipe_rx0_status ( pipe_rx0_status_gt ),
.pipe_rx0_phy_status ( pipe_rx0_phy_status_gt ),
.pipe_rx0_elec_idle ( pipe_rx0_elec_idle_gt ),
.pipe_rx0_polarity ( pipe_rx0_polarity_gt ),
.pipe_tx0_compliance ( pipe_tx0_compliance_gt ),
.pipe_tx0_char_is_k ( pipe_tx0_char_is_k_gt ),
.pipe_tx0_data ( pipe_tx0_data_gt ),
.pipe_tx0_elec_idle ( pipe_tx0_elec_idle_gt ),
.pipe_tx0_powerdown ( pipe_tx0_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 1
.pipe_rx1_char_is_k ( pipe_rx1_char_is_k_gt),
.pipe_rx1_data ( pipe_rx1_data_gt ),
.pipe_rx1_valid ( pipe_rx1_valid_gt ),
.pipe_rx1_chanisaligned ( pipe_rx1_chanisaligned_gt ),
.pipe_rx1_status ( pipe_rx1_status_gt ),
.pipe_rx1_phy_status ( pipe_rx1_phy_status_gt ),
.pipe_rx1_elec_idle ( pipe_rx1_elec_idle_gt ),
.pipe_rx1_polarity ( pipe_rx1_polarity_gt ),
.pipe_tx1_compliance ( pipe_tx1_compliance_gt ),
.pipe_tx1_char_is_k ( pipe_tx1_char_is_k_gt ),
.pipe_tx1_data ( pipe_tx1_data_gt ),
.pipe_tx1_elec_idle ( pipe_tx1_elec_idle_gt ),
.pipe_tx1_powerdown ( pipe_tx1_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 2
.pipe_rx2_char_is_k ( pipe_rx2_char_is_k_gt),
.pipe_rx2_data ( pipe_rx2_data_gt ),
.pipe_rx2_valid ( pipe_rx2_valid_gt ),
.pipe_rx2_chanisaligned ( pipe_rx2_chanisaligned_gt ),
.pipe_rx2_status ( pipe_rx2_status_gt ),
.pipe_rx2_phy_status ( pipe_rx2_phy_status_gt ),
.pipe_rx2_elec_idle ( pipe_rx2_elec_idle_gt ),
.pipe_rx2_polarity ( pipe_rx2_polarity_gt ),
.pipe_tx2_compliance ( pipe_tx2_compliance_gt ),
.pipe_tx2_char_is_k ( pipe_tx2_char_is_k_gt ),
.pipe_tx2_data ( pipe_tx2_data_gt ),
.pipe_tx2_elec_idle ( pipe_tx2_elec_idle_gt ),
.pipe_tx2_powerdown ( pipe_tx2_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 3
.pipe_rx3_char_is_k ( pipe_rx3_char_is_k_gt),
.pipe_rx3_data ( pipe_rx3_data_gt ),
.pipe_rx3_valid ( pipe_rx3_valid_gt ),
.pipe_rx3_chanisaligned ( pipe_rx3_chanisaligned_gt ),
.pipe_rx3_status ( pipe_rx3_status_gt ),
.pipe_rx3_phy_status ( pipe_rx3_phy_status_gt ),
.pipe_rx3_elec_idle ( pipe_rx3_elec_idle_gt ),
.pipe_rx3_polarity ( pipe_rx3_polarity_gt ),
.pipe_tx3_compliance ( pipe_tx3_compliance_gt ),
.pipe_tx3_char_is_k ( pipe_tx3_char_is_k_gt ),
.pipe_tx3_data ( pipe_tx3_data_gt ),
.pipe_tx3_elec_idle ( pipe_tx3_elec_idle_gt ),
.pipe_tx3_powerdown ( pipe_tx3_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 4
.pipe_rx4_char_is_k ( pipe_rx4_char_is_k_gt),
.pipe_rx4_data ( pipe_rx4_data_gt ),
.pipe_rx4_valid ( pipe_rx4_valid_gt ),
.pipe_rx4_chanisaligned ( pipe_rx4_chanisaligned_gt ),
.pipe_rx4_status ( pipe_rx4_status_gt ),
.pipe_rx4_phy_status ( pipe_rx4_phy_status_gt ),
.pipe_rx4_elec_idle ( pipe_rx4_elec_idle_gt ),
.pipe_rx4_polarity ( pipe_rx4_polarity_gt ),
.pipe_tx4_compliance ( pipe_tx4_compliance_gt ),
.pipe_tx4_char_is_k ( pipe_tx4_char_is_k_gt ),
.pipe_tx4_data ( pipe_tx4_data_gt ),
.pipe_tx4_elec_idle ( pipe_tx4_elec_idle_gt ),
.pipe_tx4_powerdown ( pipe_tx4_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 5
.pipe_rx5_char_is_k ( pipe_rx5_char_is_k_gt),
.pipe_rx5_data ( pipe_rx5_data_gt ),
.pipe_rx5_valid ( pipe_rx5_valid_gt ),
.pipe_rx5_chanisaligned ( pipe_rx5_chanisaligned_gt ),
.pipe_rx5_status ( pipe_rx5_status_gt ),
.pipe_rx5_phy_status ( pipe_rx5_phy_status_gt ),
.pipe_rx5_elec_idle ( pipe_rx5_elec_idle_gt ),
.pipe_rx5_polarity ( pipe_rx5_polarity_gt ),
.pipe_tx5_compliance ( pipe_tx5_compliance_gt ),
.pipe_tx5_char_is_k ( pipe_tx5_char_is_k_gt ),
.pipe_tx5_data ( pipe_tx5_data_gt ),
.pipe_tx5_elec_idle ( pipe_tx5_elec_idle_gt ),
.pipe_tx5_powerdown ( pipe_tx5_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 6
.pipe_rx6_char_is_k ( pipe_rx6_char_is_k_gt),
.pipe_rx6_data ( pipe_rx6_data_gt ),
.pipe_rx6_valid ( pipe_rx6_valid_gt ),
.pipe_rx6_chanisaligned ( pipe_rx6_chanisaligned_gt ),
.pipe_rx6_status ( pipe_rx6_status_gt ),
.pipe_rx6_phy_status ( pipe_rx6_phy_status_gt ),
.pipe_rx6_elec_idle ( pipe_rx6_elec_idle_gt ),
.pipe_rx6_polarity ( pipe_rx6_polarity_gt ),
.pipe_tx6_compliance ( pipe_tx6_compliance_gt ),
.pipe_tx6_char_is_k ( pipe_tx6_char_is_k_gt ),
.pipe_tx6_data ( pipe_tx6_data_gt ),
.pipe_tx6_elec_idle ( pipe_tx6_elec_idle_gt ),
.pipe_tx6_powerdown ( pipe_tx6_powerdown_gt ),
// Pipe Per-Lane Signals - Lane 7
.pipe_rx7_char_is_k ( pipe_rx7_char_is_k_gt),
.pipe_rx7_data ( pipe_rx7_data_gt ),
.pipe_rx7_valid ( pipe_rx7_valid_gt ),
.pipe_rx7_chanisaligned ( pipe_rx7_chanisaligned_gt ),
.pipe_rx7_status ( pipe_rx7_status_gt ),
.pipe_rx7_phy_status ( pipe_rx7_phy_status_gt ),
.pipe_rx7_elec_idle ( pipe_rx7_elec_idle_gt ),
.pipe_rx7_polarity ( pipe_rx7_polarity_gt ),
.pipe_tx7_compliance ( pipe_tx7_compliance_gt ),
.pipe_tx7_char_is_k ( pipe_tx7_char_is_k_gt ),
.pipe_tx7_data ( pipe_tx7_data_gt ),
.pipe_tx7_elec_idle ( pipe_tx7_elec_idle_gt ),
.pipe_tx7_powerdown ( pipe_tx7_powerdown_gt ),
// PCI Express Signals
.pci_exp_txn ( pci_exp_txn ),
.pci_exp_txp ( pci_exp_txp ),
.pci_exp_rxn ( pci_exp_rxn ),
.pci_exp_rxp ( pci_exp_rxp ),
// Non PIPE Signals
.sys_clk ( sys_clk ),
.sys_rst_n ( sys_rst_n ),
.pipe_clk ( pipe_clk ),
.user_clk ( user_clk ),
.user_clk2 ( user_clk2 ),
.phy_rdy_n ( phy_rdy_n ),
.PIPE_PCLK_IN ( PIPE_PCLK_IN ),
.PIPE_RXUSRCLK_IN ( PIPE_RXUSRCLK_IN ),
.PIPE_RXOUTCLK_IN ( PIPE_RXOUTCLK_IN ),
.PIPE_DCLK_IN ( PIPE_DCLK_IN ),
.PIPE_USERCLK1_IN ( PIPE_USERCLK1_IN ),
.PIPE_USERCLK2_IN ( PIPE_USERCLK2_IN ),
.PIPE_OOBCLK_IN ( PIPE_OOBCLK_IN ),
.PIPE_MMCM_LOCK_IN ( PIPE_MMCM_LOCK_IN ),
.PIPE_TXOUTCLK_OUT ( PIPE_TXOUTCLK_OUT ),
.PIPE_RXOUTCLK_OUT ( PIPE_RXOUTCLK_OUT ),
.PIPE_PCLK_SEL_OUT ( PIPE_PCLK_SEL_OUT ),
.PIPE_GEN3_OUT ( PIPE_GEN3_OUT )
);
end
endgenerate
endmodule
|
//Legal Notice: (C)2014 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module DE0_NANO_SOC_QSYS_jtag_uart_sim_scfifo_w (
// inputs:
clk,
fifo_wdata,
fifo_wr,
// outputs:
fifo_FF,
r_dat,
wfifo_empty,
wfifo_used
)
;
output fifo_FF;
output [ 7: 0] r_dat;
output wfifo_empty;
output [ 5: 0] wfifo_used;
input clk;
input [ 7: 0] fifo_wdata;
input fifo_wr;
wire fifo_FF;
wire [ 7: 0] r_dat;
wire wfifo_empty;
wire [ 5: 0] wfifo_used;
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
always @(posedge clk)
begin
if (fifo_wr)
$write("%c", fifo_wdata);
end
assign wfifo_used = {6{1'b0}};
assign r_dat = {8{1'b0}};
assign fifo_FF = 1'b0;
assign wfifo_empty = 1'b1;
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
endmodule
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module DE0_NANO_SOC_QSYS_jtag_uart_scfifo_w (
// inputs:
clk,
fifo_clear,
fifo_wdata,
fifo_wr,
rd_wfifo,
// outputs:
fifo_FF,
r_dat,
wfifo_empty,
wfifo_used
)
;
output fifo_FF;
output [ 7: 0] r_dat;
output wfifo_empty;
output [ 5: 0] wfifo_used;
input clk;
input fifo_clear;
input [ 7: 0] fifo_wdata;
input fifo_wr;
input rd_wfifo;
wire fifo_FF;
wire [ 7: 0] r_dat;
wire wfifo_empty;
wire [ 5: 0] wfifo_used;
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
DE0_NANO_SOC_QSYS_jtag_uart_sim_scfifo_w the_DE0_NANO_SOC_QSYS_jtag_uart_sim_scfifo_w
(
.clk (clk),
.fifo_FF (fifo_FF),
.fifo_wdata (fifo_wdata),
.fifo_wr (fifo_wr),
.r_dat (r_dat),
.wfifo_empty (wfifo_empty),
.wfifo_used (wfifo_used)
);
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
//synthesis read_comments_as_HDL on
// scfifo wfifo
// (
// .aclr (fifo_clear),
// .clock (clk),
// .data (fifo_wdata),
// .empty (wfifo_empty),
// .full (fifo_FF),
// .q (r_dat),
// .rdreq (rd_wfifo),
// .usedw (wfifo_used),
// .wrreq (fifo_wr)
// );
//
// defparam wfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO",
// wfifo.lpm_numwords = 64,
// wfifo.lpm_showahead = "OFF",
// wfifo.lpm_type = "scfifo",
// wfifo.lpm_width = 8,
// wfifo.lpm_widthu = 6,
// wfifo.overflow_checking = "OFF",
// wfifo.underflow_checking = "OFF",
// wfifo.use_eab = "ON";
//
//synthesis read_comments_as_HDL off
endmodule
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module DE0_NANO_SOC_QSYS_jtag_uart_sim_scfifo_r (
// inputs:
clk,
fifo_rd,
rst_n,
// outputs:
fifo_EF,
fifo_rdata,
rfifo_full,
rfifo_used
)
;
output fifo_EF;
output [ 7: 0] fifo_rdata;
output rfifo_full;
output [ 5: 0] rfifo_used;
input clk;
input fifo_rd;
input rst_n;
reg [ 31: 0] bytes_left;
wire fifo_EF;
reg fifo_rd_d;
wire [ 7: 0] fifo_rdata;
wire new_rom;
wire [ 31: 0] num_bytes;
wire [ 6: 0] rfifo_entries;
wire rfifo_full;
wire [ 5: 0] rfifo_used;
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
// Generate rfifo_entries for simulation
always @(posedge clk or negedge rst_n)
begin
if (rst_n == 0)
begin
bytes_left <= 32'h0;
fifo_rd_d <= 1'b0;
end
else
begin
fifo_rd_d <= fifo_rd;
// decrement on read
if (fifo_rd_d)
bytes_left <= bytes_left - 1'b1;
// catch new contents
if (new_rom)
bytes_left <= num_bytes;
end
end
assign fifo_EF = bytes_left == 32'b0;
assign rfifo_full = bytes_left > 7'h40;
assign rfifo_entries = (rfifo_full) ? 7'h40 : bytes_left;
assign rfifo_used = rfifo_entries[5 : 0];
assign new_rom = 1'b0;
assign num_bytes = 32'b0;
assign fifo_rdata = 8'b0;
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
endmodule
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module DE0_NANO_SOC_QSYS_jtag_uart_scfifo_r (
// inputs:
clk,
fifo_clear,
fifo_rd,
rst_n,
t_dat,
wr_rfifo,
// outputs:
fifo_EF,
fifo_rdata,
rfifo_full,
rfifo_used
)
;
output fifo_EF;
output [ 7: 0] fifo_rdata;
output rfifo_full;
output [ 5: 0] rfifo_used;
input clk;
input fifo_clear;
input fifo_rd;
input rst_n;
input [ 7: 0] t_dat;
input wr_rfifo;
wire fifo_EF;
wire [ 7: 0] fifo_rdata;
wire rfifo_full;
wire [ 5: 0] rfifo_used;
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
DE0_NANO_SOC_QSYS_jtag_uart_sim_scfifo_r the_DE0_NANO_SOC_QSYS_jtag_uart_sim_scfifo_r
(
.clk (clk),
.fifo_EF (fifo_EF),
.fifo_rd (fifo_rd),
.fifo_rdata (fifo_rdata),
.rfifo_full (rfifo_full),
.rfifo_used (rfifo_used),
.rst_n (rst_n)
);
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
//synthesis read_comments_as_HDL on
// scfifo rfifo
// (
// .aclr (fifo_clear),
// .clock (clk),
// .data (t_dat),
// .empty (fifo_EF),
// .full (rfifo_full),
// .q (fifo_rdata),
// .rdreq (fifo_rd),
// .usedw (rfifo_used),
// .wrreq (wr_rfifo)
// );
//
// defparam rfifo.lpm_hint = "RAM_BLOCK_TYPE=AUTO",
// rfifo.lpm_numwords = 64,
// rfifo.lpm_showahead = "OFF",
// rfifo.lpm_type = "scfifo",
// rfifo.lpm_width = 8,
// rfifo.lpm_widthu = 6,
// rfifo.overflow_checking = "OFF",
// rfifo.underflow_checking = "OFF",
// rfifo.use_eab = "ON";
//
//synthesis read_comments_as_HDL off
endmodule
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module DE0_NANO_SOC_QSYS_jtag_uart (
// inputs:
av_address,
av_chipselect,
av_read_n,
av_write_n,
av_writedata,
clk,
rst_n,
// outputs:
av_irq,
av_readdata,
av_waitrequest,
dataavailable,
readyfordata
)
/* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R101,C106,D101,D103\"" */ ;
output av_irq;
output [ 31: 0] av_readdata;
output av_waitrequest;
output dataavailable;
output readyfordata;
input av_address;
input av_chipselect;
input av_read_n;
input av_write_n;
input [ 31: 0] av_writedata;
input clk;
input rst_n;
reg ac;
wire activity;
wire av_irq;
wire [ 31: 0] av_readdata;
reg av_waitrequest;
reg dataavailable;
reg fifo_AE;
reg fifo_AF;
wire fifo_EF;
wire fifo_FF;
wire fifo_clear;
wire fifo_rd;
wire [ 7: 0] fifo_rdata;
wire [ 7: 0] fifo_wdata;
reg fifo_wr;
reg ien_AE;
reg ien_AF;
wire ipen_AE;
wire ipen_AF;
reg pause_irq;
wire [ 7: 0] r_dat;
wire r_ena;
reg r_val;
wire rd_wfifo;
reg read_0;
reg readyfordata;
wire rfifo_full;
wire [ 5: 0] rfifo_used;
reg rvalid;
reg sim_r_ena;
reg sim_t_dat;
reg sim_t_ena;
reg sim_t_pause;
wire [ 7: 0] t_dat;
reg t_dav;
wire t_ena;
wire t_pause;
wire wfifo_empty;
wire [ 5: 0] wfifo_used;
reg woverflow;
wire wr_rfifo;
//avalon_jtag_slave, which is an e_avalon_slave
assign rd_wfifo = r_ena & ~wfifo_empty;
assign wr_rfifo = t_ena & ~rfifo_full;
assign fifo_clear = ~rst_n;
DE0_NANO_SOC_QSYS_jtag_uart_scfifo_w the_DE0_NANO_SOC_QSYS_jtag_uart_scfifo_w
(
.clk (clk),
.fifo_FF (fifo_FF),
.fifo_clear (fifo_clear),
.fifo_wdata (fifo_wdata),
.fifo_wr (fifo_wr),
.r_dat (r_dat),
.rd_wfifo (rd_wfifo),
.wfifo_empty (wfifo_empty),
.wfifo_used (wfifo_used)
);
DE0_NANO_SOC_QSYS_jtag_uart_scfifo_r the_DE0_NANO_SOC_QSYS_jtag_uart_scfifo_r
(
.clk (clk),
.fifo_EF (fifo_EF),
.fifo_clear (fifo_clear),
.fifo_rd (fifo_rd),
.fifo_rdata (fifo_rdata),
.rfifo_full (rfifo_full),
.rfifo_used (rfifo_used),
.rst_n (rst_n),
.t_dat (t_dat),
.wr_rfifo (wr_rfifo)
);
assign ipen_AE = ien_AE & fifo_AE;
assign ipen_AF = ien_AF & (pause_irq | fifo_AF);
assign av_irq = ipen_AE | ipen_AF;
assign activity = t_pause | t_ena;
always @(posedge clk or negedge rst_n)
begin
if (rst_n == 0)
pause_irq <= 1'b0;
else // only if fifo is not empty...
if (t_pause & ~fifo_EF)
pause_irq <= 1'b1;
else if (read_0)
pause_irq <= 1'b0;
end
always @(posedge clk or negedge rst_n)
begin
if (rst_n == 0)
begin
r_val <= 1'b0;
t_dav <= 1'b1;
end
else
begin
r_val <= r_ena & ~wfifo_empty;
t_dav <= ~rfifo_full;
end
end
always @(posedge clk or negedge rst_n)
begin
if (rst_n == 0)
begin
fifo_AE <= 1'b0;
fifo_AF <= 1'b0;
fifo_wr <= 1'b0;
rvalid <= 1'b0;
read_0 <= 1'b0;
ien_AE <= 1'b0;
ien_AF <= 1'b0;
ac <= 1'b0;
woverflow <= 1'b0;
av_waitrequest <= 1'b1;
end
else
begin
fifo_AE <= {fifo_FF,wfifo_used} <= 8;
fifo_AF <= (7'h40 - {rfifo_full,rfifo_used}) <= 8;
fifo_wr <= 1'b0;
read_0 <= 1'b0;
av_waitrequest <= ~(av_chipselect & (~av_write_n | ~av_read_n) & av_waitrequest);
if (activity)
ac <= 1'b1;
// write
if (av_chipselect & ~av_write_n & av_waitrequest)
// addr 1 is control; addr 0 is data
if (av_address)
begin
ien_AF <= av_writedata[0];
ien_AE <= av_writedata[1];
if (av_writedata[10] & ~activity)
ac <= 1'b0;
end
else
begin
fifo_wr <= ~fifo_FF;
woverflow <= fifo_FF;
end
// read
if (av_chipselect & ~av_read_n & av_waitrequest)
begin
// addr 1 is interrupt; addr 0 is data
if (~av_address)
rvalid <= ~fifo_EF;
read_0 <= ~av_address;
end
end
end
assign fifo_wdata = av_writedata[7 : 0];
assign fifo_rd = (av_chipselect & ~av_read_n & av_waitrequest & ~av_address) ? ~fifo_EF : 1'b0;
assign av_readdata = read_0 ? { {9{1'b0}},rfifo_full,rfifo_used,rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,fifo_rdata } : { {9{1'b0}},(7'h40 - {fifo_FF,wfifo_used}),rvalid,woverflow,~fifo_FF,~fifo_EF,1'b0,ac,ipen_AE,ipen_AF,{6{1'b0}},ien_AE,ien_AF };
always @(posedge clk or negedge rst_n)
begin
if (rst_n == 0)
readyfordata <= 0;
else
readyfordata <= ~fifo_FF;
end
//synthesis translate_off
//////////////// SIMULATION-ONLY CONTENTS
// Tie off Atlantic Interface signals not used for simulation
always @(posedge clk)
begin
sim_t_pause <= 1'b0;
sim_t_ena <= 1'b0;
sim_t_dat <= t_dav ? r_dat : {8{r_val}};
sim_r_ena <= 1'b0;
end
assign r_ena = sim_r_ena;
assign t_ena = sim_t_ena;
assign t_dat = sim_t_dat;
assign t_pause = sim_t_pause;
always @(fifo_EF)
begin
dataavailable = ~fifo_EF;
end
//////////////// END SIMULATION-ONLY CONTENTS
//synthesis translate_on
//synthesis read_comments_as_HDL on
// alt_jtag_atlantic DE0_NANO_SOC_QSYS_jtag_uart_alt_jtag_atlantic
// (
// .clk (clk),
// .r_dat (r_dat),
// .r_ena (r_ena),
// .r_val (r_val),
// .rst_n (rst_n),
// .t_dat (t_dat),
// .t_dav (t_dav),
// .t_ena (t_ena),
// .t_pause (t_pause)
// );
//
// defparam DE0_NANO_SOC_QSYS_jtag_uart_alt_jtag_atlantic.INSTANCE_ID = 0,
// DE0_NANO_SOC_QSYS_jtag_uart_alt_jtag_atlantic.LOG2_RXFIFO_DEPTH = 6,
// DE0_NANO_SOC_QSYS_jtag_uart_alt_jtag_atlantic.LOG2_TXFIFO_DEPTH = 6,
// DE0_NANO_SOC_QSYS_jtag_uart_alt_jtag_atlantic.SLD_AUTO_INSTANCE_INDEX = "YES";
//
// always @(posedge clk or negedge rst_n)
// begin
// if (rst_n == 0)
// dataavailable <= 0;
// else
// dataavailable <= ~fifo_EF;
// end
//
//
//synthesis read_comments_as_HDL off
endmodule
|
/*******************************************************************************
* This file is owned and controlled by Xilinx and must be used solely *
* for design, simulation, implementation and creation of design files *
* limited to Xilinx devices or technologies. Use with non-Xilinx *
* devices or technologies is expressly prohibited and immediately *
* terminates your license. *
* *
* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY *
* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY *
* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE *
* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS *
* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY *
* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY *
* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY *
* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE *
* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR *
* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF *
* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A *
* PARTICULAR PURPOSE. *
* *
* Xilinx products are not intended for use in life support appliances, *
* devices, or systems. Use in such applications are expressly *
* prohibited. *
* *
* (c) Copyright 1995-2013 Xilinx, Inc. *
* All rights reserved. *
*******************************************************************************/
// You must compile the wrapper file pcie_command_rec_fifo.v when simulating
// the core, pcie_command_rec_fifo. When compiling the wrapper file, be sure to
// reference the XilinxCoreLib Verilog simulation library. For detailed
// instructions, please refer to the "CORE Generator Help".
// The synthesis directives "translate_off/translate_on" specified below are
// supported by Xilinx, Mentor Graphics and Synplicity synthesis
// tools. Ensure they are correct for your synthesis tool(s).
`timescale 1ns/1ps
module pcie_command_rec_fifo(
rst,
wr_clk,
rd_clk,
din,
wr_en,
rd_en,
dout,
full,
almost_full,
empty,
almost_empty,
rd_data_count,
wr_data_count
);
input rst;
input wr_clk;
input rd_clk;
input [127 : 0] din;
input wr_en;
input rd_en;
output [127 : 0] dout;
output full;
output almost_full;
output empty;
output almost_empty;
output [3 : 0] rd_data_count;
output [3 : 0] wr_data_count;
// synthesis translate_off
FIFO_GENERATOR_V8_4 #(
.C_ADD_NGC_CONSTRAINT(0),
.C_APPLICATION_TYPE_AXIS(0),
.C_APPLICATION_TYPE_RACH(0),
.C_APPLICATION_TYPE_RDCH(0),
.C_APPLICATION_TYPE_WACH(0),
.C_APPLICATION_TYPE_WDCH(0),
.C_APPLICATION_TYPE_WRCH(0),
.C_AXI_ADDR_WIDTH(32),
.C_AXI_ARUSER_WIDTH(1),
.C_AXI_AWUSER_WIDTH(1),
.C_AXI_BUSER_WIDTH(1),
.C_AXI_DATA_WIDTH(64),
.C_AXI_ID_WIDTH(4),
.C_AXI_RUSER_WIDTH(1),
.C_AXI_TYPE(0),
.C_AXI_WUSER_WIDTH(1),
.C_AXIS_TDATA_WIDTH(64),
.C_AXIS_TDEST_WIDTH(4),
.C_AXIS_TID_WIDTH(8),
.C_AXIS_TKEEP_WIDTH(4),
.C_AXIS_TSTRB_WIDTH(4),
.C_AXIS_TUSER_WIDTH(4),
.C_AXIS_TYPE(0),
.C_COMMON_CLOCK(0),
.C_COUNT_TYPE(0),
.C_DATA_COUNT_WIDTH(5),
.C_DEFAULT_VALUE("BlankString"),
.C_DIN_WIDTH(128),
.C_DIN_WIDTH_AXIS(1),
.C_DIN_WIDTH_RACH(32),
.C_DIN_WIDTH_RDCH(64),
.C_DIN_WIDTH_WACH(32),
.C_DIN_WIDTH_WDCH(64),
.C_DIN_WIDTH_WRCH(2),
.C_DOUT_RST_VAL("0"),
.C_DOUT_WIDTH(128),
.C_ENABLE_RLOCS(0),
.C_ENABLE_RST_SYNC(1),
.C_ERROR_INJECTION_TYPE(0),
.C_ERROR_INJECTION_TYPE_AXIS(0),
.C_ERROR_INJECTION_TYPE_RACH(0),
.C_ERROR_INJECTION_TYPE_RDCH(0),
.C_ERROR_INJECTION_TYPE_WACH(0),
.C_ERROR_INJECTION_TYPE_WDCH(0),
.C_ERROR_INJECTION_TYPE_WRCH(0),
.C_FAMILY("virtex6"),
.C_FULL_FLAGS_RST_VAL(1),
.C_HAS_ALMOST_EMPTY(1),
.C_HAS_ALMOST_FULL(1),
.C_HAS_AXI_ARUSER(0),
.C_HAS_AXI_AWUSER(0),
.C_HAS_AXI_BUSER(0),
.C_HAS_AXI_RD_CHANNEL(0),
.C_HAS_AXI_RUSER(0),
.C_HAS_AXI_WR_CHANNEL(0),
.C_HAS_AXI_WUSER(0),
.C_HAS_AXIS_TDATA(0),
.C_HAS_AXIS_TDEST(0),
.C_HAS_AXIS_TID(0),
.C_HAS_AXIS_TKEEP(0),
.C_HAS_AXIS_TLAST(0),
.C_HAS_AXIS_TREADY(1),
.C_HAS_AXIS_TSTRB(0),
.C_HAS_AXIS_TUSER(0),
.C_HAS_BACKUP(0),
.C_HAS_DATA_COUNT(0),
.C_HAS_DATA_COUNTS_AXIS(0),
.C_HAS_DATA_COUNTS_RACH(0),
.C_HAS_DATA_COUNTS_RDCH(0),
.C_HAS_DATA_COUNTS_WACH(0),
.C_HAS_DATA_COUNTS_WDCH(0),
.C_HAS_DATA_COUNTS_WRCH(0),
.C_HAS_INT_CLK(0),
.C_HAS_MASTER_CE(0),
.C_HAS_MEMINIT_FILE(0),
.C_HAS_OVERFLOW(0),
.C_HAS_PROG_FLAGS_AXIS(0),
.C_HAS_PROG_FLAGS_RACH(0),
.C_HAS_PROG_FLAGS_RDCH(0),
.C_HAS_PROG_FLAGS_WACH(0),
.C_HAS_PROG_FLAGS_WDCH(0),
.C_HAS_PROG_FLAGS_WRCH(0),
.C_HAS_RD_DATA_COUNT(1),
.C_HAS_RD_RST(0),
.C_HAS_RST(1),
.C_HAS_SLAVE_CE(0),
.C_HAS_SRST(0),
.C_HAS_UNDERFLOW(0),
.C_HAS_VALID(0),
.C_HAS_WR_ACK(0),
.C_HAS_WR_DATA_COUNT(1),
.C_HAS_WR_RST(0),
.C_IMPLEMENTATION_TYPE(2),
.C_IMPLEMENTATION_TYPE_AXIS(1),
.C_IMPLEMENTATION_TYPE_RACH(1),
.C_IMPLEMENTATION_TYPE_RDCH(1),
.C_IMPLEMENTATION_TYPE_WACH(1),
.C_IMPLEMENTATION_TYPE_WDCH(1),
.C_IMPLEMENTATION_TYPE_WRCH(1),
.C_INIT_WR_PNTR_VAL(0),
.C_INTERFACE_TYPE(0),
.C_MEMORY_TYPE(1),
.C_MIF_FILE_NAME("BlankString"),
.C_MSGON_VAL(1),
.C_OPTIMIZATION_MODE(0),
.C_OVERFLOW_LOW(0),
.C_PRELOAD_LATENCY(0),
.C_PRELOAD_REGS(1),
.C_PRIM_FIFO_TYPE("512x72"),
.C_PROG_EMPTY_THRESH_ASSERT_VAL(4),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH(1022),
.C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH(1022),
.C_PROG_EMPTY_THRESH_NEGATE_VAL(5),
.C_PROG_EMPTY_TYPE(0),
.C_PROG_EMPTY_TYPE_AXIS(5),
.C_PROG_EMPTY_TYPE_RACH(5),
.C_PROG_EMPTY_TYPE_RDCH(5),
.C_PROG_EMPTY_TYPE_WACH(5),
.C_PROG_EMPTY_TYPE_WDCH(5),
.C_PROG_EMPTY_TYPE_WRCH(5),
.C_PROG_FULL_THRESH_ASSERT_VAL(31),
.C_PROG_FULL_THRESH_ASSERT_VAL_AXIS(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_RACH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_RDCH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_WACH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_WDCH(1023),
.C_PROG_FULL_THRESH_ASSERT_VAL_WRCH(1023),
.C_PROG_FULL_THRESH_NEGATE_VAL(30),
.C_PROG_FULL_TYPE(0),
.C_PROG_FULL_TYPE_AXIS(5),
.C_PROG_FULL_TYPE_RACH(5),
.C_PROG_FULL_TYPE_RDCH(5),
.C_PROG_FULL_TYPE_WACH(5),
.C_PROG_FULL_TYPE_WDCH(5),
.C_PROG_FULL_TYPE_WRCH(5),
.C_RACH_TYPE(0),
.C_RD_DATA_COUNT_WIDTH(4),
.C_RD_DEPTH(32),
.C_RD_FREQ(1),
.C_RD_PNTR_WIDTH(5),
.C_RDCH_TYPE(0),
.C_REG_SLICE_MODE_AXIS(0),
.C_REG_SLICE_MODE_RACH(0),
.C_REG_SLICE_MODE_RDCH(0),
.C_REG_SLICE_MODE_WACH(0),
.C_REG_SLICE_MODE_WDCH(0),
.C_REG_SLICE_MODE_WRCH(0),
.C_SYNCHRONIZER_STAGE(2),
.C_UNDERFLOW_LOW(0),
.C_USE_COMMON_OVERFLOW(0),
.C_USE_COMMON_UNDERFLOW(0),
.C_USE_DEFAULT_SETTINGS(0),
.C_USE_DOUT_RST(1),
.C_USE_ECC(0),
.C_USE_ECC_AXIS(0),
.C_USE_ECC_RACH(0),
.C_USE_ECC_RDCH(0),
.C_USE_ECC_WACH(0),
.C_USE_ECC_WDCH(0),
.C_USE_ECC_WRCH(0),
.C_USE_EMBEDDED_REG(0),
.C_USE_FIFO16_FLAGS(0),
.C_USE_FWFT_DATA_COUNT(0),
.C_VALID_LOW(0),
.C_WACH_TYPE(0),
.C_WDCH_TYPE(0),
.C_WR_ACK_LOW(0),
.C_WR_DATA_COUNT_WIDTH(4),
.C_WR_DEPTH(32),
.C_WR_DEPTH_AXIS(1024),
.C_WR_DEPTH_RACH(16),
.C_WR_DEPTH_RDCH(1024),
.C_WR_DEPTH_WACH(16),
.C_WR_DEPTH_WDCH(1024),
.C_WR_DEPTH_WRCH(16),
.C_WR_FREQ(1),
.C_WR_PNTR_WIDTH(5),
.C_WR_PNTR_WIDTH_AXIS(10),
.C_WR_PNTR_WIDTH_RACH(4),
.C_WR_PNTR_WIDTH_RDCH(10),
.C_WR_PNTR_WIDTH_WACH(4),
.C_WR_PNTR_WIDTH_WDCH(10),
.C_WR_PNTR_WIDTH_WRCH(4),
.C_WR_RESPONSE_LATENCY(1),
.C_WRCH_TYPE(0)
)
inst (
.RST(rst),
.WR_CLK(wr_clk),
.RD_CLK(rd_clk),
.DIN(din),
.WR_EN(wr_en),
.RD_EN(rd_en),
.DOUT(dout),
.FULL(full),
.ALMOST_FULL(almost_full),
.EMPTY(empty),
.ALMOST_EMPTY(almost_empty),
.RD_DATA_COUNT(rd_data_count),
.WR_DATA_COUNT(wr_data_count),
.BACKUP(),
.BACKUP_MARKER(),
.CLK(),
.SRST(),
.WR_RST(),
.RD_RST(),
.PROG_EMPTY_THRESH(),
.PROG_EMPTY_THRESH_ASSERT(),
.PROG_EMPTY_THRESH_NEGATE(),
.PROG_FULL_THRESH(),
.PROG_FULL_THRESH_ASSERT(),
.PROG_FULL_THRESH_NEGATE(),
.INT_CLK(),
.INJECTDBITERR(),
.INJECTSBITERR(),
.WR_ACK(),
.OVERFLOW(),
.VALID(),
.UNDERFLOW(),
.DATA_COUNT(),
.PROG_FULL(),
.PROG_EMPTY(),
.SBITERR(),
.DBITERR(),
.M_ACLK(),
.S_ACLK(),
.S_ARESETN(),
.M_ACLK_EN(),
.S_ACLK_EN(),
.S_AXI_AWID(),
.S_AXI_AWADDR(),
.S_AXI_AWLEN(),
.S_AXI_AWSIZE(),
.S_AXI_AWBURST(),
.S_AXI_AWLOCK(),
.S_AXI_AWCACHE(),
.S_AXI_AWPROT(),
.S_AXI_AWQOS(),
.S_AXI_AWREGION(),
.S_AXI_AWUSER(),
.S_AXI_AWVALID(),
.S_AXI_AWREADY(),
.S_AXI_WID(),
.S_AXI_WDATA(),
.S_AXI_WSTRB(),
.S_AXI_WLAST(),
.S_AXI_WUSER(),
.S_AXI_WVALID(),
.S_AXI_WREADY(),
.S_AXI_BID(),
.S_AXI_BRESP(),
.S_AXI_BUSER(),
.S_AXI_BVALID(),
.S_AXI_BREADY(),
.M_AXI_AWID(),
.M_AXI_AWADDR(),
.M_AXI_AWLEN(),
.M_AXI_AWSIZE(),
.M_AXI_AWBURST(),
.M_AXI_AWLOCK(),
.M_AXI_AWCACHE(),
.M_AXI_AWPROT(),
.M_AXI_AWQOS(),
.M_AXI_AWREGION(),
.M_AXI_AWUSER(),
.M_AXI_AWVALID(),
.M_AXI_AWREADY(),
.M_AXI_WID(),
.M_AXI_WDATA(),
.M_AXI_WSTRB(),
.M_AXI_WLAST(),
.M_AXI_WUSER(),
.M_AXI_WVALID(),
.M_AXI_WREADY(),
.M_AXI_BID(),
.M_AXI_BRESP(),
.M_AXI_BUSER(),
.M_AXI_BVALID(),
.M_AXI_BREADY(),
.S_AXI_ARID(),
.S_AXI_ARADDR(),
.S_AXI_ARLEN(),
.S_AXI_ARSIZE(),
.S_AXI_ARBURST(),
.S_AXI_ARLOCK(),
.S_AXI_ARCACHE(),
.S_AXI_ARPROT(),
.S_AXI_ARQOS(),
.S_AXI_ARREGION(),
.S_AXI_ARUSER(),
.S_AXI_ARVALID(),
.S_AXI_ARREADY(),
.S_AXI_RID(),
.S_AXI_RDATA(),
.S_AXI_RRESP(),
.S_AXI_RLAST(),
.S_AXI_RUSER(),
.S_AXI_RVALID(),
.S_AXI_RREADY(),
.M_AXI_ARID(),
.M_AXI_ARADDR(),
.M_AXI_ARLEN(),
.M_AXI_ARSIZE(),
.M_AXI_ARBURST(),
.M_AXI_ARLOCK(),
.M_AXI_ARCACHE(),
.M_AXI_ARPROT(),
.M_AXI_ARQOS(),
.M_AXI_ARREGION(),
.M_AXI_ARUSER(),
.M_AXI_ARVALID(),
.M_AXI_ARREADY(),
.M_AXI_RID(),
.M_AXI_RDATA(),
.M_AXI_RRESP(),
.M_AXI_RLAST(),
.M_AXI_RUSER(),
.M_AXI_RVALID(),
.M_AXI_RREADY(),
.S_AXIS_TVALID(),
.S_AXIS_TREADY(),
.S_AXIS_TDATA(),
.S_AXIS_TSTRB(),
.S_AXIS_TKEEP(),
.S_AXIS_TLAST(),
.S_AXIS_TID(),
.S_AXIS_TDEST(),
.S_AXIS_TUSER(),
.M_AXIS_TVALID(),
.M_AXIS_TREADY(),
.M_AXIS_TDATA(),
.M_AXIS_TSTRB(),
.M_AXIS_TKEEP(),
.M_AXIS_TLAST(),
.M_AXIS_TID(),
.M_AXIS_TDEST(),
.M_AXIS_TUSER(),
.AXI_AW_INJECTSBITERR(),
.AXI_AW_INJECTDBITERR(),
.AXI_AW_PROG_FULL_THRESH(),
.AXI_AW_PROG_EMPTY_THRESH(),
.AXI_AW_DATA_COUNT(),
.AXI_AW_WR_DATA_COUNT(),
.AXI_AW_RD_DATA_COUNT(),
.AXI_AW_SBITERR(),
.AXI_AW_DBITERR(),
.AXI_AW_OVERFLOW(),
.AXI_AW_UNDERFLOW(),
.AXI_W_INJECTSBITERR(),
.AXI_W_INJECTDBITERR(),
.AXI_W_PROG_FULL_THRESH(),
.AXI_W_PROG_EMPTY_THRESH(),
.AXI_W_DATA_COUNT(),
.AXI_W_WR_DATA_COUNT(),
.AXI_W_RD_DATA_COUNT(),
.AXI_W_SBITERR(),
.AXI_W_DBITERR(),
.AXI_W_OVERFLOW(),
.AXI_W_UNDERFLOW(),
.AXI_B_INJECTSBITERR(),
.AXI_B_INJECTDBITERR(),
.AXI_B_PROG_FULL_THRESH(),
.AXI_B_PROG_EMPTY_THRESH(),
.AXI_B_DATA_COUNT(),
.AXI_B_WR_DATA_COUNT(),
.AXI_B_RD_DATA_COUNT(),
.AXI_B_SBITERR(),
.AXI_B_DBITERR(),
.AXI_B_OVERFLOW(),
.AXI_B_UNDERFLOW(),
.AXI_AR_INJECTSBITERR(),
.AXI_AR_INJECTDBITERR(),
.AXI_AR_PROG_FULL_THRESH(),
.AXI_AR_PROG_EMPTY_THRESH(),
.AXI_AR_DATA_COUNT(),
.AXI_AR_WR_DATA_COUNT(),
.AXI_AR_RD_DATA_COUNT(),
.AXI_AR_SBITERR(),
.AXI_AR_DBITERR(),
.AXI_AR_OVERFLOW(),
.AXI_AR_UNDERFLOW(),
.AXI_R_INJECTSBITERR(),
.AXI_R_INJECTDBITERR(),
.AXI_R_PROG_FULL_THRESH(),
.AXI_R_PROG_EMPTY_THRESH(),
.AXI_R_DATA_COUNT(),
.AXI_R_WR_DATA_COUNT(),
.AXI_R_RD_DATA_COUNT(),
.AXI_R_SBITERR(),
.AXI_R_DBITERR(),
.AXI_R_OVERFLOW(),
.AXI_R_UNDERFLOW(),
.AXIS_INJECTSBITERR(),
.AXIS_INJECTDBITERR(),
.AXIS_PROG_FULL_THRESH(),
.AXIS_PROG_EMPTY_THRESH(),
.AXIS_DATA_COUNT(),
.AXIS_WR_DATA_COUNT(),
.AXIS_RD_DATA_COUNT(),
.AXIS_SBITERR(),
.AXIS_DBITERR(),
.AXIS_OVERFLOW(),
.AXIS_UNDERFLOW()
);
// synthesis translate_on
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__DECAP_3_V
`define SKY130_FD_SC_LP__DECAP_3_V
/**
* decap: Decoupling capacitance filler.
*
* Verilog wrapper for decap with size of 3 units (invalid?).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__decap.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__decap_3 (
VPWR,
VGND,
VPB ,
VNB
);
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__decap base (
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__decap_3 ();
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__decap base ();
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__DECAP_3_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__O21BAI_FUNCTIONAL_PP_V
`define SKY130_FD_SC_MS__O21BAI_FUNCTIONAL_PP_V
/**
* o21bai: 2-input OR into first input of 2-input NAND, 2nd iput
* inverted.
*
* Y = !((A1 | A2) & !B1_N)
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_ms__udp_pwrgood_pp_pg.v"
`celldefine
module sky130_fd_sc_ms__o21bai (
Y ,
A1 ,
A2 ,
B1_N,
VPWR,
VGND,
VPB ,
VNB
);
// Module ports
output Y ;
input A1 ;
input A2 ;
input B1_N;
input VPWR;
input VGND;
input VPB ;
input VNB ;
// Local signals
wire b ;
wire or0_out ;
wire nand0_out_Y ;
wire pwrgood_pp0_out_Y;
// Name Output Other arguments
not not0 (b , B1_N );
or or0 (or0_out , A2, A1 );
nand nand0 (nand0_out_Y , b, or0_out );
sky130_fd_sc_ms__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_Y, nand0_out_Y, VPWR, VGND);
buf buf0 (Y , pwrgood_pp0_out_Y );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_MS__O21BAI_FUNCTIONAL_PP_V |
// ============================================================================
// Copyright (c) 2010
// ============================================================================
//
// Permission:
//
//
//
// Disclaimer:
//
// This VHDL/Verilog or C/C++ source code is intended as a design reference
// which illustrates how these types of functions can be implemented.
// It is the user's responsibility to verify their design for
// consistency and functionality through the use of formal
// verification methods.
// ============================================================================
//
// ReConfigurable Computing Group
//
// web: http://www.ecs.umass.edu/ece/tessier/rcg/
//
//
// ============================================================================
// Major Functions/Design Description:
//
//
//
// ============================================================================
// Revision History:
// ============================================================================
// Ver.: |Author: |Mod. Date: |Changes Made:
// V1.0 |RCG |05/10/2011 |
// ============================================================================
//include "NF_2.1_defines.v"
//include "registers.v"
//include "reg_defines_reference_router.v"
module user_data_path
#(
parameter DATA_WIDTH = 64,
parameter CTRL_WIDTH=DATA_WIDTH/8,
parameter UDP_REG_SRC_WIDTH = 2,
parameter NUM_OUTPUT_QUEUES = 8,
parameter NUM_INPUT_QUEUES = 8,
parameter SRAM_DATA_WIDTH = DATA_WIDTH+CTRL_WIDTH,
parameter SRAM_ADDR_WIDTH = 19,
parameter WORKER_ADDR_WIDTH = 2,
parameter TOTAL_DATA = 8
)
(
in_data_0,
in_ctrl_0,
in_wr_0,
in_rdy_0,
in_data_1,
in_ctrl_1,
in_wr_1,
in_rdy_1,
in_data_2,
in_ctrl_2,
in_wr_2,
in_rdy_2,
in_data_3,
in_ctrl_3,
in_wr_3,
in_rdy_3,
in_data_4,
in_ctrl_4,
in_wr_4,
in_rdy_4,
in_data_5,
in_ctrl_5,
in_wr_5,
in_rdy_5,
in_data_6,
in_ctrl_6,
in_wr_6,
in_rdy_6,
in_data_7,
in_ctrl_7,
in_wr_7,
in_rdy_7,
/**** not used
// --- Interface to SATA
input [DATA_WIDTH-1:0] in_data_5,
input [CTRL_WIDTH-1:0] in_ctrl_5,
input in_wr_5,
output in_rdy_5,
// --- Interface to the loopback queue
input [DATA_WIDTH-1:0] in_data_6,
input [CTRL_WIDTH-1:0] in_ctrl_6,
input in_wr_6,
output in_rdy_6,
// --- Interface to a user queue
input [DATA_WIDTH-1:0] in_data_7,
input [CTRL_WIDTH-1:0] in_ctrl_7,
input in_wr_7,
output in_rdy_7,
*****/
out_data_0,
out_ctrl_0,
out_wr_0,
out_rdy_0,
out_data_1,
out_ctrl_1,
out_wr_1,
out_rdy_1,
out_data_2,
out_ctrl_2,
out_wr_2,
out_rdy_2,
out_data_3,
out_ctrl_3,
out_wr_3,
out_rdy_3,
out_data_4,
out_ctrl_4,
out_wr_4,
out_rdy_4,
out_data_5,
out_ctrl_5,
out_wr_5,
out_rdy_5,
out_data_6,
out_ctrl_6,
out_wr_6,
out_rdy_6,
out_data_7,
out_ctrl_7,
out_wr_7,
out_rdy_7,
/**** not used
// --- Interface to SATA
output [DATA_WIDTH-1:0] out_data_5,
output [CTRL_WIDTH-1:0] out_ctrl_5,
output out_wr_5,
input out_rdy_5,
// --- Interface to the loopback queue
output [DATA_WIDTH-1:0] out_data_6,
output [CTRL_WIDTH-1:0] out_ctrl_6,
output out_wr_6,
input out_rdy_6,
// --- Interface to a user queue
output [DATA_WIDTH-1:0] out_data_7,
output [CTRL_WIDTH-1:0] out_ctrl_7,
output out_wr_7,
input out_rdy_7,
*****/
// interface to SRAM
wr_0_addr,
wr_0_req,
wr_0_ack,
wr_0_data,
rd_0_ack,
rd_0_data,
rd_0_vld,
rd_0_addr,
rd_0_req,
// interface to DRAM
/* TBD */
// register interface
reg_req,
reg_ack,
reg_rd_wr_L,
reg_addr,
reg_rd_data,
reg_wr_data,
//i/f b/w TX EXT FIFO and packet composer
tx_ext_update_0_q,
tx_ext_update_0_rdreq,
tx_ext_update_0_empty,
tx_ext_update_0_almost_full,
//i/f b/w TX EXT FIFO and packet composer
tx_ext_update_1_q,
tx_ext_update_1_rdreq,
tx_ext_update_1_empty,
tx_ext_update_1_almost_full,
//i/f b/w TX EXT FIFO and packet composer
tx_ext_update_2_q,
tx_ext_update_2_rdreq,
tx_ext_update_2_empty,
tx_ext_update_2_almost_full,
//i/f b/w TX EXT FIFO and packet composer
tx_ext_update_3_q,
tx_ext_update_3_rdreq,
tx_ext_update_3_empty,
tx_ext_update_3_almost_full,
//i/f b/w op_lut_process_sm.v and RX EXT FIFO
rx_ext_update_data,
rx_ext_update_0_full,
rx_ext_update_0_wrreq,
rx_ext_update_1_full,
rx_ext_update_1_wrreq,
rx_ext_update_2_full,
rx_ext_update_2_wrreq,
rx_ext_update_3_full,
rx_ext_update_3_wrreq,
rx_ext_update_4_full,
rx_ext_update_4_wrreq,
rx_ext_update_5_full,
rx_ext_update_5_wrreq,
rx_ext_update_6_full,
rx_ext_update_6_wrreq,
rx_ext_update_7_full,
rx_ext_update_7_wrreq,
start_update,
compute_system_reset,
flush_ddr,
start_load,
iteration_accum_value,
dram_fifo_writedata,
dram_fifo_write,
dram_fifo_full,
//read interface from DDR (used by flush data function)
dram_fifo_readdata,
dram_fifo_read,
dram_fifo_empty,
num_keys,
log_2_num_workers, //returns the log2(number of workers) - useful for mask calculation in key hashing
shard_id,
max_n_values,
filter_threshold,
max_fpga_procs,
algo_selection,
proc_bit_mask,
// misc
reset,
clk
);
output start_update;
output flush_ddr;
output start_load;
output compute_system_reset;
//i/f b/w TX EXT FIFO and packet composer
input [63:0] tx_ext_update_0_q;
output tx_ext_update_0_rdreq;
input tx_ext_update_0_empty;
input tx_ext_update_0_almost_full;
//i/f b/w TX EXT FIFO and packet composer
input [63:0] tx_ext_update_1_q;
output tx_ext_update_1_rdreq;
input tx_ext_update_1_empty;
input tx_ext_update_1_almost_full;
//i/f b/w TX EXT FIFO and packet composer
input [63:0] tx_ext_update_2_q;
output tx_ext_update_2_rdreq;
input tx_ext_update_2_empty;
input tx_ext_update_2_almost_full;
//i/f b/w TX EXT FIFO and packet composer
input [63:0] tx_ext_update_3_q;
output tx_ext_update_3_rdreq;
input tx_ext_update_3_empty;
input tx_ext_update_3_almost_full;
//i/f b/w op_lut_process_sm.v and RX EXT FIFO
output [63:0] rx_ext_update_data;
input rx_ext_update_0_full;
output rx_ext_update_0_wrreq;
input rx_ext_update_1_full;
output rx_ext_update_1_wrreq;
input rx_ext_update_2_full;
output rx_ext_update_2_wrreq;
input rx_ext_update_3_full;
output rx_ext_update_3_wrreq;
input rx_ext_update_4_full;
output rx_ext_update_4_wrreq;
input rx_ext_update_5_full;
output rx_ext_update_5_wrreq;
input rx_ext_update_6_full;
output rx_ext_update_6_wrreq;
input rx_ext_update_7_full;
output rx_ext_update_7_wrreq;
input [DATA_WIDTH-1:0] in_data_0;
input [CTRL_WIDTH-1:0] in_ctrl_0;
input in_wr_0;
output in_rdy_0;
input [DATA_WIDTH-1:0] in_data_1;
input [CTRL_WIDTH-1:0] in_ctrl_1;
input in_wr_1;
output in_rdy_1;
input [DATA_WIDTH-1:0] in_data_2;
input [CTRL_WIDTH-1:0] in_ctrl_2;
input in_wr_2;
output in_rdy_2;
input [DATA_WIDTH-1:0] in_data_3;
input [CTRL_WIDTH-1:0] in_ctrl_3;
input in_wr_3;
output in_rdy_3;
input [DATA_WIDTH-1:0] in_data_4;
input [CTRL_WIDTH-1:0] in_ctrl_4;
input in_wr_4;
output in_rdy_4;
input [DATA_WIDTH-1:0] in_data_5;
input [CTRL_WIDTH-1:0] in_ctrl_5;
input in_wr_5;
output in_rdy_5;
input [DATA_WIDTH-1:0] in_data_6;
input [CTRL_WIDTH-1:0] in_ctrl_6;
input in_wr_6;
output in_rdy_6;
input [DATA_WIDTH-1:0] in_data_7;
input [CTRL_WIDTH-1:0] in_ctrl_7;
input in_wr_7;
output in_rdy_7;
/**** not used
// --- Interface to SATA
input [DATA_WIDTH-1:0] in_data_5,
input [CTRL_WIDTH-1:0] in_ctrl_5,
input in_wr_5,
output in_rdy_5,
// --- Interface to the loopback queue
input [DATA_WIDTH-1:0] in_data_6,
input [CTRL_WIDTH-1:0] in_ctrl_6,
input in_wr_6,
output in_rdy_6,
// --- Interface to a user queue
input [DATA_WIDTH-1:0] in_data_7,
input [CTRL_WIDTH-1:0] in_ctrl_7,
input in_wr_7,
output in_rdy_7,
*****/
output [DATA_WIDTH-1:0] out_data_0;
output [CTRL_WIDTH-1:0] out_ctrl_0;
output out_wr_0;
input out_rdy_0;
output [DATA_WIDTH-1:0] out_data_1;
output [CTRL_WIDTH-1:0] out_ctrl_1;
output out_wr_1;
input out_rdy_1;
output [DATA_WIDTH-1:0] out_data_2;
output [CTRL_WIDTH-1:0] out_ctrl_2;
output out_wr_2;
input out_rdy_2;
output [DATA_WIDTH-1:0] out_data_3;
output [CTRL_WIDTH-1:0] out_ctrl_3;
output out_wr_3;
input out_rdy_3;
output [DATA_WIDTH-1:0] out_data_4;
output [CTRL_WIDTH-1:0] out_ctrl_4;
output out_wr_4;
input out_rdy_4;
output [DATA_WIDTH-1:0] out_data_5;
output [CTRL_WIDTH-1:0] out_ctrl_5;
output out_wr_5;
input out_rdy_5;
output [DATA_WIDTH-1:0] out_data_6;
output [CTRL_WIDTH-1:0] out_ctrl_6;
output out_wr_6;
input out_rdy_6;
output [DATA_WIDTH-1:0] out_data_7;
output [CTRL_WIDTH-1:0] out_ctrl_7;
output out_wr_7;
input out_rdy_7;
/**** not used
// --- Interface to SATA
output [DATA_WIDTH-1:0] out_data_5,
output [CTRL_WIDTH-1:0] out_ctrl_5,
output out_wr_5,
input out_rdy_5,
// --- Interface to the loopback queue
output [DATA_WIDTH-1:0] out_data_6,
output [CTRL_WIDTH-1:0] out_ctrl_6,
output out_wr_6,
input out_rdy_6,
// --- Interface to a user queue
output [DATA_WIDTH-1:0] out_data_7,
output [CTRL_WIDTH-1:0] out_ctrl_7,
output out_wr_7,
input out_rdy_7,
*****/
// interface to SRAM
output [SRAM_ADDR_WIDTH-1:0] wr_0_addr;
output wr_0_req;
input wr_0_ack;
output [SRAM_DATA_WIDTH-1:0] wr_0_data;
input rd_0_ack;
input [SRAM_DATA_WIDTH-1:0] rd_0_data;
input rd_0_vld;
output [SRAM_ADDR_WIDTH-1:0] rd_0_addr;
output rd_0_req;
// interface to DRAM
/* TBD */
// register interface
input reg_req;
output reg_ack;
input reg_rd_wr_L;
input [`UDP_REG_ADDR_WIDTH-1:0] reg_addr;
output [`CPCI_NF2_DATA_WIDTH-1:0] reg_rd_data;
input [`CPCI_NF2_DATA_WIDTH-1:0] reg_wr_data;
output [31:0] num_keys;
output [31:0] log_2_num_workers;
output [31:0] shard_id;
output [31:0] max_n_values;
output [31:0] filter_threshold;
output [3:0] max_fpga_procs;
output algo_selection;
// misc
input reset;
input clk;
input [31:0] iteration_accum_value;
//write interface to DDR (used by load data function)
output [63:0] dram_fifo_writedata;
output dram_fifo_write;
input dram_fifo_full;
//read interface from DDR (used by flush data function)
input [63:0] dram_fifo_readdata;
output dram_fifo_read;
input dram_fifo_empty;
output [7:0] proc_bit_mask;
function integer log2;
input integer number;
begin
log2=0;
while(2**log2<number) begin
log2=log2+1;
end
end
endfunction // log2
//---------- Internal parameters -----------
localparam NUM_IQ_BITS = log2(NUM_INPUT_QUEUES);
localparam IN_ARB_STAGE_NUM = 2;
localparam OP_LUT_STAGE_NUM = 4;
localparam OQ_STAGE_NUM = 6;
//-------- Input arbiter wires/regs -------
wire in_arb_in_reg_req;
wire in_arb_in_reg_ack;
wire in_arb_in_reg_rd_wr_L;
wire [`UDP_REG_ADDR_WIDTH-1:0] in_arb_in_reg_addr;
wire [`CPCI_NF2_DATA_WIDTH-1:0] in_arb_in_reg_data;
wire [UDP_REG_SRC_WIDTH-1:0] in_arb_in_reg_src;
//------- output port lut wires/regs ------
wire [CTRL_WIDTH-1:0] op_lut_in_ctrl;
wire [DATA_WIDTH-1:0] op_lut_in_data;
wire op_lut_in_wr;
wire op_lut_in_rdy;
wire op_lut_in_reg_req;
wire op_lut_in_reg_ack;
wire op_lut_in_reg_rd_wr_L;
wire [`UDP_REG_ADDR_WIDTH-1:0] op_lut_in_reg_addr;
wire [`CPCI_NF2_DATA_WIDTH-1:0] op_lut_in_reg_data;
wire [UDP_REG_SRC_WIDTH-1:0] op_lut_in_reg_src;
wire [CTRL_WIDTH-1:0] oq_in_ctrl;
wire [DATA_WIDTH-1:0] oq_in_data;
wire oq_in_wr;
wire oq_in_rdy;
wire oq_in_reg_req;
wire oq_in_reg_ack;
wire oq_in_reg_rd_wr_L;
wire [`UDP_REG_ADDR_WIDTH-1:0] oq_in_reg_addr;
wire [`CPCI_NF2_DATA_WIDTH-1:0] oq_in_reg_data;
wire [UDP_REG_SRC_WIDTH-1:0] oq_in_reg_src;
//-------- UDP register master wires/regs -------
wire udp_reg_req_in;
wire udp_reg_ack_in;
wire udp_reg_rd_wr_L_in;
wire [`UDP_REG_ADDR_WIDTH-1:0] udp_reg_addr_in;
wire [`CPCI_NF2_DATA_WIDTH-1:0] udp_reg_data_in;
wire [UDP_REG_SRC_WIDTH-1:0] udp_reg_src_in;
wire check_terminate;
wire [31:0] interpkt_gap_cycles;
//--------- Connect the data path -----------
input_arbiter
#(.DATA_WIDTH(DATA_WIDTH),
.CTRL_WIDTH(CTRL_WIDTH),
.UDP_REG_SRC_WIDTH (UDP_REG_SRC_WIDTH),
.STAGE_NUMBER(IN_ARB_STAGE_NUM))
input_arbiter
(
.out_data (op_lut_in_data),
.out_ctrl (op_lut_in_ctrl),
.out_wr (op_lut_in_wr),
.out_rdy (op_lut_in_rdy),
// --- Interface to the input queues
.in_data_0 (in_data_0),
.in_ctrl_0 (in_ctrl_0),
.in_wr_0 (in_wr_0),
.in_rdy_0 (in_rdy_0),
.in_data_1 (in_data_1),
.in_ctrl_1 (in_ctrl_1),
.in_wr_1 (in_wr_1),
.in_rdy_1 (in_rdy_1),
.in_data_2 (in_data_2),
.in_ctrl_2 (in_ctrl_2),
.in_wr_2 (in_wr_2),
.in_rdy_2 (in_rdy_2),
.in_data_3 (in_data_3),
.in_ctrl_3 (in_ctrl_3),
.in_wr_3 (in_wr_3),
.in_rdy_3 (in_rdy_3),
.in_data_4 (in_data_4),
.in_ctrl_4 (in_ctrl_4),
.in_wr_4 (in_wr_4),
.in_rdy_4 (in_rdy_4),
.in_data_5 (in_data_5),
.in_ctrl_5 (in_ctrl_5),
.in_wr_5 (in_wr_5),
.in_rdy_5 (in_rdy_5),
.in_data_6 (in_data_6),
.in_ctrl_6 (in_ctrl_6),
.in_wr_6 (in_wr_6),
.in_rdy_6 (in_rdy_6),
.in_data_7 (in_data_7),
.in_ctrl_7 (in_ctrl_7),
.in_wr_7 (in_wr_7),
.in_rdy_7 (in_rdy_7),
// --- Register interface
.reg_req_in (in_arb_in_reg_req),
.reg_ack_in (in_arb_in_reg_ack),
.reg_rd_wr_L_in (in_arb_in_reg_rd_wr_L),
.reg_addr_in (in_arb_in_reg_addr),
.reg_data_in (in_arb_in_reg_data),
.reg_src_in (in_arb_in_reg_src),
.reg_req_out (op_lut_in_reg_req),
.reg_ack_out (op_lut_in_reg_ack),
.reg_rd_wr_L_out (op_lut_in_reg_rd_wr_L),
.reg_addr_out (op_lut_in_reg_addr),
.reg_data_out (op_lut_in_reg_data),
.reg_src_out (op_lut_in_reg_src),
// --- Misc
.reset (reset),
.clk (clk)
);
output_port_lookup
#(.DATA_WIDTH(DATA_WIDTH),
.CTRL_WIDTH(CTRL_WIDTH),
.UDP_REG_SRC_WIDTH (UDP_REG_SRC_WIDTH),
.INPUT_ARBITER_STAGE_NUM(IN_ARB_STAGE_NUM),
.STAGE_NUM(OP_LUT_STAGE_NUM),
.NUM_OUTPUT_QUEUES(NUM_OUTPUT_QUEUES),
.NUM_IQ_BITS(NUM_IQ_BITS))
output_port_lookup
(
/*
.out_data (oq_in_data),
.out_ctrl (oq_in_ctrl),
.out_wr (oq_in_wr),
.out_rdy (oq_in_rdy),
*/
// --- Interface to the rx input queues
.in_data (op_lut_in_data),
.in_ctrl (op_lut_in_ctrl),
.in_wr (op_lut_in_wr),
.in_rdy (op_lut_in_rdy),
// --- Register interface
.reg_req_in (op_lut_in_reg_req),
.reg_ack_in (op_lut_in_reg_ack),
.reg_rd_wr_L_in (op_lut_in_reg_rd_wr_L),
.reg_addr_in (op_lut_in_reg_addr),
.reg_data_in (op_lut_in_reg_data),
.reg_src_in (op_lut_in_reg_src),
.reg_req_out (oq_in_reg_req),
.reg_ack_out (oq_in_reg_ack),
.reg_rd_wr_L_out (oq_in_reg_rd_wr_L),
.reg_addr_out (oq_in_reg_addr),
.reg_data_out (oq_in_reg_data),
.reg_src_out (oq_in_reg_src),
//i/f b/w op_lut_process_sm.v and RX EXT FIFO
.rx_ext_update_data (rx_ext_update_data),
.rx_ext_update_0_wrreq (rx_ext_update_0_wrreq),
.rx_ext_update_0_full (rx_ext_update_0_full),
.rx_ext_update_1_wrreq (rx_ext_update_1_wrreq),
.rx_ext_update_1_full (rx_ext_update_1_full),
.rx_ext_update_2_wrreq (rx_ext_update_2_wrreq),
.rx_ext_update_2_full (rx_ext_update_2_full),
.rx_ext_update_3_wrreq (rx_ext_update_3_wrreq),
.rx_ext_update_3_full (rx_ext_update_3_full),
.rx_ext_update_4_wrreq (rx_ext_update_4_wrreq),
.rx_ext_update_4_full (rx_ext_update_4_full),
.rx_ext_update_5_wrreq (rx_ext_update_5_wrreq),
.rx_ext_update_5_full (rx_ext_update_5_full),
.rx_ext_update_6_wrreq (rx_ext_update_6_wrreq),
.rx_ext_update_6_full (rx_ext_update_6_full),
.rx_ext_update_7_wrreq (rx_ext_update_7_wrreq),
.rx_ext_update_7_full (rx_ext_update_7_full),
.start_update (start_update),
.flush_ddr (flush_ddr),
.start_load (start_load),
.compute_system_reset (compute_system_reset),
//write interface to DDR (used by load data function)
.dram_fifo_writedata (dram_fifo_writedata),
.dram_fifo_write (dram_fifo_write),
.dram_fifo_full (dram_fifo_full),
.check_terminate (check_terminate),
.num_keys (num_keys),
.log_2_num_workers (log_2_num_workers),
.shard_id (shard_id),
.max_n_values (max_n_values),
.filter_threshold (filter_threshold),
.interpkt_gap_cycles(interpkt_gap_cycles),
.max_fpga_procs (max_fpga_procs),
.proc_bit_mask(proc_bit_mask),
.algo_selection (algo_selection),
// --- Misc
.clk (clk),
.reset (reset));
packet_composer #(
.WORKER_ADDR_WIDTH(WORKER_ADDR_WIDTH),
.TOTAL_DATA(TOTAL_DATA)
) composer (
// --- interface to next module
.out_wr (oq_in_wr),
.out_data (oq_in_data),
.out_ctrl (oq_in_ctrl), // new checksum assuming decremented TTL
.out_rdy (oq_in_rdy),
//.out_rdy (), //Deepak - TEST ONLY
.iteration_accum_value (iteration_accum_value),
.iteration_terminate_check (check_terminate),
//read interface from DDR (used by flush data function)
.dram_fifo_readdata (dram_fifo_readdata),
.dram_fifo_read (dram_fifo_read),
.dram_fifo_empty (dram_fifo_empty),
.num_keys (num_keys),
//i/f b/w TX EXT FIFO and packet composer
.tx_ext_update_0_q (tx_ext_update_0_q),
.tx_ext_update_0_rdreq (tx_ext_update_0_rdreq),
.tx_ext_update_0_empty (tx_ext_update_0_empty),
.tx_ext_update_0_almost_full (tx_ext_update_0_almost_full),
//i/f b/w TX EXT FIFO and packet composer
.tx_ext_update_1_q (tx_ext_update_1_q),
.tx_ext_update_1_rdreq (tx_ext_update_1_rdreq),
.tx_ext_update_1_empty (tx_ext_update_1_empty),
.tx_ext_update_1_almost_full (tx_ext_update_1_almost_full),
//i/f b/w TX EXT FIFO and packet composer
.tx_ext_update_2_q (tx_ext_update_2_q),
.tx_ext_update_2_rdreq (tx_ext_update_2_rdreq),
.tx_ext_update_2_empty (tx_ext_update_2_empty),
.tx_ext_update_2_almost_full (tx_ext_update_2_almost_full),
//i/f b/w TX EXT FIFO and packet composer
.tx_ext_update_3_q (tx_ext_update_3_q),
.tx_ext_update_3_rdreq (tx_ext_update_3_rdreq),
.tx_ext_update_3_empty (tx_ext_update_3_empty),
.tx_ext_update_3_almost_full (tx_ext_update_3_almost_full),
.interpkt_gap_cycles (interpkt_gap_cycles),
.shard_id (shard_id),
.log_2_num_workers_in (log_2_num_workers),
.start_update (start_update),
// misc
.reset ((reset|compute_system_reset)),//pkt composer although instantiated here must be reset along with compute system
.clk (clk)
);
output_queues
#(.DATA_WIDTH(DATA_WIDTH),
.CTRL_WIDTH(CTRL_WIDTH),
.UDP_REG_SRC_WIDTH (UDP_REG_SRC_WIDTH),
.OP_LUT_STAGE_NUM(OP_LUT_STAGE_NUM),
.NUM_OUTPUT_QUEUES(NUM_OUTPUT_QUEUES),
.STAGE_NUM(OQ_STAGE_NUM),
.SRAM_ADDR_WIDTH(SRAM_ADDR_WIDTH))
output_queues
(// --- data path interface
.out_data_0 (out_data_0),
.out_ctrl_0 (out_ctrl_0),
.out_wr_0 (out_wr_0),
.out_rdy_0 (out_rdy_0),
.out_data_1 (out_data_1),
.out_ctrl_1 (out_ctrl_1),
.out_wr_1 (out_wr_1),
.out_rdy_1 (out_rdy_1),
.out_data_2 (out_data_2),
.out_ctrl_2 (out_ctrl_2),
.out_wr_2 (out_wr_2),
.out_rdy_2 (out_rdy_2),
.out_data_3 (out_data_3),
.out_ctrl_3 (out_ctrl_3),
.out_wr_3 (out_wr_3),
.out_rdy_3 (out_rdy_3),
.out_data_4 (out_data_4),
.out_ctrl_4 (out_ctrl_4),
.out_wr_4 (out_wr_4),
.out_rdy_4 (out_rdy_4),
.out_data_5 (out_data_5),
.out_ctrl_5 (out_ctrl_5),
.out_wr_5 (out_wr_5),
.out_rdy_5 (out_rdy_5),
.out_data_6 (out_data_6),
.out_ctrl_6 (out_ctrl_6),
.out_wr_6 (out_wr_6),
.out_rdy_6 (out_rdy_6),
.out_data_7 (out_data_7),
.out_ctrl_7 (out_ctrl_7),
.out_wr_7 (out_wr_7),
.out_rdy_7 (out_rdy_7),
// --- Interface to the previous module
.in_data (oq_in_data),
.in_ctrl (oq_in_ctrl),
.in_rdy (oq_in_rdy),
.in_wr (oq_in_wr),
// --- Register interface
.reg_req_in (oq_in_reg_req),
.reg_ack_in (oq_in_reg_ack),
.reg_rd_wr_L_in (oq_in_reg_rd_wr_L),
.reg_addr_in (oq_in_reg_addr),
.reg_data_in (oq_in_reg_data),
.reg_src_in (oq_in_reg_src),
.reg_req_out (udp_reg_req_in),
.reg_ack_out (udp_reg_ack_in),
.reg_rd_wr_L_out (udp_reg_rd_wr_L_in),
.reg_addr_out (udp_reg_addr_in),
.reg_data_out (udp_reg_data_in),
.reg_src_out (udp_reg_src_in),
// --- SRAM sm interface
.wr_0_addr (wr_0_addr),
.wr_0_req (wr_0_req),
.wr_0_ack (wr_0_ack),
.wr_0_data (wr_0_data),
.rd_0_ack (rd_0_ack),
.rd_0_data (rd_0_data),
.rd_0_vld (rd_0_vld),
.rd_0_addr (rd_0_addr),
.rd_0_req (rd_0_req),
// --- Misc
.clk (clk),
.reset (reset));
//--------------------------------------------------
//
// --- User data path register master
//
// Takes the register accesses from core,
// sends them around the User Data Path module
// ring and then returns the replies back
// to the core
//
//--------------------------------------------------
udp_reg_master #(
.UDP_REG_SRC_WIDTH (UDP_REG_SRC_WIDTH)
) udp_reg_master (
// Core register interface signals
.core_reg_req (reg_req),
.core_reg_ack (reg_ack),
.core_reg_rd_wr_L (reg_rd_wr_L),
.core_reg_addr (reg_addr),
.core_reg_rd_data (reg_rd_data),
.core_reg_wr_data (reg_wr_data),
// UDP register interface signals (output)
.reg_req_out (in_arb_in_reg_req),
.reg_ack_out (in_arb_in_reg_ack),
.reg_rd_wr_L_out (in_arb_in_reg_rd_wr_L),
.reg_addr_out (in_arb_in_reg_addr),
.reg_data_out (in_arb_in_reg_data),
.reg_src_out (in_arb_in_reg_src),
// UDP register interface signals (input)
.reg_req_in (udp_reg_req_in),
.reg_ack_in (udp_reg_ack_in),
.reg_rd_wr_L_in (udp_reg_rd_wr_L_in),
.reg_addr_in (udp_reg_addr_in),
.reg_data_in (udp_reg_data_in),
.reg_src_in (udp_reg_src_in),
//
.clk (clk),
.reset (reset)
);
endmodule // user_data_path
|
// ========== Copyright Header Begin ==========================================
//
// OpenSPARC T1 Processor File: ccx_arbctl.v
// Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved.
// DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
//
// The above named program is free software; you can redistribute it and/or
// modify it under the terms of the GNU General Public
// License version 2 as published by the Free Software Foundation.
//
// The above named program is distributed in the hope that it will be
// useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
// General Public License for more details.
//
// You should have received a copy of the GNU General Public
// License along with this work; if not, write to the Free Software
// Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
//
// ========== Copyright Header End ============================================
////////////////////////////////////////////////////////////////////////
/*
//
// Module Name: ccx_arbdp.v
// Description: Datapath portion of arbiter
*/
////////////////////////////////////////////////////////////////////////
// Global header file includes
////////////////////////////////////////////////////////////////////////
`include "sys.h" // system level definition file which contains the
// time scale definition
`include "iop.h"
////////////////////////////////////////////////////////////////////////
// Local header file includes / local defines
////////////////////////////////////////////////////////////////////////
// Code start here
//
module ccx_arbctl(/*AUTOARG*/
// Outputs
scan_out, arbctl_atom, reset_d1, direction, wrptr_l, rdptr,
fifo_sel15_12, fifo_sel11_8, fifo_sel7_4, fifo_sel3_0,
fifo_bypass, fifo_bypass_l, fifo_valid, qfull, input_req_sel,
input_req_sel_d1, fifo_req_sel, current_req_sel, stall_a,
ccx_dest_data_rdy_x, ccx_dest_atom_x, arb_dp_q0_hold_a,
arb_dp_qsel0_a, arb_dp_qsel1_a, arb_dp_shift_x,
// Inputs
src7_arb_atom_q, src6_arb_atom_q, src5_arb_atom_q,
src4_arb_atom_q, src3_arb_atom_q, src2_arb_atom_q,
src1_arb_atom_q, src0_arb_atom_q, src7_arb_req_q, src6_arb_req_q,
src5_arb_req_q, src4_arb_req_q, src3_arb_req_q, src2_arb_req_q,
src1_arb_req_q, src0_arb_req_q, stall1_q, stall2_q,
ccx_dest_data_rdy_a, ccx_dest_atom_a, grant_a, req_pkt_empty,
inreg_req_vld_d1, reset_l, rclk, adbginit_l, se
);
//Outputs
//Global outs to arbdp
output reset_d1;
output direction;//bit setting direction for PE
//Outputs to FIFO section
output [15:0] wrptr_l;
output [15:0] rdptr;
output fifo_sel15_12,fifo_sel11_8, fifo_sel7_4, fifo_sel3_0;
output fifo_bypass, fifo_bypass_l;
output fifo_valid;
//Outputs to PE section
output [7:0] qfull;
output input_req_sel, input_req_sel_d1;
output fifo_req_sel, current_req_sel;
output stall_a;
//Outputs to destination.
output ccx_dest_data_rdy_x;
output ccx_dest_atom_x;
//Outputs to dp
output [7:0] arb_dp_q0_hold_a;
output [7:0] arb_dp_qsel0_a;
output [7:0] arb_dp_qsel1_a;
output [7:0] arb_dp_shift_x;
//Outputs to datapaths
/*AUTOOUTPUT*/
// Beginning of automatic outputs (from unused autoinst outputs)
output [7:0] arbctl_atom; // From atomq0 of ccx_arb_atomq.v, ...
output scan_out; // From atomq0 of ccx_arb_atomq.v, ...
// End of automatics
//INPUTS
//Inputs from sources
input src7_arb_atom_q;//
input src6_arb_atom_q;
input src5_arb_atom_q;
input src4_arb_atom_q;
input src3_arb_atom_q;
input src2_arb_atom_q;
input src1_arb_atom_q;
input src0_arb_atom_q;
input src7_arb_req_q;//i
input src6_arb_req_q;
input src5_arb_req_q;
input src4_arb_req_q;
input src3_arb_req_q;
input src2_arb_req_q;
input src1_arb_req_q;
input src0_arb_req_q;
//Inputs from destination
input stall1_q;
input stall2_q;
//Inputs from PE section of arbdp
input ccx_dest_data_rdy_a;
input ccx_dest_atom_a;
input [7:0] grant_a;
input req_pkt_empty;
input inreg_req_vld_d1;
//Global inputs
input reset_l;
// input dbginit_l;
input rclk;
// input tmb_l;
input adbginit_l;
input se;
//WIRES
//Global wires
wire reset;
wire dbginit;
//Wires in fifo control logic
wire fifo_rd_vld_d1;
wire fifo_wr_vld_d1;
// wire [15:0] vvec_wr_update_d1;
// wire [15:0] vvec_rd_update_d1;
// wire [15:0] vvec_d1, vvec_d2, vvec_unq_d1;
wire fifo_empty_d1 ;
wire fifo_empty_d1_l;
wire fifo_empty_d2 ;
wire fifo_bypass_d1,fifo_bypass, fifo_bypass_l;
wire fifo_valid ;
wire [4:0] rdptr_mux,rdptr_d1, rdptr_inc,rdptr_inc_d1;
wire [4:0] wrptr,wrptr_d1, wrptr_inc;
wire wr_en, wr_en_d1 ;
wire rd_en, rd_en_d1 ;
wire [15:0] wrptr_dcd,wrptr_l;
wire [15:0] rdptr;
wire current_req_sel_d1;
wire fifo_req_sel_d1;
wire direction_in;
wire stall1_a, stall2_a;
wire wrap_wren;
wire rdptr_dcd_3,
rdptr_dcd_7,
rdptr_dcd_11,
rdptr_dcd_15;
/*AUTOWIRE*/
// Beginning of automatic wires (for undeclared instantiated-module outputs)
wire [7:0] atom_a; // From q0 of ccx_arb_srcq.v, ...
wire [7:0] ctl_qsel0_a; // From q0 of ccx_arb_srcq.v, ...
wire [7:0] ctl_qsel1_a_l; // From q0 of ccx_arb_srcq.v, ...
wire [7:0] ctl_shift_a; // From q0 of ccx_arb_srcq.v, ...
// End of automatics
wire ccx_reset_l_d1,ccx_reset2_l_d1;
wire dbginit_d1;
// Global signals
//assign dbginit = ~dbginit_l;
assign dbginit_d1 = ~ccx_reset2_l_d1;
// reset flop
dffrl_async ff_rstl(
.din(reset_l),
.q(ccx_reset_l_d1),
.clk(rclk),
.se(se),
.rst_l(adbginit_l));
assign reset_d1 = ~ccx_reset_l_d1;
// dff dff_ccx_arb_dbginit(
// .din (dbginit),
// .q (dbginit_d1),
// .clk (rclk),
// .se (1'd0),
// .si (1'd0),
// .so ());
dffrl_async ff_rstl2(
.din(reset_l),
.q(ccx_reset2_l_d1),
.clk(rclk),
.se(se),
.rst_l(adbginit_l));
// Generate direction bit for use in setting priority direction for
// incoming request packets.
assign direction_in = ~direction | dbginit_d1;
dff_s #(1) dff_ccx_com_dir(
.din (direction_in),
.q (direction),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
//Priority Encoder related logic
// Logic to generate selects for request mux
assign current_req_sel = ~req_pkt_empty & ~reset_d1;
assign fifo_req_sel = req_pkt_empty & (fifo_valid & ~input_req_sel_d1) & ~reset_d1;
assign input_req_sel = req_pkt_empty & ~(fifo_valid & ~input_req_sel_d1) | reset_d1;
//flop and drive data ready signal
dff_s #(1) dff_ccx_com_dr( //relocate this flop to ctl
.din (ccx_dest_data_rdy_a), //section.
.q (ccx_dest_data_rdy_x),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(1) dff_ccx_atom_dr(
.din (ccx_dest_atom_a),
.q (ccx_dest_atom_x),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
// generate stall signal
dff_s dff_ccx_arb_stall1(
.din (stall1_q),
.q (stall1_a),
.clk (rclk),
.se (1'd0),
.si (1'd0),
.so ());
dff_s dff_ccx_arb_stall2(
.din (stall2_q),
.q (stall2_a),
.clk (rclk),
.se (1'd0),
.si (1'd0),
.so ());
assign stall_a = stall1_a | stall2_a;
dff_s dff_ccx_arb_inpsel(
.din (input_req_sel),
.q (input_req_sel_d1),
.clk (rclk),
.se (1'd0),
.si (1'd0),
.so ());
// FIFO related logic
// setup flops for control
// dff #(16) dff_ccx_com_fifo_vvecd1(
// .din (vvec_d1[15:0]),
// .q (vvec_d2[15:0]),
// .clk (rclk),
// .se (1'b0),
// .si (16'd0),
// .so ());
// dff #(16) dff_ccx_com_fifo_wrptrd1(
dff_s #(5) dff_ccx_com_fifo_wrptrd1(
.din (wrptr[4:0]),
.q (wrptr_d1[4:0]),
.clk (rclk),
.se (1'b0),
.si (5'd0),
.so ());
// dff #(16) dff_ccx_com_fifo_rdptrd1(
// .din (rdptr[15:0]),
dff_s #(5) dff_ccx_com_fifo_rdptrd1(
.din (rdptr_mux[4:0]),
.q (rdptr_d1[4:0]),
.clk (rclk),
.se (1'b0),
.si (5'd0),
.so ());
dff_s #(1) dff_ccx_com_fifo_wrend1(
.din (wr_en),
.q (wr_en_d1),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(1) dff_ccx_com_fifo_rdend1(
.din (rd_en),
.q (rd_en_d1),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(1) dff_ccx_com_fifo_emptyd1(
.din (fifo_empty_d1),
.q (fifo_empty_d2),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(1) dff_ccx_com_fifo_bypassd1(
.din (fifo_bypass),
.q (fifo_bypass_d1),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(1) dff_ccx_com_fifo_fifoseld1(
.din (fifo_req_sel),
.q (fifo_req_sel_d1),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(1) dff_ccx_com_fifo_currseld1(
.din (current_req_sel),
.q (current_req_sel_d1),
.clk (rclk),
.se (1'b0),
.si (1'b0),
.so ());
dff_s #(5) dff_ccx_rdptr_incd1(
.din (rdptr_inc[4:0]),
.q (rdptr_inc_d1[4:0]),
.clk (rclk),
.se (1'b0),
.si (5'd0),
.so ());
//see if any valid requests in flopped request packet.
// assign inreg_req_vld_d1 = |(req_d1[9:0]) ;
//compute if valid read, write ops were performed in prev cycle
assign fifo_rd_vld_d1 = rd_en_d1 & ~fifo_bypass_d1 & fifo_req_sel_d1;
assign fifo_wr_vld_d1 = wr_en_d1 & ~(fifo_bypass_d1 & fifo_req_sel_d1);
//if valid read/write ops then compute new fifo state (vvec_d1)
// assign vvec_wr_update_d1[15:0] = fifo_wr_vld_d1 ? wrptr_d1[15:0] : 16'd0;
// assign vvec_rd_update_d1[15:0] = fifo_rd_vld_d1 ? rdptr_d1[15:0] : 16'd0;
// assign vvec_unq_d1[15:0] = (vvec_wr_update_d1[15:0] | (vvec_d2[15:0] & ~vvec_rd_update_d1[15:0]));
// assign vvec_d1[15:0] = reset_d1 ? 16'd0 : vvec_unq_d1[15:0];
//Determine if fifo is empty
// assign fifo_empty_d1 =~( |(vvec_d1[15:0]));
// need extra state to detect full(overflow) condition
//--------------------------------------------------------------------------------------------
// 0 1 2 3 4 5
//--------------------------------------------------------------------------------------------
// req=1 req=1 req=1 req=1
// wrptr=0 1 2 3 0 0
// rdptr=0 0 0 0 0 0
// (empty) (full) (full)
//--------------------------------------------------------------------------------------------
//--------------------------------------------------------------------------------------------
// 0 1 2 3 4 5
//--------------------------------------------------------------------------------------------
// spc_req=1 fifo wr fifo rd arb(pa) px1
// wrptr=0 wrptr=1
// rdptr=0 rdptr=0 rdptr=1
// rdptr_inc=1 rdptr_inc=2
//--------------------------------------------------------------------------------------------
assign fifo_empty_d1 = &(wrptr[4:0] ~^ rdptr_mux[4:0]);
assign fifo_empty_d1_l = ~fifo_empty_d1 ;
//Determine if data needs to be bypassed around flop array.
assign fifo_bypass = inreg_req_vld_d1 & fifo_empty_d1 ;
assign fifo_bypass_l = ~fifo_bypass;
//Determine if fifo contains valid request packet.
assign fifo_valid = inreg_req_vld_d1 | fifo_empty_d1_l;
//Compute new read and write pointers
// assign rdptr_inc[15:0] = {rdptr_d1[14:0],rdptr_d1[15]};
// assign wrptr_inc[15:0] = {wrptr_d1[14:0],wrptr_d1[15]};
// increment - rdptr + 1
assign rdptr_inc[0] = ~rdptr_mux[0];
assign rdptr_inc[1] = rdptr_mux[1] ^ rdptr_mux[0];
assign rdptr_inc[2] = (~&(rdptr_mux[1:0]) ~^ rdptr_mux[2]);
assign rdptr_inc[3] = (~&(rdptr_mux[2:0]) ~^ rdptr_mux[3]);
assign rdptr_inc[4] = (~&(rdptr_mux[3:0]) ~^ rdptr_mux[4]);
// increment - wrptr + 1
assign wrptr_inc[0] = ~wrptr_d1[0];
assign wrptr_inc[1] = wrptr_d1[1] ^ wrptr_d1[0];
assign wrptr_inc[2] = (~&(wrptr_d1[1:0]) ~^ wrptr_d1[2]);
assign wrptr_inc[3] = (~&(wrptr_d1[2:0]) ~^ wrptr_d1[3]);
assign wrptr_inc[4] = (~&(wrptr_d1[3:0]) ~^ wrptr_d1[4]);
// assign wrptr[15:0]=(fifo_empty_d2 & ~fifo_wr_vld_d1| reset_d1) ? {15'd0,1'b1} : (fifo_wr_vld_d1 ? wrptr_inc[15:0] : wrptr_d1[15:0]);
// assign rdptr[15:0]=(fifo_empty_d2 & ~fifo_wr_vld_d1 | reset_d1) ? {15'd0,1'b1} : (fifo_rd_vld_d1 ? rdptr_inc[15:0] : rdptr_d1[15:0]);
assign wrptr[4:0] = (fifo_empty_d2 & ~fifo_wr_vld_d1| reset_d1) ? 5'h0 : (fifo_wr_vld_d1 ? wrptr_inc[4:0] : wrptr_d1[4:0]);
// decode write pointer
assign wrptr_dcd[0] = (~wrptr[3] & ~wrptr[2] & ~wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[1] = (~wrptr[3] & ~wrptr[2] & ~wrptr[1] & wrptr[0]);
assign wrptr_dcd[2] = (~wrptr[3] & ~wrptr[2] & wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[3] = (~wrptr[3] & ~wrptr[2] & wrptr[1] & wrptr[0]);
assign wrptr_dcd[4] = (~wrptr[3] & wrptr[2] & ~wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[5] = (~wrptr[3] & wrptr[2] & ~wrptr[1] & wrptr[0]);
assign wrptr_dcd[6] = (~wrptr[3] & wrptr[2] & wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[7] = (~wrptr[3] & wrptr[2] & wrptr[1] & wrptr[0]);
assign wrptr_dcd[8] = ( wrptr[3] & ~wrptr[2] & ~wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[9] = ( wrptr[3] & ~wrptr[2] & ~wrptr[1] & wrptr[0]);
assign wrptr_dcd[10] = ( wrptr[3] & ~wrptr[2] & wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[11] = ( wrptr[3] & ~wrptr[2] & wrptr[1] & wrptr[0]);
assign wrptr_dcd[12] = ( wrptr[3] & wrptr[2] & ~wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[13] = ( wrptr[3] & wrptr[2] & ~wrptr[1] & wrptr[0]);
assign wrptr_dcd[14] = ( wrptr[3] & wrptr[2] & wrptr[1] & ~wrptr[0]);
assign wrptr_dcd[15] = ( wrptr[3] & wrptr[2] & wrptr[1] & wrptr[0]);
assign wrptr_l[15:0] = ~(wrptr_dcd[15:0]);
assign rdptr_mux[4:0]=(fifo_empty_d2 & ~fifo_wr_vld_d1 | reset_d1) ? 5'h0 : (fifo_rd_vld_d1 ? rdptr_inc_d1[4:0] : rdptr_d1[4:0]);
// decode read pointer
assign rdptr[0] = (~rdptr_mux[3] & ~rdptr_mux[2] & ~rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[1] = (~rdptr_mux[3] & ~rdptr_mux[2] & ~rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[2] = (~rdptr_mux[3] & ~rdptr_mux[2] & rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[3] = ~|rdptr[2:0];
assign rdptr_dcd_3 = (~rdptr_mux[3] & ~rdptr_mux[2] & rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[4] = (~rdptr_mux[3] & rdptr_mux[2] & ~rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[5] = (~rdptr_mux[3] & rdptr_mux[2] & ~rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[6] = (~rdptr_mux[3] & rdptr_mux[2] & rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[7] = ~|rdptr[6:4];
assign rdptr_dcd_7 = (~rdptr_mux[3] & rdptr_mux[2] & rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[8] = ( rdptr_mux[3] & ~rdptr_mux[2] & ~rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[9] = ( rdptr_mux[3] & ~rdptr_mux[2] & ~rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[10] = ( rdptr_mux[3] & ~rdptr_mux[2] & rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[11] = ~|rdptr[10:8];
assign rdptr_dcd_11 = ( rdptr_mux[3] & ~rdptr_mux[2] & rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[12] = ( rdptr_mux[3] & rdptr_mux[2] & ~rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[13] = ( rdptr_mux[3] & rdptr_mux[2] & ~rdptr_mux[1] & rdptr_mux[0]);
assign rdptr[14] = ( rdptr_mux[3] & rdptr_mux[2] & rdptr_mux[1] & ~rdptr_mux[0]);
assign rdptr[15] = ~|rdptr[14:12];
assign rdptr_dcd_15 = ( rdptr_mux[3] & rdptr_mux[2] & rdptr_mux[1] & rdptr_mux[0]);
assign fifo_sel15_12 = |({rdptr_dcd_15,rdptr[14:12]});
assign fifo_sel11_8 = |({rdptr_dcd_11,rdptr[10:8]});
assign fifo_sel7_4 = |({rdptr_dcd_7, rdptr[6:4]});
assign fifo_sel3_0 = |({rdptr_dcd_3, rdptr[2:0]});
//Determine if a valid write was performed in current cycle. - wrptr will not catch up w/ rdptr 'cos the req source stalls.
// assign wrap_wren = ~(|(wrptr_inc[15:0] & rdptr_d1[15:0])) | fifo_empty_d1;
assign wrap_wren = ~(&(wrptr[3:0] ~^ rdptr_mux[3:0]) & (wrptr_inc[4] ^ rdptr_inc[4])) | fifo_empty_d1;
assign wr_en = (inreg_req_vld_d1 & (fifo_req_sel_d1 | current_req_sel_d1)) & wrap_wren & ~reset_d1;
//Determine if valid read was performed in current cycle.
assign rd_en = fifo_empty_d1_l & ~reset_d1 ;
// ARB SRC Q LOGIC
/*
ccx_arb_srcq AUTO_TEMPLATE(
// Outputs
.qfull (qfull[@]),
.qsel0 (arb_dp_qsel0_a[@]),
.qsel1 (arb_dp_qsel1_a[@]),
.shift_x (arb_dp_shift_x[@]),
.shift_a (arb_ctl_shift_a[@]),
.q0_hold_a (arb_dp_q0_hold_a[@]),
.atom_a(atom_a[@]),
.ctl_qsel0_a (ctl_qsel0_a[@]),
.ctl_qsel1_a_l (ctl_qsel1_a_l[@]),
.ctl_shift_a (ctl_shift_a[@]),
// Inputs
.req_q (src@_arb_req_q),
.atom_q (src@_arb_atom_q),
.grant_a (grant_a[@]),
.reset_d1 (reset_d1));
*/
ccx_arb_srcq q0(/*AUTOINST*/
// Outputs
.qfull (qfull[0]), // Templated
.qsel0 (arb_dp_qsel0_a[0]), // Templated
.qsel1 (arb_dp_qsel1_a[0]), // Templated
.shift_x (arb_dp_shift_x[0]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[0]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[0]), // Templated
.ctl_shift_a (ctl_shift_a[0]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[0]), // Templated
.atom_a (atom_a[0]), // Templated
// Inputs
.req_q (src0_arb_req_q), // Templated
.atom_q (src0_arb_atom_q), // Templated
.grant_a (grant_a[0]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q1(/*AUTOINST*/
// Outputs
.qfull (qfull[1]), // Templated
.qsel0 (arb_dp_qsel0_a[1]), // Templated
.qsel1 (arb_dp_qsel1_a[1]), // Templated
.shift_x (arb_dp_shift_x[1]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[1]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[1]), // Templated
.ctl_shift_a (ctl_shift_a[1]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[1]), // Templated
.atom_a (atom_a[1]), // Templated
// Inputs
.req_q (src1_arb_req_q), // Templated
.atom_q (src1_arb_atom_q), // Templated
.grant_a (grant_a[1]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q2(/*AUTOINST*/
// Outputs
.qfull (qfull[2]), // Templated
.qsel0 (arb_dp_qsel0_a[2]), // Templated
.qsel1 (arb_dp_qsel1_a[2]), // Templated
.shift_x (arb_dp_shift_x[2]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[2]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[2]), // Templated
.ctl_shift_a (ctl_shift_a[2]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[2]), // Templated
.atom_a (atom_a[2]), // Templated
// Inputs
.req_q (src2_arb_req_q), // Templated
.atom_q (src2_arb_atom_q), // Templated
.grant_a (grant_a[2]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q3(/*AUTOINST*/
// Outputs
.qfull (qfull[3]), // Templated
.qsel0 (arb_dp_qsel0_a[3]), // Templated
.qsel1 (arb_dp_qsel1_a[3]), // Templated
.shift_x (arb_dp_shift_x[3]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[3]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[3]), // Templated
.ctl_shift_a (ctl_shift_a[3]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[3]), // Templated
.atom_a (atom_a[3]), // Templated
// Inputs
.req_q (src3_arb_req_q), // Templated
.atom_q (src3_arb_atom_q), // Templated
.grant_a (grant_a[3]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q4(/*AUTOINST*/
// Outputs
.qfull (qfull[4]), // Templated
.qsel0 (arb_dp_qsel0_a[4]), // Templated
.qsel1 (arb_dp_qsel1_a[4]), // Templated
.shift_x (arb_dp_shift_x[4]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[4]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[4]), // Templated
.ctl_shift_a (ctl_shift_a[4]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[4]), // Templated
.atom_a (atom_a[4]), // Templated
// Inputs
.req_q (src4_arb_req_q), // Templated
.atom_q (src4_arb_atom_q), // Templated
.grant_a (grant_a[4]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q5(/*AUTOINST*/
// Outputs
.qfull (qfull[5]), // Templated
.qsel0 (arb_dp_qsel0_a[5]), // Templated
.qsel1 (arb_dp_qsel1_a[5]), // Templated
.shift_x (arb_dp_shift_x[5]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[5]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[5]), // Templated
.ctl_shift_a (ctl_shift_a[5]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[5]), // Templated
.atom_a (atom_a[5]), // Templated
// Inputs
.req_q (src5_arb_req_q), // Templated
.atom_q (src5_arb_atom_q), // Templated
.grant_a (grant_a[5]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q6(/*AUTOINST*/
// Outputs
.qfull (qfull[6]), // Templated
.qsel0 (arb_dp_qsel0_a[6]), // Templated
.qsel1 (arb_dp_qsel1_a[6]), // Templated
.shift_x (arb_dp_shift_x[6]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[6]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[6]), // Templated
.ctl_shift_a (ctl_shift_a[6]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[6]), // Templated
.atom_a (atom_a[6]), // Templated
// Inputs
.req_q (src6_arb_req_q), // Templated
.atom_q (src6_arb_atom_q), // Templated
.grant_a (grant_a[6]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
ccx_arb_srcq q7(/*AUTOINST*/
// Outputs
.qfull (qfull[7]), // Templated
.qsel0 (arb_dp_qsel0_a[7]), // Templated
.qsel1 (arb_dp_qsel1_a[7]), // Templated
.shift_x (arb_dp_shift_x[7]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[7]), // Templated
.ctl_qsel1_a_l (ctl_qsel1_a_l[7]), // Templated
.ctl_shift_a (ctl_shift_a[7]), // Templated
.q0_hold_a (arb_dp_q0_hold_a[7]), // Templated
.atom_a (atom_a[7]), // Templated
// Inputs
.req_q (src7_arb_req_q), // Templated
.atom_q (src7_arb_atom_q), // Templated
.grant_a (grant_a[7]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1)); // Templated
/*
// queue to hold atomic bit - 8 instances of 2 deep entries
ccx_arb_atomq AUTO_TEMPLATE(
// Outputs
.q0_dataout(arbctl_atom[@]),
// Inputs
.ctl_qsel0_a (ctl_qsel0_a[@]),
.ctl_qsel1_a_l (ctl_qsel1_a_l[@]),
.ctl_shift_a (ctl_shift_a[@]),
.atom_a(atom_a[@]));
*/
ccx_arb_atomq atomq0(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[0]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[0]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[0]), // Templated
.ctl_shift_a (ctl_shift_a[0]), // Templated
.atom_a (atom_a[0]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq1(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[1]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[1]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[1]), // Templated
.ctl_shift_a (ctl_shift_a[1]), // Templated
.atom_a (atom_a[1]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq2(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[2]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[2]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[2]), // Templated
.ctl_shift_a (ctl_shift_a[2]), // Templated
.atom_a (atom_a[2]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq3(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[3]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[3]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[3]), // Templated
.ctl_shift_a (ctl_shift_a[3]), // Templated
.atom_a (atom_a[3]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq4(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[4]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[4]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[4]), // Templated
.ctl_shift_a (ctl_shift_a[4]), // Templated
.atom_a (atom_a[4]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq5(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[5]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[5]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[5]), // Templated
.ctl_shift_a (ctl_shift_a[5]), // Templated
.atom_a (atom_a[5]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq6(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[6]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[6]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[6]), // Templated
.ctl_shift_a (ctl_shift_a[6]), // Templated
.atom_a (atom_a[6]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
ccx_arb_atomq atomq7(/*AUTOINST*/
// Outputs
.q0_dataout (arbctl_atom[7]), // Templated
`ifdef FPGA_SYN
.scan_out (/*scan_out*/),
`else
.scan_out (scan_out),
`endif
// Inputs
.ctl_qsel1_a_l (ctl_qsel1_a_l[7]), // Templated
.ctl_qsel0_a (ctl_qsel0_a[7]), // Templated
.ctl_shift_a (ctl_shift_a[7]), // Templated
.atom_a (atom_a[7]), // Templated
.rclk (rclk),
.reset_d1 (reset_d1));
endmodule
// Local Variables:
// verilog-library-directories:("." "../../../../../common/rtl")
// End:
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__AND2B_PP_BLACKBOX_V
`define SKY130_FD_SC_HDLL__AND2B_PP_BLACKBOX_V
/**
* and2b: 2-input AND, first input inverted.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__and2b (
X ,
A_N ,
B ,
VPWR,
VGND,
VPB ,
VNB
);
output X ;
input A_N ;
input B ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__AND2B_PP_BLACKBOX_V
|
// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
// DO NOT MODIFY THIS FILE.
// IP VLNV: xilinx.com:ip:axi_crossbar:2.1
// IP Revision: 15
(* X_CORE_INFO = "axi_crossbar_v2_1_15_axi_crossbar,Vivado 2017.3" *)
(* CHECK_LICENSE_TYPE = "design_1_xbar_0,axi_crossbar_v2_1_15_axi_crossbar,{}" *)
(* CORE_GENERATION_INFO = "design_1_xbar_0,axi_crossbar_v2_1_15_axi_crossbar,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_crossbar,x_ipVersion=2.1,x_ipCoreRevision=15,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_NUM_SLAVE_SLOTS=1,C_NUM_MASTER_SLOTS=2,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_PROTOCOL=0,C_NUM_ADDR_RANGES=1,C_M_AXI_BASE_ADDR=0x00000000c00000000000000000000000,C_M_AXI_ADDR_WIDTH=0x0000000d0000001d,C_S_AXI_BASE_ID=0x00000000,C_S_AXI_THREAD_ID_\
WIDTH=0x00000000,C_AXI_SUPPORTS_USER_SIGNALS=0,C_AXI_AWUSER_WIDTH=1,C_AXI_ARUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_M_AXI_WRITE_CONNECTIVITY=0xFFFFFFFFFFFFFFFF,C_M_AXI_READ_CONNECTIVITY=0xFFFFFFFFFFFFFFFF,C_R_REGISTER=0,C_S_AXI_SINGLE_THREAD=0x00000000,C_S_AXI_WRITE_ACCEPTANCE=0x00000002,C_S_AXI_READ_ACCEPTANCE=0x00000002,C_M_AXI_WRITE_ISSUING=0x0000000200000008,C_M_AXI_READ_ISSUING=0x0000000200000008,C_S_AXI_ARB_PRIORITY=0x00000000,C_M_AXI_SECURE=0x00000000,C_\
CONNECTIVITY_MODE=1}" *)
(* DowngradeIPIdentifiedWarnings = "yes" *)
module design_1_xbar_0 (
aclk,
aresetn,
s_axi_awaddr,
s_axi_awlen,
s_axi_awsize,
s_axi_awburst,
s_axi_awlock,
s_axi_awcache,
s_axi_awprot,
s_axi_awqos,
s_axi_awvalid,
s_axi_awready,
s_axi_wdata,
s_axi_wstrb,
s_axi_wlast,
s_axi_wvalid,
s_axi_wready,
s_axi_bresp,
s_axi_bvalid,
s_axi_bready,
s_axi_araddr,
s_axi_arlen,
s_axi_arsize,
s_axi_arburst,
s_axi_arlock,
s_axi_arcache,
s_axi_arprot,
s_axi_arqos,
s_axi_arvalid,
s_axi_arready,
s_axi_rdata,
s_axi_rresp,
s_axi_rlast,
s_axi_rvalid,
s_axi_rready,
m_axi_awaddr,
m_axi_awlen,
m_axi_awsize,
m_axi_awburst,
m_axi_awlock,
m_axi_awcache,
m_axi_awprot,
m_axi_awregion,
m_axi_awqos,
m_axi_awvalid,
m_axi_awready,
m_axi_wdata,
m_axi_wstrb,
m_axi_wlast,
m_axi_wvalid,
m_axi_wready,
m_axi_bresp,
m_axi_bvalid,
m_axi_bready,
m_axi_araddr,
m_axi_arlen,
m_axi_arsize,
m_axi_arburst,
m_axi_arlock,
m_axi_arcache,
m_axi_arprot,
m_axi_arregion,
m_axi_arqos,
m_axi_arvalid,
m_axi_arready,
m_axi_rdata,
m_axi_rresp,
m_axi_rlast,
m_axi_rvalid,
m_axi_rready
);
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLKIF, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, ASSOCIATED_BUSIF M00_AXI:M01_AXI:M02_AXI:M03_AXI:M04_AXI:M05_AXI:M06_AXI:M07_AXI:M08_AXI:M09_AXI:M10_AXI:M11_AXI:M12_AXI:M13_AXI:M14_AXI:M15_AXI:S00_AXI:S01_AXI:S02_AXI:S03_AXI:S04_AXI:S05_AXI:S06_AXI:S07_AXI:S08_AXI:S09_AXI:S10_AXI:S11_AXI:S12_AXI:S13_AXI:S14_AXI:S15_AXI, ASSOCIATED_RESET ARESETN" *)
(* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLKIF CLK" *)
input wire aclk;
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME RSTIF, POLARITY ACTIVE_LOW, TYPE INTERCONNECT" *)
(* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RSTIF RST" *)
input wire aresetn;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR" *)
input wire [31 : 0] s_axi_awaddr;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLEN" *)
input wire [7 : 0] s_axi_awlen;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWSIZE" *)
input wire [2 : 0] s_axi_awsize;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWBURST" *)
input wire [1 : 0] s_axi_awburst;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWLOCK" *)
input wire [0 : 0] s_axi_awlock;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWCACHE" *)
input wire [3 : 0] s_axi_awcache;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT" *)
input wire [2 : 0] s_axi_awprot;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWQOS" *)
input wire [3 : 0] s_axi_awqos;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID" *)
input wire [0 : 0] s_axi_awvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY" *)
output wire [0 : 0] s_axi_awready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WDATA" *)
input wire [63 : 0] s_axi_wdata;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB" *)
input wire [7 : 0] s_axi_wstrb;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WLAST" *)
input wire [0 : 0] s_axi_wlast;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WVALID" *)
input wire [0 : 0] s_axi_wvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI WREADY" *)
output wire [0 : 0] s_axi_wready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BRESP" *)
output wire [1 : 0] s_axi_bresp;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BVALID" *)
output wire [0 : 0] s_axi_bvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI BREADY" *)
input wire [0 : 0] s_axi_bready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR" *)
input wire [31 : 0] s_axi_araddr;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLEN" *)
input wire [7 : 0] s_axi_arlen;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARSIZE" *)
input wire [2 : 0] s_axi_arsize;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARBURST" *)
input wire [1 : 0] s_axi_arburst;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARLOCK" *)
input wire [0 : 0] s_axi_arlock;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARCACHE" *)
input wire [3 : 0] s_axi_arcache;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT" *)
input wire [2 : 0] s_axi_arprot;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARQOS" *)
input wire [3 : 0] s_axi_arqos;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID" *)
input wire [0 : 0] s_axi_arvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY" *)
output wire [0 : 0] s_axi_arready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RDATA" *)
output wire [63 : 0] s_axi_rdata;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RRESP" *)
output wire [1 : 0] s_axi_rresp;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RLAST" *)
output wire [0 : 0] s_axi_rlast;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RVALID" *)
output wire [0 : 0] s_axi_rvalid;
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME S00_AXI, DATA_WIDTH 64, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 1, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 256, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0" *)
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 S00_AXI RREADY" *)
input wire [0 : 0] s_axi_rready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI AWADDR [31:0] [63:32]" *)
output wire [63 : 0] m_axi_awaddr;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLEN [7:0] [15:8]" *)
output wire [15 : 0] m_axi_awlen;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWSIZE [2:0] [5:3]" *)
output wire [5 : 0] m_axi_awsize;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI AWBURST [1:0] [3:2]" *)
output wire [3 : 0] m_axi_awburst;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWLOCK [0:0] [1:1]" *)
output wire [1 : 0] m_axi_awlock;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWCACHE [3:0] [7:4]" *)
output wire [7 : 0] m_axi_awcache;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI AWPROT [2:0] [5:3]" *)
output wire [5 : 0] m_axi_awprot;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREGION [3:0] [7:4]" *)
output wire [7 : 0] m_axi_awregion;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI AWQOS [3:0] [7:4]" *)
output wire [7 : 0] m_axi_awqos;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWVALID [0:0] [1:1]" *)
output wire [1 : 0] m_axi_awvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI AWREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI AWREADY [0:0] [1:1]" *)
input wire [1 : 0] m_axi_awready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WDATA [63:0] [63:0], xilinx.com:interface:aximm:1.0 M01_AXI WDATA [63:0] [127:64]" *)
output wire [127 : 0] m_axi_wdata;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WSTRB [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI WSTRB [7:0] [15:8]" *)
output wire [15 : 0] m_axi_wstrb;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WLAST [0:0] [1:1]" *)
output wire [1 : 0] m_axi_wlast;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WVALID [0:0] [1:1]" *)
output wire [1 : 0] m_axi_wvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI WREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI WREADY [0:0] [1:1]" *)
input wire [1 : 0] m_axi_wready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI BRESP [1:0] [3:2]" *)
input wire [3 : 0] m_axi_bresp;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BVALID [0:0] [1:1]" *)
input wire [1 : 0] m_axi_bvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI BREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI BREADY [0:0] [1:1]" *)
output wire [1 : 0] m_axi_bready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARADDR [31:0] [31:0], xilinx.com:interface:aximm:1.0 M01_AXI ARADDR [31:0] [63:32]" *)
output wire [63 : 0] m_axi_araddr;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLEN [7:0] [7:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLEN [7:0] [15:8]" *)
output wire [15 : 0] m_axi_arlen;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARSIZE [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARSIZE [2:0] [5:3]" *)
output wire [5 : 0] m_axi_arsize;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARBURST [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI ARBURST [1:0] [3:2]" *)
output wire [3 : 0] m_axi_arburst;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARLOCK [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARLOCK [0:0] [1:1]" *)
output wire [1 : 0] m_axi_arlock;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARCACHE [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARCACHE [3:0] [7:4]" *)
output wire [7 : 0] m_axi_arcache;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARPROT [2:0] [2:0], xilinx.com:interface:aximm:1.0 M01_AXI ARPROT [2:0] [5:3]" *)
output wire [5 : 0] m_axi_arprot;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREGION [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREGION [3:0] [7:4]" *)
output wire [7 : 0] m_axi_arregion;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARQOS [3:0] [3:0], xilinx.com:interface:aximm:1.0 M01_AXI ARQOS [3:0] [7:4]" *)
output wire [7 : 0] m_axi_arqos;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARVALID [0:0] [1:1]" *)
output wire [1 : 0] m_axi_arvalid;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI ARREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI ARREADY [0:0] [1:1]" *)
input wire [1 : 0] m_axi_arready;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RDATA [63:0] [63:0], xilinx.com:interface:aximm:1.0 M01_AXI RDATA [63:0] [127:64]" *)
input wire [127 : 0] m_axi_rdata;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RRESP [1:0] [1:0], xilinx.com:interface:aximm:1.0 M01_AXI RRESP [1:0] [3:2]" *)
input wire [3 : 0] m_axi_rresp;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RLAST [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RLAST [0:0] [1:1]" *)
input wire [1 : 0] m_axi_rlast;
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RVALID [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RVALID [0:0] [1:1]" *)
input wire [1 : 0] m_axi_rvalid;
(* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME M00_AXI, DATA_WIDTH 64, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 128, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0, XIL_INTERFACENAME M01_AXI, DATA_WIDTH 64, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 128, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0" *)
(* X_INTERFACE_INFO = "xilinx.com:interface:aximm:1.0 M00_AXI RREADY [0:0] [0:0], xilinx.com:interface:aximm:1.0 M01_AXI RREADY [0:0] [1:1]" *)
output wire [1 : 0] m_axi_rready;
axi_crossbar_v2_1_15_axi_crossbar #(
.C_FAMILY("zynq"),
.C_NUM_SLAVE_SLOTS(1),
.C_NUM_MASTER_SLOTS(2),
.C_AXI_ID_WIDTH(1),
.C_AXI_ADDR_WIDTH(32),
.C_AXI_DATA_WIDTH(64),
.C_AXI_PROTOCOL(0),
.C_NUM_ADDR_RANGES(1),
.C_M_AXI_BASE_ADDR(128'H00000000c00000000000000000000000),
.C_M_AXI_ADDR_WIDTH(64'H0000000d0000001d),
.C_S_AXI_BASE_ID(32'H00000000),
.C_S_AXI_THREAD_ID_WIDTH(32'H00000000),
.C_AXI_SUPPORTS_USER_SIGNALS(0),
.C_AXI_AWUSER_WIDTH(1),
.C_AXI_ARUSER_WIDTH(1),
.C_AXI_WUSER_WIDTH(1),
.C_AXI_RUSER_WIDTH(1),
.C_AXI_BUSER_WIDTH(1),
.C_M_AXI_WRITE_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF),
.C_M_AXI_READ_CONNECTIVITY(64'HFFFFFFFFFFFFFFFF),
.C_R_REGISTER(0),
.C_S_AXI_SINGLE_THREAD(32'H00000000),
.C_S_AXI_WRITE_ACCEPTANCE(32'H00000002),
.C_S_AXI_READ_ACCEPTANCE(32'H00000002),
.C_M_AXI_WRITE_ISSUING(64'H0000000200000008),
.C_M_AXI_READ_ISSUING(64'H0000000200000008),
.C_S_AXI_ARB_PRIORITY(32'H00000000),
.C_M_AXI_SECURE(32'H00000000),
.C_CONNECTIVITY_MODE(1)
) inst (
.aclk(aclk),
.aresetn(aresetn),
.s_axi_awid(1'H0),
.s_axi_awaddr(s_axi_awaddr),
.s_axi_awlen(s_axi_awlen),
.s_axi_awsize(s_axi_awsize),
.s_axi_awburst(s_axi_awburst),
.s_axi_awlock(s_axi_awlock),
.s_axi_awcache(s_axi_awcache),
.s_axi_awprot(s_axi_awprot),
.s_axi_awqos(s_axi_awqos),
.s_axi_awuser(1'H0),
.s_axi_awvalid(s_axi_awvalid),
.s_axi_awready(s_axi_awready),
.s_axi_wid(1'H0),
.s_axi_wdata(s_axi_wdata),
.s_axi_wstrb(s_axi_wstrb),
.s_axi_wlast(s_axi_wlast),
.s_axi_wuser(1'H0),
.s_axi_wvalid(s_axi_wvalid),
.s_axi_wready(s_axi_wready),
.s_axi_bid(),
.s_axi_bresp(s_axi_bresp),
.s_axi_buser(),
.s_axi_bvalid(s_axi_bvalid),
.s_axi_bready(s_axi_bready),
.s_axi_arid(1'H0),
.s_axi_araddr(s_axi_araddr),
.s_axi_arlen(s_axi_arlen),
.s_axi_arsize(s_axi_arsize),
.s_axi_arburst(s_axi_arburst),
.s_axi_arlock(s_axi_arlock),
.s_axi_arcache(s_axi_arcache),
.s_axi_arprot(s_axi_arprot),
.s_axi_arqos(s_axi_arqos),
.s_axi_aruser(1'H0),
.s_axi_arvalid(s_axi_arvalid),
.s_axi_arready(s_axi_arready),
.s_axi_rid(),
.s_axi_rdata(s_axi_rdata),
.s_axi_rresp(s_axi_rresp),
.s_axi_rlast(s_axi_rlast),
.s_axi_ruser(),
.s_axi_rvalid(s_axi_rvalid),
.s_axi_rready(s_axi_rready),
.m_axi_awid(),
.m_axi_awaddr(m_axi_awaddr),
.m_axi_awlen(m_axi_awlen),
.m_axi_awsize(m_axi_awsize),
.m_axi_awburst(m_axi_awburst),
.m_axi_awlock(m_axi_awlock),
.m_axi_awcache(m_axi_awcache),
.m_axi_awprot(m_axi_awprot),
.m_axi_awregion(m_axi_awregion),
.m_axi_awqos(m_axi_awqos),
.m_axi_awuser(),
.m_axi_awvalid(m_axi_awvalid),
.m_axi_awready(m_axi_awready),
.m_axi_wid(),
.m_axi_wdata(m_axi_wdata),
.m_axi_wstrb(m_axi_wstrb),
.m_axi_wlast(m_axi_wlast),
.m_axi_wuser(),
.m_axi_wvalid(m_axi_wvalid),
.m_axi_wready(m_axi_wready),
.m_axi_bid(2'H0),
.m_axi_bresp(m_axi_bresp),
.m_axi_buser(2'H0),
.m_axi_bvalid(m_axi_bvalid),
.m_axi_bready(m_axi_bready),
.m_axi_arid(),
.m_axi_araddr(m_axi_araddr),
.m_axi_arlen(m_axi_arlen),
.m_axi_arsize(m_axi_arsize),
.m_axi_arburst(m_axi_arburst),
.m_axi_arlock(m_axi_arlock),
.m_axi_arcache(m_axi_arcache),
.m_axi_arprot(m_axi_arprot),
.m_axi_arregion(m_axi_arregion),
.m_axi_arqos(m_axi_arqos),
.m_axi_aruser(),
.m_axi_arvalid(m_axi_arvalid),
.m_axi_arready(m_axi_arready),
.m_axi_rid(2'H0),
.m_axi_rdata(m_axi_rdata),
.m_axi_rresp(m_axi_rresp),
.m_axi_rlast(m_axi_rlast),
.m_axi_ruser(2'H0),
.m_axi_rvalid(m_axi_rvalid),
.m_axi_rready(m_axi_rready)
);
endmodule
|
///////////////////////////////////////////////////////
// Copyright (c) 2010 Xilinx Inc.
//
// Licensed under the Apache License, Version 2.0 (the "License");
// you may not use this file except in compliance with the License.
// You may obtain a copy of the License at
//
// http://www.apache.org/licenses/LICENSE-2.0
//
// Unless required by applicable law or agreed to in writing, software
// distributed under the License is distributed on an "AS IS" BASIS,
// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
// See the License for the specific language governing permissions and
// limitations under the License.
///////////////////////////////////////////////////////
//
// ____ ___
// / /\/ /
// /___/ \ / Vendor : Xilinx
// \ \ \/ Version : 13.1
// \ \ Description : Xilinx Unified Simulation Library Component
// / / 7SERIES IN FIFO
// /__/ /\ Filename : IN_FIFO.v
// \ \ / \
// \__\/\__ \
//
// Date: Comment:
// 08MAR2010 Initial UNI/UNP/SIM version from yml
// 03JUN2010 yml update
// 29JUN2010 enable encrypted rtl
// 10AUG2010 yml, rtl update
// 29SEP2010 minor cleanup
// add width checks, full path support
// 28OCT2010 rtl update
// 05NOV2010 update defaults
// 11JAN2011 586040 correct spelling XIL_TIMING vs XIL_TIMIMG
// 15AUG2011 621681 remove SIM_SPEEDUP, make default
// 21SEP2011 625537 period checks on RDCLK, WRCLK
///////////////////////////////////////////////////////
`timescale 1 ps / 1 ps
`celldefine
module IN_FIFO (
ALMOSTEMPTY,
ALMOSTFULL,
EMPTY,
FULL,
Q0,
Q1,
Q2,
Q3,
Q4,
Q5,
Q6,
Q7,
Q8,
Q9,
D0,
D1,
D2,
D3,
D4,
D5,
D6,
D7,
D8,
D9,
RDCLK,
RDEN,
RESET,
WRCLK,
WREN
);
`ifdef XIL_TIMING
parameter LOC = "UNPLACED";
`endif
parameter integer ALMOST_EMPTY_VALUE = 1;
parameter integer ALMOST_FULL_VALUE = 1;
parameter ARRAY_MODE = "ARRAY_MODE_4_X_8";
parameter SYNCHRONOUS_MODE = "FALSE";
`ifdef XIL_TIMING
localparam in_delay = 0;
localparam out_delay = 0;
`else
localparam in_delay = 1;
localparam out_delay = 10;
`endif
localparam INCLK_DELAY = 0;
localparam OUTCLK_DELAY = 0;
localparam MODULE_NAME = "IN_FIFO";
output ALMOSTEMPTY;
output ALMOSTFULL;
output EMPTY;
output FULL;
output [7:0] Q0;
output [7:0] Q1;
output [7:0] Q2;
output [7:0] Q3;
output [7:0] Q4;
output [7:0] Q5;
output [7:0] Q6;
output [7:0] Q7;
output [7:0] Q8;
output [7:0] Q9;
input RDCLK;
input RDEN;
input RESET;
input WRCLK;
input WREN;
input [3:0] D0;
input [3:0] D1;
input [3:0] D2;
input [3:0] D3;
input [3:0] D4;
input [3:0] D7;
input [3:0] D8;
input [3:0] D9;
input [7:0] D5;
input [7:0] D6;
reg [0:0] ARRAY_MODE_BINARY;
reg [0:0] SLOW_RD_CLK_BINARY;
reg [0:0] SLOW_WR_CLK_BINARY;
reg [0:0] SYNCHRONOUS_MODE_BINARY;
reg [3:0] SPARE_BINARY;
reg [7:0] ALMOST_EMPTY_VALUE_BINARY;
reg [7:0] ALMOST_FULL_VALUE_BINARY;
tri0 GSR = glbl.GSR;
`ifdef XIL_TIMING
reg notifier;
`endif
initial begin
case (ALMOST_EMPTY_VALUE)
1 : ALMOST_EMPTY_VALUE_BINARY <= 8'b01000001;
2 : ALMOST_EMPTY_VALUE_BINARY <= 8'b01100011;
default : begin
$display("Attribute Syntax Error : The Attribute ALMOST_EMPTY_VALUE on %s instance %m is set to %d. Legal values for this attribute are 1 to 2.", MODULE_NAME, ALMOST_EMPTY_VALUE);
#1 $finish;
end
endcase
case (ALMOST_FULL_VALUE)
1 : ALMOST_FULL_VALUE_BINARY <= 8'b01000001;
2 : ALMOST_FULL_VALUE_BINARY <= 8'b01100011;
default : begin
$display("Attribute Syntax Error : The Attribute ALMOST_FULL_VALUE on %s instance %m is set to %d. Legal values for this attribute are 1 to 2.", MODULE_NAME, ALMOST_FULL_VALUE);
#1 $finish;
end
endcase
case (ARRAY_MODE)
"ARRAY_MODE_4_X_8" : ARRAY_MODE_BINARY <= 1'b1;
"ARRAY_MODE_4_X_4" : ARRAY_MODE_BINARY <= 1'b0;
default : begin
$display("Attribute Syntax Error : The Attribute ARRAY_MODE on %s instance %m is set to %s. Legal values for this attribute are ARRAY_MODE_4_X_8 or ARRAY_MODE_4_X_4.", MODULE_NAME, ARRAY_MODE);
#1 $finish;
end
endcase
SLOW_RD_CLK_BINARY <= 1'b0;
SLOW_WR_CLK_BINARY <= 1'b0;
SPARE_BINARY <= 4'b0;
case (SYNCHRONOUS_MODE)
"FALSE" : SYNCHRONOUS_MODE_BINARY <= 1'b0;
default : begin
$display("Attribute Syntax Error : The Attribute SYNCHRONOUS_MODE on %s instance %m is set to %s. The legal value for this attribute is FALSE.", MODULE_NAME, SYNCHRONOUS_MODE);
#1 $finish;
end
endcase
end
wire [7:0] delay_Q0;
wire [7:0] delay_Q1;
wire [7:0] delay_Q2;
wire [7:0] delay_Q3;
wire [7:0] delay_Q4;
wire [7:0] delay_Q5;
wire [7:0] delay_Q6;
wire [7:0] delay_Q7;
wire [7:0] delay_Q8;
wire [7:0] delay_Q9;
wire delay_ALMOSTEMPTY;
wire delay_ALMOSTFULL;
wire delay_EMPTY;
wire delay_FULL;
wire [3:0] delay_SCANOUT;
wire [3:0] delay_D0;
wire [3:0] delay_D1;
wire [3:0] delay_D2;
wire [3:0] delay_D3;
wire [3:0] delay_D4;
wire [3:0] delay_D7;
wire [3:0] delay_D8;
wire [3:0] delay_D9;
wire [7:0] delay_D5;
wire [7:0] delay_D6;
wire delay_RDCLK;
wire delay_RDEN;
wire delay_RESET;
wire delay_SCANENB = 1'b1;
wire delay_TESTMODEB = 1'b1;
wire delay_TESTREADDISB = 1'b1;
wire delay_TESTWRITEDISB = 1'b1;
wire [3:0] delay_SCANIN = 4'hf;
wire delay_WRCLK;
wire delay_WREN;
wire delay_GSR;
assign #(out_delay) ALMOSTEMPTY = delay_ALMOSTEMPTY;
assign #(out_delay) ALMOSTFULL = delay_ALMOSTFULL;
assign #(out_delay) EMPTY = delay_EMPTY;
assign #(out_delay) FULL = delay_FULL;
assign #(out_delay) Q0 = delay_Q0;
assign #(out_delay) Q1 = delay_Q1;
assign #(out_delay) Q2 = delay_Q2;
assign #(out_delay) Q3 = delay_Q3;
assign #(out_delay) Q4 = delay_Q4;
assign #(out_delay) Q5 = delay_Q5;
assign #(out_delay) Q6 = delay_Q6;
assign #(out_delay) Q7 = delay_Q7;
assign #(out_delay) Q8 = delay_Q8;
assign #(out_delay) Q9 = delay_Q9;
`ifndef XIL_TIMING
assign #(INCLK_DELAY) delay_RDCLK = RDCLK;
assign #(INCLK_DELAY) delay_WRCLK = WRCLK;
assign #(in_delay) delay_D0 = D0;
assign #(in_delay) delay_D1 = D1;
assign #(in_delay) delay_D2 = D2;
assign #(in_delay) delay_D3 = D3;
assign #(in_delay) delay_D4 = D4;
assign #(in_delay) delay_D5 = D5;
assign #(in_delay) delay_D6 = D6;
assign #(in_delay) delay_D7 = D7;
assign #(in_delay) delay_D8 = D8;
assign #(in_delay) delay_D9 = D9;
assign #(in_delay) delay_RDEN = RDEN;
`endif
assign #(in_delay) delay_RESET = RESET;
`ifndef XIL_TIMING
assign #(in_delay) delay_WREN = WREN;
`endif
assign delay_GSR = GSR;
SIP_IN_FIFO IN_FIFO_INST
(
.ALMOST_EMPTY_VALUE (ALMOST_EMPTY_VALUE_BINARY),
.ALMOST_FULL_VALUE (ALMOST_FULL_VALUE_BINARY),
.ARRAY_MODE (ARRAY_MODE_BINARY),
.SLOW_RD_CLK (SLOW_RD_CLK_BINARY),
.SLOW_WR_CLK (SLOW_WR_CLK_BINARY),
.SPARE (SPARE_BINARY),
.SYNCHRONOUS_MODE (SYNCHRONOUS_MODE_BINARY),
.ALMOSTEMPTY (delay_ALMOSTEMPTY),
.ALMOSTFULL (delay_ALMOSTFULL),
.EMPTY (delay_EMPTY),
.FULL (delay_FULL),
.Q0 (delay_Q0),
.Q1 (delay_Q1),
.Q2 (delay_Q2),
.Q3 (delay_Q3),
.Q4 (delay_Q4),
.Q5 (delay_Q5),
.Q6 (delay_Q6),
.Q7 (delay_Q7),
.Q8 (delay_Q8),
.Q9 (delay_Q9),
.SCANOUT (delay_SCANOUT),
.D0 (delay_D0),
.D1 (delay_D1),
.D2 (delay_D2),
.D3 (delay_D3),
.D4 (delay_D4),
.D5 (delay_D5),
.D6 (delay_D6),
.D7 (delay_D7),
.D8 (delay_D8),
.D9 (delay_D9),
.RDCLK (delay_RDCLK),
.RDEN (delay_RDEN),
.RESET (delay_RESET),
.SCANENB (delay_SCANENB),
.SCANIN (delay_SCANIN),
.TESTMODEB (delay_TESTMODEB),
.TESTREADDISB (delay_TESTREADDISB),
.TESTWRITEDISB (delay_TESTWRITEDISB),
.WRCLK (delay_WRCLK),
.WREN (delay_WREN),
.GSR (delay_GSR)
);
`ifdef XIL_TIMING
specify
$period (negedge RDCLK, 0:0:0, notifier);
$period (negedge WRCLK, 0:0:0, notifier);
$period (posedge RDCLK, 0:0:0, notifier);
$period (posedge WRCLK, 0:0:0, notifier);
$setuphold (posedge RDCLK, negedge RDEN, 0:0:0, 0:0:0, notifier,,, delay_RDCLK, delay_RDEN);
$setuphold (posedge RDCLK, posedge RDEN, 0:0:0, 0:0:0, notifier,,, delay_RDCLK, delay_RDEN);
$setuphold (posedge WRCLK, negedge D0, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D0);
$setuphold (posedge WRCLK, negedge D1, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D1);
$setuphold (posedge WRCLK, negedge D2, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D2);
$setuphold (posedge WRCLK, negedge D3, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D3);
$setuphold (posedge WRCLK, negedge D4, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D4);
$setuphold (posedge WRCLK, negedge D5, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D5);
$setuphold (posedge WRCLK, negedge D6, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D6);
$setuphold (posedge WRCLK, negedge D7, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D7);
$setuphold (posedge WRCLK, negedge D8, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D8);
$setuphold (posedge WRCLK, negedge D9, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D9);
$setuphold (posedge WRCLK, negedge WREN, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_WREN);
$setuphold (posedge WRCLK, posedge D0, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D0);
$setuphold (posedge WRCLK, posedge D1, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D1);
$setuphold (posedge WRCLK, posedge D2, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D2);
$setuphold (posedge WRCLK, posedge D3, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D3);
$setuphold (posedge WRCLK, posedge D4, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D4);
$setuphold (posedge WRCLK, posedge D5, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D5);
$setuphold (posedge WRCLK, posedge D6, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D6);
$setuphold (posedge WRCLK, posedge D7, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D7);
$setuphold (posedge WRCLK, posedge D8, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D8);
$setuphold (posedge WRCLK, posedge D9, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_D9);
$setuphold (posedge WRCLK, posedge WREN, 0:0:0, 0:0:0, notifier,,, delay_WRCLK, delay_WREN);
$width (negedge RDCLK, 0:0:0, 0, notifier);
$width (negedge WRCLK, 0:0:0, 0, notifier);
$width (negedge RESET, 0:0:0, 0, notifier);
$width (posedge RDCLK, 0:0:0, 0, notifier);
$width (posedge RESET, 0:0:0, 0, notifier);
$width (posedge WRCLK, 0:0:0, 0, notifier);
( RDCLK *> ALMOSTEMPTY) = (10:10:10, 10:10:10);
( RDCLK *> EMPTY) = (10:10:10, 10:10:10);
( RDCLK *> Q0) = (10:10:10, 10:10:10);
( RDCLK *> Q1) = (10:10:10, 10:10:10);
( RDCLK *> Q2) = (10:10:10, 10:10:10);
( RDCLK *> Q3) = (10:10:10, 10:10:10);
( RDCLK *> Q4) = (10:10:10, 10:10:10);
( RDCLK *> Q5) = (10:10:10, 10:10:10);
( RDCLK *> Q6) = (10:10:10, 10:10:10);
( RDCLK *> Q7) = (10:10:10, 10:10:10);
( RDCLK *> Q8) = (10:10:10, 10:10:10);
( RDCLK *> Q9) = (10:10:10, 10:10:10);
( WRCLK *> ALMOSTFULL) = (10:10:10, 10:10:10);
( WRCLK *> FULL) = (10:10:10, 10:10:10);
specparam PATHPULSE$ = 0;
endspecify
`endif
endmodule // IN_FIFO
`endcelldefine
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LS__OR4B_PP_SYMBOL_V
`define SKY130_FD_SC_LS__OR4B_PP_SYMBOL_V
/**
* or4b: 4-input OR, first input inverted.
*
* Verilog stub (with power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_ls__or4b (
//# {{data|Data Signals}}
input A ,
input B ,
input C ,
input D_N ,
output X ,
//# {{power|Power}}
input VPB ,
input VPWR,
input VGND,
input VNB
);
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LS__OR4B_PP_SYMBOL_V
|
(** * Hoare: Hoare Logic, Part I *)
Require Export Imp.
(** In the past couple of chapters, we've begun applying the
mathematical tools developed in the first part of the course to
studying the theory of a small programming language, Imp.
- We defined a type of _abstract syntax trees_ for Imp, together
with an _evaluation relation_ (a partial function on states)
that specifies the _operational semantics_ of programs.
The language we defined, though small, captures some of the key
features of full-blown languages like C, C++, and Java,
including the fundamental notion of mutable state and some
common control structures.
- We proved a number of _metatheoretic properties_ -- "meta" in
the sense that they are properties of the language as a whole,
rather than properties of particular programs in the language.
These included:
- determinism of evaluation
- equivalence of some different ways of writing down the
definitions (e.g. functional and relational definitions of
arithmetic expression evaluation)
- guaranteed termination of certain classes of programs
- correctness (in the sense of preserving meaning) of a number
of useful program transformations
- behavioral equivalence of programs (in the [Equiv] chapter).
If we stopped here, we would already have something useful: a set
of tools for defining and discussing programming languages and
language features that are mathematically precise, flexible, and
easy to work with, applied to a set of key properties. All of
these properties are things that language designers, compiler
writers, and users might care about knowing. Indeed, many of them
are so fundamental to our understanding of the programming
languages we deal with that we might not consciously recognize
them as "theorems." But properties that seem intuitively obvious
can sometimes be quite subtle (in some cases, even subtly wrong!).
We'll return to the theme of metatheoretic properties of whole
languages later in the course when we discuss _types_ and _type
soundness_. In this chapter, though, we'll turn to a different
set of issues.
Our goal is to see how to carry out some simple examples of
_program verification_ -- i.e., using the precise definition of
Imp to prove formally that particular programs satisfy particular
specifications of their behavior. We'll develop a reasoning system
called _Floyd-Hoare Logic_ -- often shortened to just _Hoare
Logic_ -- in which each of the syntactic constructs of Imp is
equipped with a single, generic "proof rule" that can be used to
reason compositionally about the correctness of programs involving
this construct.
Hoare Logic originates in the 1960s, and it continues to be the
subject of intensive research right up to the present day. It
lies at the core of a multitude of tools that are being used in
academia and industry to specify and verify real software
systems. *)
(* ####################################################### *)
(** * Hoare Logic *)
(** Hoare Logic combines two beautiful ideas: a natural way of
writing down _specifications_ of programs, and a _compositional
proof technique_ for proving that programs are correct with
respect to such specifications -- where by "compositional" we mean
that the structure of proofs directly mirrors the structure of the
programs that they are about. *)
(* ####################################################### *)
(** ** Assertions *)
(** To talk about specifications of programs, the first thing we
need is a way of making _assertions_ about properties that hold at
particular points during a program's execution -- i.e., claims
about the current state of the memory when program execution
reaches that point. Formally, an assertion is just a family of
propositions indexed by a [state]. *)
Definition Assertion := state -> Prop.
(** **** Exercise: 1 star, optional (assertions) *)
Module ExAssertions.
(** Paraphrase the following assertions in English. *)
Definition as1 : Assertion := fun st => st X = 3.
Definition as2 : Assertion := fun st => st X <= st Y.
Definition as3 : Assertion :=
fun st => st X = 3 \/ st X <= st Y.
Definition as4 : Assertion :=
fun st => st Z * st Z <= st X /\
~ (((S (st Z)) * (S (st Z))) <= st X).
Definition as5 : Assertion := fun st => True.
Definition as6 : Assertion := fun st => False.
(* FILL IN HERE *)
End ExAssertions.
(** [] *)
(** This way of writing assertions can be a little bit heavy,
for two reasons: (1) every single assertion that we ever write is
going to begin with [fun st => ]; and (2) this state [st] is the
only one that we ever use to look up variables (we will never need
to talk about two different memory states at the same time). For
discussing examples informally, we'll adopt some simplifying
conventions: we'll drop the initial [fun st =>], and we'll write
just [X] to mean [st X]. Thus, instead of writing *)
(**
fun st => (st Z) * (st Z) <= m /\
~ ((S (st Z)) * (S (st Z)) <= m)
we'll write just
Z * Z <= m /\ ~((S Z) * (S Z) <= m).
*)
(** Given two assertions [P] and [Q], we say that [P] _implies_ [Q],
written [P ->> Q] (in ASCII, [P -][>][> Q]), if, whenever [P]
holds in some state [st], [Q] also holds. *)
Definition assert_implies (P Q : Assertion) : Prop :=
forall st, P st -> Q st.
Notation "P ->> Q" :=
(assert_implies P Q) (at level 80) : hoare_spec_scope.
Open Scope hoare_spec_scope.
(** We'll also have occasion to use the "iff" variant of implication
between assertions: *)
Notation "P <<->> Q" :=
(P ->> Q /\ Q ->> P) (at level 80) : hoare_spec_scope.
(* ####################################################### *)
(** ** Hoare Triples *)
(** Next, we need a way of making formal claims about the
behavior of commands. *)
(** Since the behavior of a command is to transform one state to
another, it is natural to express claims about commands in terms
of assertions that are true before and after the command executes:
- "If command [c] is started in a state satisfying assertion
[P], and if [c] eventually terminates in some final state,
then this final state will satisfy the assertion [Q]."
Such a claim is called a _Hoare Triple_. The property [P] is
called the _precondition_ of [c], while [Q] is the
_postcondition_. Formally: *)
Definition hoare_triple
(P:Assertion) (c:com) (Q:Assertion) : Prop :=
forall st st',
c / st || st' ->
P st ->
Q st'.
(** Since we'll be working a lot with Hoare triples, it's useful to
have a compact notation:
{{P}} c {{Q}}.
*)
(** (The traditional notation is [{P} c {Q}], but single braces
are already used for other things in Coq.) *)
Notation "{{ P }} c {{ Q }}" :=
(hoare_triple P c Q) (at level 90, c at next level)
: hoare_spec_scope.
(** (The [hoare_spec_scope] annotation here tells Coq that this
notation is not global but is intended to be used in particular
contexts. The [Open Scope] tells Coq that this file is one such
context.) *)
(** **** Exercise: 1 star, optional (triples) *)
(** Paraphrase the following Hoare triples in English.
1) {{True}} c {{X = 5}}
2) {{X = m}} c {{X = m + 5)}}
3) {{X <= Y}} c {{Y <= X}}
4) {{True}} c {{False}}
5) {{X = m}}
c
{{Y = real_fact m}}.
6) {{True}}
c
{{(Z * Z) <= m /\ ~ (((S Z) * (S Z)) <= m)}}
*)
(** [] *)
(** **** Exercise: 1 star, optional (valid_triples) *)
(** Which of the following Hoare triples are _valid_ -- i.e., the
claimed relation between [P], [c], and [Q] is true?
1) {{True}} X ::= 5 {{X = 5}}
2) {{X = 2}} X ::= X + 1 {{X = 3}}
3) {{True}} X ::= 5; Y ::= 0 {{X = 5}}
4) {{X = 2 /\ X = 3}} X ::= 5 {{X = 0}}
5) {{True}} SKIP {{False}}
6) {{False}} SKIP {{True}}
7) {{True}} WHILE True DO SKIP END {{False}}
8) {{X = 0}}
WHILE X == 0 DO X ::= X + 1 END
{{X = 1}}
9) {{X = 1}}
WHILE X <> 0 DO X ::= X + 1 END
{{X = 100}}
*)
(* FILL IN HERE *)
(** [] *)
(** (Note that we're using informal mathematical notations for
expressions inside of commands, for readability, rather than their
formal [aexp] and [bexp] encodings. We'll continue doing so
throughout the chapter.) *)
(** To get us warmed up for what's coming, here are two simple
facts about Hoare triples. *)
Theorem hoare_post_true : forall (P Q : Assertion) c,
(forall st, Q st) ->
{{P}} c {{Q}}.
Proof.
intros P Q c H. unfold hoare_triple.
intros st st' Heval HP.
apply H. Qed.
Theorem hoare_pre_false : forall (P Q : Assertion) c,
(forall st, ~(P st)) ->
{{P}} c {{Q}}.
Proof.
intros P Q c H. unfold hoare_triple.
intros st st' Heval HP.
unfold not in H. apply H in HP.
inversion HP. Qed.
(* ####################################################### *)
(** ** Proof Rules *)
(** The goal of Hoare logic is to provide a _compositional_
method for proving the validity of Hoare triples. That is, the
structure of a program's correctness proof should mirror the
structure of the program itself. To this end, in the sections
below, we'll introduce one rule for reasoning about each of the
different syntactic forms of commands in Imp -- one for
assignment, one for sequencing, one for conditionals, etc. -- plus
a couple of "structural" rules that are useful for gluing things
together. We will prove programs correct using these proof rules,
without ever unfolding the definition of [hoare_triple]. *)
(* ####################################################### *)
(** *** Assignment *)
(** The rule for assignment is the most fundamental of the Hoare logic
proof rules. Here's how it works.
Consider this (valid) Hoare triple:
{{ Y = 1 }} X ::= Y {{ X = 1 }}
In English: if we start out in a state where the value of [Y]
is [1] and we assign [Y] to [X], then we'll finish in a
state where [X] is [1]. That is, the property of being equal
to [1] gets transferred from [Y] to [X].
Similarly, in
{{ Y + Z = 1 }} X ::= Y + Z {{ X = 1 }}
the same property (being equal to one) gets transferred to
[X] from the expression [Y + Z] on the right-hand side of
the assignment.
More generally, if [a] is _any_ arithmetic expression, then
{{ a = 1 }} X ::= a {{ X = 1 }}
is a valid Hoare triple.
This can be made even more general. To conclude that an
_arbitrary_ property [Q] holds after [X ::= a], we need to assume
that [Q] holds before [X ::= a], but _with all occurrences of_ [X]
replaced by [a] in [Q]. This leads to the Hoare rule for
assignment
{{ Q [X |-> a] }} X ::= a {{ Q }}
where "[Q [X |-> a]]" is pronounced "[Q] where [a] is substituted
for [X]".
For example, these are valid applications of the assignment
rule:
{{ (X <= 5) [X |-> X + 1]
i.e., X + 1 <= 5 }}
X ::= X + 1
{{ X <= 5 }}
{{ (X = 3) [X |-> 3]
i.e., 3 = 3}}
X ::= 3
{{ X = 3 }}
{{ (0 <= X /\ X <= 5) [X |-> 3]
i.e., (0 <= 3 /\ 3 <= 5)}}
X ::= 3
{{ 0 <= X /\ X <= 5 }}
*)
(** To formalize the rule, we must first formalize the idea of
"substituting an expression for an Imp variable in an assertion."
That is, given a proposition [P], a variable [X], and an
arithmetic expression [a], we want to derive another proposition
[P'] that is just the same as [P] except that, wherever [P]
mentions [X], [P'] should instead mention [a].
Since [P] is an arbitrary Coq proposition, we can't directly
"edit" its text. Instead, we can achieve the effect we want by
evaluating [P] in an updated state: *)
Definition assn_sub X a P : Assertion :=
fun (st : state) =>
P (update st X (aeval st a)).
Notation "P [ X |-> a ]" := (assn_sub X a P) (at level 10).
(** That is, [P [X |-> a]] is an assertion [P'] that is just like [P]
except that, wherever [P] looks up the variable [X] in the current
state, [P'] instead uses the value of the expression [a].
To see how this works, let's calculate what happens with a couple
of examples. First, suppose [P'] is [(X <= 5) [X |-> 3]] -- that
is, more formally, [P'] is the Coq expression
fun st =>
(fun st' => st' X <= 5)
(update st X (aeval st (ANum 3))),
which simplifies to
fun st =>
(fun st' => st' X <= 5)
(update st X 3)
and further simplifies to
fun st =>
((update st X 3) X) <= 5)
and by further simplification to
fun st =>
(3 <= 5).
That is, [P'] is the assertion that [3] is less than or equal to
[5] (as expected).
For a more interesting example, suppose [P'] is [(X <= 5) [X |->
X+1]]. Formally, [P'] is the Coq expression
fun st =>
(fun st' => st' X <= 5)
(update st X (aeval st (APlus (AId X) (ANum 1)))),
which simplifies to
fun st =>
(((update st X (aeval st (APlus (AId X) (ANum 1))))) X) <= 5
and further simplifies to
fun st =>
(aeval st (APlus (AId X) (ANum 1))) <= 5.
That is, [P'] is the assertion that [X+1] is at most [5].
*)
(** Now we can give the precise proof rule for assignment:
------------------------------ (hoare_asgn)
{{Q [X |-> a]}} X ::= a {{Q}}
*)
(** We can prove formally that this rule is indeed valid. *)
Theorem hoare_asgn : forall Q X a,
{{Q [X |-> a]}} (X ::= a) {{Q}}.
Proof.
unfold hoare_triple.
intros Q X a st st' HE HQ.
inversion HE. subst.
unfold assn_sub in HQ. assumption. Qed.
(** Here's a first formal proof using this rule. *)
Example assn_sub_example :
{{(fun st => st X = 3) [X |-> ANum 3]}}
(X ::= (ANum 3))
{{fun st => st X = 3}}.
Proof.
apply hoare_asgn. Qed.
(** **** Exercise: 2 stars (hoare_asgn_examples) *)
(** Translate these informal Hoare triples...
1) {{ (X <= 5) [X |-> X + 1] }}
X ::= X + 1
{{ X <= 5 }}
2) {{ (0 <= X /\ X <= 5) [X |-> 3] }}
X ::= 3
{{ 0 <= X /\ X <= 5 }}
...into formal statements and use [hoare_asgn] to prove them. *)
Example hoare_incr_X :
{{(fun st => st X <= 5) [X |-> APlus (AId X) (ANum 1)]}}
(X ::= APlus (AId X) (ANum 1))
{{fun st => st X <= 5}}.
Proof.
apply hoare_asgn. Qed.
Example hoare_equal_wrong :
{{(fun st => 0 <= st X /\ st X <= 5) [X |-> (ANum 3)]}}
(X ::= ANum 3)
{{fun st => 0 <= st X /\ st X <= 5}}.
Proof.
apply hoare_asgn. Qed.
(** [] *)
(** **** Exercise: 2 stars (hoare_asgn_wrong) *)
(** The assignment rule looks backward to almost everyone the first
time they see it. If it still seems backward to you, it may help
to think a little about alternative "forward" rules. Here is a
seemingly natural one:
------------------------------ (hoare_asgn_wrong)
{{ True }} X ::= a {{ X = a }}
Give a counterexample showing that this rule is incorrect
(informally). Hint: The rule universally quantifies over the
arithmetic expression [a], and your counterexample needs to
exhibit an [a] for which the rule doesn't work. *)
(* a = APlus (AId X) (ANum 1) *)
Example hoare_assgn_wrong :
~({{ fun st => True }}
(X ::= APlus (AId X) (ANum 1))
{{fun st => st X = aeval st (APlus (AId X) (ANum 1))}}).
Proof.
unfold not. unfold hoare_triple. intros.
remember empty_state as st.
remember (update st X 1) as st'.
assert ((X ::= APlus (AId X) (ANum 1)) / st || st' ->
True -> st' X = aeval st' (APlus (AId X) (ANum 1))) by
(apply H).
assert (st' X = aeval st' (APlus (AId X) (ANum 1)) -> False).
intros. simpl in H1. destruct (st' X); omega.
assert ((X ::= APlus (AId X) (ANum 1)) / st || st').
rewrite Heqst'. simpl. apply E_Ass. simpl. rewrite Heqst. simpl. reflexivity.
apply H0 in H2. apply H1. assumption. trivial.
Qed.
(** [] *)
(** **** Exercise: 3 stars, advanced (hoare_asgn_fwd) *)
(** However, using an auxiliary variable [m] to remember the original
value of [X] we can define a Hoare rule for assignment that does,
intuitively, "work forwards" rather than backwards.
------------------------------------------ (hoare_asgn_fwd)
{{fun st => Q st /\ st X = m}}
X ::= a
{{fun st => Q st' /\ st X = aeval st' a }}
(where st' = update st X m)
Note that we use the original value of [X] to reconstruct the
state [st'] before the assignment took place. Prove that this rule
is correct (the first hypothesis is the functional extensionality
axiom, which you will need at some point). Also note that this
rule is more complicated than [hoare_asgn].
*)
Theorem hoare_asgn_fwd :
(forall {X Y: Type} {f g : X -> Y}, (forall (x: X), f x = g x) -> f = g) ->
forall m a Q,
{{fun st => Q st /\ st X = m}}
X ::= a
{{fun st => Q (update st X m) /\ st X = aeval (update st X m) a }}.
Proof.
intros functional_extensionality v a Q.
unfold hoare_triple.
intros. inversion H. subst. inversion H0.
split.
replace (update (update st X (aeval st a)) X v) with st. assumption.
apply functional_extensionality. intros. rewrite update_shadow. symmetry. apply update_same. assumption.
replace (update (update st X (aeval st a)) X v) with st. apply update_eq.
symmetry. apply functional_extensionality. intro.
assert ({X = x} + {X <> x}) by apply eq_id_dec. inversion H3. subst. rewrite update_eq. reflexivity.
rewrite update_shadow. apply update_neq. assumption.
Qed.
(** [] *)
(* ####################################################### *)
(** *** Consequence *)
(** Sometimes the preconditions and postconditions we get from the
Hoare rules won't quite be the ones we want in the particular
situation at hand -- they may be logically equivalent but have a
different syntactic form that fails to unify with the goal we are
trying to prove, or they actually may be logically weaker (for
preconditions) or stronger (for postconditions) than what we need.
For instance, while
{{(X = 3) [X |-> 3]}} X ::= 3 {{X = 3}},
follows directly from the assignment rule,
{{True}} X ::= 3 {{X = 3}}.
does not. This triple is valid, but it is not an instance of
[hoare_asgn] because [True] and [(X = 3) [X |-> 3]] are not
syntactically equal assertions. However, they are logically
equivalent, so if one triple is valid, then the other must
certainly be as well. We might capture this observation with the
following rule:
{{P'}} c {{Q}}
P <<->> P'
----------------------------- (hoare_consequence_pre_equiv)
{{P}} c {{Q}}
Taking this line of thought a bit further, we can see that
strengthening the precondition or weakening the postcondition of a
valid triple always produces another valid triple. This
observation is captured by two _Rules of Consequence_.
{{P'}} c {{Q}}
P ->> P'
----------------------------- (hoare_consequence_pre)
{{P}} c {{Q}}
{{P}} c {{Q'}}
Q' ->> Q
----------------------------- (hoare_consequence_post)
{{P}} c {{Q}}
*)
(** Here are the formal versions: *)
Theorem hoare_consequence_pre : forall (P P' Q : Assertion) c,
{{P'}} c {{Q}} ->
P ->> P' ->
{{P}} c {{Q}}.
Proof.
intros P P' Q c Hhoare Himp.
intros st st' Hc HP. apply (Hhoare st st').
assumption. apply Himp. assumption. Qed.
Theorem hoare_consequence_post : forall (P Q Q' : Assertion) c,
{{P}} c {{Q'}} ->
Q' ->> Q ->
{{P}} c {{Q}}.
Proof.
intros P Q Q' c Hhoare Himp.
intros st st' Hc HP.
apply Himp.
apply (Hhoare st st').
assumption. assumption. Qed.
(** For example, we might use the first consequence rule like this:
{{ True }} ->>
{{ 1 = 1 }}
X ::= 1
{{ X = 1 }}
Or, formally...
*)
Example hoare_asgn_example1 :
{{fun st => True}} (X ::= (ANum 1)) {{fun st => st X = 1}}.
Proof.
apply hoare_consequence_pre
with (P' := (fun st => st X = 1) [X |-> ANum 1]).
apply hoare_asgn.
intros st H. unfold assn_sub, update. simpl. reflexivity.
Qed.
(** Finally, for convenience in some proofs, we can state a "combined"
rule of consequence that allows us to vary both the precondition
and the postcondition.
{{P'}} c {{Q'}}
P ->> P'
Q' ->> Q
----------------------------- (hoare_consequence)
{{P}} c {{Q}}
*)
Theorem hoare_consequence : forall (P P' Q Q' : Assertion) c,
{{P'}} c {{Q'}} ->
P ->> P' ->
Q' ->> Q ->
{{P}} c {{Q}}.
Proof.
intros P P' Q Q' c Hht HPP' HQ'Q.
apply hoare_consequence_pre with (P' := P').
apply hoare_consequence_post with (Q' := Q').
assumption. assumption. assumption. Qed.
(* ####################################################### *)
(** *** Digression: The [eapply] Tactic *)
(** This is a good moment to introduce another convenient feature of
Coq. We had to write "[with (P' := ...)]" explicitly in the proof
of [hoare_asgn_example1] and [hoare_consequence] above, to make
sure that all of the metavariables in the premises to the
[hoare_consequence_pre] rule would be set to specific
values. (Since [P'] doesn't appear in the conclusion of
[hoare_consequence_pre], the process of unifying the conclusion
with the current goal doesn't constrain [P'] to a specific
assertion.)
This is a little annoying, both because the assertion is a bit
long and also because for [hoare_asgn_example1] the very next
thing we are going to do -- applying the [hoare_asgn] rule -- will
tell us exactly what it should be! We can use [eapply] instead of
[apply] to tell Coq, essentially, "Be patient: The missing part is
going to be filled in soon." *)
Example hoare_asgn_example1' :
{{fun st => True}}
(X ::= (ANum 1))
{{fun st => st X = 1}}.
Proof.
eapply hoare_consequence_pre.
apply hoare_asgn.
intros st H. reflexivity. Qed.
(** In general, [eapply H] tactic works just like [apply H] except
that, instead of failing if unifying the goal with the conclusion
of [H] does not determine how to instantiate all of the variables
appearing in the premises of [H], [eapply H] will replace these
variables with so-called _existential variables_ (written [?nnn])
as placeholders for expressions that will be determined (by
further unification) later in the proof. *)
(** In order for [Qed] to succeed, all existential variables need to
be determined by the end of the proof. Otherwise Coq
will (rightly) refuse to accept the proof. Remember that the Coq
tactics build proof objects, and proof objects containing
existential variables are not complete. *)
Lemma silly1 : forall (P : nat -> nat -> Prop) (Q : nat -> Prop),
(forall x y : nat, P x y) ->
(forall x y : nat, P x y -> Q x) ->
Q 42.
Proof.
intros P Q HP HQ. eapply HQ. apply HP.
(** Coq gives a warning after [apply HP]:
No more subgoals but non-instantiated existential variables:
Existential 1 =
?171 : [P : nat -> nat -> Prop
Q : nat -> Prop
HP : forall x y : nat, P x y
HQ : forall x y : nat, P x y -> Q x |- nat]
(dependent evars: ?171 open,)
You can use Grab Existential Variables.
Trying to finish the proof with [Qed] gives an error:
<<
Error: Attempt to save a proof with existential variables still
non-instantiated
>> *)
Abort.
(** An additional constraint is that existential variables cannot be
instantiated with terms containing (ordinary) variables that did
not exist at the time the existential variable was created. *)
Lemma silly2 :
forall (P : nat -> nat -> Prop) (Q : nat -> Prop),
(exists y, P 42 y) ->
(forall x y : nat, P x y -> Q x) ->
Q 42.
Proof.
intros P Q HP HQ. eapply HQ. destruct HP as [y HP'].
(** Doing [apply HP'] above fails with the following error:
Error: Impossible to unify "?175" with "y".
In this case there is an easy fix:
doing [destruct HP] _before_ doing [eapply HQ].
*)
Abort.
Lemma silly2_fixed :
forall (P : nat -> nat -> Prop) (Q : nat -> Prop),
(exists y, P 42 y) ->
(forall x y : nat, P x y -> Q x) ->
Q 42.
Proof.
intros P Q HP HQ. destruct HP as [y HP'].
eapply HQ. apply HP'.
Qed.
(** In the last step we did [apply HP'] which unifies the existential
variable in the goal with the variable [y]. The [assumption]
tactic doesn't work in this case, since it cannot handle
existential variables. However, Coq also provides an [eassumption]
tactic that solves the goal if one of the premises matches the
goal up to instantiations of existential variables. We can use
it instead of [apply HP']. *)
Lemma silly2_eassumption : forall (P : nat -> nat -> Prop) (Q : nat -> Prop),
(exists y, P 42 y) ->
(forall x y : nat, P x y -> Q x) ->
Q 42.
Proof.
intros P Q HP HQ. destruct HP as [y HP']. eapply HQ. eassumption.
Qed.
(** **** Exercise: 2 stars (hoare_asgn_examples_2) *)
(** Translate these informal Hoare triples...
{{ X + 1 <= 5 }} X ::= X + 1 {{ X <= 5 }}
{{ 0 <= 3 /\ 3 <= 5 }} X ::= 3 {{ 0 <= X /\ X <= 5 }}
...into formal statements and use [hoare_asgn] and
[hoare_consequence_pre] to prove them. *)
Definition hoare_asgn_examples_2a :=
{{(fun st => st X <= 5) [X |-> (APlus (AId X) (ANum 1))]}}
(X ::= APlus (AId X) (ANum 1))
{{fun st => st X <= 5}}.
Definition hoare_asgn_examples_2b :=
{{ (fun st => 0 <= st X /\ st X <= 5) [X |-> (ANum 3)] }}
(X ::= ANum 3)
{{ fun st => 0 <= st X /\ st X <= 5}}.
Example hoare_asgn_examples_2a_proof : hoare_asgn_examples_2a.
Proof.
unfold hoare_asgn_examples_2a.
apply hoare_asgn.
Qed.
Example hoare_asgn_examples_2b_proof : hoare_asgn_examples_2b.
Proof.
unfold hoare_asgn_examples_2b.
apply hoare_asgn.
Qed.
(* Is this correct? *)
(** [] *)
(* ####################################################### *)
(** *** Skip *)
(** Since [SKIP] doesn't change the state, it preserves any
property P:
-------------------- (hoare_skip)
{{ P }} SKIP {{ P }}
*)
Theorem hoare_skip : forall P,
{{P}} SKIP {{P}}.
Proof.
intros P st st' H HP. inversion H. subst.
assumption. Qed.
(* ####################################################### *)
(** *** Sequencing *)
(** More interestingly, if the command [c1] takes any state where
[P] holds to a state where [Q] holds, and if [c2] takes any
state where [Q] holds to one where [R] holds, then doing [c1]
followed by [c2] will take any state where [P] holds to one
where [R] holds:
{{ P }} c1 {{ Q }}
{{ Q }} c2 {{ R }}
--------------------- (hoare_seq)
{{ P }} c1;;c2 {{ R }}
*)
Theorem hoare_seq : forall P Q R c1 c2,
{{Q}} c2 {{R}} ->
{{P}} c1 {{Q}} ->
{{P}} c1;;c2 {{R}}.
Proof.
intros P Q R c1 c2 H1 H2 st st' H12 Pre.
inversion H12; subst.
apply (H1 st'0 st'); try assumption.
apply (H2 st st'0); assumption. Qed.
(** Note that, in the formal rule [hoare_seq], the premises are
given in "backwards" order ([c2] before [c1]). This matches the
natural flow of information in many of the situations where we'll
use the rule: the natural way to construct a Hoare-logic proof is
to begin at the end of the program (with the final postcondition)
and push postconditions backwards through commands until we reach
the beginning. *)
(** Informally, a nice way of recording a proof using the sequencing
rule is as a "decorated program" where the intermediate assertion
[Q] is written between [c1] and [c2]:
{{ a = n }}
X ::= a;;
{{ X = n }} <---- decoration for Q
SKIP
{{ X = n }}
*)
Example hoare_asgn_example3 : forall a n,
{{fun st => aeval st a = n}}
(X ::= a;; SKIP)
{{fun st => st X = n}}.
Proof.
intros a n. eapply hoare_seq.
Case "right part of seq".
apply hoare_skip.
Case "left part of seq".
eapply hoare_consequence_pre. apply hoare_asgn.
intros st H. subst. reflexivity. Qed.
(** You will most often use [hoare_seq] and
[hoare_consequence_pre] in conjunction with the [eapply] tactic,
as done above. *)
(** **** Exercise: 2 stars (hoare_asgn_example4) *)
(** Translate this "decorated program" into a formal proof:
{{ True }} ->>
{{ 1 = 1 }}
X ::= 1;;
{{ X = 1 }} ->>
{{ X = 1 /\ 2 = 2 }}
Y ::= 2
{{ X = 1 /\ Y = 2 }}
*)
Example hoare_asgn_example4 :
{{fun st => True}}
(X ::= (ANum 1);; Y ::= (ANum 2))
{{fun st => st X = 1 /\ st Y = 2}}.
Proof.
eapply hoare_seq. apply hoare_asgn.
eapply hoare_consequence_pre.
apply hoare_asgn.
intro. intro. simpl.
unfold assn_sub. split. simpl. apply update_neq. unfold not. intro. inversion H0.
simpl. apply update_eq.
Qed.
(** [] *)
(** **** Exercise: 3 stars (swap_exercise) *)
(** Write an Imp program [c] that swaps the values of [X] and [Y]
and show (in Coq) that it satisfies the following
specification:
{{X <= Y}} c {{Y <= X}}
*)
Definition swap_program : com :=
(Z ::= AId X ;; X ::= AId Y ;; Y ::= AId Z).
Theorem swap_swap_exercise : forall n m,
{{fun st => st X = n /\ st Y = m}}
swap_program
{{fun st => st X = m /\ st Y = n}}.
Proof.
unfold swap_program. intros.
eapply hoare_consequence_pre. eapply hoare_seq. eapply hoare_seq.
eapply hoare_asgn. eapply hoare_asgn. eapply hoare_asgn. intros st H.
unfold assn_sub. simpl. inversion H. subst. split.
rewrite update_neq. rewrite update_eq. apply update_neq. intro Ctra. inversion Ctra. intro Ctra. inversion Ctra.
rewrite update_eq. rewrite update_neq. rewrite update_eq. reflexivity. intro Ctra. inversion Ctra.
Qed.
(* How can I solve this using the previous theorem? *)
Theorem swap_exercise :
{{fun st => st X <= st Y}}
swap_program
{{fun st => st Y <= st X}}.
Proof.
unfold swap_program. intros.
eapply hoare_consequence_pre. eapply hoare_seq. eapply hoare_seq.
eapply hoare_asgn. eapply hoare_asgn. eapply hoare_asgn. intros st H.
unfold assn_sub. simpl.
rewrite update_eq. rewrite update_neq.
rewrite update_eq. rewrite update_neq. rewrite update_eq. rewrite update_neq. assumption.
intro Ctra. inversion Ctra.
intro Ctra. inversion Ctra.
intro Ctra. inversion Ctra.
Qed.
(** [] *)
(** **** Exercise: 3 stars (hoarestate1) *)
(** Explain why the following proposition can't be proven:
forall (a : aexp) (n : nat),
{{fun st => aeval st a = n}}
(X ::= (ANum 3);; Y ::= a)
{{fun st => st Y = n}}.
*)
(*
{{ a = n [X |-> (ANum 3)] [Y |-> a]}}
(X ::= (ANum 3);; Y ::= a)
{{ Y = n }}
cannot be proven because X might well be a part of a, whose value
will have changed afterthe first assignement.
*)
(* FILL IN HERE *)
(** [] *)
(* ####################################################### *)
(** *** Conditionals *)
(** What sort of rule do we want for reasoning about conditional
commands? Certainly, if the same assertion [Q] holds after
executing either branch, then it holds after the whole
conditional. So we might be tempted to write:
{{P}} c1 {{Q}}
{{P}} c2 {{Q}}
--------------------------------
{{P}} IFB b THEN c1 ELSE c2 {{Q}}
However, this is rather weak. For example, using this rule,
we cannot show that:
{{ True }}
IFB X == 0
THEN Y ::= 2
ELSE Y ::= X + 1
FI
{{ X <= Y }}
since the rule tells us nothing about the state in which the
assignments take place in the "then" and "else" branches. *)
(** But we can actually say something more precise. In the
"then" branch, we know that the boolean expression [b] evaluates to
[true], and in the "else" branch, we know it evaluates to [false].
Making this information available in the premises of the rule gives
us more information to work with when reasoning about the behavior
of [c1] and [c2] (i.e., the reasons why they establish the
postcondition [Q]). *)
(**
{{P /\ b}} c1 {{Q}}
{{P /\ ~b}} c2 {{Q}}
------------------------------------ (hoare_if)
{{P}} IFB b THEN c1 ELSE c2 FI {{Q}}
*)
(** To interpret this rule formally, we need to do a little work.
Strictly speaking, the assertion we've written, [P /\ b], is the
conjunction of an assertion and a boolean expression -- i.e., it
doesn't typecheck. To fix this, we need a way of formally
"lifting" any bexp [b] to an assertion. We'll write [bassn b] for
the assertion "the boolean expression [b] evaluates to [true] (in
the given state)." *)
Definition bassn b : Assertion :=
fun st => (beval st b = true).
(** A couple of useful facts about [bassn]: *)
Lemma bexp_eval_true : forall b st,
beval st b = true -> (bassn b) st.
Proof.
intros b st Hbe.
unfold bassn. assumption. Qed.
Lemma bexp_eval_false : forall b st,
beval st b = false -> ~ ((bassn b) st).
Proof.
intros b st Hbe contra.
unfold bassn in contra.
rewrite -> contra in Hbe. inversion Hbe. Qed.
(** Now we can formalize the Hoare proof rule for conditionals
and prove it correct. *)
Theorem hoare_if : forall P Q b c1 c2,
{{fun st => P st /\ bassn b st}} c1 {{Q}} ->
{{fun st => P st /\ ~(bassn b st)}} c2 {{Q}} ->
{{P}} (IFB b THEN c1 ELSE c2 FI) {{Q}}.
Proof.
intros P Q b c1 c2 HTrue HFalse st st' HE HP.
(* my version
inversion HE; subst.
Case "b true".
eapply HTrue. eassumption. split. assumption. unfold bassn. assumption.
Case "b false".
eapply HFalse. eassumption. split. assumption. unfold not. intro Contra.
unfold bassn in Contra. rewrite H4 in Contra. inversion Contra.
Qed.
*)
inversion HE; subst.
Case "b is true".
apply (HTrue st st').
assumption.
split. assumption.
apply bexp_eval_true. assumption.
Case "b is false".
apply (HFalse st st').
assumption.
split. assumption.
apply bexp_eval_false. assumption. Qed.
(** Here is a formal proof that the program we used to motivate the
rule satisfies the specification we gave. *)
Example if_example :
{{fun st => True}}
IFB (BEq (AId X) (ANum 0))
THEN (Y ::= (ANum 2))
ELSE (Y ::= APlus (AId X) (ANum 1))
FI
{{fun st => st X <= st Y}}.
Proof.
(* WORKED IN CLASS *)
apply hoare_if.
Case "Then".
eapply hoare_consequence_pre. apply hoare_asgn.
unfold bassn, assn_sub, update, assert_implies.
simpl. intros st [_ H].
apply beq_nat_true in H.
rewrite H. omega.
Case "Else".
eapply hoare_consequence_pre. apply hoare_asgn.
unfold assn_sub, update, assert_implies.
simpl; intros st _. omega.
Qed.
(** **** Exercise: 2 stars (if_minus_plus) *)
(** Prove the following hoare triple using [hoare_if]: *)
Theorem if_minus_plus :
{{fun st => True}}
IFB (BLe (AId X) (AId Y))
THEN (Z ::= AMinus (AId Y) (AId X))
ELSE (Y ::= APlus (AId X) (AId Z))
FI
{{fun st => st Y = st X + st Z}}.
Proof.
apply hoare_if.
Case "Then".
eapply hoare_consequence_pre. apply hoare_asgn.
unfold bassn, assn_sub, update, assert_implies.
intros st [_ H]. apply ble_nat_true in H. simpl in H. simpl. omega.
Case "Else".
eapply hoare_consequence_pre. apply hoare_asgn.
unfold bassn, assn_sub, update, assert_implies.
intros st _. simpl. reflexivity.
Qed.
(* ####################################################### *)
(** *** Exercise: One-sided conditionals *)
(** **** Exercise: 4 stars (if1_hoare) *)
(** In this exercise we consider extending Imp with "one-sided
conditionals" of the form [IF1 b THEN c FI]. Here [b] is a
boolean expression, and [c] is a command. If [b] evaluates to
[true], then command [c] is evaluated. If [b] evaluates to
[false], then [IF1 b THEN c FI] does nothing.
We recommend that you do this exercise before the ones that
follow, as it should help solidify your understanding of the
material. *)
(** The first step is to extend the syntax of commands and introduce
the usual notations. (We've done this for you. We use a separate
module to prevent polluting the global name space.) *)
Module If1.
Inductive com : Type :=
| CSkip : com
| CAss : id -> aexp -> com
| CSeq : com -> com -> com
| CIf : bexp -> com -> com -> com
| CWhile : bexp -> com -> com
| CIf1 : bexp -> com -> com.
Tactic Notation "com_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "SKIP" | Case_aux c "::=" | Case_aux c ";"
| Case_aux c "IFB" | Case_aux c "WHILE" | Case_aux c "CIF1" ].
Notation "'SKIP'" :=
CSkip.
Notation "c1 ;; c2" :=
(CSeq c1 c2) (at level 80, right associativity).
Notation "X '::=' a" :=
(CAss X a) (at level 60).
Notation "'WHILE' b 'DO' c 'END'" :=
(CWhile b c) (at level 80, right associativity).
Notation "'IFB' e1 'THEN' e2 'ELSE' e3 'FI'" :=
(CIf e1 e2 e3) (at level 80, right associativity).
Notation "'IF1' b 'THEN' c 'FI'" :=
(CIf1 b c) (at level 80, right associativity).
(** Next we need to extend the evaluation relation to accommodate
[IF1] branches. This is for you to do... What rule(s) need to be
added to [ceval] to evaluate one-sided conditionals? *)
Reserved Notation "c1 '/' st '||' st'" (at level 40, st at level 39).
Inductive ceval : com -> state -> state -> Prop :=
| E_Skip : forall st : state, SKIP / st || st
| E_Ass : forall (st : state) (a1 : aexp) (n : nat) (X : id),
aeval st a1 = n -> (X ::= a1) / st || update st X n
| E_Seq : forall (c1 c2 : com) (st st' st'' : state),
c1 / st || st' -> c2 / st' || st'' -> (c1 ;; c2) / st || st''
| E_IfTrue : forall (st st' : state) (b1 : bexp) (c1 c2 : com),
beval st b1 = true ->
c1 / st || st' -> (IFB b1 THEN c1 ELSE c2 FI) / st || st'
| E_IfFalse : forall (st st' : state) (b1 : bexp) (c1 c2 : com),
beval st b1 = false ->
c2 / st || st' -> (IFB b1 THEN c1 ELSE c2 FI) / st || st'
| E_WhileEnd : forall (b1 : bexp) (st : state) (c1 : com),
beval st b1 = false -> (WHILE b1 DO c1 END) / st || st
| E_WhileLoop : forall (st st' st'' : state) (b1 : bexp) (c1 : com),
beval st b1 = true ->
c1 / st || st' ->
(WHILE b1 DO c1 END) / st' || st'' ->
(WHILE b1 DO c1 END) / st || st''
| E_If1True : forall (st st' : state) (b : bexp) (c : com),
beval st b = true ->
c / st || st' -> (IF1 b THEN c FI) / st || st'
| E_If1False : forall (st : state) (b : bexp) (c : com),
beval st b = false ->
(IF1 b THEN c FI) / st || st
where "c1 '/' st '||' st'" := (ceval c1 st st').
Tactic Notation "ceval_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "E_Skip" | Case_aux c "E_Ass" | Case_aux c "E_Seq"
| Case_aux c "E_IfTrue" | Case_aux c "E_IfFalse"
| Case_aux c "E_WhileEnd" | Case_aux c "E_WhileLoop"
| Case_aux c "E_If1True" | Case_aux c "E_If1False"
(* FILL IN HERE *)
].
(** Now we repeat (verbatim) the definition and notation of Hoare triples. *)
Definition hoare_triple (P:Assertion) (c:com) (Q:Assertion) : Prop :=
forall st st',
c / st || st' ->
P st ->
Q st'.
Notation "{{ P }} c {{ Q }}" := (hoare_triple P c Q)
(at level 90, c at next level)
: hoare_spec_scope.
(** Finally, we (i.e., you) need to state and prove a theorem,
[hoare_if1], that expresses an appropriate Hoare logic proof rule
for one-sided conditionals. Try to come up with a rule that is
both sound and as precise as possible. *)
Theorem hoare_if1 : forall P Q b c,
{{ fun st => P st /\ bassn b st}} c {{Q}} ->
{{ fun st => P st /\ ~(bassn b st)}} SKIP {{Q}} ->
{{P}} (IF1 b THEN c FI) {{Q}}.
Proof.
intros P Q b c HTrue HFalse.
unfold hoare_triple. intros st st' HIf1 HP. inversion HIf1. subst.
unfold hoare_triple in HTrue. eapply HTrue. eassumption. split. assumption. assumption.
subst. unfold hoare_triple in HFalse. eapply HFalse. eapply E_Skip. split. assumption. unfold bassn. unfold not. intro Contra.
rewrite H3 in Contra. inversion Contra.
Qed.
(** For full credit, prove formally that your rule is precise enough
to show the following valid Hoare triple:
{{ X + Y = Z }}
IF1 Y <> 0 THEN
X ::= X + Y
FI
{{ X = Z }}
*)
(** Hint: Your proof of this triple may need to use the other proof
rules also. Because we're working in a separate module, you'll
need to copy here the rules you find necessary. *)
Axiom functional_extensionality : forall {X Y: Type} {f g : X -> Y},
(forall (x: X), f x = g x) -> f = g.
(*
Theorem hoare_consequence_pre : forall (P P' Q : Assertion) c,
{{P'}} c {{Q}} ->
P ->> P' ->
{{P}} c {{Q}}.
Theorem hoare_consequence_post : forall (P Q Q' : Assertion) c,
{{P}} c {{Q'}} ->
Q' ->> Q ->
{{P}} c {{Q}}.
*)
Lemma hoare_if1_good :
{{ fun st => st X + st Y = st Z }}
IF1 BNot (BEq (AId Y) (ANum 0)) THEN
X ::= APlus (AId X) (AId Y)
FI
{{ fun st => st X = st Z }}.
Proof.
apply hoare_if1.
Case "b true".
unfold hoare_triple. intros st st' Hass [Heq Hb].
inversion Hass. subst. rewrite update_eq. rewrite update_neq. simpl. assumption.
unfold not. intro. inversion H.
Case "b false".
unfold hoare_triple. intros st st' HSkip [Heq Hb]. inversion HSkip. subst.
unfold bassn, not in Hb. simpl in Hb.
assert ({st' Y = 0} + {st' Y <> 0}) by (apply eq_nat_dec). inversion H. rewrite H0 in Heq. rewrite plus_0_r in Heq.
assumption. clear H. apply beq_nat_false_iff in H0. rewrite H0 in Hb. simpl in Hb. contradiction Hb. reflexivity.
Qed.
End If1.
(** [] *)
(* ####################################################### *)
(** *** Loops *)
(** Finally, we need a rule for reasoning about while loops. *)
(** Suppose we have a loop
WHILE b DO c END
and we want to find a pre-condition [P] and a post-condition
[Q] such that
{{P}} WHILE b DO c END {{Q}}
is a valid triple. *)
(** First of all, let's think about the case where [b] is false at the
beginning -- i.e., let's assume that the loop body never executes
at all. In this case, the loop behaves like [SKIP], so we might
be tempted to write
{{P}} WHILE b DO c END {{P}}.
But, as we remarked above for the conditional, we know a
little more at the end -- not just [P], but also the fact
that [b] is false in the current state. So we can enrich the
postcondition a little:
{{P}} WHILE b DO c END {{P /\ ~b}}
What about the case where the loop body _does_ get executed?
In order to ensure that [P] holds when the loop finally
exits, we certainly need to make sure that the command [c]
guarantees that [P] holds whenever [c] is finished.
Moreover, since [P] holds at the beginning of the first
execution of [c], and since each execution of [c]
re-establishes [P] when it finishes, we can always assume
that [P] holds at the beginning of [c]. This leads us to the
following rule:
{{P}} c {{P}}
-----------------------------------
{{P}} WHILE b DO c END {{P /\ ~b}}
This is almost the rule we want, but again it can be improved a
little: at the beginning of the loop body, we know not only that
[P] holds, but also that the guard [b] is true in the current
state. This gives us a little more information to use in
reasoning about [c] (showing that it establishes the invariant by
the time it finishes). This gives us the final version of the rule:
{{P /\ b}} c {{P}}
----------------------------------- (hoare_while)
{{P}} WHILE b DO c END {{P /\ ~b}}
The proposition [P] is called an _invariant_ of the loop.
One subtlety in the terminology is that calling some assertion [P]
a "loop invariant" doesn't just mean that it is preserved by the
body of the loop in question (i.e., [{{P}} c {{P}}], where [c] is
the loop body), but rather that [P] _together with the fact that
the loop's guard is true_ is a sufficient precondition for [c] to
ensure [P] as a postcondition.
This is a slightly (but significantly) weaker requirement. For
example, if [P] is the assertion [X = 0], then [P] _is_ an
invariant of the loop
WHILE X = 2 DO X := 1 END
although it is clearly _not_ preserved by the body of the
loop.
*)
Lemma hoare_while : forall P b c,
{{fun st => P st /\ bassn b st}} c {{P}} ->
{{P}} WHILE b DO c END {{fun st => P st /\ ~ (bassn b st)}}.
Proof.
intros P b c Hhoare st st' He HP.
(* Like we've seen before, we need to reason by induction
on He, because, in the "keep looping" case, its hypotheses
talk about the whole loop instead of just c *)
remember (WHILE b DO c END) as wcom eqn:Heqwcom.
ceval_cases (induction He) Case;
try (inversion Heqwcom); subst; clear Heqwcom.
Case "E_WhileEnd".
split. assumption. apply bexp_eval_false. assumption.
Case "E_WhileLoop".
apply IHHe2. reflexivity.
apply (Hhoare st st'). assumption.
split. assumption. apply bexp_eval_true. assumption.
Qed.
Example while_example :
{{fun st => st X <= 3}}
WHILE (BLe (AId X) (ANum 2))
DO X ::= APlus (AId X) (ANum 1) END
{{fun st => st X = 3}}.
Proof.
eapply hoare_consequence_post.
apply hoare_while.
eapply hoare_consequence_pre.
apply hoare_asgn.
unfold bassn, assn_sub, assert_implies, update. simpl.
intros st [H1 H2]. apply ble_nat_true in H2. omega.
unfold bassn, assert_implies. intros st [Hle Hb].
simpl in Hb. destruct (ble_nat (st X) 2) eqn : Heqle.
apply ex_falso_quodlibet. apply Hb; reflexivity.
apply ble_nat_false in Heqle. omega.
Qed.
(** We can use the while rule to prove the following Hoare triple,
which may seem surprising at first... *)
Theorem always_loop_hoare : forall P Q,
{{P}} WHILE BTrue DO SKIP END {{Q}}.
Proof.
(* WORKED IN CLASS *)
intros P Q.
apply hoare_consequence_pre with (P' := fun st : state => True).
eapply hoare_consequence_post.
apply hoare_while.
Case "Loop body preserves invariant".
apply hoare_post_true. intros st. apply I.
Case "Loop invariant and negated guard imply postcondition".
simpl. intros st [Hinv Hguard].
apply ex_falso_quodlibet. apply Hguard. reflexivity.
Case "Precondition implies invariant".
intros st H. constructor. Qed.
(** Of course, this result is not surprising if we remember that
the definition of [hoare_triple] asserts that the postcondition
must hold _only_ when the command terminates. If the command
doesn't terminate, we can prove anything we like about the
post-condition. *)
(** Hoare rules that only talk about terminating commands are
often said to describe a logic of "partial" correctness. It is
also possible to give Hoare rules for "total" correctness, which
build in the fact that the commands terminate. However, in this
course we will only talk about partial correctness. *)
(* ####################################################### *)
(** *** Exercise: [REPEAT] *)
Module RepeatExercise.
(** **** Exercise: 4 stars, advanced (hoare_repeat) *)
(** In this exercise, we'll add a new command to our language of
commands: [REPEAT] c [UNTIL] a [END]. You will write the
evaluation rule for [repeat] and add a new Hoare rule to
the language for programs involving it. *)
Inductive com : Type :=
| CSkip : com
| CAsgn : id -> aexp -> com
| CSeq : com -> com -> com
| CIf : bexp -> com -> com -> com
| CWhile : bexp -> com -> com
| CRepeat : com -> bexp -> com.
(** [REPEAT] behaves like [WHILE], except that the loop guard is
checked _after_ each execution of the body, with the loop
repeating as long as the guard stays _false_. Because of this,
the body will always execute at least once. *)
Tactic Notation "com_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "SKIP" | Case_aux c "::=" | Case_aux c ";"
| Case_aux c "IFB" | Case_aux c "WHILE"
| Case_aux c "CRepeat" ].
Notation "'SKIP'" :=
CSkip.
Notation "c1 ;; c2" :=
(CSeq c1 c2) (at level 80, right associativity).
Notation "X '::=' a" :=
(CAsgn X a) (at level 60).
Notation "'WHILE' b 'DO' c 'END'" :=
(CWhile b c) (at level 80, right associativity).
Notation "'IFB' e1 'THEN' e2 'ELSE' e3 'FI'" :=
(CIf e1 e2 e3) (at level 80, right associativity).
Notation "'REPEAT' e1 'UNTIL' b2 'END'" :=
(CRepeat e1 b2) (at level 80, right associativity).
(** Add new rules for [REPEAT] to [ceval] below. You can use the rules
for [WHILE] as a guide, but remember that the body of a [REPEAT]
should always execute at least once, and that the loop ends when
the guard becomes true. Then update the [ceval_cases] tactic to
handle these added cases. *)
Inductive ceval : state -> com -> state -> Prop :=
| E_Skip : forall st,
ceval st SKIP st
| E_Ass : forall st a1 n X,
aeval st a1 = n ->
ceval st (X ::= a1) (update st X n)
| E_Seq : forall c1 c2 st st' st'',
ceval st c1 st' ->
ceval st' c2 st'' ->
ceval st (c1 ;; c2) st''
| E_IfTrue : forall st st' b1 c1 c2,
beval st b1 = true ->
ceval st c1 st' ->
ceval st (IFB b1 THEN c1 ELSE c2 FI) st'
| E_IfFalse : forall st st' b1 c1 c2,
beval st b1 = false ->
ceval st c2 st' ->
ceval st (IFB b1 THEN c1 ELSE c2 FI) st'
| E_WhileEnd : forall b1 st c1,
beval st b1 = false ->
ceval st (WHILE b1 DO c1 END) st
| E_WhileLoop : forall st st' st'' b1 c1,
beval st b1 = true ->
ceval st c1 st' ->
ceval st' (WHILE b1 DO c1 END) st'' ->
ceval st (WHILE b1 DO c1 END) st''
| E_RepeatEnd : forall b st st' c,
ceval st c st' ->
beval st' b = true ->
ceval st (REPEAT c UNTIL b END) st'
| E_RepeatLoop : forall b st st' st'' c,
ceval st c st' ->
beval st' b = false ->
ceval st' (REPEAT c UNTIL b END) st'' ->
ceval st (REPEAT c UNTIL b END) st''
.
Tactic Notation "ceval_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "E_Skip" | Case_aux c "E_Ass"
| Case_aux c "E_Seq"
| Case_aux c "E_IfTrue" | Case_aux c "E_IfFalse"
| Case_aux c "E_WhileEnd" | Case_aux c "E_WhileLoop"
| Case_aux c "E_RepeatEnd" | Case_aux c "E_RepeatLoop"
].
(** A couple of definitions from above, copied here so they use the
new [ceval]. *)
Notation "c1 '/' st '||' st'" := (ceval st c1 st')
(at level 40, st at level 39).
Definition hoare_triple (P:Assertion) (c:com) (Q:Assertion)
: Prop :=
forall st st', (c / st || st') -> P st -> Q st'.
Notation "{{ P }} c {{ Q }}" :=
(hoare_triple P c Q) (at level 90, c at next level).
(** To make sure you've got the evaluation rules for [REPEAT] right,
prove that [ex1_repeat evaluates correctly. *)
Definition ex1_repeat :=
REPEAT
X ::= ANum 1;;
Y ::= APlus (AId Y) (ANum 1)
UNTIL (BEq (AId X) (ANum 1)) END.
Theorem ex1_repeat_works :
ex1_repeat / empty_state ||
update (update empty_state X 1) Y 1.
Proof.
unfold ex1_repeat.
apply E_RepeatEnd. eapply E_Seq. apply E_Ass. simpl. reflexivity.
apply E_Ass. reflexivity. reflexivity.
Qed.
Theorem hoare_consequence_pre : forall (P P' Q : Assertion) c,
{{P'}} c {{Q}} ->
P ->> P' ->
{{P}} c {{Q}}.
Admitted.
Theorem hoare_consequence_post : forall (P Q Q' : Assertion) c,
{{P}} c {{Q'}} ->
Q' ->> Q ->
{{P}} c {{Q}}.
Admitted.
Theorem hoare_consequence : forall (P P' Q Q' : Assertion) c,
{{P'}} c {{Q'}} ->
P ->> P' ->
Q' ->> Q ->
{{P}} c {{Q}}.
Admitted.
Theorem hoare_seq : forall P Q R c1 c2,
{{Q}} c2 {{R}} ->
{{P}} c1 {{Q}} ->
{{P}} c1;;c2 {{R}}.
Admitted.
Theorem hoare_asgn : forall Q X a,
{{Q [X |-> a]}} (X ::= a) {{Q}}.
Admitted.
Theorem hoare_repeat : forall P Q b c,
{{P}} c {{Q}} ->
((fun st => Q st /\ ~(bassn b st)) ->> P) ->
{{P}} REPEAT c UNTIL b END {{fun st => Q st /\ (bassn b st)}}.
Proof.
intros P Q b c Hpre Hloop st st' Hrep HP.
remember (REPEAT c UNTIL b END) as rue eqn:Hrue.
ceval_cases (induction Hrep) Case;
try (inversion Hrue); subst.
Case "E_RepeatEnd".
split; try (apply (Hpre st st')); assumption.
Case "E_RepeatLoop".
apply IHHrep2. reflexivity.
apply Hloop. split. apply (Hpre st st'). assumption. assumption. unfold not, bassn. intro. rewrite H in H0. inversion H0.
Qed.
(** For full credit, make sure (informally) that your rule can be used
to prove the following valid Hoare triple:
{{ X > 0 }}
REPEAT
Y ::= X;;
X ::= X - 1
UNTIL X = 0 END
{{ X = 0 /\ Y > 0 }}
*)
Example hoare_repeat_test :
{{ fun st : state => st X > 0 }}
(REPEAT
Y ::= (AId X);;
X ::= (AMinus (AId X) (ANum 1))
UNTIL (BEq (AId X) (ANum 0)) END)
{{ fun st : state => st X = 0 /\ st Y > 0 }}.
Proof.
(*eapply hoare_consequence*)
eapply hoare_consequence_post.
eapply hoare_repeat with
(P:=fun st : state => st X > 0)
(Q:=fun st : state => st Y = st X + 1).
Case "{{P}} c {{Q}}".
eapply hoare_seq.
apply hoare_asgn.
eapply hoare_consequence_pre.
apply hoare_asgn.
unfold assert_implies, assn_sub, update. intros st Hgt. simpl. omega.
Case "{{Q /\ ~b}} ->> {{P}}".
intros st [Heq Hb]. unfold bassn in Hb. simpl in Hb. unfold not in Hb.
destruct (st X). simpl in Hb. contradiction Hb. reflexivity. omega.
Case "Postcond implies goal".
intros st [Heq Hb]. unfold bassn in Hb. simpl in Hb. apply beq_nat_true in Hb. omega.
Qed.
(*
Ich brauche eine Invariante, die von der Schleife erhalten wird
und am Ende mit Verbindung exit condition die gewünschte Gleichung
liefert.
Das Ganze wird dadurch erschwert, dass die Eingangsbedingung nicht
zu dieser invariante gehört. Was ich brauche ist die Aussage, dass
wenn die Endbedingung erfüllt ist die Schleife nicht nochmal durchläuft.
Wie könnte ich das über die Hoare Logik abbilden?
Das Problem, das ich dabei habe ist, ist dass der Schleifenbody immer
einmal angewendet wrid, auch wenn die exit condition zu diesem Zeitpunkt
schon true ist.
Wie kann ich das griffig formulieren?
Variante 1:
{{P}} c {{Q /\ ~b}} ->
{{Q /\ ~b }} c {{Q}} ->
{{P}} REPEAT c UNTIL b END {{Q /\ b}}
I haven't been able to prove this yet. I think it says the following:
- P is initially tranformed into Q by a single application of c.
- Q is the invariant that is maintained by c.
- The initial condition P is transformed into the invariant Q plus the
exit condition b.
Variante 2:
{{P /\ ~b}} c {{P}} ->
{{P /\ ~b}} REPEAT c UNTIL b END {{P /\ b}}.
The problem I see here is that '~b' is not necessarily true in the beginning.
It seems to strict
*)
End RepeatExercise.
(** [] *)
(* ####################################################### *)
(** ** Exercise: [HAVOC] *)
(** **** Exercise: 3 stars (himp_hoare) *)
(** In this exercise, we will derive proof rules for the [HAVOC] command
which we studied in the last chapter. First, we enclose this work
in a separate module, and recall the syntax and big-step semantics
of Himp commands. *)
Module Himp.
Inductive com : Type :=
| CSkip : com
| CAsgn : id -> aexp -> com
| CSeq : com -> com -> com
| CIf : bexp -> com -> com -> com
| CWhile : bexp -> com -> com
| CHavoc : id -> com.
Tactic Notation "com_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "SKIP" | Case_aux c "::=" | Case_aux c ";"
| Case_aux c "IFB" | Case_aux c "WHILE" | Case_aux c "HAVOC" ].
Notation "'SKIP'" :=
CSkip.
Notation "X '::=' a" :=
(CAsgn X a) (at level 60).
Notation "c1 ;; c2" :=
(CSeq c1 c2) (at level 80, right associativity).
Notation "'WHILE' b 'DO' c 'END'" :=
(CWhile b c) (at level 80, right associativity).
Notation "'IFB' e1 'THEN' e2 'ELSE' e3 'FI'" :=
(CIf e1 e2 e3) (at level 80, right associativity).
Notation "'HAVOC' X" := (CHavoc X) (at level 60).
Reserved Notation "c1 '/' st '||' st'" (at level 40, st at level 39).
Inductive ceval : com -> state -> state -> Prop :=
| E_Skip : forall st : state, SKIP / st || st
| E_Ass : forall (st : state) (a1 : aexp) (n : nat) (X : id),
aeval st a1 = n -> (X ::= a1) / st || update st X n
| E_Seq : forall (c1 c2 : com) (st st' st'' : state),
c1 / st || st' -> c2 / st' || st'' -> (c1 ;; c2) / st || st''
| E_IfTrue : forall (st st' : state) (b1 : bexp) (c1 c2 : com),
beval st b1 = true ->
c1 / st || st' -> (IFB b1 THEN c1 ELSE c2 FI) / st || st'
| E_IfFalse : forall (st st' : state) (b1 : bexp) (c1 c2 : com),
beval st b1 = false ->
c2 / st || st' -> (IFB b1 THEN c1 ELSE c2 FI) / st || st'
| E_WhileEnd : forall (b1 : bexp) (st : state) (c1 : com),
beval st b1 = false -> (WHILE b1 DO c1 END) / st || st
| E_WhileLoop : forall (st st' st'' : state) (b1 : bexp) (c1 : com),
beval st b1 = true ->
c1 / st || st' ->
(WHILE b1 DO c1 END) / st' || st'' ->
(WHILE b1 DO c1 END) / st || st''
| E_Havoc : forall (st : state) (X : id) (n : nat),
(HAVOC X) / st || update st X n
where "c1 '/' st '||' st'" := (ceval c1 st st').
Tactic Notation "ceval_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "E_Skip" | Case_aux c "E_Ass" | Case_aux c "E_Seq"
| Case_aux c "E_IfTrue" | Case_aux c "E_IfFalse"
| Case_aux c "E_WhileEnd" | Case_aux c "E_WhileLoop"
| Case_aux c "E_Havoc" ].
(** The definition of Hoare triples is exactly as before. Unlike our
notion of program equivalence, which had subtle consequences with
occassionally nonterminating commands (exercise [havoc_diverge]),
this definition is still fully satisfactory. Convince yourself of
this before proceeding. *)
Definition hoare_triple (P:Assertion) (c:com) (Q:Assertion) : Prop :=
forall st st', c / st || st' -> P st -> Q st'.
Notation "{{ P }} c {{ Q }}" := (hoare_triple P c Q)
(at level 90, c at next level)
: hoare_spec_scope.
(** Complete the Hoare rule for [HAVOC] commands below by defining
[havoc_pre] and prove that the resulting rule is correct. *)
Definition havoc_pre (X : id) (Q : Assertion) : Assertion :=
fun st => forall n, Q [ X |-> (ANum n) ] st.
Theorem hoare_havoc : forall (Q : Assertion) (X : id),
{{ havoc_pre X Q }} HAVOC X {{ Q }}.
Proof.
intros Q X st st' Heval Hhav. unfold havoc_pre, assn_sub in Hhav. simpl in Hhav.
inversion Heval. subst. apply Hhav.
Qed.
End Himp.
(** [] *)
(* ####################################################### *)
(** ** Review *)
(** Above, we've introduced Hoare Logic as a tool to reasoning
about Imp programs. In the reminder of this chapter we will
explore a systematic way to use Hoare Logic to prove properties
about programs. The rules of Hoare Logic are the following: *)
(**
------------------------------ (hoare_asgn)
{{Q [X |-> a]}} X::=a {{Q}}
-------------------- (hoare_skip)
{{ P }} SKIP {{ P }}
{{ P }} c1 {{ Q }}
{{ Q }} c2 {{ R }}
--------------------- (hoare_seq)
{{ P }} c1;;c2 {{ R }}
{{P /\ b}} c1 {{Q}}
{{P /\ ~b}} c2 {{Q}}
------------------------------------ (hoare_if)
{{P}} IFB b THEN c1 ELSE c2 FI {{Q}}
{{P /\ b}} c {{P}}
----------------------------------- (hoare_while)
{{P}} WHILE b DO c END {{P /\ ~b}}
{{P'}} c {{Q'}}
P ->> P'
Q' ->> Q
----------------------------- (hoare_consequence)
{{P}} c {{Q}}
In the next chapter, we'll see how these rules are used to prove
that programs satisfy specifications of their behavior.
*)
(* $Date: 2013-07-18 09:59:22 -0400 (Thu, 18 Jul 2013) $ *)
|
`timescale 1ns/1ps
/***************************************************************************
Name:
Date: 7/11/2016
Founction: pwm capture deal
Note:
****************************************************************************/
module pwm_capture(
pwm_input,clk,rst_n,enable,tx_start,tx_data,tx_complete,capture_tx_rst,bps_start_t
);
input pwm_input;
input clk;
input rst_n;
input enable;
input tx_complete;
input capture_tx_rst;
input bps_start_t;
output tx_start;
output[7:0] tx_data;
reg ready;
reg[31:0] counter;
reg[31:0] pos_counter;
reg[31:0] neg_counter;
reg[31:0] nextpos_counter;
reg[31:0] periodcounter;
reg[31:0] dutycyclecounter;
reg pos_counter_flag;
reg neg_counter_flag;
reg nextpos_counter_flag;
wire pos_btn;
wire neg_btn;
wire tx_end;
/*******************************************************************************
*counter
*********************************************************************************/
always @(posedge clk or negedge rst_n)begin
if(!rst_n) begin
counter <= 'h0;
end
else if(enable) begin
counter <= (counter < 32'hFFFFFFFF) ? (counter + 1'b1) : 'h0 ;
end
end
/*******************************************************************************
*Instance
*********************************************************************************/
neg_capture neg_capture_instance(
.pwm_input(pwm_input),
.clk(clk),
.rst_n(rst_n),
.enable(enable),
.neg_btn(neg_btn)
);
pos_capture pos_capture_instance(
.pwm_input(pwm_input),
.clk(clk),
.rst_n(rst_n),
.enable(enable),
.pos_btn(pos_btn)
);
captuer_tx captuer_tx_instance(
.clk(clk),
.rst_n(rst_n),
.tx_start(tx_start),
.capture_ready(ready),
.periodcounter(periodcounter),
.dutycyclecounter(dutycyclecounter),
.tx_data(tx_data),
.tx_complete(tx_complete),
.capture_tx_rst(capture_tx_rst),
.tx_end(tx_end),
.bps_start_t(bps_start_t)
);
/*******************************************************************************
*Capture pos counter value
*********************************************************************************/
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
pos_counter <= 'h0;
pos_counter_flag <= 'h0;
end
else if(pos_btn && (pos_counter_flag != 1'b1))begin
pos_counter <= counter;
pos_counter_flag <= 1'b1;
end
end
/*******************************************************************************
*Capture neg counter value
*********************************************************************************/
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
neg_counter <= 'h0;
neg_counter_flag <= 'h0;
end
else if(neg_btn && pos_counter_flag && (neg_counter_flag != 1'b1))begin
neg_counter <= counter;
neg_counter_flag <= 1'b1;
end
end
/*******************************************************************************
*Capture next pos counter value
*********************************************************************************/
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
nextpos_counter <= 'h0;
nextpos_counter_flag <= 'h0;
end
else if(pos_btn && pos_counter_flag && neg_counter_flag && (nextpos_counter_flag != 1'b1))begin
nextpos_counter <= counter;
nextpos_counter_flag <= 1'b1;
end
end
/*******************************************************************************
*Calculate the dutycycle
*********************************************************************************/
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
dutycyclecounter <= 'h0;
end
else if(neg_counter_flag && pos_counter_flag)begin
dutycyclecounter <= neg_counter - pos_counter;
end
end
/*******************************************************************************
*Calculate the period
*********************************************************************************/
always @(posedge clk or negedge rst_n)begin
if(!rst_n)begin
periodcounter <= 'h0;
ready <= 'h0;
end
else if(neg_counter_flag && pos_counter_flag && nextpos_counter_flag)begin
periodcounter <= nextpos_counter - pos_counter;
ready <=(tx_end) ? 'h0:'h1;
end
end
endmodule |
//altiobuf_out CBX_AUTO_BLACKBOX="ALL" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="Cyclone V" ENABLE_BUS_HOLD="FALSE" NUMBER_OF_CHANNELS=1 OPEN_DRAIN_OUTPUT="FALSE" PSEUDO_DIFFERENTIAL_MODE="TRUE" USE_DIFFERENTIAL_MODE="TRUE" USE_OE="FALSE" USE_OUT_DYNAMIC_DELAY_CHAIN1="FALSE" USE_OUT_DYNAMIC_DELAY_CHAIN2="FALSE" USE_TERMINATION_CONTROL="FALSE" datain dataout dataout_b
//VERSION_BEGIN 14.1 cbx_altiobuf_out 2015:01:07:18:05:53:SJ cbx_mgl 2015:01:07:18:10:28:SJ cbx_stratixiii 2015:01:07:18:05:54:SJ cbx_stratixv 2015:01:07:18:05:54:SJ VERSION_END
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
// altera message_off 10463
// Copyright (C) 1991-2015 Altera Corporation. All rights reserved.
// Your use of Altera Corporation's design tools, logic functions
// and other software and tools, and its AMPP partner logic
// functions, and any output files from any of the foregoing
// (including device programming or simulation files), and any
// associated documentation or information are expressly subject
// to the terms and conditions of the Altera Program License
// Subscription Agreement, the Altera Quartus II License Agreement,
// the Altera MegaCore Function License Agreement, or other
// applicable license agreement, including, without limitation,
// that your use is for the sole purpose of programming logic
// devices manufactured by Altera and sold by Altera or its
// authorized distributors. Please refer to the applicable
// agreement for further details.
//synthesis_resources = cyclonev_io_obuf 2 cyclonev_pseudo_diff_out 1
//synopsys translate_off
`timescale 1 ps / 1 ps
//synopsys translate_on
module hps_sdram_p0_clock_pair_generator
(
datain,
dataout,
dataout_b) /* synthesis synthesis_clearbox=1 */;
input [0:0] datain;
output [0:0] dataout;
output [0:0] dataout_b;
wire [0:0] wire_obuf_ba_o;
wire [0:0] wire_obuf_ba_oe;
wire [0:0] wire_obufa_o;
wire [0:0] wire_obufa_oe;
wire [0:0] wire_pseudo_diffa_o;
wire [0:0] wire_pseudo_diffa_obar;
wire [0:0] wire_pseudo_diffa_oebout;
wire [0:0] wire_pseudo_diffa_oein;
wire [0:0] wire_pseudo_diffa_oeout;
wire [0:0] oe_w;
cyclonev_io_obuf obuf_ba_0
(
.i(wire_pseudo_diffa_obar),
.o(wire_obuf_ba_o[0:0]),
.obar(),
.oe(wire_obuf_ba_oe[0:0])
`ifndef FORMAL_VERIFICATION
// synopsys translate_off
`endif
,
.dynamicterminationcontrol(1'b0),
.parallelterminationcontrol({16{1'b0}}),
.seriesterminationcontrol({16{1'b0}})
`ifndef FORMAL_VERIFICATION
// synopsys translate_on
`endif
// synopsys translate_off
,
.devoe(1'b1)
// synopsys translate_on
);
defparam
obuf_ba_0.bus_hold = "false",
obuf_ba_0.open_drain_output = "false",
obuf_ba_0.lpm_type = "cyclonev_io_obuf";
assign
wire_obuf_ba_oe = {(~ wire_pseudo_diffa_oebout[0])};
cyclonev_io_obuf obufa_0
(
.i(wire_pseudo_diffa_o),
.o(wire_obufa_o[0:0]),
.obar(),
.oe(wire_obufa_oe[0:0])
`ifndef FORMAL_VERIFICATION
// synopsys translate_off
`endif
,
.dynamicterminationcontrol(1'b0),
.parallelterminationcontrol({16{1'b0}}),
.seriesterminationcontrol({16{1'b0}})
`ifndef FORMAL_VERIFICATION
// synopsys translate_on
`endif
// synopsys translate_off
,
.devoe(1'b1)
// synopsys translate_on
);
defparam
obufa_0.bus_hold = "false",
obufa_0.open_drain_output = "false",
obufa_0.lpm_type = "cyclonev_io_obuf";
assign
wire_obufa_oe = {(~ wire_pseudo_diffa_oeout[0])};
cyclonev_pseudo_diff_out pseudo_diffa_0
(
.dtc(),
.dtcbar(),
.i(datain),
.o(wire_pseudo_diffa_o[0:0]),
.obar(wire_pseudo_diffa_obar[0:0]),
.oebout(wire_pseudo_diffa_oebout[0:0]),
.oein(wire_pseudo_diffa_oein[0:0]),
.oeout(wire_pseudo_diffa_oeout[0:0])
`ifndef FORMAL_VERIFICATION
// synopsys translate_off
`endif
,
.dtcin(1'b0)
`ifndef FORMAL_VERIFICATION
// synopsys translate_on
`endif
);
assign
wire_pseudo_diffa_oein = {(~ oe_w[0])};
assign
dataout = wire_obufa_o,
dataout_b = wire_obuf_ba_o,
oe_w = 1'b1;
endmodule //hps_sdram_p0_clock_pair_generator
//VALID FILE
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HDLL__TAPVPWRVGND_PP_BLACKBOX_V
`define SKY130_FD_SC_HDLL__TAPVPWRVGND_PP_BLACKBOX_V
/**
* tapvpwrvgnd: Substrate and well tap cell.
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hdll__tapvpwrvgnd (
VPWR,
VGND,
VPB ,
VNB
);
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HDLL__TAPVPWRVGND_PP_BLACKBOX_V
|
/*******************************************************************************
* Module: select_clk_buf
* Date:2015-11-07
* Author: andrey
* Description: Select one of the clock buffers primitives by parameter
*
* Copyright (c) 2015 Elphel, Inc .
* select_clk_buf.v is free software; you can redistribute it and/or modify
* it under the terms of the GNU General Public License as published by
* the Free Software Foundation, either version 3 of the License, or
* (at your option) any later version.
*
* select_clk_buf.v is distributed in the hope that it will be useful,
* but WITHOUT ANY WARRANTY; without even the implied warranty of
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
* GNU General Public License for more details.
*
* You should have received a copy of the GNU General Public License
* along with this program. If not, see <http://www.gnu.org/licenses/> .
*
* Additional permission under GNU GPL version 3 section 7:
* If you modify this Program, or any covered work, by linking or combining it
* with independent modules provided by the FPGA vendor only (this permission
* does not extend to any 3-rd party modules, "soft cores" or macros) under
* different license terms solely for the purpose of generating binary "bitstream"
* files and/or simulating the code, the copyright holders of this Program give
* you the right to distribute the covered work without those independent modules
* as long as the source code for them is available from the FPGA vendor free of
* charge, and there is no dependence on any encrypted modules for simulating of
* the combined code. This permission applies to you if the distributed code
* contains all the components and scripts required to completely simulate it
* with at least one of the Free Software programs.
*******************************************************************************/
`timescale 1ns/1ps
module select_clk_buf #(
parameter BUFFER_TYPE = "BUFR" // to use clr
)(
output o,
input i,
input clr // for BUFR_only
);
generate
if (BUFFER_TYPE == "BUFG") BUFG clk1x_i (.O(o), .I(i));
else if (BUFFER_TYPE == "BUFH") BUFH clk1x_i (.O(o), .I(i));
else if (BUFFER_TYPE == "BUFR") BUFR clk1x_i (.O(o), .I(i), .CE(1'b1), .CLR(clr));
else if (BUFFER_TYPE == "BUFMR") BUFMR clk1x_i (.O(o), .I(i));
else if (BUFFER_TYPE == "BUFIO") BUFIO clk1x_i (.O(o), .I(i));
else assign o = i;
endgenerate
endmodule
|
Require Export BisimRefinement.TraceMonad.
Require Export BisimRefinement.BisimPerm.
Require Export Coq.Arith.PeanoNat.
Require Export Coq.Lists.List.
(***
*** Machine Values
***)
(* Values in our simple machine are just nats *)
Inductive SMValue := | mkSMValue (n:nat).
(* The "undefined" value *)
(* Definition undefValue := mkSMValue 0. *)
(* Values are related by the built-in Coq intensional equality *)
Instance LR_SMValue : LR SMValue := LR_eq.
(* Test equality of values *)
Definition val_eqb (v1 v2 : SMValue) : bool :=
match v1, v2 with
mkSMValue n1, mkSMValue n2 => Nat.eqb n1 n2
end.
(* Test if a value is "true", represented here as not equal to 0 *)
Definition val_true (v: SMValue) : bool :=
match v with
mkSMValue n => negb (Nat.eqb n 0)
end.
(* The lens for viewing a value as a nat *)
Program Definition SMValue_nat_lens : Lens SMValue nat :=
{| getL v := match v with mkSMValue n => n end;
putL n v := mkSMValue n; |}.
Next Obligation.
intros [ n1 ] [ n2 ] eq_v. destruct eq_v. inversion H. reflexivity.
Defined.
Next Obligation.
intros n1 n2 [ eq_n eq_n' ] v1 v2 eq_v. rewrite eq_n. reflexivity.
Defined.
Next Obligation.
destruct a. reflexivity.
Defined.
Next Obligation.
reflexivity.
Defined.
Next Obligation.
reflexivity.
Defined.
(* A tuple of 0 or more values *)
Definition SMValues n := NTuple SMValue n.
Instance LR_SMValues n : LR (SMValues n) := Build_LR _ _ _.
(***
*** Register Sets
***)
(* A set of SSA register values *)
Definition SMRegs := list SMValue.
Instance LR_SMRegs : LR SMRegs := LR_eq.
(* Read a register value *)
Definition readReg (regs:SMRegs) n : option SMValue := nth_error regs n.
(* Push a new register value *)
Definition pushReg (regs:SMRegs) val : SMRegs := val :: regs.
(* Push a list of new register values *)
Fixpoint pushRegs (regs:SMRegs) n : SMValues n -> SMRegs :=
match n return SMValues n -> SMRegs with
| 0 => fun _ => regs
| S n' => fun vals => pushRegs (pushReg regs (fst vals)) n' (snd vals)
end.
(***
*** Data Memories
***)
(* A data memory = a map from "pointers" (represented by nats) to values (which
are also nats) *)
Inductive DataMem := mkDataMem (vmap: nat -> SMValue).
(* Data memories are related by extensional functional equivalence *)
Instance LR_DataMem : LR DataMem :=
{ lr_leq := fun dmem1 dmem2 =>
match dmem1, dmem2 with
| mkDataMem vmap1, mkDataMem vmap2 => vmap1 <lr= vmap2
end;
}.
Proof.
constructor.
- intros [ vmap ]; reflexivity.
- intros [ vmap1 ] [ vmap2 ] [ vmap3 ] R12 R23; etransitivity; eassumption.
Defined.
(* Read a pointer value *)
Definition readPtr (mem:DataMem) (ptr:SMValue) : SMValue :=
match mem, ptr with
| mkDataMem vmap, mkSMValue n => vmap n
end.
Instance Proper_readPtr : Proper (lr_leq ==> lr_leq ==> lr_leq) readPtr.
Proof.
intros [ vmap1 ] [ vmap2 ] R_vmap [ n1 ] [ n2 ] Rn. simpl in Rn.
rewrite Rn. apply R_vmap.
Qed.
Instance Proper_readPtr_equiv : Proper (lr_equiv ==> lr_equiv ==> lr_equiv) readPtr.
Proof.
intros mem1 mem2 [ Rmem Rmem' ] ptr1 ptr2 [ Rptr Rptr' ].
split; apply Proper_readPtr; assumption.
Qed.
(* Write a pointer value *)
Definition writePtr (mem:DataMem) ptr val : DataMem :=
match mem, ptr with
| mkDataMem vmap, mkSMValue n =>
mkDataMem (fun n' => if Nat.eqb n n' then val else vmap n')
end.
Instance Proper_writePtr :
Proper (lr_leq ==> lr_leq ==> lr_leq ==> lr_leq) writePtr.
Proof.
intros [ vmap1 ] [ vmap2 ] R_vmap [ ptr1 ] [ ptr2 ] Rptr
[ v1 ] [ v2 ] Rv. simpl in Rptr, Rv. rewrite Rptr. rewrite Rv.
intro n. destruct (Nat.eqb ptr2 n); try reflexivity; apply R_vmap.
Qed.
Instance Proper_writePtr_equiv :
Proper (lr_equiv ==> lr_equiv ==> lr_equiv ==> lr_equiv) writePtr.
Proof.
intros mem1 mem2 [ Rmem Rmem' ] ptr1 ptr2 [ Rptr Rptr' ] v1 v2 [ Rv Rv' ].
split; apply Proper_writePtr; assumption.
Qed.
(* readPtr and writePtr form a lens *)
Program Definition ptr_dmem_lens (ptr: SMValue) : Lens DataMem SMValue :=
{| getL mem := readPtr mem ptr;
putL val mem := writePtr mem ptr val; |}.
Next Obligation.
intros mem1 mem2 eq_mem. rewrite eq_mem. reflexivity.
Defined.
Next Obligation.
intros v1 v2 eq_v mem1 mem2 eq_mem. rewrite eq_mem. rewrite eq_v. reflexivity.
Defined.
Next Obligation.
destruct a as [ vmap ]. destruct ptr as [ ptr ].
split; intro n; case_eq (Nat.eqb ptr n); intro; try reflexivity.
- rewrite Nat.eqb_eq in H. rewrite H. reflexivity.
- rewrite Nat.eqb_eq in H. rewrite H. reflexivity.
Defined.
Next Obligation.
destruct a as [ vmap ]. destruct ptr as [ ptr ]. destruct b as [ v ].
simpl. rewrite (proj2 (Nat.eqb_eq _ _) eq_refl). reflexivity.
Defined.
Next Obligation.
destruct a as [ vmap ]. destruct ptr as [ ptr ].
destruct b1 as [ v1 ]. destruct b2 as [ v2 ].
split; intro n; case_eq (Nat.eqb ptr n); intro; reflexivity.
Defined.
(***
*** Machine Expressions
***)
(* A "machine expression" is any value you can build from the registers,
possibly with an error *)
Definition SMExpr := SMRegs -> option SMValue.
Instance LR_SMExpr : LR SMExpr := Build_LR _ _ _.
(* The expression that returns an undefined value *)
(* Definition undefSMExpr : SMExpr := fun _ => undefValue. *)
(* A tuple of expressions with a fixed size *)
Definition SMExprs (n:nat) := NTuple SMExpr n.
Instance LR_SMExprs n : LR (SMExprs n) := Build_LR _ _ _.
(***
*** Machine Instructions
***)
Inductive SMInstr : nat -> Type :=
(* Assign values to the next registers *)
| SMAssign n (exprs: SMExprs n) : SMInstr n
(* Read a value from memory into the next register *)
| SMRead (ptr: SMExpr) : SMInstr 1
(* Write a value to memory *)
| SMWrite (ptr val: SMExpr) : SMInstr 0
(* Call a function pointer; calls always have 1 return value *)
| SMCall (fptr: SMExpr) : SMInstr 1
(* If-then-else, where the SSA phi node with N variables is represented as
having the two bodies return N values *)
| SMIf n (expr: SMExpr) (body1 body2: SMBlock n) : SMInstr n
(* While loop, where the SSA phi node with N variables is represented as having
the body return N values and having N default return values for the case that no
iterations happen *)
| SMWhile n (expr: SMExpr) (init:SMExprs n) (body:SMBlock n) : SMInstr n
with
SMBlock : nat -> Type :=
(* Return n values as the result of the block *)
| SMReturn n (exprs: SMExprs n) : SMBlock n
(* Run an instruction with n return values, push them into the next registers,
and then execute the remainder of the block in that extended context *)
| SMLet n (inst: SMInstr n) m (rest: SMBlock m) : SMBlock m
.
(* FIXME: using Coq intensional equality here adds a dependency on functional
extensionality to express equality of SMExprs, which is not really
necessary. Instead, these relations should be defined inductively on
instructions on blocks, using LR_SMExprs where needed. *)
Instance LR_SMInstr n : LR (SMInstr n) := LR_eq.
Instance LR_SMBlock n : LR (SMBlock n) := LR_eq.
(***
*** Instruction Memories
***)
(* An instruction memory = a partial map from "pointers" (represented by nats)
to function bodies with 1 return value *)
Inductive InstMem : Type := mkInstMem (imap:nat -> option (SMBlock 1)).
(* Instruction memories are related by the built-in Coq intensional equality *)
(* FIXME HERE: this should be an extensional equality *)
Instance LR_InstMem : LR InstMem := LR_eq.
(* Read a function pointer to get a function body, defaulting to "return undef"
if the function pointer does not have a binding *)
Definition readFunPtr (mem:InstMem) (fptr:SMValue) : option (SMBlock 1) :=
match mem, fptr with
| mkInstMem imap, mkSMValue n => imap n
end.
(***
*** Machine States
***)
(* A machine state = registers and two types of memory *)
Definition SMState : Type := (SMRegs * (DataMem * InstMem)).
Instance LR_SMState : LR SMState := LR_product.
(* Lenses for the top-level components of SMState *)
Definition regs_lens : Lens SMState SMRegs := fst_lens _ _.
Definition dmem_lens : Lens SMState DataMem :=
compose_lens (snd_lens _ _) (fst_lens _ _).
Definition imem_lens : Lens SMState InstMem :=
compose_lens (snd_lens _ _) (snd_lens _ _).
(* The lens for a pointer into the DataMem of an SMState *)
Definition ptr_lens (ptr: SMValue) : Lens SMState SMValue :=
compose_lens dmem_lens (ptr_dmem_lens ptr).
(***
*** Machine Semantics
***)
(* The monad for executing simple machines *)
Definition SMMonad A `{LR A} := TraceM SMState A.
(* Evaluate an expression in a monad *)
Definition evalExpr (expr: SMExpr) : SMMonad SMValue :=
bindM getM (fun smst =>
match expr (getL regs_lens smst) with
| Some v => returnM v
| None => errorM
end).
(* Evaluate a tuple of expressions in a monad *)
Fixpoint evalExprs {n} : SMExprs n -> SMMonad (SMValues n) :=
match n return SMExprs n -> SMMonad (SMValues n) with
| 0 => fun _ => returnM tt
| S n' =>
fun exprs =>
bindM (evalExpr (fst exprs))
(fun v =>
bindM (evalExprs (snd exprs))
(fun vs => returnM (v, vs)))
end.
(* Evaluate a machine instruction in a monad, assuming a recursive helper
function to "tie the knot" when evaluating function pointers *)
Fixpoint evalInstr' (recEval: SMBlock 1 -> SMMonad (SMValues 1))
{n} (inst: SMInstr n) : SMMonad (SMValues n) :=
match inst with
| SMAssign n exprs =>
evalExprs exprs
| SMRead ptr_expr =>
bindM (evalExpr ptr_expr)
(fun ptr =>
bindM getM (fun smst =>
returnM (getL (ptr_lens ptr) smst, tt)))
| SMWrite ptr_expr val_expr =>
bindM (evalExpr ptr_expr)
(fun ptr =>
bindM (evalExpr val_expr)
(fun val =>
bindM getM
(fun smst =>
putM (putL (ptr_lens ptr) val smst))))
| SMCall fptr_expr =>
bindM (evalExpr fptr_expr)
(fun fptr =>
bindM getM
(fun smst =>
match readFunPtr (getL imem_lens smst) fptr with
| Some block => recEval block
| None => errorM
end))
| SMIf n expr body1 body2 =>
bindM (evalExpr expr)
(fun v =>
if val_true v then
evalBlock' recEval body1
else
evalBlock' recEval body2)
| SMWhile n expr init_exprs body =>
bindM (evalExprs init_exprs)
(fun init_vs =>
fixM (fun f prev_vs =>
bindM (evalExpr expr)
(fun v =>
if val_true v then
bindM (evalBlock' recEval body)
(fun new_vs =>
f new_vs)
else
returnM prev_vs))
init_vs)
end
with
evalBlock' (recEval: SMBlock 1 -> SMMonad (SMValues 1))
{n} (block: SMBlock n) : SMMonad (SMValues n) :=
match block with
| SMReturn n exprs =>
evalExprs exprs
| SMLet n inst m rest =>
bindM (evalInstr' recEval inst)
(fun vs =>
bindM getM
(fun smst =>
bindM (putM (modifyL regs_lens
(fun regs => pushRegs regs _ vs)
smst))
(fun _ => evalBlock' recEval rest)))
end.
(* Tie the knot for evalBlock on a function body (with 1 return value) *)
Definition evalFunBody : SMBlock 1 -> SMMonad (SMValues 1) :=
fixM (fun recEval body => evalBlock' recEval body).
(* Tie the knot for evalInstr *)
Definition evalInstr {n} (inst: SMInstr n) : SMMonad (SMValues n) :=
evalInstr' evalFunBody inst.
(* Tie the knot for evalBlock *)
Definition evalBlock {n} (block: SMBlock n) : SMMonad (SMValues n) :=
evalBlock' evalFunBody block.
(***
*** Simple Machine Permissions
***)
(* A state permission is a permission to read the state as some type A *)
Definition StPerm A `{LR A} : Type := BPerm SMState A.
(* A value permission is a permission to read a value-in-state as some type A *)
Definition ValPerm A `{LR A} : Type := BPerm (SMValue * SMState) A.
(* A permission for 0 or more values *)
Definition ValsPerm n A `{LR A} : Type := BPerm (SMValues n * SMState) A.
(* A permission to view a value as a natural number *)
Definition nat_perm : ValPerm nat :=
lens_bperm (compose_lens (fst_lens _ _) SMValue_nat_lens).
(* A permission to view a value as a pointer to a (non-cyclic) linked list *)
(* FIXME HERE: define this! *)
Definition linked_list_perm A `{LR A} (bperm: ValPerm A) : ValPerm (list A).
admit.
Admitted.
(***
*** Bisimulation Abstraction
***)
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__SRDLXTP_SYMBOL_V
`define SKY130_FD_SC_LP__SRDLXTP_SYMBOL_V
/**
* srdlxtp: ????.
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_lp__srdlxtp (
//# {{data|Data Signals}}
input D ,
output Q ,
//# {{clocks|Clocking}}
input GATE ,
//# {{power|Power}}
input SLEEP_B
);
// Voltage supply signals
supply1 KAPWR;
supply1 VPWR ;
supply0 VGND ;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__SRDLXTP_SYMBOL_V
|
module butterfly3_32(
enable,
i_0,
i_1,
i_2,
i_3,
i_4,
i_5,
i_6,
i_7,
i_8,
i_9,
i_10,
i_11,
i_12,
i_13,
i_14,
i_15,
i_16,
i_17,
i_18,
i_19,
i_20,
i_21,
i_22,
i_23,
i_24,
i_25,
i_26,
i_27,
i_28,
i_29,
i_30,
i_31,
o_0,
o_1,
o_2,
o_3,
o_4,
o_5,
o_6,
o_7,
o_8,
o_9,
o_10,
o_11,
o_12,
o_13,
o_14,
o_15,
o_16,
o_17,
o_18,
o_19,
o_20,
o_21,
o_22,
o_23,
o_24,
o_25,
o_26,
o_27,
o_28,
o_29,
o_30,
o_31
);
// ****************************************************************
//
// INPUT / OUTPUT DECLARATION
//
// ****************************************************************
input enable;
input signed [27:0] i_0;
input signed [27:0] i_1;
input signed [27:0] i_2;
input signed [27:0] i_3;
input signed [27:0] i_4;
input signed [27:0] i_5;
input signed [27:0] i_6;
input signed [27:0] i_7;
input signed [27:0] i_8;
input signed [27:0] i_9;
input signed [27:0] i_10;
input signed [27:0] i_11;
input signed [27:0] i_12;
input signed [27:0] i_13;
input signed [27:0] i_14;
input signed [27:0] i_15;
input signed [27:0] i_16;
input signed [27:0] i_17;
input signed [27:0] i_18;
input signed [27:0] i_19;
input signed [27:0] i_20;
input signed [27:0] i_21;
input signed [27:0] i_22;
input signed [27:0] i_23;
input signed [27:0] i_24;
input signed [27:0] i_25;
input signed [27:0] i_26;
input signed [27:0] i_27;
input signed [27:0] i_28;
input signed [27:0] i_29;
input signed [27:0] i_30;
input signed [27:0] i_31;
output signed [27:0] o_0;
output signed [27:0] o_1;
output signed [27:0] o_2;
output signed [27:0] o_3;
output signed [27:0] o_4;
output signed [27:0] o_5;
output signed [27:0] o_6;
output signed [27:0] o_7;
output signed [27:0] o_8;
output signed [27:0] o_9;
output signed [27:0] o_10;
output signed [27:0] o_11;
output signed [27:0] o_12;
output signed [27:0] o_13;
output signed [27:0] o_14;
output signed [27:0] o_15;
output signed [27:0] o_16;
output signed [27:0] o_17;
output signed [27:0] o_18;
output signed [27:0] o_19;
output signed [27:0] o_20;
output signed [27:0] o_21;
output signed [27:0] o_22;
output signed [27:0] o_23;
output signed [27:0] o_24;
output signed [27:0] o_25;
output signed [27:0] o_26;
output signed [27:0] o_27;
output signed [27:0] o_28;
output signed [27:0] o_29;
output signed [27:0] o_30;
output signed [27:0] o_31;
// ****************************************************************
//
// WIRE DECLARATION
//
// ****************************************************************
wire signed [27:0] b_0;
wire signed [27:0] b_1;
wire signed [27:0] b_2;
wire signed [27:0] b_3;
wire signed [27:0] b_4;
wire signed [27:0] b_5;
wire signed [27:0] b_6;
wire signed [27:0] b_7;
wire signed [27:0] b_8;
wire signed [27:0] b_9;
wire signed [27:0] b_10;
wire signed [27:0] b_11;
wire signed [27:0] b_12;
wire signed [27:0] b_13;
wire signed [27:0] b_14;
wire signed [27:0] b_15;
wire signed [27:0] b_16;
wire signed [27:0] b_17;
wire signed [27:0] b_18;
wire signed [27:0] b_19;
wire signed [27:0] b_20;
wire signed [27:0] b_21;
wire signed [27:0] b_22;
wire signed [27:0] b_23;
wire signed [27:0] b_24;
wire signed [27:0] b_25;
wire signed [27:0] b_26;
wire signed [27:0] b_27;
wire signed [27:0] b_28;
wire signed [27:0] b_29;
wire signed [27:0] b_30;
wire signed [27:0] b_31;
// ********************************************
//
// Combinational Logic
//
// ********************************************
assign b_0=i_0+i_31;
assign b_1=i_1+i_30;
assign b_2=i_2+i_29;
assign b_3=i_3+i_28;
assign b_4=i_4+i_27;
assign b_5=i_5+i_26;
assign b_6=i_6+i_25;
assign b_7=i_7+i_24;
assign b_8=i_8+i_23;
assign b_9=i_9+i_22;
assign b_10=i_10+i_21;
assign b_11=i_11+i_20;
assign b_12=i_12+i_19;
assign b_13=i_13+i_18;
assign b_14=i_14+i_17;
assign b_15=i_15+i_16;
assign b_16=i_15-i_16;
assign b_17=i_14-i_17;
assign b_18=i_13-i_18;
assign b_19=i_12-i_19;
assign b_20=i_11-i_20;
assign b_21=i_10-i_21;
assign b_22=i_9-i_22;
assign b_23=i_8-i_23;
assign b_24=i_7-i_24;
assign b_25=i_6-i_25;
assign b_26=i_5-i_26;
assign b_27=i_4-i_27;
assign b_28=i_3-i_28;
assign b_29=i_2-i_29;
assign b_30=i_1-i_30;
assign b_31=i_0-i_31;
assign o_0=enable?b_0:i_0;
assign o_1=enable?b_1:i_1;
assign o_2=enable?b_2:i_2;
assign o_3=enable?b_3:i_3;
assign o_4=enable?b_4:i_4;
assign o_5=enable?b_5:i_5;
assign o_6=enable?b_6:i_6;
assign o_7=enable?b_7:i_7;
assign o_8=enable?b_8:i_8;
assign o_9=enable?b_9:i_9;
assign o_10=enable?b_10:i_10;
assign o_11=enable?b_11:i_11;
assign o_12=enable?b_12:i_12;
assign o_13=enable?b_13:i_13;
assign o_14=enable?b_14:i_14;
assign o_15=enable?b_15:i_15;
assign o_16=enable?b_16:i_16;
assign o_17=enable?b_17:i_17;
assign o_18=enable?b_18:i_18;
assign o_19=enable?b_19:i_19;
assign o_20=enable?b_20:i_20;
assign o_21=enable?b_21:i_21;
assign o_22=enable?b_22:i_22;
assign o_23=enable?b_23:i_23;
assign o_24=enable?b_24:i_24;
assign o_25=enable?b_25:i_25;
assign o_26=enable?b_26:i_26;
assign o_27=enable?b_27:i_27;
assign o_28=enable?b_28:i_28;
assign o_29=enable?b_29:i_29;
assign o_30=enable?b_30:i_30;
assign o_31=enable?b_31:i_31;
endmodule |
`include "../rtl/adder_tree.v"
`default_nettype none
`timescale 1ms/1us
module tb_adder_tree;
parameter WORD_SIZE = 8;
parameter BANK_SIZE = 4;
reg clk;
reg rst_n;
reg [WORD_SIZE*BANK_SIZE-1:0] in;
wire [(WORD_SIZE+1)*(BANK_SIZE/2)-1:0] out;
adder_tree
#(
.WORD_SIZE(WORD_SIZE),
.BANK_SIZE(BANK_SIZE)
) _adder_tree
(
.clk ( clk ) ,
.rst_n ( rst_n ) ,
.in ( in ) ,
.out ( out )
);
parameter CLK_PERIOD = 10.0;
always #(CLK_PERIOD/2) clk = ~clk;
initial begin
$dumpfile("tb_adder_tree.vcd");
$dumpvars(0, tb_adder_tree);
#1 rst_n<=1'bx;clk<=1'bx;in<=32'hxxxx_xxxx;
#(CLK_PERIOD) rst_n<=1;
#(CLK_PERIOD*3) rst_n<=0;clk<=0;in<=0;
repeat(5) @(posedge clk);
rst_n<=1;
@(posedge clk);
in<={8'd1,8'd2,8'd3,8'd4};
repeat(2) @(posedge clk);
if(out !== {9'd3,9'd7})
$display("result == ", 9'd3, ", ", 9'd7, " expected but ", out[17:9], ", ", out[8:0]);
in<={8'd15,8'd255,8'd255,8'd255};
repeat(2) @(posedge clk);
if(out !== {9'd270,9'd510})
$display("result == ", 9'd270, ", ", 9'd510, " expected but ", out[17:9], ", ", out[8:0]);
repeat(5) @(posedge clk);
$finish(2);
end
endmodule
`default_nettype wire
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__O21A_FUNCTIONAL_PP_V
`define SKY130_FD_SC_HD__O21A_FUNCTIONAL_PP_V
/**
* o21a: 2-input OR into first input of 2-input AND.
*
* X = ((A1 | A2) & B1)
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_pwrgood_pp_pg/sky130_fd_sc_hd__udp_pwrgood_pp_pg.v"
`celldefine
module sky130_fd_sc_hd__o21a (
X ,
A1 ,
A2 ,
B1 ,
VPWR,
VGND,
VPB ,
VNB
);
// Module ports
output X ;
input A1 ;
input A2 ;
input B1 ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
// Local signals
wire or0_out ;
wire and0_out_X ;
wire pwrgood_pp0_out_X;
// Name Output Other arguments
or or0 (or0_out , A2, A1 );
and and0 (and0_out_X , or0_out, B1 );
sky130_fd_sc_hd__udp_pwrgood_pp$PG pwrgood_pp0 (pwrgood_pp0_out_X, and0_out_X, VPWR, VGND);
buf buf0 (X , pwrgood_pp0_out_X );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HD__O21A_FUNCTIONAL_PP_V |
/**
* ------------------------------------------------------------
* Copyright (c) All rights reserved
* SiLab, Institute of Physics, University of Bonn
* ------------------------------------------------------------
*/
`timescale 1ps/1ps
`default_nettype none
module ddr_des
#(
parameter CLKDV = 4
)(
input wire CLK2X,
input wire CLK,
input wire WCLK,
input wire IN,
output reg [CLKDV*4-1:0] OUT,
output wire [1:0] OUT_FAST
);
wire [1:0] DDRQ;
IDDR IDDR_inst (
.Q1(DDRQ[1]), // 1-bit output for positive edge of clock
.Q2(DDRQ[0]), // 1-bit output for negative edge of clock
.C(CLK2X), // 1-bit clock input
.CE(1'b1), // 1-bit clock enable input
.D(IN), // 1-bit DDR data input
.R(1'b0), // 1-bit reset
.S(1'b0) // 1-bit set
);
assign OUT_FAST = DDRQ;
reg [1:0] DDRQ_DLY;
always@(posedge CLK2X)
DDRQ_DLY[1:0] <= DDRQ[1:0];
reg [3:0] DDRQ_DATA;
always@(posedge CLK2X)
DDRQ_DATA[3:0] <= {DDRQ_DLY[1:0], DDRQ[1:0]};
reg [3:0] DDRQ_DATA_BUF;
always@(posedge CLK2X)
DDRQ_DATA_BUF[3:0] <= DDRQ_DATA[3:0];
reg [3:0] DATA_IN;
always@(posedge CLK)
DATA_IN[3:0] <= DDRQ_DATA_BUF[3:0];
reg [CLKDV*4-1:0] DATA_IN_SR;
always@(posedge CLK)
DATA_IN_SR <= {DATA_IN_SR[CLKDV*4-5:0],DATA_IN[3:0]};
always@(posedge WCLK)
OUT <= DATA_IN_SR;
endmodule
|
// ***************************************************************************
// ***************************************************************************
// Copyright 2011(c) Analog Devices, Inc.
//
// All rights reserved.
//
// Redistribution and use in source and binary forms, with or without modification,
// are permitted provided that the following conditions are met:
// - Redistributions of source code must retain the above copyright
// notice, this list of conditions and the following disclaimer.
// - Redistributions in binary form must reproduce the above copyright
// notice, this list of conditions and the following disclaimer in
// the documentation and/or other materials provided with the
// distribution.
// - Neither the name of Analog Devices, Inc. nor the names of its
// contributors may be used to endorse or promote products derived
// from this software without specific prior written permission.
// - The use of this software may or may not infringe the patent rights
// of one or more patent holders. This license does not release you
// from the requirement that you obtain separate licenses from these
// patent holders to use this software.
// - Use of the software either in source or binary form, must be run
// on or directly connected to an Analog Devices Inc. component.
//
// THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
// INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A
// PARTICULAR PURPOSE ARE DISCLAIMED.
//
// IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
// EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY
// RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT,
// STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF
// THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
// ***************************************************************************
`timescale 1ns/100ps
module system_top (
ddr_addr,
ddr_ba,
ddr_cas_n,
ddr_ck_n,
ddr_ck_p,
ddr_cke,
ddr_cs_n,
ddr_dm,
ddr_dq,
ddr_dqs_n,
ddr_dqs_p,
ddr_odt,
ddr_ras_n,
ddr_reset_n,
ddr_we_n,
fixed_io_ddr_vrn,
fixed_io_ddr_vrp,
fixed_io_mio,
fixed_io_ps_clk,
fixed_io_ps_porb,
fixed_io_ps_srstb,
gpio_bd,
hdmi_out_clk,
hdmi_vsync,
hdmi_hsync,
hdmi_data_e,
hdmi_data,
i2s_mclk,
i2s_bclk,
i2s_lrclk,
i2s_sdata_out,
i2s_sdata_in,
spdif,
iic_scl,
iic_sda,
iic_mux_scl,
iic_mux_sda,
otg_vbusoc,
pmod_spi_cs,
pmod_spi_miso,
pmod_spi_clk,
pmod_spi_convst,
pmod_gpio);
inout [14:0] ddr_addr;
inout [ 2:0] ddr_ba;
inout ddr_cas_n;
inout ddr_ck_n;
inout ddr_ck_p;
inout ddr_cke;
inout ddr_cs_n;
inout [ 3:0] ddr_dm;
inout [31:0] ddr_dq;
inout [ 3:0] ddr_dqs_n;
inout [ 3:0] ddr_dqs_p;
inout ddr_odt;
inout ddr_ras_n;
inout ddr_reset_n;
inout ddr_we_n;
inout fixed_io_ddr_vrn;
inout fixed_io_ddr_vrp;
inout [53:0] fixed_io_mio;
inout fixed_io_ps_clk;
inout fixed_io_ps_porb;
inout fixed_io_ps_srstb;
inout [31:0] gpio_bd;
output hdmi_out_clk;
output hdmi_vsync;
output hdmi_hsync;
output hdmi_data_e;
output [15:0] hdmi_data;
output spdif;
output i2s_mclk;
output i2s_bclk;
output i2s_lrclk;
output i2s_sdata_out;
input i2s_sdata_in;
inout iic_scl;
inout iic_sda;
inout [ 1:0] iic_mux_scl;
inout [ 1:0] iic_mux_sda;
input otg_vbusoc;
output pmod_spi_cs;
input pmod_spi_miso;
output pmod_spi_clk;
output pmod_spi_convst;
input pmod_gpio;
// internal signals
wire [31:0] gpio_i;
wire [31:0] gpio_o;
wire [31:0] gpio_t;
wire [ 1:0] iic_mux_scl_i_s;
wire [ 1:0] iic_mux_scl_o_s;
wire iic_mux_scl_t_s;
wire [ 1:0] iic_mux_sda_i_s;
wire [ 1:0] iic_mux_sda_o_s;
wire iic_mux_sda_t_s;
// instantiations
ad_iobuf #(
.DATA_WIDTH(32)
) i_iobuf (
.dio_t(gpio_t),
.dio_i(gpio_o),
.dio_o(gpio_i),
.dio_p(gpio_bd));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_scl (
.dio_t({iic_mux_scl_t_s, iic_mux_scl_t_s}),
.dio_i(iic_mux_scl_o_s),
.dio_o(iic_mux_scl_i_s),
.dio_p(iic_mux_scl));
ad_iobuf #(
.DATA_WIDTH(2)
) i_iic_mux_sda (
.dio_t({iic_mux_sda_t_s, iic_mux_sda_t_s}),
.dio_i(iic_mux_sda_o_s),
.dio_o(iic_mux_sda_i_s),
.dio_p(iic_mux_sda));
system_wrapper i_system_wrapper (
.ddr_addr (ddr_addr),
.ddr_ba (ddr_ba),
.ddr_cas_n (ddr_cas_n),
.ddr_ck_n (ddr_ck_n),
.ddr_ck_p (ddr_ck_p),
.ddr_cke (ddr_cke),
.ddr_cs_n (ddr_cs_n),
.ddr_dm (ddr_dm),
.ddr_dq (ddr_dq),
.ddr_dqs_n (ddr_dqs_n),
.ddr_dqs_p (ddr_dqs_p),
.ddr_odt (ddr_odt),
.ddr_ras_n (ddr_ras_n),
.ddr_reset_n (ddr_reset_n),
.ddr_we_n (ddr_we_n),
.fixed_io_ddr_vrn (fixed_io_ddr_vrn),
.fixed_io_ddr_vrp (fixed_io_ddr_vrp),
.fixed_io_mio (fixed_io_mio),
.fixed_io_ps_clk (fixed_io_ps_clk),
.fixed_io_ps_porb (fixed_io_ps_porb),
.fixed_io_ps_srstb (fixed_io_ps_srstb),
.gpio_i (gpio_i),
.gpio_o (gpio_o),
.gpio_t (gpio_t),
.hdmi_data (hdmi_data),
.hdmi_data_e (hdmi_data_e),
.hdmi_hsync (hdmi_hsync),
.hdmi_out_clk (hdmi_out_clk),
.hdmi_vsync (hdmi_vsync),
.i2s_bclk (i2s_bclk),
.i2s_lrclk (i2s_lrclk),
.i2s_mclk (i2s_mclk),
.i2s_sdata_in (i2s_sdata_in),
.i2s_sdata_out (i2s_sdata_out),
.iic_fmc_scl_io (iic_scl),
.iic_fmc_sda_io (iic_sda),
.iic_mux_scl_i (iic_mux_scl_i_s),
.iic_mux_scl_o (iic_mux_scl_o_s),
.iic_mux_scl_t (iic_mux_scl_t_s),
.iic_mux_sda_i (iic_mux_sda_i_s),
.iic_mux_sda_o (iic_mux_sda_o_s),
.iic_mux_sda_t (iic_mux_sda_t_s),
.ps_intr_00 (1'b0),
.ps_intr_01 (1'b0),
.ps_intr_02 (1'b0),
.ps_intr_03 (1'b0),
.ps_intr_04 (1'b0),
.ps_intr_05 (1'b0),
.ps_intr_06 (1'b0),
.ps_intr_07 (1'b0),
.ps_intr_08 (1'b0),
.ps_intr_09 (1'b0),
.ps_intr_10 (1'b0),
.ps_intr_12 (1'b0),
.otg_vbusoc (otg_vbusoc),
.spdif (spdif),
.pmod_spi_cs (pmod_spi_cs),
.pmod_spi_miso (pmod_spi_miso),
.pmod_spi_clk (pmod_spi_clk),
.pmod_spi_convst (pmod_spi_convst),
.pmod_gpio (pmod_gpio));
endmodule
// ***************************************************************************
// ***************************************************************************
|
// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2017.3 (win64) Build 2018833 Wed Oct 4 19:58:22 MDT 2017
// Date : Fri Nov 17 14:55:09 2017
// Host : egk-pc running 64-bit major release (build 9200)
// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ DemoInterconnect_ila_0_0_stub.v
// Design : DemoInterconnect_ila_0_0
// Purpose : Stub declaration of top-level module interface
// Device : xc7a15tcpg236-1
// --------------------------------------------------------------------------------
// This empty module with port declaration file causes synthesis tools to infer a black box for IP.
// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion.
// Please paste the declaration into a Verilog source file or add the file as an additional source.
(* x_core_info = "ila,Vivado 2017.3" *)
module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk, probe0, probe1, probe2, probe3)
/* synthesis syn_black_box black_box_pad_pin="clk,probe0[0:0],probe1[7:0],probe2[0:0],probe3[7:0]" */;
input clk;
input [0:0]probe0;
input [7:0]probe1;
input [0:0]probe2;
input [7:0]probe3;
endmodule
|
/* This file is part of JT51.
JT51 is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.
JT51 is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
GNU General Public License for more details.
You should have received a copy of the GNU General Public License
along with JT51. If not, see <http://www.gnu.org/licenses/>.
Author: Jose Tejada Gomez. Twitter: @topapate
Version: 1.0
Date: 27-10-2016
*/
`timescale 1ns / 1ps
module jt51_mmr(
input rst,
input clk, // P1
input [7:0] d_in,
input write,
input a0,
output reg busy,
// CT
output reg ct1,
output reg ct2,
// Noise
output reg ne,
output reg [4:0] nfrq,
// LFO
output reg [7:0] lfo_freq,
output reg [1:0] lfo_w,
output reg [6:0] lfo_amd,
output reg [6:0] lfo_pmd,
output reg lfo_rst,
// Timers
output reg [9:0] value_A,
output reg [7:0] value_B,
output reg load_A,
output reg load_B,
output reg enable_irq_A,
output reg enable_irq_B,
output reg clr_flag_A,
output reg clr_flag_B,
output reg clr_run_A,
output reg clr_run_B,
output reg set_run_A,
output reg set_run_B,
input overflow_A,
`ifdef TEST_SUPPORT
// Test
output reg test_eg,
output reg test_op0,
`endif
// REG
output [1:0] rl_I,
output [2:0] fb_II,
output [2:0] con_I,
output [6:0] kc_I,
output [5:0] kf_I,
output [2:0] pms_I,
output [1:0] ams_VII,
output [2:0] dt1_II,
output [3:0] mul_VI,
output [6:0] tl_VII,
output [1:0] ks_III,
output [4:0] arate_II,
output amsen_VII,
output [4:0] rate1_II,
output [1:0] dt2_I,
output [4:0] rate2_II,
output [3:0] d1l_I,
output [3:0] rrate_II,
output keyon_II,
output [1:0] cur_op,
output op31_no,
output op31_acc,
output zero,
output m1_enters,
output m2_enters,
output c1_enters,
output c2_enters,
// Operator
output use_prevprev1,
output use_internal_x,
output use_internal_y,
output use_prev2,
output use_prev1
);
reg [7:0] selected_register, din_latch;
reg up_clr;
reg up_rl, up_kc, up_kf, up_pms,
up_dt1, up_tl, up_ks, up_dt2,
up_d1l, up_keyon, up_amsen;
wire busy_reg;
`ifdef SIMULATION
reg mmr_dump;
`endif
parameter REG_TEST = 8'h01,
REG_TEST2 = 8'h02,
REG_KON = 8'h08,
REG_NOISE = 8'h0f,
REG_CLKA1 = 8'h10,
REG_CLKA2 = 8'h11,
REG_CLKB = 8'h12,
REG_TIMER = 8'h14,
REG_LFRQ = 8'h18,
REG_PMDAMD = 8'h19,
REG_CTW = 8'h1b,
REG_DUMP = 8'h1f;
reg csm;
always @(posedge clk) begin : memory_mapped_registers
if( rst ) begin
selected_register <= 8'h0;
busy <= 1'b0;
{ up_rl, up_kc, up_kf, up_pms, up_dt1, up_tl,
up_ks, up_amsen, up_dt2, up_d1l, up_keyon } <= 11'd0;
`ifdef TEST_SUPPORT
{ test_eg, test_op0 } <= 2'd0;
`endif
// timers
{ value_A, value_B } <= 18'd0;
{ clr_flag_B, clr_flag_A,
enable_irq_B, enable_irq_A, load_B, load_A } <= 6'd0;
{ clr_run_A, clr_run_B, set_run_A, set_run_B } <= 4'b1100;
up_clr <= 1'b0;
// LFO
{ lfo_amd, lfo_pmd } <= 14'h0;
lfo_freq <= 8'd0;
lfo_w <= 2'd0;
lfo_rst <= 1'b0;
{ ct2, ct1 } <= 2'd0;
csm <= 1'b0;
din_latch <= 8'd0;
`ifdef SIMULATION
mmr_dump <= 1'b0;
`endif
end else begin
// WRITE IN REGISTERS
if( write && !busy ) begin
busy <= 1'b1;
if( !a0 )
selected_register <= d_in;
else begin
din_latch <= d_in;
// Global registers
if( selected_register < 8'h20 ) begin
case( selected_register)
// registros especiales
REG_TEST: lfo_rst <= 1'b1; // regardless of d_in
`ifdef TEST_SUPPORT
REG_TEST2: { test_op0, test_eg } <= d_in[1:0];
`endif
REG_KON: up_keyon <= 1'b1;
REG_NOISE: { ne, nfrq } <= { d_in[7], d_in[4:0] };
REG_CLKA1: value_A[9:2]<= d_in;
REG_CLKA2: value_A[1:0]<= d_in[1:0];
REG_CLKB: value_B <= d_in;
REG_TIMER: begin
csm <= d_in[7];
{ clr_flag_B, clr_flag_A,
enable_irq_B, enable_irq_A,
load_B, load_A } <= d_in[5:0];
clr_run_A <= ~d_in[0];
set_run_A <= d_in[0];
clr_run_B <= ~d_in[1];
set_run_B <= d_in[1];
end
REG_LFRQ: lfo_freq <= d_in;
REG_PMDAMD: begin
if( !d_in[7] )
lfo_amd <= d_in[6:0];
else
lfo_pmd <= d_in[6:0];
end
REG_CTW: begin
{ ct2, ct1 } <= d_in[7:6];
lfo_w <= d_in[1:0];
end
`ifdef SIMULATION
REG_DUMP:
mmr_dump <= 1'b1;
`endif
endcase
end else
// channel registers
if( selected_register < 8'h40 ) begin
case( selected_register[4:3] )
2'h0: up_rl <= 1'b1;
2'h1: up_kc <= 1'b1;
2'h2: up_kf <= 1'b1;
2'h3: up_pms<= 1'b1;
endcase
end
else
// operator registers
begin
case( selected_register[7:5] )
3'h2: up_dt1 <= 1'b1;
3'h3: up_tl <= 1'b1;
3'h4: up_ks <= 1'b1;
3'h5: up_amsen <= 1'b1;
3'h6: up_dt2 <= 1'b1;
3'h7: up_d1l <= 1'b1;
endcase
end
end
end
else begin /* clear once-only bits */
`ifdef SIMULATION
mmr_dump <= 1'b0;
`endif
csm <= 1'b0;
lfo_rst <= 1'b0;
{ clr_flag_B, clr_flag_A, load_B, load_A } <= 4'd0;
{ clr_run_A, clr_run_B, set_run_A, set_run_B } <= 4'd0;
if( |{ up_rl, up_kc, up_kf, up_pms, up_dt1, up_tl,
up_ks, up_amsen, up_dt2, up_d1l, up_keyon } == 1'b0 )
busy <= busy_reg;
else
busy <= 1'b1;
if( busy_reg ) begin
up_clr <= 1'b1;
end
else begin
up_clr <= 1'b0;
if( up_clr )
{ up_rl, up_kc, up_kf, up_pms, up_dt1,
up_tl, up_ks, up_amsen, up_dt2, up_d1l,
up_keyon } <= 11'd0;
end
end
end
end
jt51_reg u_reg(
.rst ( rst ),
.clk ( clk ), // P1
.d_in ( din_latch ),
.up_rl ( up_rl ),
.up_kc ( up_kc ),
.up_kf ( up_kf ),
.up_pms ( up_pms ),
.up_dt1 ( up_dt1 ),
.up_tl ( up_tl ),
.up_ks ( up_ks ),
.up_amsen ( up_amsen ),
.up_dt2 ( up_dt2 ),
.up_d1l ( up_d1l ),
.up_keyon ( up_keyon ),
.op( selected_register[4:3] ), // operator to update
.ch( selected_register[2:0] ), // channel to update
.csm ( csm ),
.overflow_A ( overflow_A),
.busy ( busy_reg ),
.rl_I ( rl_I ),
.fb_II ( fb_II ),
.con_I ( con_I ),
.kc_I ( kc_I ),
.kf_I ( kf_I ),
.pms_I ( pms_I ),
.ams_VII ( ams_VII ),
.dt1_II ( dt1_II ),
.dt2_I ( dt2_I ),
.mul_VI ( mul_VI ),
.tl_VII ( tl_VII ),
.ks_III ( ks_III ),
.arate_II ( arate_II ),
.amsen_VII ( amsen_VII ),
.rate1_II ( rate1_II ),
.rate2_II ( rate2_II ),
.rrate_II ( rrate_II ),
.d1l_I ( d1l_I ),
.keyon_II ( keyon_II ),
.cur_op ( cur_op ),
.op31_no ( op31_no ),
.op31_acc ( op31_acc ),
.zero ( zero ),
.m1_enters ( m1_enters ),
.m2_enters ( m2_enters ),
.c1_enters ( c1_enters ),
.c2_enters ( c2_enters ),
// Operator
.use_prevprev1 ( use_prevprev1 ),
.use_internal_x ( use_internal_x ),
.use_internal_y ( use_internal_y ),
.use_prev2 ( use_prev2 ),
.use_prev1 ( use_prev1 )
);
`ifdef SIMULATION
/* verilator lint_off PINMISSING */
wire [4:0] cnt_aux;
sep32_cnt u_sep32_cnt (.clk(clk), .zero(zero), .cnt(cnt_aux));
sep32 #(.width(2),.stg(1)) sep_rl (.clk(clk),.cnt(cnt_aux),.mixed( rl_I ));
sep32 #(.width(3),.stg(2)) sep_fb (.clk(clk),.cnt(cnt_aux),.mixed( fb_II ));
sep32 #(.width(3),.stg(1)) sep_con(.clk(clk),.cnt(cnt_aux),.mixed( con_I ));
sep32 #(.width(7),.stg(1)) sep_kc (.clk(clk),.cnt(cnt_aux),.mixed( kc_I ));
sep32 #(.width(6),.stg(1)) sep_kf (.clk(clk),.cnt(cnt_aux),.mixed( kf_I ));
sep32 #(.width(3),.stg(1)) sep_pms(.clk(clk),.cnt(cnt_aux),.mixed( pms_I ));
sep32 #(.width(2),.stg(7)) sep_ams(.clk(clk),.cnt(cnt_aux),.mixed( ams_VII ));
sep32 #(.width(3),.stg(2)) sep_dt1(.clk(clk),.cnt(cnt_aux),.mixed( dt1_II ));
sep32 #(.width(2),.stg(1)) sep_dt2(.clk(clk),.cnt(cnt_aux),.mixed( dt2_I ));
sep32 #(.width(4),.stg(6)) sep_mul(.clk(clk),.cnt(cnt_aux),.mixed( mul_VI ));
sep32 #(.width(7),.stg(7)) sep_tl (.clk(clk),.cnt(cnt_aux),.mixed( tl_VII ));
sep32 #(.width(2),.stg(3)) sep_ks (.clk(clk),.cnt(cnt_aux),.mixed( ks_III ));
sep32 #(.width(5),.stg(2)) sep_ar (.clk(clk),.cnt(cnt_aux),.mixed( arate_II ));
sep32 #(.width(1),.stg(7)) sep_ame(.clk(clk),.cnt(cnt_aux),.mixed( amsen_VII));
sep32 #(.width(5),.stg(2)) sep_dr1(.clk(clk),.cnt(cnt_aux),.mixed( rate1_II ));
sep32 #(.width(5),.stg(2)) sep_dr2(.clk(clk),.cnt(cnt_aux),.mixed( rate2_II ));
sep32 #(.width(4),.stg(2)) sep_rr (.clk(clk),.cnt(cnt_aux),.mixed( rrate_II ));
sep32 #(.width(4),.stg(1)) sep_d1l(.clk(clk),.cnt(cnt_aux),.mixed( d1l_I ));
`endif
endmodule
|
/*
* MBus Copyright 2015 Regents of the University of Michigan
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*/
`define SD #1
`timescale 1ns/1ps
`include "include/mbus_def.v"
module tb_layer_ctrl();
`include "include/mbus_func.v"
parameter LC_INT_DEPTH=13;
parameter LC_MEM_DEPTH=65536;
parameter LC_RF_DEPTH=256;
localparam BULK_MEM_CTRL_REG_IDX = 242;
localparam STREAM_CH0_REG0_IDX = 236;
localparam STREAM_CH0_REG1_IDX = 237;
localparam STREAM_CH0_REG2_IDX = 238;
localparam STREAM_CH1_REG0_IDX = 232;
localparam STREAM_CH1_REG1_IDX = 233;
localparam STREAM_CH1_REG2_IDX = 234;
reg clk, resetn;
wire SCLK;
// n0 connections
reg [LC_INT_DEPTH-1:0] n0_int_vector;
wire [LC_INT_DEPTH-1:0] n0_clr_int;
// end of n0 connections
// n1 connections
reg [LC_INT_DEPTH-1:0] n1_int_vector;
wire [LC_INT_DEPTH-1:0] n1_clr_int;
// end of n1 connections
// n2 connections
reg [LC_INT_DEPTH-1:0] n2_int_vector;
wire [LC_INT_DEPTH-1:0] n2_clr_int;
// end of n2 connections
// n3 connections
reg [LC_INT_DEPTH-1:0] n3_int_vector;
wire [LC_INT_DEPTH-1:0] n3_clr_int;
// end of n3 connections
// c0 connections
reg [`ADDR_WIDTH-1:0] c0_tx_addr;
reg [`DATA_WIDTH-1:0] c0_tx_data;
reg c0_tx_req, c0_priority, c0_tx_pend, c0_tx_resp_ack, c0_req_int;
wire c0_tx_ack, c0_tx_succ, c0_tx_fail;
wire [`ADDR_WIDTH-1:0] c0_rx_addr;
wire [`DATA_WIDTH-1:0] c0_rx_data;
wire c0_rx_req, c0_rx_fail, c0_rx_pend, c0_rx_broadcast;
reg c0_rx_ack;
wire c0_lc_pwr_on, c0_lc_release_clk, c0_lc_release_rst, c0_lc_release_iso;
// end of c0 connections
// connection between nodes
wire w_n0n1, w_n1n2, w_n2n3, w_n3c0, w_c0n0;
wire w_n0_clk_out, w_n1_clk_out, w_n2_clk_out, w_n3_clk_out;
// testbench variables
reg [31:0] rand_dat, rand_dat2;
reg [4:0] state;
reg [5:0] word_counter;
reg [7:0] rf_read_length;
reg [7:0] rf_addr;
reg [29:0] mem_addr;
reg mem_ptr_set;
reg [1:0] mem_access_state;
reg [7:0] relay_addr;
reg [29:0] mem_relay_loc;
reg [7:0] rf_relay_loc;
reg [3:0] dest_short_addr;
reg [23:0] rf_data;
reg [31:0] mem_data;
reg [19:0] mem_read_length;
reg [3:0] enum_short_addr;
reg [19:0] long_addr;
reg [1:0] layer_number;
reg [LC_INT_DEPTH-1:0] int_vec;
reg [31:0] mem_w_data;
reg [3:0] functional_id;
reg [23:0] rf_w_data;
reg [1:0] stream_channel;
integer handle;
integer task_counter;
localparam TB_PROC_UP = 0;
localparam TB_QUERY = 1;
localparam TB_ENUM = 2;
localparam TB_ALL_WAKEUP = 3;
localparam TB_RF_WRITE = 4;
localparam TB_RF_READ = 5;
localparam TB_MEM_WRITE = 6;
localparam TB_MEM_READ = 7;
localparam TB_SEL_SLEEP_FULL_PREFIX = 8;
localparam TB_ALL_SLEEP = 9;
localparam TB_ALL_SHORT_ADDR_INVALID = 10;
localparam TB_SINGLE_INTERRUPT = 11;
localparam TB_MULTIPLE_INTERRUPT = 12;
localparam TB_SINGLE_MEM_WRITE = 13;
localparam TB_ARBITRARY_CMD = 14;
localparam TB_SINGLE_RF_WRITE = 15;
localparam TB_SHORT_MEM_READ = 16;
localparam TB_STREAMING = 17;
localparam TX_WAIT = 31;
reg c0_auto_rx_ack;
layer_wrapper #(.ADDRESS(20'hbbbb0), .LC_INT_DEPTH(LC_INT_DEPTH)) layer0(
.CLK(clk), .RESETn(resetn),
.INT_VECTOR(n0_int_vector),
.CLR_INT_EXTERNAL(n0_clr_int),
// mbus
.CLKIN(SCLK), .CLKOUT(w_n0_clk_out), .DIN(w_c0n0), .DOUT(w_n0n1));
layer_wrapper #(.ADDRESS(20'hbbbb1), .LC_INT_DEPTH(LC_INT_DEPTH)) layer1(
.CLK(clk), .RESETn(resetn),
.INT_VECTOR(n1_int_vector),
.CLR_INT_EXTERNAL(n1_clr_int),
// mbus
.CLKIN(w_n0_clk_out), .CLKOUT(w_n1_clk_out), .DIN(w_n0n1), .DOUT(w_n1n2));
layer_wrapper #(.ADDRESS(20'hbbbb2), .LC_INT_DEPTH(LC_INT_DEPTH)) layer2(
.CLK(clk), .RESETn(resetn),
.INT_VECTOR(n2_int_vector),
.CLR_INT_EXTERNAL(n2_clr_int),
// mbus
.CLKIN(w_n1_clk_out), .CLKOUT(w_n2_clk_out), .DIN(w_n1n2), .DOUT(w_n2n3));
layer_wrapper #(.ADDRESS(20'hbbbb2), .LC_INT_DEPTH(LC_INT_DEPTH)) layer3(
.CLK(clk), .RESETn(resetn),
.INT_VECTOR(n3_int_vector),
.CLR_INT_EXTERNAL(n3_clr_int),
// mbus
.CLKIN(w_n2_clk_out), .CLKOUT(w_n3_clk_out), .DIN(w_n2n3), .DOUT(w_n3c0));
mbus_ctrl_layer_wrapper #(.ADDRESS(20'haaaa0)) c0
(.CLK_EXT(clk), .CLKIN(w_n3_clk_out), .CLKOUT(SCLK), .RESETn(resetn), .DIN(w_n3c0), .DOUT(w_c0n0),
.TX_ADDR(c0_tx_addr), .TX_DATA(c0_tx_data), .TX_REQ(c0_tx_req), .TX_ACK(c0_tx_ack), .TX_PEND(c0_tx_pend), .TX_PRIORITY(c0_priority),
.RX_ADDR(c0_rx_addr), .RX_DATA(c0_rx_data), .RX_REQ(c0_rx_req), .RX_ACK(c0_rx_ack), .RX_FAIL(c0_rx_fail), .RX_PEND(c0_rx_pend),
.TX_SUCC(c0_tx_succ), .TX_FAIL(c0_tx_fail), .TX_RESP_ACK(c0_tx_resp_ack), .RX_BROADCAST(c0_rx_broadcast),
.LC_POWER_ON(c0_lc_pwr_on), .LC_RELEASE_CLK(c0_lc_release_clk), .LC_RELEASE_RST(c0_lc_release_rst), .LC_RELEASE_ISO(c0_lc_release_iso),
.REQ_INT(c0_req_int));
`include "tasks.v"
initial
begin
task_counter = 0;
clk = 0;
resetn = 1;
mem_addr = 0;
mem_ptr_set = 0;
mem_access_state = 0;
mem_data = 0;
mem_relay_loc = 0;
mem_read_length = 0;
rf_addr = 0;
rf_data = 0;
rf_read_length = 0;
rf_relay_loc = 0;
relay_addr = 0;
enum_short_addr = 4'h2;
long_addr = 20'haaaa0;
layer_number = 0;
int_vec = 0;
mem_w_data = 0;
functional_id = 0;
stream_channel = 0;
@ (posedge clk);
@ (posedge clk);
@ (posedge clk);
`SD resetn = 0;
@ (posedge clk);
@ (posedge clk);
`SD resetn = 1;
@ (posedge clk);
@ (posedge clk);
//VCD DUMP SECTION
//`ifdef APR
/*
`ifdef TASK4
$dumpfile("task4.vcd");
`elsif TASK5
$dumpfile("task5.vcd");
`endif
$dumpvars(0, tb_ulpb_node32);
*/
//`endif
//SDF ANNOTATION
`ifdef SYN
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer0.lc0);
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer1.lc0);
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer2.lc0);
$sdf_annotate("../syn/layer_ctrl_v2.dc.sdf", layer3.lc0);
`endif
/*
`elsif APR
$sdf_annotate("../apr/ulpb_ctrl_wrapper/ulpb_ctrl_wrapper.apr.sdf", c0);
$sdf_annotate("../apr/ulpb_node32_ab/ulpb_node32_ab.apr.sdf", n0);
$sdf_annotate("../apr/ulpb_node32_cd/ulpb_node32_cd.apr.sdf", n1);
$sdf_annotate("../apr/ulpb_node32_ef/ulpb_node32_ef.apr.sdf", n2);
`endif
*/
//************************
//TESTBENCH BEGINS
//Calls Tasks from tasks.v
//***********************
task0();
end // initial begin
//Changed to 400K for primetime calculations
always #1250 clk = ~clk;
`include "task_list.v"
always @ (posedge layer0.lc_pwr_on)
$fdisplay(handle, "N0 LC Sleep");
always @ (posedge layer1.lc_pwr_on)
$fdisplay(handle, "N1 LC Sleep");
always @ (posedge layer2.lc_pwr_on)
$fdisplay(handle, "N2 LC Sleep");
always @ (posedge layer3.lc_pwr_on)
$fdisplay(handle, "N3 LC Sleep");
always @ (posedge c0_lc_pwr_on)
$fdisplay(handle, "Processor Sleep");
always @ (negedge layer0.lc_pwr_on)
$fdisplay(handle, "N0 LC Wakeup");
always @ (negedge layer1.lc_pwr_on)
$fdisplay(handle, "N1 LC Wakeup");
always @ (negedge layer2.lc_pwr_on)
$fdisplay(handle, "N2 LC Wakeup");
always @ (negedge layer3.lc_pwr_on)
$fdisplay(handle, "N3 LC Wakeup");
always @ (negedge c0_lc_pwr_on)
$fdisplay(handle, "Processor Wakeup");
always @ (posedge clk or negedge resetn)
begin
if (~resetn)
begin
n0_int_vector <= 0;
n1_int_vector <= 0;
n2_int_vector <= 0;
n3_int_vector <= 0;
c0_tx_addr <= 0;
c0_tx_data <= 0;
c0_tx_pend <= 0;
c0_tx_req <= 0;
c0_priority <= 0;
c0_req_int <= 0;
c0_auto_rx_ack <= 1;
word_counter <= 0;
end
else
begin
if (c0_tx_ack) c0_tx_req <= 0;
if (c0_tx_fail & c0_tx_req) c0_tx_req <= 0;
end
end
// n0 interrupt control
wire [LC_INT_DEPTH-1:0] n0_int_clr_mask = (n0_clr_int & n0_int_vector);
always @ (posedge clk)
begin
if (n0_int_clr_mask)
n0_int_vector <= `SD (n0_int_vector & (~n0_int_clr_mask));
end
always @ (posedge layer0.rx_fail)
$fdisplay(handle, "N0 RX Fail");
always @ (posedge layer0.rx_req)
begin
$fdisplay(handle, "N0 RX Success");
//$fdisplay(handle, "N0 Data out =\t32'h%h", layer0.rx_data);
end
always @ (posedge layer0.tx_succ)
$fdisplay(handle, "N0 TX Success\n");
always @ (posedge layer0.tx_fail)
$fdisplay(handle, "N0 TX Fail\n");
// end of n0 interrupt control
// n1 interrupt control
wire [LC_INT_DEPTH-1:0] n1_int_clr_mask = (n1_clr_int & n1_int_vector);
always @ (posedge clk)
begin
if (n1_int_clr_mask)
n1_int_vector <= `SD (n1_int_vector & (~n1_int_clr_mask));
end
always @ (posedge layer1.rx_fail)
$fdisplay(handle, "N1 RX Fail");
always @ (posedge layer1.rx_req)
begin
$fdisplay(handle, "N1 RX Success");
//$fdisplay(handle, "N1 Data out =\t32'h%h", layer1.rx_data);
end
always @ (posedge layer1.tx_succ)
$fdisplay(handle, "N1 TX Success\n");
always @ (posedge layer1.tx_fail)
$fdisplay(handle, "N1 TX Fail\n");
// end of n1 interrupt control
// n2 interrupt control
wire [LC_INT_DEPTH-1:0] n2_int_clr_mask = (n2_clr_int & n2_int_vector);
always @ (posedge clk)
begin
if (n2_int_clr_mask)
n2_int_vector <= `SD (n2_int_vector & (~n2_int_clr_mask));
end
always @ (posedge layer2.rx_fail)
$fdisplay(handle, "N2 RX Fail");
always @ (posedge layer2.rx_req)
begin
$fdisplay(handle, "N2 RX Success");
//$fdisplay(handle, "N2 Data out =\t32'h%h", layer2.rx_data);
end
always @ (posedge layer2.tx_succ)
$fdisplay(handle, "N2 TX Success\n");
always @ (posedge layer2.tx_fail)
$fdisplay(handle, "N2 TX Fail\n");
// end of n2 interrupt control
// n3 interrupt control
wire [LC_INT_DEPTH-1:0] n3_int_clr_mask = (n3_clr_int & n3_int_vector);
always @ (posedge clk)
begin
if (n3_int_clr_mask)
n3_int_vector <= `SD (n3_int_vector & (~n3_int_clr_mask));
end
always @ (posedge layer3.rx_fail)
$fdisplay(handle, "N3 RX Fail");
always @ (posedge layer3.rx_req)
begin
$fdisplay(handle, "N3 RX Success");
//$fdisplay(handle, "N3 Data out =\t32'h%h", layer3.rx_data);
end
always @ (posedge layer3.tx_succ)
$fdisplay(handle, "N3 TX Success\n");
always @ (posedge layer3.tx_fail)
$fdisplay(handle, "N3 TX Fail\n");
// end of n3 interrupt control
// c0 rx tx ack control
always @ (negedge resetn)
begin
c0_rx_ack <= 0;
c0_tx_resp_ack <= 0;
end
always @ (posedge c0_rx_fail)
$fdisplay(handle, "C0 RX Fail");
always @ (posedge c0_rx_req)
begin
$fdisplay(handle, "C0 RX Success");
$fdisplay(handle, "C0 Data out =\t32'h%h", c0_rx_data);
end
always @ (posedge clk)
begin
if ((c0_rx_req | c0_rx_fail) & c0_auto_rx_ack)
`SD c0_rx_ack <= 1;
if (c0_rx_ack & (~c0_rx_req))
`SD c0_rx_ack <= 0;
if (c0_rx_ack & (~c0_rx_fail))
`SD c0_rx_ack <= 0;
end
always @ (posedge c0_tx_succ)
$fdisplay(handle, "C0 TX Success");
always @ (posedge c0_tx_fail)
$fdisplay(handle, "C0 TX Fail");
always @ (posedge clk)
begin
if (c0_tx_succ | c0_tx_fail)
`SD c0_tx_resp_ack <= 1;
if (c0_tx_resp_ack & (~c0_tx_succ))
`SD c0_tx_resp_ack <= 0;
if (c0_tx_resp_ack & (~c0_tx_fail))
`SD c0_tx_resp_ack <= 0;
end
// end of c0 rx, tx ack control
always @ (posedge clk or negedge resetn) begin
if (~resetn) begin
rand_dat <= 0;
rand_dat2 <= 0;
end
else begin
rand_dat <= $random;
rand_dat2 <= $random;
end
end
// RF Write output
wire [31:0] layer0_rf0_addr = log2long(layer0.rf0.LOAD) - 1;
wire [31:0] layer1_rf0_addr = log2long(layer1.rf0.LOAD) - 1;
wire [31:0] layer2_rf0_addr = log2long(layer2.rf0.LOAD) - 1;
wire [31:0] layer3_rf0_addr = log2long(layer3.rf0.LOAD) - 1;
genvar idx;
generate
for (idx=0; idx<LC_RF_DEPTH; idx = idx+1)
begin: rf_write
always @ (posedge layer0.rf0.LOAD[idx])
$fdisplay(handle, "Layer 0, RF Write, Addr: 8'h%h,\tData: 24'h%h", layer0_rf0_addr[7:0], layer0.rf0.DIN);
always @ (posedge layer1.rf0.LOAD[idx])
$fdisplay(handle, "Layer 1, RF Write, Addr: 8'h%h,\tData: 24'h%h", layer1_rf0_addr[7:0], layer1.rf0.DIN);
always @ (posedge layer2.rf0.LOAD[idx])
$fdisplay(handle, "Layer 2, RF Write, Addr: 8'h%h,\tData: 24'h%h", layer2_rf0_addr[7:0], layer2.rf0.DIN);
always @ (posedge layer3.rf0.LOAD[idx])
$fdisplay(handle, "Layer 3, RF Write, Addr: 8'h%h,\tData: 24'h%h", layer3_rf0_addr[7:0], layer3.rf0.DIN);
end
endgenerate
// End of RF Write output
// MEM Write output
always @ (posedge layer0.mem0.MEM_ACK_OUT)
if (layer0.mem0.MEM_WRITE)
$fdisplay(handle, "Layer 0, MEM Write, Addr: 30'h%h,\tData: 32'h%h", layer0.mem0.ADDR, layer0.mem0.DATA_IN);
else
$fdisplay(handle, "Layer 0, MEM Read, Addr: 30'h%h,\tData: 32'h%h", layer0.mem0.ADDR, layer0.mem0.DATA_OUT);
always @ (posedge layer1.mem0.MEM_ACK_OUT)
if (layer1.mem0.MEM_WRITE)
$fdisplay(handle, "Layer 1, MEM Write, Addr: 30'h%h,\tData: 32'h%h", layer1.mem0.ADDR, layer1.mem0.DATA_IN);
else
$fdisplay(handle, "Layer 1, MEM Read, Addr: 30'h%h,\tData: 32'h%h", layer1.mem0.ADDR, layer1.mem0.DATA_OUT);
always @ (posedge layer2.mem0.MEM_ACK_OUT)
if (layer2.mem0.MEM_WRITE)
$fdisplay(handle, "Layer 2, MEM Write, Addr: 30'h%h,\tData: 32'h%h", layer2.mem0.ADDR, layer2.mem0.DATA_IN);
else
$fdisplay(handle, "Layer 2, MEM Read, Addr: 30'h%h,\tData: 32'h%h", layer2.mem0.ADDR, layer2.mem0.DATA_OUT);
always @ (posedge layer3.mem0.MEM_ACK_OUT)
if (layer3.mem0.MEM_WRITE)
$fdisplay(handle, "Layer 3, MEM Write, Addr: 30'h%h,\tData: 32'h%h", layer3.mem0.ADDR, layer3.mem0.DATA_IN);
else
$fdisplay(handle, "Layer 3, MEM Read, Addr: 30'h%h,\tData: 32'h%h", layer3.mem0.ADDR, layer3.mem0.DATA_OUT);
// End of MEM Write output
endmodule // tb_layer_ctrl
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HS__UDP_MUX_4TO2_BLACKBOX_V
`define SKY130_FD_SC_HS__UDP_MUX_4TO2_BLACKBOX_V
/**
* udp_mux_4to2: Four to one multiplexer with 2 select controls
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hs__udp_mux_4to2 (
X ,
A0,
A1,
A2,
A3,
S0,
S1
);
output X ;
input A0;
input A1;
input A2;
input A3;
input S0;
input S1;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HS__UDP_MUX_4TO2_BLACKBOX_V
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__NOR4BB_BLACKBOX_V
`define SKY130_FD_SC_HD__NOR4BB_BLACKBOX_V
/**
* nor4bb: 4-input NOR, first two inputs inverted.
*
* Verilog stub definition (black box without power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__nor4bb (
Y ,
A ,
B ,
C_N,
D_N
);
output Y ;
input A ;
input B ;
input C_N;
input D_N;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__NOR4BB_BLACKBOX_V
|
module Top(clk, reset, Segment, AN, VGA_R, VGA_G, VGA_B, hsync, vsync, kbd_clk, kbd_data, LED);
input clk;
input reset;
input kbd_clk;
input kbd_data;
output [7: 0] Segment;
output [3: 0] AN;
output [2: 0] VGA_R, VGA_G;
output [1: 0] VGA_B;
output hsync, vsync;
output [7: 0] LED;
// slow clock down
reg [5: 0] cnt = 0;
always @(posedge clk) begin
cnt <= cnt + 1;
end
wire sclk = cnt[5];
// ===========
// Wishbone IO
// ===========
// Master
wire CPU_STB, CPU_ACK, CPU_WE;
wire [31: 0] CPU_Data_I, CPU_Data_O, CPU_Addr;
// Slave
wire [16: 0] slave_ACK, slave_STB, slave_WE;
wire [31: 0] slave_DAT_I, slave_ADDR;
wire [511: 0] slave_DAT_O;
// Slave members
wire Keyboard_ACK, VGA_ACK, seven_seg_ACK, Ram_ACK, Counter_ACK;
wire [31: 0] Keyboard_DAT_O, VGA_DAT_O, seven_seg_DAT_O, Ram_DAT_O, Counter_DAT_O;
wire Ram_STB = slave_STB[1];
wire seven_seg_STB = slave_STB[0];
wire VGA_STB = slave_STB[2];
wire Keyboard_STB = slave_STB[3];
wire Counter_STB = slave_STB[4];
// ==================
// Instruction Memory
// 32 bit * 16384
// ==================
wire [31: 0] pc;
wire [31: 0] inst;
Instruction_Memory im(
.a(pc >> 2),
.spo(inst)
);
CPU cpu(
.clk(sclk),
.reset(reset),
.inst(inst),
.Data_I(CPU_Data_I),
.pc(pc),
.Addr(CPU_Addr),
.Data_O(CPU_Data_O),
.WE(CPU_WE),
.ACK(CPU_ACK),
.STB(CPU_STB)
);
// Device signal address defination:
// 0: Ram
// 1: Seven seg
// 2: VGA
// 3: Keyboard
// 4: Counter
assign slave_ACK = {10'b0,Counter_ACK, Keyboard_ACK, VGA_ACK, Ram_ACK, seven_seg_ACK};
assign slave_DAT_O = {320'b0, Counter_DAT_O, Keyboard_DAT_O, VGA_DAT_O, Ram_DAT_O, seven_seg_DAT_O};
WB_intercon intercon(
.master_STB(CPU_STB),
.master_DAT_I(CPU_Data_O),
.master_DAT_O(CPU_Data_I),
.master_ACK(CPU_ACK),
.master_WE(CPU_WE),
.master_ADDR(CPU_Addr),
.slave_STB(slave_STB),
.slave_ACK(slave_ACK),
.slave_WE(slave_WE),
.slave_DAT_O(slave_DAT_I),
.slave_DAT_I(slave_DAT_O),
.slave_ADDR(slave_ADDR)
);
// ==============
// Ram
// 32 bit * 16384
// ==============
Ram_driver ram_driver(
.clk(sclk),
.Ram_STB(Ram_STB),
.Ram_ACK(Ram_ACK)
);
Ram ram(
.clka(clk),
.addra(slave_ADDR >> 2),
.dina(slave_DAT_I),
.wea(slave_WE & Ram_STB),
.douta(Ram_DAT_O)
);
Seven_seg seven_seg(
.clk(clk),
.reset(reset),
//.DAT_I(slave_DAT_I),
.DAT_I(pc),
.DAT_O(seven_seg_DAT_O),
//.STB(seven_seg_STB),
.STB(1),
.ACK(seven_seg_ACK),
.WE(slave_WE),
.Segment(Segment),
.AN(AN)
);
Counter counter(
.clk(clk),
.reset(reset),
.DAT_O(Counter_DAT_O),
.STB(Counter_STB),
.ACK(Counter_ACK)
);
// ===
// VGA
// ===
wire [9: 0] x_ptr, y_ptr;
wire [7: 0] color;
Video_card video_card(
.clk(sclk),
.reset(reset),
.x_ptr(x_ptr),
.y_ptr(y_ptr),
.color(color),
.DAT_I(slave_DAT_I),
.DAT_O(VGA_DAT_O),
.WE(slave_WE),
.STB(VGA_STB),
.ACK(VGA_ACK),
.ADDR(slave_ADDR >> 2)
);
Vga_dev vga_dev(
.clk(clk),
.reset(reset),
.hsync(hsync),
.vsync(vsync),
.color(color),
.VGA_R(VGA_R),
.VGA_G(VGA_G),
.VGA_B(VGA_B),
.x_ptr(x_ptr),
.y_ptr(y_ptr)
);
// ==========
// Keyboard
// ==========
wire [7: 0] Keyboard_Data;
wire Keyboard_ready_pulse;
Keyboard_driver keyboard_driver(
.clk(clk),
.reset(reset),
.ready_pulse(Keyboard_ready_pulse),
.Keyboard_Data(Keyboard_Data),
.ACK(Keyboard_ACK),
.STB(Keyboard_STB),
.DAT_O(Keyboard_DAT_O)
);
Keyboard_dev keyboard(
.clk(clk),
.reset(reset),
.kbd_clk(kbd_clk),
.kbd_data(kbd_data),
.Keyboard_Data(Keyboard_Data),
.ready_pulse(Keyboard_ready_pulse)
);
assign LED = Keyboard_Data;
endmodule
|
//Legal Notice: (C)2017 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module soc_system_leds (
// inputs:
address,
chipselect,
clk,
reset_n,
write_n,
writedata,
// outputs:
out_port,
readdata
)
;
output [ 7: 0] out_port;
output [ 31: 0] readdata;
input [ 1: 0] address;
input chipselect;
input clk;
input reset_n;
input write_n;
input [ 31: 0] writedata;
wire clk_en;
reg [ 7: 0] data_out;
wire [ 7: 0] out_port;
wire [ 7: 0] read_mux_out;
wire [ 31: 0] readdata;
assign clk_en = 1;
//s1, which is an e_avalon_slave
assign read_mux_out = {8 {(address == 0)}} & data_out;
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
data_out <= 0;
else if (chipselect && ~write_n && (address == 0))
data_out <= writedata[7 : 0];
end
assign readdata = {32'b0 | read_mux_out};
assign out_port = data_out;
endmodule
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__DLXBP_BEHAVIORAL_V
`define SKY130_FD_SC_HD__DLXBP_BEHAVIORAL_V
/**
* dlxbp: Delay latch, non-inverted enable, complementary outputs.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
// Import user defined primitives.
`include "../../models/udp_dlatch_p_pp_pg_n/sky130_fd_sc_hd__udp_dlatch_p_pp_pg_n.v"
`celldefine
module sky130_fd_sc_hd__dlxbp (
Q ,
Q_N ,
D ,
GATE
);
// Module ports
output Q ;
output Q_N ;
input D ;
input GATE;
// Module supplies
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
// Local signals
wire buf_Q ;
wire GATE_delayed;
wire D_delayed ;
reg notifier ;
wire awake ;
// Name Output Other arguments
sky130_fd_sc_hd__udp_dlatch$P_pp$PG$N dlatch0 (buf_Q , D_delayed, GATE_delayed, notifier, VPWR, VGND);
buf buf0 (Q , buf_Q );
not not0 (Q_N , buf_Q );
assign awake = ( VPWR === 1'b1 );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_HD__DLXBP_BEHAVIORAL_V |
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__INV_2_V
`define SKY130_FD_SC_HD__INV_2_V
/**
* inv: Inverter.
*
* Verilog wrapper for inv with size of 2 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_hd__inv.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hd__inv_2 (
Y ,
A ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_hd__inv base (
.Y(Y),
.A(A),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_hd__inv_2 (
Y,
A
);
output Y;
input A;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_hd__inv base (
.Y(Y),
.A(A)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_HD__INV_2_V
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 15:37:23 10/06/2014
// Design Name:
// Module Name: uart
// Project Name:
// Target Devices:
// Tool versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module uart_tx(clk, reset, wren, rden, din, dout, txout, addr);
input clk, reset, wren, rden;
input [7:0] din;
output [7:0] dout;
output txout; //serial data out
input [2:0] addr;
// corgen fifo
/*module fifo(clk, rst, din, wr_en, rd_en, dout, full, empty
);
input clk;
input rst;
input [7 : 0] din;
input wr_en;
input rd_en;
output [7 : 0] dout;
output full;
output empty;*/
/*baud generator
module baudgen(wren, rden, reset, din, clk, sclr, baud, dout);
input wren, rden, reset, clk, sclr;
input [7:0] din;
output baud;
output [7:0] dout;
*/
reg [7:0] control, dout;
reg [9:0] shift_out;
reg wr_baud, rd_baud, wr_fifo, rd_fifo, wr_control;
reg ld_shift, stop, count, finish, rd_shift, bittime;
reg [3:0] bittimer, bitcounter;
reg [1:0] nstate, pstate;
parameter PERIOD = 8'h1A;
wire [7:0] to_shift, dout_baud;
wire [9:0] shift;
wire baud, full, empty;
`define period 3'b000
`define TXreg 3'b001
`define control 3'b011
`define WAIT 2'b00
`define SHIFT1 2'b01
`define SHIFT2 2'b10
`define TXFULL control[0]
`define TXDONE control[1]
baudgen #(.PERIOD(PERIOD)) baud1(
.wren (wr_baud),
.rden (rd_baud),
.reset (reset),
.din (din),
.clk (clk),
.stop (stop),
.baud (baud),
.dout (dout_baud)
);
fifo fifo1(
.clk (clk),
.rst (reset),
.din (din),
.wr_en (wr_fifo),
.rd_en (rd_fifo),
.dout (to_shift),
.full (full),
.empty (empty)
);
// assign shift_in
assign shift = ({1'b1, to_shift, 1'b0});
assign txout = shift_out[0];
// shift register
always @(posedge clk or posedge reset) begin
if(reset)
shift_out <= 10'b1111111111;
else begin
if (rd_shift)begin
//txout = shift_out[0];
shift_out <= {1'b1, shift_out[9:1]};
end
if (ld_shift)
shift_out <= shift;
end
end
// control register
always @(posedge clk or posedge reset) begin
if(reset) begin
control[7:0] = 8'b00000001;
end
else begin
`TXFULL = full;
if(finish)
`TXDONE = 1;
if(wr_control)
`TXDONE = 0;
end
end
// address mux logic
always @* begin
wr_baud = 0;
wr_fifo = 0; wr_control = 0;
case(addr)
`period: begin
if(wren)
wr_baud = 1;
end
`TXreg: begin
if(wren)
wr_fifo = 1;
end
`control: begin
if(wren)
wr_control = 1;
end
endcase
end
// out mux
always @* begin
rd_baud = 0;
dout = 8'b00000000;
case(addr)
`period: begin
if(rden)
rd_baud = 1;
dout = dout_baud;
end
`control: begin
if(rden)
dout = control;
end
endcase
end
// bittimer
always @(posedge baud or posedge reset) begin
if(reset)
bittimer <= 4'b0000;
else begin
if(bittime)
bittimer <= bittimer + 1;
end
end
// bitcounter
always @(posedge clk or posedge reset) begin
if(reset)begin
bitcounter <= 4'b0000;
end
else begin
if(count)
bitcounter <= bitcounter + 1;
if(finish)
bitcounter <= 4'b0000;
end
end
// set state during startup.
always @(posedge clk or posedge reset) begin
if (reset)
pstate <= `WAIT;
else
pstate <= nstate;
end
// fsm
always @* begin
rd_fifo = 0; ld_shift = 0;
stop = 0; count = 0; finish = 0;
bittime = 0; rd_shift = 0;
nstate = pstate;
case (pstate)
`WAIT: begin
stop = 1;
if(~empty) begin
stop = 0;
rd_fifo = 1;
ld_shift = 1;
nstate = `SHIFT1;
end
end
`SHIFT1: begin
if(bitcounter == 4'b1010) begin
nstate = `WAIT;
finish = 1;
end
else begin
if(baud)
nstate = `SHIFT2;
bittime = 1;
end
end
`SHIFT2: begin
bittime = 1;
if(~baud & (bittimer == 4'b0000)) begin
count = 1;
rd_shift = 1;
nstate = `SHIFT1;
end
end
endcase
end
endmodule
|
/**
* ------------------------------------------------------------
* Copyright (c) All rights reserved
* SiLab, Institute of Physics, University of Bonn
* ------------------------------------------------------------
*/
`timescale 1ps/1ps
`default_nettype none
module m26_rx #(
parameter BASEADDR = 16'h0000,
parameter HIGHADDR = 16'h0000,
parameter ABUSWIDTH = 16,
parameter HEADER = 0,
parameter IDENTIFIER = 0
) (
input wire BUS_CLK,
input wire [ABUSWIDTH-1:0] BUS_ADD,
inout wire [7:0] BUS_DATA,
input wire BUS_RST,
input wire BUS_WR,
input wire BUS_RD,
input wire CLK_RX,
input wire MKD_RX,
input wire [1:0] DATA_RX,
input wire FIFO_READ,
output wire FIFO_EMPTY,
output wire [31:0] FIFO_DATA,
input wire [31:0] TIMESTAMP,
output wire LOST_ERROR,
output wire INVALID,
output wire INVALID_FLAG
);
wire IP_RD, IP_WR;
wire [ABUSWIDTH-1:0] IP_ADD;
wire [7:0] IP_DATA_IN;
wire [7:0] IP_DATA_OUT;
bus_to_ip #(
.BASEADDR(BASEADDR),
.HIGHADDR(HIGHADDR),
.ABUSWIDTH(ABUSWIDTH)
) i_bus_to_ip (
.BUS_RD(BUS_RD),
.BUS_WR(BUS_WR),
.BUS_ADD(BUS_ADD),
.BUS_DATA(BUS_DATA),
.IP_RD(IP_RD),
.IP_WR(IP_WR),
.IP_ADD(IP_ADD),
.IP_DATA_IN(IP_DATA_IN),
.IP_DATA_OUT(IP_DATA_OUT)
);
m26_rx_core #(
.ABUSWIDTH(ABUSWIDTH),
.IDENTIFIER(IDENTIFIER),
.HEADER(HEADER)
) i_m26_rx_core (
.BUS_CLK(BUS_CLK),
.BUS_RST(BUS_RST),
.BUS_ADD(IP_ADD),
.BUS_DATA_IN(IP_DATA_IN),
.BUS_RD(IP_RD),
.BUS_WR(IP_WR),
.BUS_DATA_OUT(IP_DATA_OUT),
.CLK_RX(CLK_RX),
.MKD_RX(MKD_RX),
.DATA_RX(DATA_RX),
.FIFO_READ(FIFO_READ),
.FIFO_EMPTY(FIFO_EMPTY),
.FIFO_DATA(FIFO_DATA),
.TIMESTAMP(TIMESTAMP),
.LOST_ERROR(LOST_ERROR),
.INVALID(INVALID),
.INVALID_FLAG(INVALID_FLAG)
);
endmodule
|
(*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* http://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*)
(** Encoding and utility functions to support the result of evaluation
over CAMP patterns. *)
Require Import String.
Require Import List.
Require Import EquivDec.
Require Import Morphisms.
Require Import Utils.
Require Import DataRuntime.
Section CAMPUtil.
Local Open Scope string.
(** Evaluating a CAMP pattern returns a presult, which includes two
kinds of errors: either a match failure, which is recoverable, or a
terminal error. *)
Inductive presult A :=
| TerminalError : presult A
| RecoverableError : presult A
| Success (res:A) : presult A.
(* begin hide *)
Arguments TerminalError {A}.
Arguments RecoverableError {A}.
Arguments Success {A} res.
(* end hide *)
(** Equality between two presult is decidable *)
Global Instance presult_eqdec {A} {dec:EqDec A eq} :
EqDec (presult A) eq.
Proof.
red; unfold equiv, complement.
destruct x; destruct y; simpl;
try solve [right; inversion 1]; eauto 2.
destruct (res == res0); unfold equiv, complement in *;
[left | right; inversion 1]; congruence.
Defined.
(** Prints a presult *)
Global Instance print_presult {A} {tos:ToString A} : ToString (presult A)
:= { toString :=
fun pr =>
match pr with
| TerminalError => "TerminalError (for more info, use debug mode)"
| RecoverableError => "RecoverableError (for more info, use debug mode)"
| Success res => "Success: " ++ toString res
end
}.
(** Lifting functions used in the evaluation of patterns. Used to handle the various possible presults during evaluation. *)
Definition liftpr {A B:Type} (f:A->B) (pr:presult A) : presult B
:= match pr with
| TerminalError => TerminalError
| RecoverableError => RecoverableError
| Success a => Success (f a)
end.
Definition bindpr {A B:Type} (pr:presult A) (f:A->presult B) : presult B
:= match pr with
| TerminalError => TerminalError
| RecoverableError => RecoverableError
| Success a => (f a)
end.
Lemma liftpr_bindpr {A B:Type} (f:A->B) pr :
liftpr f pr = bindpr pr (fun x => Success (f x)).
Proof.
destruct pr; simpl; trivial.
Qed.
(** Accumulates successful evaluation, skipping match failures. A terminal error is always final. *)
Fixpoint gather_successes {A:Type} (os:list (presult A)) : presult (list A)
:= match os with
| nil => Success nil
| (TerminalError)::xs => TerminalError
| (RecoverableError)::xs => gather_successes xs
| (Success a)::xs => liftpr (cons a) (gather_successes xs)
end.
(** Accumulates successful evaluation, but propagating match failure to the top *)
Definition enforce_successes {A:Type} (os:list (presult A)) : presult (list A)
:= match os with
| nil => Success nil
| (TerminalError)::xs => TerminalError
| (RecoverableError)::xs => RecoverableError
| (Success a)::xs => liftpr (cons a) (gather_successes xs)
end.
Definition op2tpr {A:Type} (o:option A) : presult A
:= match o with
| None => TerminalError
| Some x => Success x
end.
Definition op2rpr {A:Type} (o:option A) : presult A
:= match o with
| None => RecoverableError
| Some x => Success x
end.
Section Debug.
(* If we were reasoning about this formally, we would want to use a proper
one-hole context. Since we are not, a trail of choices suffices *)
Definition camp_src_path
:= list nat.
Inductive presult_debug A :=
| TerminalError_debug (s:string) (loc:camp_src_path) : presult_debug A
| RecoverableError_debug (s:string) : presult_debug A
| Success_debug (res:A) : presult_debug A.
(* begin hide *)
Arguments TerminalError_debug {A} s loc.
Arguments RecoverableError_debug {A} s.
Arguments Success_debug {A} res.
(* end hide *)
(* Not a ToString instance since it requires the top level pattern *)
Definition print_presult_debug {A} {B} {tos:ToString A} (p:B)
(pPrint:B -> camp_src_path -> string) (pr:presult_debug A)
:= match pr with
| TerminalError_debug s loc => "TerminalError: " ++ s ++ ". This error occurred in the bracketed code: \n" ++ (pPrint p (rev loc))
| RecoverableError_debug s => "RecoverableError: " ++ s
| Success_debug res => "Success: " ++ toString res
end.
(** Useful lifting functions used in the evaluation of patterns. Used to handle the various possible presults *)
Definition liftpr_debug {A B:Type} (f:A->B) (pr:presult_debug A) : presult_debug B
:= match pr with
| TerminalError_debug s loc => TerminalError_debug s loc
| RecoverableError_debug s => RecoverableError_debug s
| Success_debug a => Success_debug (f a)
end.
Definition bindpr_debug {A B:Type} (pr:presult_debug A) (f:A->presult_debug B) : presult_debug B
:= match pr with
| TerminalError_debug s loc => TerminalError_debug s loc
| RecoverableError_debug s => RecoverableError_debug s
| Success_debug a => (f a)
end.
Lemma liftpr_debug_bindpr_debug {A B:Type} (f:A->B) pr :
liftpr_debug f pr = bindpr_debug pr (fun x => Success_debug (f x)).
Proof.
destruct pr; simpl; trivial.
Qed.
Fixpoint gather_successes_debug {A:Type} (os:list (presult_debug A)) : presult_debug (list A)
:= match os with
| nil => Success_debug nil
| (TerminalError_debug s loc)::xs => TerminalError_debug s loc
| (RecoverableError_debug s)::xs => gather_successes_debug xs
| (Success_debug a)::xs => liftpr_debug (cons a) (gather_successes_debug xs)
end.
Definition enforce_successes_debug {A:Type} (os:list (presult_debug A)) : presult_debug (list A)
:= match os with
| nil => Success_debug nil
| (TerminalError_debug s loc)::xs => TerminalError_debug s loc
| (RecoverableError_debug s)::xs => RecoverableError_debug s
| (Success_debug a)::xs => liftpr_debug (cons a) (gather_successes_debug xs)
end.
Definition op2tpr_debug {A:Type} (err:string) (loc:camp_src_path) (o:option A) : presult_debug A
:= match o with
| None => TerminalError_debug err loc
| Some x => Success_debug x
end.
Definition op2rpr_debug {A:Type} (err:string) (o:option A) : presult_debug A
:= match o with
| None => RecoverableError_debug err
| Some x => Success_debug x
end.
Definition presult_same {A} (res:presult A) (res_debug:presult_debug A) :=
match res, res_debug with
| TerminalError, TerminalError_debug _ _ => True
| RecoverableError, RecoverableError_debug _ => True
| Success x, Success_debug y => x = y
| _, _ => False
end.
Lemma liftpr_presult_same {A B} (f:A->B) d1 d2 :
presult_same d1 d2 ->
presult_same (liftpr f d1) (liftpr_debug f d2).
Proof.
unfold presult_same.
destruct d1; destruct d2; simpl; congruence.
Qed.
Lemma gather_successes_presult_same {A} d1 d2 :
Forall2 (@presult_same A) d1 d2 ->
presult_same (gather_successes d1) (gather_successes_debug d2).
Proof.
unfold presult_same.
induction 1; simpl; trivial.
destruct x; destruct y; destruct (gather_successes l); destruct (gather_successes_debug l'); simpl in *; subst; intuition.
Qed.
Lemma bindpr_presult_same {A B} d1 d2 f1 f2:
presult_same d1 d2 ->
(forall x, presult_same (f1 x) (f2 x)) ->
presult_same (@bindpr A B d1 f1) (bindpr_debug d2 f2).
Proof.
destruct d1; destruct d2; simpl in *; try tauto.
intros; subst; auto.
Qed.
End Debug.
(** Maps the input/output(s) between NNRC and CAMP *)
Definition pr2op {A:Type} (pr:presult A) : option A
:= match pr with
| Success a => Some a
| _ => None
end.
Lemma pr2op_op2tpr {A:Type} (op:option A) :
pr2op (op2tpr op) = op.
Proof.
destruct op; trivial.
Qed.
Lemma pr2op_op2rpr {A:Type} (op:option A) :
pr2op (op2rpr op) = op.
Proof.
destruct op; trivial.
Qed.
Definition isRecoverableError {A:Type} (pr:presult A)
:= match pr with
| RecoverableError => true
| _ => false
end.
Lemma op2tpr_not_recoverable {A:Type} (op:option A) :
isRecoverableError (op2tpr op) = false.
Proof.
destruct op; trivial.
Qed.
Lemma isRecoverableError_liftpr {A B:Type} (f:A->B) (pr:presult A)
: isRecoverableError (liftpr f pr) = isRecoverableError pr.
Proof.
destruct pr; trivial.
Qed.
End CAMPUtil.
(* begin hide *)
Arguments TerminalError {A}.
Arguments RecoverableError {A}.
Arguments Success {A} res.
Arguments TerminalError {A}.
Arguments RecoverableError {A}.
Arguments Success {A} res.
Arguments TerminalError_debug {A} s loc.
Arguments RecoverableError_debug {A} s.
Arguments Success_debug {A} res.
(* end hide *)
|
module ascii (
input clk,
input scan_ready,
input [7:0] scan_code,
output [7:0] ascii
);
reg [7:0] r_ascii;
reg [1:0] scan_ready_edge_detect = 2'b00;
assign ascii = r_ascii;
//reg keyup = 0;
reg extended = 0;
reg shift = 0;
reg [1:0] caps = 2'b00;
wire caps_lock;
reg [7:0] code;
reg [7:0] key_code [2:0];
reg [1:0] key_mem_index = 2'b00;
reg [1:0] key_current_index = 2'b00;
reg key_clear = 0;
reg [7:0] current_code;
reg [7:0] break_code;
reg [7:0] state_code;
reg [2:0] state_reg = 2'b00;
// state machine parameters
parameter st_idle = 3'b000;
parameter st_code_1 = 3'b001;
parameter st_code_2 = 3'b010;
parameter st_code_3 = 3'b011;
parameter st_break = 3'b100;
parameter st_extended = 3'b101;
parameter st_ready = 3'b110;
assign caps_lock = caps[0]; // odd number of presses
// posedge of the ps2 clock
always @(posedge clk) begin
scan_ready_edge_detect <= {scan_ready_edge_detect[0], scan_ready};
end
always @(posedge clk) begin
case (state_reg)
st_idle:
begin
if (scan_ready_edge_detect == 2'b01) begin
current_code <= scan_code;
state_reg <= st_code_1;
end
end
st_code_1:
begin
state_code <= current_code;
state_reg <= st_code_2;
end
st_code_2:
begin
// break code
if (state_code == 8'hf0) begin
state_reg <= st_break;
end else begin
state_reg <= st_code_3;
end
end
st_code_3:
begin
state_reg <= st_ready;
end
st_break:
begin
// key up
code <= 8'h00;
if (scan_ready_edge_detect == 2'b01) begin
state_reg <= st_idle;
break_code <= scan_code;
end
end
st_extended:
begin
end
st_ready:
begin
code <= state_code;
state_reg <= st_idle;
end
default:
begin
end
endcase
end
// Caps lock
always @(posedge clk) begin
if (scan_ready_edge_detect == 2'b01 && code == 8'h58) begin
caps <= caps + 2'b1;
end
end
// LEFT SHIFT || RIGHT SHIFT
always @(posedge clk) begin
if (code == 8'h12 || code == 8'h59) begin
shift <= 1;
end else if (break_code == 8'h12 || break_code == 8'h59) begin
shift <= 0;
end
end
always @(posedge clk) begin
if (extended) begin
//extended <= 0;
case (code)
// nand2tetris special codes
8'h6b: r_ascii <= 8'd130; // L ARROW
8'h75: r_ascii <= 8'd131; // UP ARROW
8'h74: r_ascii <= 8'd132; // R ARROW
8'h72: r_ascii <= 8'd133; // DOWN ARROW
8'h6c: r_ascii <= 8'd134; // HOME
8'h69: r_ascii <= 8'd135; // END
8'h7d: r_ascii <= 8'd136; // PAGE UP
8'h7a: r_ascii <= 8'd137; // PAGE DOWN
8'h70: r_ascii <= 8'd138; // INSERT
8'h71: r_ascii <= 8'd139; // DELETE
default: r_ascii <= 8'd0; // null
endcase
end else
if ((shift && !caps_lock) || (caps_lock && !shift)) begin
case (code)
8'h29: r_ascii <= 8'd32; // [space]
8'h16: r_ascii <= 8'd33; // !
8'h52: r_ascii <= 8'd34; // "
8'h26: r_ascii <= 8'd35; // #
8'h25: r_ascii <= 8'd36; // $
8'h2e: r_ascii <= 8'd37; // %
8'h3d: r_ascii <= 8'd38; // &
8'h46: r_ascii <= 8'd40; // (
8'h45: r_ascii <= 8'd41; // )
8'h3e: r_ascii <= 8'd42; // *
8'h55: r_ascii <= 8'd43; // +
8'h4c: r_ascii <= 8'd58; // :
8'h41: r_ascii <= 8'd60; // <
8'h49: r_ascii <= 8'd62; // >
8'h4a: r_ascii <= 8'd63; // ?
8'h1e: r_ascii <= 8'd64; // @
8'h1c: r_ascii <= 8'd65; // A
8'h32: r_ascii <= 8'd66; // B
8'h21: r_ascii <= 8'd67; // C
8'h23: r_ascii <= 8'd68; // D
8'h24: r_ascii <= 8'd69; // E
8'h2b: r_ascii <= 8'd70; // F
8'h34: r_ascii <= 8'd71; // G
8'h33: r_ascii <= 8'd72; // H
8'h43: r_ascii <= 8'd73; // I
8'h3b: r_ascii <= 8'd74; // J
8'h42: r_ascii <= 8'd75; // K
8'h4b: r_ascii <= 8'd76; // L
8'h3a: r_ascii <= 8'd77; // M
8'h31: r_ascii <= 8'd78; // N
8'h44: r_ascii <= 8'd79; // O
8'h4d: r_ascii <= 8'd80; // P
8'h15: r_ascii <= 8'd81; // Q
8'h2d: r_ascii <= 8'd82; // R
8'h1b: r_ascii <= 8'd83; // S
8'h2c: r_ascii <= 8'd84; // T
8'h3c: r_ascii <= 8'd85; // U
8'h2a: r_ascii <= 8'd86; // V
8'h1d: r_ascii <= 8'd87; // W
8'h22: r_ascii <= 8'd88; // X
8'h35: r_ascii <= 8'd89; // Y
8'h1a: r_ascii <= 8'd90; // Z
8'h36: r_ascii <= 8'd94; // ^
8'h4e: r_ascii <= 8'd95; // _
8'h54: r_ascii <= 8'd123; // {
8'h5d: r_ascii <= 8'd124; // |
8'h5b: r_ascii <= 8'd125; // }
8'h0e: r_ascii <= 8'd126; // ~
default: r_ascii <= 8'd0; // null
endcase
end else begin
case (code)
8'h0d: r_ascii <= 8'd9; // [tab]
//8'h14: r_ascii <= L CTRL
//8'h11: r_ascii <= L ALT
//8'h7e: r_ascii <= SCROLL
//8'h77: r_ascii <= NUM
8'h29: r_ascii <= 8'd32; // [space]
8'h52: r_ascii <= 8'd39; // '
8'h7c: r_ascii <= 8'd42; // KP *
8'h79: r_ascii <= 8'd43; // KP +
8'h41: r_ascii <= 8'd44; // ,
8'h49: r_ascii <= 8'd46; // .
8'h71: r_ascii <= 8'd46; // KP .
8'h4e: r_ascii <= 8'd45; // -
8'h7b: r_ascii <= 8'd45; // KP -
8'h4a: r_ascii <= 8'd47; // /
8'h45: r_ascii <= 8'd48; // 0
8'h70: r_ascii <= 8'd48; // KP 0
8'h16: r_ascii <= 8'd49; // 1
8'h69: r_ascii <= 8'd49; // KP 1
8'h1e: r_ascii <= 8'd50; // 2
8'h72: r_ascii <= 8'd50; // KP 2
8'h26: r_ascii <= 8'd51; // 3
8'h7a: r_ascii <= 8'd51; // KP 3
8'h25: r_ascii <= 8'd52; // 4
8'h6b: r_ascii <= 8'd52; // KP 4
8'h2e: r_ascii <= 8'd53; // 5
8'h73: r_ascii <= 8'd53; // KP 5
8'h36: r_ascii <= 8'd54; // 6
8'h74: r_ascii <= 8'd54; // KP 6
8'h3d: r_ascii <= 8'd55; // 7
8'h6c: r_ascii <= 8'd55; // KP 7
8'h3e: r_ascii <= 8'd56; // 8
8'h75: r_ascii <= 8'd56; // KP 8
8'h46: r_ascii <= 8'd57; // 9
8'h7d: r_ascii <= 8'd57; // KP 9
8'h4c: r_ascii <= 8'd59; // ;
8'h55: r_ascii <= 8'd61; // =
8'h54: r_ascii <= 8'd91; // [
8'h5d: r_ascii <= 8'd92; // \
8'h5b: r_ascii <= 8'd93; // ]
8'h0e: r_ascii <= 8'd96; // `
8'h1c: r_ascii <= 8'd97; // a
8'h32: r_ascii <= 8'd98; // b
8'h21: r_ascii <= 8'd99; // c
8'h23: r_ascii <= 8'd100; // d
8'h24: r_ascii <= 8'd101; // e
8'h2b: r_ascii <= 8'd102; // f
8'h34: r_ascii <= 8'd103; // g
8'h33: r_ascii <= 8'd104; // h
8'h43: r_ascii <= 8'd105; // i
8'h3b: r_ascii <= 8'd106; // j
8'h42: r_ascii <= 8'd107; // k
8'h4b: r_ascii <= 8'd108; // l
8'h3a: r_ascii <= 8'd109; // m
8'h31: r_ascii <= 8'd110; // n
8'h44: r_ascii <= 8'd111; // o
8'h4d: r_ascii <= 8'd112; // p
8'h15: r_ascii <= 8'd113; // q
8'h2d: r_ascii <= 8'd114; // r
8'h1b: r_ascii <= 8'd115; // s
8'h2c: r_ascii <= 8'd116; // t
8'h3c: r_ascii <= 8'd117; // u
8'h2a: r_ascii <= 8'd118; // v
8'h1d: r_ascii <= 8'd119; // w
8'h22: r_ascii <= 8'd120; // x
8'h35: r_ascii <= 8'd121; // y
8'h1a: r_ascii <= 8'd122; // z
// nand2tetris special codes
8'h5a: r_ascii <= 8'd128; // [enter]
8'h66: r_ascii <= 8'd129; // [back space]
8'h76: r_ascii <= 8'd140; // ESCAPE
8'h05: r_ascii <= 8'd141; // F1
8'h06: r_ascii <= 8'd142; // F2
8'h04: r_ascii <= 8'd143; // F3
8'h0c: r_ascii <= 8'd144; // F4
8'h03: r_ascii <= 8'd145; // F5
8'h0b: r_ascii <= 8'd146; // F6
8'h83: r_ascii <= 8'd147; // F7
8'h0a: r_ascii <= 8'd148; // F8
8'h01: r_ascii <= 8'd149; // F9
8'h09: r_ascii <= 8'd150; // F10
8'h78: r_ascii <= 8'd151; // F11
8'h07: r_ascii <= 8'd152; // F12
default: r_ascii <= 8'd0; // null
endcase
end
end
endmodule
|
//local memory controller
module lmcnt
(
input CLK,
input RESET_X,
//cpu
input SOFT_RESET,
input START,
output reg FINISH,
input [1:0] MSEL_INPUTA_SEL,
input [1:0] MSEL_INPUTB_SEL,
input [1:0] MSEL_OUTPUTC_SEL,
input [9:0] M1POS,
input [9:0] M1SIZE,
input [9:0] M2POS,
input [9:0] M3POS,
//local mem
input [7:0] M0_RDATA,
output M1_WR,
output [9:0] M1_WADR,
output [7:0] M1_WDATA,
output [9:0] M1_RADR,
input [7:0] M1_RDATA,
output M2_WR,
output [9:0] M2_WADR,
output [7:0] M2_WDATA,
output [9:0] M2_RADR,
input [7:0] M2_RDATA,
output M3_WR,
output [9:0] M3_WADR,
output [7:0] M3_WDATA,
output [9:0] M3_RADR,
input [7:0] M3_RDATA,
//npu
output reg NPU_EN,
output reg [7:0] A_RDATA,
output reg [7:0] B_RDATA,
input LM_EN,
input [7:0] C_WDATA
);
wire rst_x;
assign rst_x = RESET_X & ~SOFT_RESET;
reg npu_en_r;
wire npu_en_w;
assign npu_en_w = START | npu_en_r;
reg [9:0] rcnt;
reg [9:0] wcnt;
always @ (posedge CLK or negedge rst_x)begin
if (rst_x == 0)begin
rcnt <= 0;
end else begin
if ((rcnt == 0) && (START == 1))begin
rcnt <= 1;
end else if((rcnt != 0) && (rcnt != 10'h3FF))begin
rcnt <= rcnt + 1;
end
end
end
always @ (posedge CLK or negedge rst_x)begin
if (rst_x == 0)begin
NPU_EN <= 0;
npu_en_r <= 0;
end else begin
NPU_EN <= npu_en_w;
if (START == 1)begin
npu_en_r <= 1;
end else if(rcnt == 10'h3FF)begin
npu_en_r <= 0;
end
end
end
always @ (posedge CLK or negedge rst_x)begin
if (rst_x == 0)begin
wcnt <= 0;
end else begin
if(LM_EN)begin
wcnt <= wcnt + 1;
end
end
end
always @ (posedge CLK or negedge rst_x)begin
if (rst_x == 0)begin
FINISH <= 0;
end else begin
if(wcnt == 10'h3FF)begin
FINISH <= 1;
end
end
end
//read
assign M1_RADR = rcnt;
assign M2_RADR = rcnt;
assign M3_RADR = rcnt;
always @ (posedge CLK or negedge rst_x)begin
if (rst_x == 0)begin
A_RDATA <= 0;
end else begin
case (MSEL_INPUTA_SEL)
2'b00: A_RDATA <= M0_RDATA;
2'b01: A_RDATA <= M1_RDATA;
2'b10: A_RDATA <= M2_RDATA;
2'b11: A_RDATA <= M3_RDATA;
endcase // case (MSEL_INPUTA_SEL)
end
end
always @ (posedge CLK or negedge rst_x)begin
if (rst_x == 0)begin
B_RDATA <= 0;
end else begin
case (MSEL_INPUTB_SEL)
2'b00: B_RDATA <= M0_RDATA;
2'b01: B_RDATA <= M1_RDATA;
2'b10: B_RDATA <= M2_RDATA;
2'b11: B_RDATA <= M3_RDATA;
endcase // case (MSEL_INPUTB_SEL)
end
end
//write
assign M1_WR = (MSEL_OUTPUTC_SEL == 2'b01) ? LM_EN : 0;
assign M2_WR = (MSEL_OUTPUTC_SEL == 2'b10) ? LM_EN : 0;
assign M3_WR = (MSEL_OUTPUTC_SEL == 2'b11) ? LM_EN : 0;
assign M1_WADR = wcnt;
assign M2_WADR = wcnt;
assign M3_WADR = wcnt;
assign M1_WDATA = C_WDATA;
assign M2_WDATA = C_WDATA;
assign M3_WDATA = C_WDATA;
endmodule // lmcnt
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__NAND3B_LP_V
`define SKY130_FD_SC_LP__NAND3B_LP_V
/**
* nand3b: 3-input NAND, first input inverted.
*
* Verilog wrapper for nand3b with size for low power.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__nand3b.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__nand3b_lp (
Y ,
A_N ,
B ,
C ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A_N ;
input B ;
input C ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__nand3b base (
.Y(Y),
.A_N(A_N),
.B(B),
.C(C),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__nand3b_lp (
Y ,
A_N,
B ,
C
);
output Y ;
input A_N;
input B ;
input C ;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__nand3b base (
.Y(Y),
.A_N(A_N),
.B(B),
.C(C)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__NAND3B_LP_V
|
//-----------------------------------------------------------------------------
//
// (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
//
// This file contains confidential and proprietary information
// of Xilinx, Inc. and is protected under U.S. and
// international copyright and other intellectual property
// laws.
//
// DISCLAIMER
// This disclaimer is not a license and does not grant any
// rights to the materials distributed herewith. Except as
// otherwise provided in a valid license issued to you by
// Xilinx, and to the maximum extent permitted by applicable
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
// (2) Xilinx shall not be liable (whether in contract or tort,
// including negligence, or under any other theory of
// liability) for any loss or damage of any kind or nature
// related to, arising under or in connection with these
// materials, including for any direct, or any indirect,
// special, incidental, or consequential loss or damage
// (including loss of data, profits, goodwill, or any type of
// loss or damage suffered as a result of any action brought
// by a third party) even if such damage or loss was
// reasonably foreseeable or Xilinx had been advised of the
// possibility of the same.
//
// CRITICAL APPLICATIONS
// Xilinx products are not designed or intended to be fail-
// safe, or for use in any application requiring fail-safe
// performance, such as life-support or safety devices or
// systems, Class III medical devices, nuclear facilities,
// applications related to the deployment of airbags, or any
// other applications that could lead to death, personal
// injury, or severe property or environmental damage
// (individually and collectively, "Critical
// Applications"). Customer assumes the sole risk and
// liability of any use of Xilinx products in Critical
// Applications, subject only to applicable laws and
// regulations governing limitations on product liability.
//
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
// PART OF THIS FILE AT ALL TIMES.
//
//-----------------------------------------------------------------------------
// Project : Series-7 Integrated Block for PCI Express
// File : pcie_7x_v1_3_gt_top.v
// Version : 1.3
//-- Description: GTX module for 7-series Integrated PCIe Block
//--
//--
//--
//--------------------------------------------------------------------------------
`timescale 1ns/1ns
module pcie_7x_v1_3_gt_top #
(
parameter LINK_CAP_MAX_LINK_WIDTH = 8, // 1 - x1 , 2 - x2 , 4 - x4 , 8 - x8
parameter REF_CLK_FREQ = 0, // 0 - 100 MHz , 1 - 125 MHz , 2 - 250 MHz
parameter USER_CLK2_DIV2 = "FALSE", // "FALSE" => user_clk2 = user_clk
// "TRUE" => user_clk2 = user_clk/2, where user_clk = 500 or 250 MHz.
parameter integer USER_CLK_FREQ = 3, // 0 - 31.25 MHz , 1 - 62.5 MHz , 2 - 125 MHz , 3 - 250 MHz , 4 - 500Mhz
parameter PL_FAST_TRAIN = "FALSE", // Simulation Speedup
parameter PCIE_EXT_CLK = "FALSE", // Use External Clocking
parameter PCIE_USE_MODE = "1.0" // 1.0 = K325T IES, 1.1 = VX485T IES, 3.0 = K325T GES
)
(
//-----------------------------------------------------------------------------------------------------------------//
// pl ltssm
input wire [5:0] pl_ltssm_state ,
// Pipe Per-Link Signals
input wire pipe_tx_rcvr_det ,
input wire pipe_tx_reset ,
input wire pipe_tx_rate ,
input wire pipe_tx_deemph ,
input wire [2:0] pipe_tx_margin ,
input wire pipe_tx_swing ,
//-----------------------------------------------------------------------------------------------------------------//
// Clock Inputs //
//-----------------------------------------------------------------------------------------------------------------//
input PIPE_PCLK_IN,
input [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] PIPE_RXUSRCLK_IN,
input PIPE_RXOUTCLK_IN,
input PIPE_DCLK_IN,
input PIPE_USERCLK1_IN,
input PIPE_USERCLK2_IN,
input PIPE_OOBCLK_IN,
input PIPE_MMCM_LOCK_IN,
output PIPE_TXOUTCLK_OUT,
output [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] PIPE_RXOUTCLK_OUT,
output [(LINK_CAP_MAX_LINK_WIDTH - 1) : 0] PIPE_PCLK_SEL_OUT,
output PIPE_GEN3_OUT,
// Pipe Per-Lane Signals - Lane 0
output wire [ 1:0] pipe_rx0_char_is_k ,
output wire [15:0] pipe_rx0_data ,
output wire pipe_rx0_valid ,
output wire pipe_rx0_chanisaligned ,
output wire [ 2:0] pipe_rx0_status ,
output wire pipe_rx0_phy_status ,
output wire pipe_rx0_elec_idle ,
input wire pipe_rx0_polarity ,
input wire pipe_tx0_compliance ,
input wire [ 1:0] pipe_tx0_char_is_k ,
input wire [15:0] pipe_tx0_data ,
input wire pipe_tx0_elec_idle ,
input wire [ 1:0] pipe_tx0_powerdown ,
// Pipe Per-Lane Signals - Lane 1
output wire [ 1:0] pipe_rx1_char_is_k ,
output wire [15:0] pipe_rx1_data ,
output wire pipe_rx1_valid ,
output wire pipe_rx1_chanisaligned ,
output wire [ 2:0] pipe_rx1_status ,
output wire pipe_rx1_phy_status ,
output wire pipe_rx1_elec_idle ,
input wire pipe_rx1_polarity ,
input wire pipe_tx1_compliance ,
input wire [ 1:0] pipe_tx1_char_is_k ,
input wire [15:0] pipe_tx1_data ,
input wire pipe_tx1_elec_idle ,
input wire [ 1:0] pipe_tx1_powerdown ,
// Pipe Per-Lane Signals - Lane 2
output wire [ 1:0] pipe_rx2_char_is_k ,
output wire [15:0] pipe_rx2_data ,
output wire pipe_rx2_valid ,
output wire pipe_rx2_chanisaligned ,
output wire [ 2:0] pipe_rx2_status ,
output wire pipe_rx2_phy_status ,
output wire pipe_rx2_elec_idle ,
input wire pipe_rx2_polarity ,
input wire pipe_tx2_compliance ,
input wire [ 1:0] pipe_tx2_char_is_k ,
input wire [15:0] pipe_tx2_data ,
input wire pipe_tx2_elec_idle ,
input wire [ 1:0] pipe_tx2_powerdown ,
// Pipe Per-Lane Signals - Lane 3
output wire [ 1:0] pipe_rx3_char_is_k ,
output wire [15:0] pipe_rx3_data ,
output wire pipe_rx3_valid ,
output wire pipe_rx3_chanisaligned ,
output wire [ 2:0] pipe_rx3_status ,
output wire pipe_rx3_phy_status ,
output wire pipe_rx3_elec_idle ,
input wire pipe_rx3_polarity ,
input wire pipe_tx3_compliance ,
input wire [ 1:0] pipe_tx3_char_is_k ,
input wire [15:0] pipe_tx3_data ,
input wire pipe_tx3_elec_idle ,
input wire [ 1:0] pipe_tx3_powerdown ,
// Pipe Per-Lane Signals - Lane 4
output wire [ 1:0] pipe_rx4_char_is_k ,
output wire [15:0] pipe_rx4_data ,
output wire pipe_rx4_valid ,
output wire pipe_rx4_chanisaligned ,
output wire [ 2:0] pipe_rx4_status ,
output wire pipe_rx4_phy_status ,
output wire pipe_rx4_elec_idle ,
input wire pipe_rx4_polarity ,
input wire pipe_tx4_compliance ,
input wire [ 1:0] pipe_tx4_char_is_k ,
input wire [15:0] pipe_tx4_data ,
input wire pipe_tx4_elec_idle ,
input wire [ 1:0] pipe_tx4_powerdown ,
// Pipe Per-Lane Signals - Lane 5
output wire [ 1:0] pipe_rx5_char_is_k ,
output wire [15:0] pipe_rx5_data ,
output wire pipe_rx5_valid ,
output wire pipe_rx5_chanisaligned ,
output wire [ 2:0] pipe_rx5_status ,
output wire pipe_rx5_phy_status ,
output wire pipe_rx5_elec_idle ,
input wire pipe_rx5_polarity ,
input wire pipe_tx5_compliance ,
input wire [ 1:0] pipe_tx5_char_is_k ,
input wire [15:0] pipe_tx5_data ,
input wire pipe_tx5_elec_idle ,
input wire [ 1:0] pipe_tx5_powerdown ,
// Pipe Per-Lane Signals - Lane 6
output wire [ 1:0] pipe_rx6_char_is_k ,
output wire [15:0] pipe_rx6_data ,
output wire pipe_rx6_valid ,
output wire pipe_rx6_chanisaligned ,
output wire [ 2:0] pipe_rx6_status ,
output wire pipe_rx6_phy_status ,
output wire pipe_rx6_elec_idle ,
input wire pipe_rx6_polarity ,
input wire pipe_tx6_compliance ,
input wire [ 1:0] pipe_tx6_char_is_k ,
input wire [15:0] pipe_tx6_data ,
input wire pipe_tx6_elec_idle ,
input wire [ 1:0] pipe_tx6_powerdown ,
// Pipe Per-Lane Signals - Lane 7
output wire [ 1:0] pipe_rx7_char_is_k ,
output wire [15:0] pipe_rx7_data ,
output wire pipe_rx7_valid ,
output wire pipe_rx7_chanisaligned ,
output wire [ 2:0] pipe_rx7_status ,
output wire pipe_rx7_phy_status ,
output wire pipe_rx7_elec_idle ,
input wire pipe_rx7_polarity ,
input wire pipe_tx7_compliance ,
input wire [ 1:0] pipe_tx7_char_is_k ,
input wire [15:0] pipe_tx7_data ,
input wire pipe_tx7_elec_idle ,
input wire [ 1:0] pipe_tx7_powerdown ,
// PCI Express signals
output wire [ (LINK_CAP_MAX_LINK_WIDTH-1):0] pci_exp_txn ,
output wire [ (LINK_CAP_MAX_LINK_WIDTH-1):0] pci_exp_txp ,
input wire [ (LINK_CAP_MAX_LINK_WIDTH-1):0] pci_exp_rxn ,
input wire [ (LINK_CAP_MAX_LINK_WIDTH-1):0] pci_exp_rxp ,
// Non PIPE signals
input wire sys_clk ,
input wire sys_rst_n ,
output wire pipe_clk ,
output wire user_clk ,
output wire user_clk2 ,
output wire phy_rdy_n
);
parameter TCQ = 1; // clock to out delay model
localparam USERCLK2_FREQ = (USER_CLK2_DIV2 == "TRUE") ? (USER_CLK_FREQ == 4) ? 3 :
(USER_CLK_FREQ == 3) ? 2 : USER_CLK_FREQ
: USER_CLK_FREQ;
wire [ 7:0] gt_rx_phy_status_wire ;
wire [ 7:0] gt_rxchanisaligned_wire ;
wire [ 31:0] gt_rx_data_k_wire ;
wire [255:0] gt_rx_data_wire ;
wire [ 7:0] gt_rx_elec_idle_wire ;
wire [ 23:0] gt_rx_status_wire ;
wire [ 7:0] gt_rx_valid_wire ;
wire [ 7:0] gt_rx_polarity ;
wire [ 15:0] gt_power_down ;
wire [ 7:0] gt_tx_char_disp_mode ;
wire [ 31:0] gt_tx_data_k ;
wire [255:0] gt_tx_data ;
wire gt_tx_detect_rx_loopback ;
wire [ 7:0] gt_tx_elec_idle ;
wire [ 7:0] gt_rx_elec_idle_reset ;
wire [LINK_CAP_MAX_LINK_WIDTH-1:0] plllkdet ;
wire [LINK_CAP_MAX_LINK_WIDTH-1:0] phystatus_rst ;
wire clock_locked ;
wire [ 7:0] gt_rx_phy_status_wire_filter ;
wire [ 31:0] gt_rx_data_k_wire_filter ;
wire [255:0] gt_rx_data_wire_filter ;
wire [ 7:0] gt_rx_elec_idle_wire_filter ;
wire [ 23:0] gt_rx_status_wire_filter ;
wire [ 7:0] gt_rx_valid_wire_filter ;
wire pipe_clk_int;
wire phy_rdy_n_int;
reg [5:0] pl_ltssm_state_q;
always @(posedge pipe_clk_int or negedge clock_locked) begin
if (!clock_locked)
pl_ltssm_state_q <= #TCQ 6'b0;
else
pl_ltssm_state_q <= #TCQ pl_ltssm_state;
end
assign pipe_clk = pipe_clk_int ;
wire plm_in_l0 = (pl_ltssm_state_q == 6'h16);
wire plm_in_rl = (pl_ltssm_state_q == 6'h1c);
wire plm_in_dt = (pl_ltssm_state_q == 6'h2d);
wire plm_in_rs = (pl_ltssm_state_q == 6'h1f);
//-------------RX FILTER Instantiation----------------------------------------------------------//
genvar i;
generate for (i=0; i<LINK_CAP_MAX_LINK_WIDTH; i=i+1)
begin : gt_rx_valid_filter
pcie_7x_v1_3_gt_rx_valid_filter_7x # (
.CLK_COR_MIN_LAT(28)
)
GT_RX_VALID_FILTER_7x_inst (
.USER_RXCHARISK ( gt_rx_data_k_wire [(2*i)+1 + (2*i):(2*i)+ (2*i)] ), //O
.USER_RXDATA ( gt_rx_data_wire [(16*i)+15+(16*i) :(16*i)+0 + (16*i)] ), //O
.USER_RXVALID ( gt_rx_valid_wire [i] ), //O
.USER_RXELECIDLE ( gt_rx_elec_idle_wire [i] ), //O
.USER_RX_STATUS ( gt_rx_status_wire [(3*i)+2:(3*i)] ), //O
.USER_RX_PHY_STATUS ( gt_rx_phy_status_wire [i] ), //O
.GT_RXCHARISK ( gt_rx_data_k_wire_filter [(2*i)+1+ (2*i):2*i+ (2*i)] ), //I
.GT_RXDATA ( gt_rx_data_wire_filter [(16*i)+15+(16*i) :(16*i)+0+(16*i)] ), //I
.GT_RXVALID ( gt_rx_valid_wire_filter [i] ), //I
.GT_RXELECIDLE ( gt_rx_elec_idle_wire_filter [i] ), //I
.GT_RX_STATUS ( gt_rx_status_wire_filter [(3*i)+2:(3*i)] ), //I
.GT_RX_PHY_STATUS ( gt_rx_phy_status_wire_filter [i] ),
.PLM_IN_L0 ( plm_in_l0 ), //I
.PLM_IN_RS ( plm_in_rs ), //I
.USER_CLK ( pipe_clk_int ), //I
.RESET ( phy_rdy_n_int ) //I
);
end
endgenerate
//---------- GT Instantiation ---------------------------------------------------------------
pcie_7x_v1_3_pipe_wrapper #
(
.PCIE_SIM_MODE ( PL_FAST_TRAIN ),
.PCIE_EXT_CLK ( PCIE_EXT_CLK ),
.PCIE_TXBUF_EN ( "FALSE" ),
.PCIE_CHAN_BOND ( 0 ),
.PCIE_PLL_SEL ( "CPLL" ),
.PCIE_USE_MODE ( PCIE_USE_MODE ),
`ifdef SIMULATION
.PCIE_LPM_DFE ( "DFE" ),
`else
.PCIE_LPM_DFE ( "LPM" ),
`endif
.PCIE_LANE ( LINK_CAP_MAX_LINK_WIDTH ),
`ifdef SIMULATION
.PCIE_LINK_SPEED ( 2 ),
`else
.PCIE_LINK_SPEED ( 3 ),
`endif
.PCIE_REFCLK_FREQ ( REF_CLK_FREQ ),
.PCIE_USERCLK1_FREQ ( USER_CLK_FREQ +1 ),
.PCIE_USERCLK2_FREQ ( USERCLK2_FREQ +1 )
) pipe_wrapper_i (
//---------- PIPE Clock & Reset Ports ------------------
.PIPE_CLK ( sys_clk ),
.PIPE_RESET_N ( sys_rst_n ),
.PIPE_PCLK ( pipe_clk_int ),
//---------- PIPE TX Data Ports ------------------
.PIPE_TXDATA ( gt_tx_data[((32*LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_TXDATAK ( gt_tx_data_k[((4*LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_TXP ( pci_exp_txp[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_TXN ( pci_exp_txn[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
//---------- PIPE RX Data Ports ------------------
.PIPE_RXP ( pci_exp_rxp[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RXN ( pci_exp_rxn[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RXDATA ( gt_rx_data_wire_filter[((32*LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RXDATAK ( gt_rx_data_k_wire_filter[((4*LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
//---------- PIPE Command Ports ------------------
.PIPE_TXDETECTRX ( gt_tx_detect_rx_loopback ),
.PIPE_TXELECIDLE ( gt_tx_elec_idle[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_TXCOMPLIANCE ( gt_tx_char_disp_mode[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RXPOLARITY ( gt_rx_polarity[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_POWERDOWN ( gt_power_down[((2*LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RATE ( {1'b0,pipe_tx_rate} ),
//---------- PIPE Electrical Command Ports ------------------
.PIPE_TXMARGIN ( pipe_tx_margin[2] ),
.PIPE_TXSWING ( pipe_tx_swing ),
.PIPE_TXDEEMPH ( {1*LINK_CAP_MAX_LINK_WIDTH{{5'd0,pipe_tx_deemph}}} ),
.PIPE_TXEQ_CONTROL ( {2*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_TXEQ_PRESET ( {4*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_TXEQ_PRESET_DEFAULT ( {4*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_RXEQ_CONTROL ( {2*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_RXEQ_PRESET ( {4*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_RXEQ_LFFS ( {6*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_RXEQ_TXPRESET ( {4*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_TXEQ_FS ( ),
.PIPE_TXEQ_LF ( ),
.PIPE_TXEQ_DEEMPH ( ),
.PIPE_TXEQ_DONE ( ),
.PIPE_RXEQ_NEW_TXCOEFF ( ),
.PIPE_RXEQ_LFFS_SEL ( ),
.PIPE_RXEQ_ADAPT_DONE ( ),
.PIPE_RXEQ_DONE ( ),
//---------- PIPE Status Ports -------------------
.PIPE_RXVALID ( gt_rx_valid_wire_filter[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_PHYSTATUS ( gt_rx_phy_status_wire_filter[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_PHYSTATUS_RST ( phystatus_rst ),
.PIPE_RXELECIDLE ( gt_rx_elec_idle_wire_filter[((LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RXSTATUS ( gt_rx_status_wire_filter[((3*LINK_CAP_MAX_LINK_WIDTH)-1):0] ),
.PIPE_RXBUFSTATUS ( ),
//---------- PIPE User Ports ---------------------------
.PIPE_RXSLIDE ( {1*LINK_CAP_MAX_LINK_WIDTH{1'b0}} ),
.PIPE_CPLL_LOCK ( plllkdet ),
.PIPE_QPLL_LOCK ( ),
.PIPE_PCLK_LOCK ( clock_locked ),
.PIPE_RXCDRLOCK ( ),
.PIPE_USERCLK1 ( user_clk ),
.PIPE_USERCLK2 ( user_clk2 ),
.PIPE_RXUSRCLK ( ),
.PIPE_RXOUTCLK ( ),
.PIPE_TXSYNC_DONE ( ),
.PIPE_RXSYNC_DONE ( ),
.PIPE_GEN3_RDY ( ),
.PIPE_RXCHANISALIGNED ( gt_rxchanisaligned_wire ),
.PIPE_ACTIVE_LANE ( ),
//---------- External Clock Ports ---------------------------
.PIPE_PCLK_IN ( PIPE_PCLK_IN ),
.PIPE_RXUSRCLK_IN ( PIPE_RXUSRCLK_IN ),
.PIPE_RXOUTCLK_IN ( PIPE_RXOUTCLK_IN ),
.PIPE_DCLK_IN ( PIPE_DCLK_IN ),
.PIPE_USERCLK1_IN ( PIPE_USERCLK1_IN ),
.PIPE_USERCLK2_IN ( PIPE_USERCLK2_IN ),
.PIPE_MMCM_LOCK_IN ( PIPE_MMCM_LOCK_IN ),
.PIPE_TXOUTCLK_OUT ( PIPE_TXOUTCLK_OUT ),
.PIPE_RXOUTCLK_OUT ( PIPE_RXOUTCLK_OUT ),
.PIPE_PCLK_SEL_OUT ( PIPE_PCLK_SEL_OUT ),
.PIPE_GEN3_OUT ( PIPE_GEN3_OUT ),
//---------- PRBS/Loopback Ports ---------------------------
.PIPE_TXPRBSSEL ( 3'b0 ),
.PIPE_RXPRBSSEL ( 3'b0 ),
.PIPE_TXPRBSFORCEERR ( 1'b0 ),
.PIPE_RXPRBSCNTRESET ( 1'b0 ),
.PIPE_LOOPBACK ( 3'b0 ),
.PIPE_RXPRBSERR ( ),
//---------- FSM Ports ---------------------------
.PIPE_RST_FSM ( ),
.PIPE_QRST_FSM ( ),
.PIPE_RATE_FSM ( ),
.PIPE_SYNC_FSM_TX ( ),
.PIPE_SYNC_FSM_RX ( ),
.PIPE_DRP_FSM ( ),
.PIPE_TXEQ_FSM ( ),
.PIPE_RXEQ_FSM ( ),
.PIPE_QDRP_FSM ( ),
.PIPE_RST_IDLE ( ),
.PIPE_QRST_IDLE ( ),
.PIPE_RATE_IDLE ( ),
//---------- DEBUG Ports ---------------------------
.PIPE_DEBUG_0 ( ),
.PIPE_DEBUG_1 ( ),
.PIPE_DEBUG_2 ( ),
.PIPE_DEBUG_3 ( ),
.PIPE_DEBUG_4 ( ),
.PIPE_DEBUG_5 ( ),
.PIPE_DEBUG_6 ( ),
.PIPE_DEBUG_7 ( ),
.PIPE_DEBUG_8 ( ),
.PIPE_DEBUG_9 ( ),
.PIPE_DEBUG ( ),
.PIPE_DMONITOROUT ( )
);
assign pipe_rx0_phy_status = gt_rx_phy_status_wire[0] ;
assign pipe_rx1_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? gt_rx_phy_status_wire[1] : 1'b0;
assign pipe_rx2_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_phy_status_wire[2] : 1'b0;
assign pipe_rx3_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_phy_status_wire[3] : 1'b0;
assign pipe_rx4_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_phy_status_wire[4] : 1'b0;
assign pipe_rx5_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_phy_status_wire[5] : 1'b0;
assign pipe_rx6_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_phy_status_wire[6] : 1'b0;
assign pipe_rx7_phy_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_phy_status_wire[7] : 1'b0;
assign pipe_rx0_chanisaligned = gt_rxchanisaligned_wire[0];
assign pipe_rx1_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? gt_rxchanisaligned_wire[1] : 1'b0 ;
assign pipe_rx2_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rxchanisaligned_wire[2] : 1'b0 ;
assign pipe_rx3_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rxchanisaligned_wire[3] : 1'b0 ;
assign pipe_rx4_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rxchanisaligned_wire[4] : 1'b0 ;
assign pipe_rx5_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rxchanisaligned_wire[5] : 1'b0 ;
assign pipe_rx6_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rxchanisaligned_wire[6] : 1'b0 ;
assign pipe_rx7_chanisaligned = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rxchanisaligned_wire[7] : 1'b0 ;
//<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
//<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
assign pipe_rx0_char_is_k = {gt_rx_data_k_wire[1], gt_rx_data_k_wire[0]};
assign pipe_rx1_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? {gt_rx_data_k_wire[5], gt_rx_data_k_wire[4]} : 2'b0 ;
assign pipe_rx2_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? {gt_rx_data_k_wire[9], gt_rx_data_k_wire[8]} : 2'b0 ;
assign pipe_rx3_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? {gt_rx_data_k_wire[13], gt_rx_data_k_wire[12]} : 2'b0 ;
assign pipe_rx4_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_k_wire[17], gt_rx_data_k_wire[16]} : 2'b0 ;
assign pipe_rx5_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_k_wire[21], gt_rx_data_k_wire[20]} : 2'b0 ;
assign pipe_rx6_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_k_wire[25], gt_rx_data_k_wire[24]} : 2'b0 ;
assign pipe_rx7_char_is_k = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_k_wire[29], gt_rx_data_k_wire[28]} : 2'b0 ;
assign pipe_rx0_data = {gt_rx_data_wire[ 15: 8], gt_rx_data_wire[ 7: 0]};
assign pipe_rx1_data = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? {gt_rx_data_wire[47:40], gt_rx_data_wire[39:32]} : 16'h0 ;
assign pipe_rx2_data = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? {gt_rx_data_wire[79:72], gt_rx_data_wire[71:64]} : 16'h0 ;
assign pipe_rx3_data = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? {gt_rx_data_wire[111:104], gt_rx_data_wire[103:96]} : 16'h0 ;
assign pipe_rx4_data = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_wire[143:136], gt_rx_data_wire[135:128]} : 16'h0 ;
assign pipe_rx5_data = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_wire[175:168], gt_rx_data_wire[167:160]} : 16'h0 ;
assign pipe_rx6_data = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_wire[207:200], gt_rx_data_wire[199:192]} : 16'h0 ;
assign pipe_rx7_data = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? {gt_rx_data_wire[239:232], gt_rx_data_wire[231:224]} : 16'h0 ;
assign pipe_rx0_status = gt_rx_status_wire[ 2: 0];
assign pipe_rx1_status = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? gt_rx_status_wire[ 5: 3] : 3'b0 ;
assign pipe_rx2_status = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_status_wire[ 8: 6] : 3'b0 ;
assign pipe_rx3_status = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_status_wire[11: 9] : 3'b0 ;
assign pipe_rx4_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_status_wire[14:12] : 3'b0 ;
assign pipe_rx5_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_status_wire[17:15] : 3'b0 ;
assign pipe_rx6_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_status_wire[20:18] : 3'b0 ;
assign pipe_rx7_status = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_status_wire[23:21] : 3'b0 ;
//<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
//<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<
assign pipe_rx0_elec_idle = gt_rx_elec_idle_wire[0];
assign pipe_rx1_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? gt_rx_elec_idle_wire[1] : 1'b1 ;
assign pipe_rx2_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_elec_idle_wire[2] : 1'b1 ;
assign pipe_rx3_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_elec_idle_wire[3] : 1'b1 ;
assign pipe_rx4_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_elec_idle_wire[4] : 1'b1 ;
assign pipe_rx5_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_elec_idle_wire[5] : 1'b1 ;
assign pipe_rx6_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_elec_idle_wire[6] : 1'b1 ;
assign pipe_rx7_elec_idle = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_elec_idle_wire[7] : 1'b1 ;
assign pipe_rx0_valid = gt_rx_valid_wire[0];
assign pipe_rx1_valid = (LINK_CAP_MAX_LINK_WIDTH >= 2 ) ? gt_rx_valid_wire[1] : 1'b0 ;
assign pipe_rx2_valid = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_valid_wire[2] : 1'b0 ;
assign pipe_rx3_valid = (LINK_CAP_MAX_LINK_WIDTH >= 4 ) ? gt_rx_valid_wire[3] : 1'b0 ;
assign pipe_rx4_valid = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_valid_wire[4] : 1'b0 ;
assign pipe_rx5_valid = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_valid_wire[5] : 1'b0 ;
assign pipe_rx6_valid = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_valid_wire[6] : 1'b0 ;
assign pipe_rx7_valid = (LINK_CAP_MAX_LINK_WIDTH >= 8 ) ? gt_rx_valid_wire[7] : 1'b0 ;
assign gt_rx_polarity[0] = pipe_rx0_polarity;
assign gt_rx_polarity[1] = pipe_rx1_polarity;
assign gt_rx_polarity[2] = pipe_rx2_polarity;
assign gt_rx_polarity[3] = pipe_rx3_polarity;
assign gt_rx_polarity[4] = pipe_rx4_polarity;
assign gt_rx_polarity[5] = pipe_rx5_polarity;
assign gt_rx_polarity[6] = pipe_rx6_polarity;
assign gt_rx_polarity[7] = pipe_rx7_polarity;
assign gt_power_down[ 1: 0] = pipe_tx0_powerdown;
assign gt_power_down[ 3: 2] = pipe_tx1_powerdown;
assign gt_power_down[ 5: 4] = pipe_tx2_powerdown;
assign gt_power_down[ 7: 6] = pipe_tx3_powerdown;
assign gt_power_down[ 9: 8] = pipe_tx4_powerdown;
assign gt_power_down[11:10] = pipe_tx5_powerdown;
assign gt_power_down[13:12] = pipe_tx6_powerdown;
assign gt_power_down[15:14] = pipe_tx7_powerdown;
assign gt_tx_char_disp_mode = {pipe_tx7_compliance,
pipe_tx6_compliance,
pipe_tx5_compliance,
pipe_tx4_compliance,
pipe_tx3_compliance,
pipe_tx2_compliance,
pipe_tx1_compliance,
pipe_tx0_compliance};
assign gt_tx_data_k = {2'd0,
pipe_tx7_char_is_k,
2'd0,
pipe_tx6_char_is_k,
2'd0,
pipe_tx5_char_is_k,
2'd0,
pipe_tx4_char_is_k,
2'd0,
pipe_tx3_char_is_k,
2'd0,
pipe_tx2_char_is_k,
2'd0,
pipe_tx1_char_is_k,
2'd0,
pipe_tx0_char_is_k};
assign gt_tx_data = {16'd0,
pipe_tx7_data,
16'd0,
pipe_tx6_data,
16'd0,
pipe_tx5_data,
16'd0,
pipe_tx4_data,
16'd0,
pipe_tx3_data,
16'd0,
pipe_tx2_data,
16'd0,
pipe_tx1_data,
16'd0,
pipe_tx0_data};
assign gt_tx_detect_rx_loopback = pipe_tx_rcvr_det;
assign gt_tx_elec_idle = {pipe_tx7_elec_idle,
pipe_tx6_elec_idle,
pipe_tx5_elec_idle,
pipe_tx4_elec_idle,
pipe_tx3_elec_idle,
pipe_tx2_elec_idle,
pipe_tx1_elec_idle,
pipe_tx0_elec_idle};
assign phy_rdy_n_int = (&phystatus_rst[LINK_CAP_MAX_LINK_WIDTH-1:0] & clock_locked);
assign phy_rdy_n = phy_rdy_n_int;
endmodule
|
// megafunction wizard: %ALTPLL%VBB%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altpll
// ============================================================
// File Name: PLL_100M.v
// Megafunction Name(s):
// altpll
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 16.0.2 Build 222 07/20/2016 SJ Standard Edition
// ************************************************************
//Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, the Altera Quartus Prime License Agreement,
//the Altera MegaCore Function License Agreement, or other
//applicable license agreement, including, without limitation,
//that your use is for the sole purpose of programming logic
//devices manufactured by Altera and sold by Altera or its
//authorized distributors. Please refer to the applicable
//agreement for further details.
module PLL_100M (
inclk0,
c0,
locked);
input inclk0;
output c0;
output locked;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000"
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "10.000"
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "10"
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "PLL_100M.mif"
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1"
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "10"
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "100000"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON"
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M.ppf TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M.bsf TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL PLL_100M_bb.v TRUE
// Retrieval info: LIB_FILE: altera_mf
// Retrieval info: CBX_MODULE_PREFIX: ON
|
`timescale 1ns / 1ps
`define clkperiodby2 10
////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 07:55:53 05/09/2015
// Design Name: multi_MAC_Base
// Module Name: /home/jayant/devel/ise_projects/mac/tb_mac_base.v
// Project Name: mac
// Target Device:
// Tool versions:
// Description:
//
// Verilog Test Fixture created by ISE for module: multi_MAC_Base
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
////////////////////////////////////////////////////////////////////////////////
module tb_mac_base;
// Inputs
reg clk;
reg sof;
reg [79:0] A;
reg [15:0] B;
// Outputs
wire [179:0] C;
wire [4:0] valid;
// Instantiate the Unit Under Test (UUT)
multi_MAC_Base uut (
.clk(clk),
.sof(sof),
.A(A),
.B(B),
.C(C),
.valid(valid)
);
initial begin
// Initialize Inputs
clk = 0;
sof = 0;
A = 0;
B = 0;
#310
A = 80'h00020007000900030005; B = 16'h0004;
#20
sof = 1'b1;
#200
#100
$stop;
end
always
#`clkperiodby2 clk <= ~clk;
endmodule
|
module ad9361_1t1r
(
AD9361_RX_Frame_P,
AD9361_RX_Frame_N,
AD9361_DATA_CLK_P,
AD9361_DATA_CLK_N,
AD9361_RX_DATA_P,
AD9361_RX_DATA_N,
AD9361_TX_Frame_P,
AD9361_TX_Frame_N,
AD9361_FB_CLK_P,
AD9361_FB_CLK_N,
AD9361_TX_DATA_P,
AD9361_TX_DATA_N,
clk,
rst,
rx_I,
rx_Q,
tx_I,
tx_Q,
rx_ce,
tx_ce
);
input AD9361_RX_Frame_P; // : in std_logic;
input AD9361_RX_Frame_N; // : in std_logic;
input AD9361_DATA_CLK_P; // : in std_logic;
input AD9361_DATA_CLK_N; // : in std_logic;
input [5:0]AD9361_RX_DATA_P; // : in std_logic_vector(5 downto 0);
input [5:0]AD9361_RX_DATA_N; // : in std_logic_vector(5 downto 0);
output AD9361_TX_Frame_P; // : out std_logic;
output AD9361_TX_Frame_N; // : out std_logic;
output AD9361_FB_CLK_P; // : out std_logic;
output AD9361_FB_CLK_N; // : out std_logic;
output [5:0]AD9361_TX_DATA_P; // : out std_logic_vector(5 downto 0);
output [5:0]AD9361_TX_DATA_N; // : out std_logic_vector(5 downto 0);
output clk;
input rst;
output reg [11:0]rx_I;
output reg [11:0]rx_Q;
input [11:0]tx_I;
input [11:0]tx_Q;
output reg rx_ce;
output reg tx_ce;
wire clk_out;
wire [13:0]rx;
reg [13:0]rx_h;
reg [13:0]tx;
reg [11:0]tx_I_reg;
reg [11:0]tx_Q_reg;
ddr_rx rx_if (
.data_in_from_pins_p({AD9361_RX_Frame_P,AD9361_RX_DATA_P}), // input wire [6 : 0] data_in_from_pins_p
.data_in_from_pins_n({AD9361_RX_Frame_N,AD9361_RX_DATA_N}), // input wire [6 : 0] data_in_from_pins_n
.clk_in_p(AD9361_DATA_CLK_P), // input wire clk_in_p
.clk_in_n(AD9361_DATA_CLK_N), // input wire clk_in_n
.io_reset(rst), // input wire io_reset
.clk_out(clk_out), // output wire clk_out
.data_in_to_device(rx) // output wire [13 : 0] data_in_to_device
);
ddr_tx tx_if (
.data_out_to_pins_p({AD9361_TX_Frame_P,AD9361_TX_DATA_P}), // output wire [6 : 0] data_out_to_pins_p
.data_out_to_pins_n({AD9361_TX_Frame_N,AD9361_TX_DATA_N}), // output wire [6 : 0] data_out_to_pins_n
.clk_in(clk_out), // input wire clk_in
.data_out_from_device(tx), // input wire [13 : 0] data_out_from_device
.clk_reset(rst), // input wire clk_reset
.io_reset(rst), // input wire io_reset
.clk_to_pins_p(AD9361_FB_CLK_P), // output wire clk_to_pins_p
.clk_to_pins_n(AD9361_FB_CLK_N) // output wire clk_to_pins_n
);
always @(posedge clk_out or posedge rst) begin
if (rst) begin
rx_h <= 14'h0;
rx_I <= 12'h0;
rx_Q <= 12'h0;
rx_ce <= 1'b0;
end
else if (rx[13]==1'b1) begin
rx_ce <= 1'b1;
rx_h <= rx;
end
else if(rx_ce==1'b1) begin
rx_ce <= 1'b0;
rx_I[11:6] = rx_h[5:0];
rx_Q[11:6] = rx_h[12:7];
rx_I[5:0] = rx[5:0];
rx_Q[5:0] = rx[12:7];
end
end
assign clk = clk_out;
always @(posedge clk_out or posedge rst) begin
if (rst) begin
tx_ce <= 1'b0;
tx <= 14'h0;
tx_I_reg <= 12'h0;
tx_Q_reg <= 12'h0;
end
else if (tx_ce==1'b1) begin
tx_ce <= 1'b0;
tx_I_reg <= tx_I;
tx_Q_reg <= tx_Q;
tx[5:0] <= tx_I[11:6];
tx[12:7] <= tx_Q[11:6];
tx[6] <= 1'b1;
tx[13] <= 1'b1;
end
else begin
tx_ce <= 1'b1;
tx[5:0] <= tx_I_reg[5:0];
tx[12:7] <= tx_Q_reg[5:0];
tx[6] <= 1'b0;
tx[13] <= 1'b0;
end
end
endmodule
|
module alt_mem_ddrx_ecc_decoder #
( parameter
CFG_DATA_WIDTH = 40,
CFG_ECC_CODE_WIDTH = 8,
CFG_ECC_DEC_REG = 1,
CFG_ECC_RDATA_REG = 0,
CFG_MMR_DRAM_DATA_WIDTH = 7,
CFG_MMR_LOCAL_DATA_WIDTH = 7,
CFG_PORT_WIDTH_ENABLE_ECC = 1
)
(
ctl_clk,
ctl_reset_n,
cfg_local_data_width,
cfg_dram_data_width,
cfg_enable_ecc,
input_data,
input_data_valid,
output_data,
output_data_valid,
output_ecc_code,
err_corrected,
err_detected,
err_fatal,
err_sbe
);
localparam CFG_ECC_DATA_WIDTH = (CFG_DATA_WIDTH > 8) ? (CFG_DATA_WIDTH - CFG_ECC_CODE_WIDTH) : (CFG_DATA_WIDTH);
input ctl_clk;
input ctl_reset_n;
input [CFG_MMR_DRAM_DATA_WIDTH - 1 : 0] cfg_local_data_width;
input [CFG_MMR_LOCAL_DATA_WIDTH - 1 : 0] cfg_dram_data_width;
input [CFG_PORT_WIDTH_ENABLE_ECC - 1 : 0] cfg_enable_ecc;
input [CFG_DATA_WIDTH - 1 : 0] input_data;
input input_data_valid;
output [CFG_DATA_WIDTH - 1 : 0] output_data;
output output_data_valid;
output [CFG_ECC_CODE_WIDTH - 1 : 0] output_ecc_code;
output err_corrected;
output err_detected;
output err_fatal;
output err_sbe;
//--------------------------------------------------------------------------------------------------------
//
// [START] Register & Wires
//
//--------------------------------------------------------------------------------------------------------
reg [CFG_DATA_WIDTH - 1 : 0] int_decoder_input;
reg [CFG_DATA_WIDTH - 1 : 0] int_decoder_input_data;
reg [CFG_DATA_WIDTH - 1 : 0] int_decoder_input_ecc_code;
reg [CFG_DATA_WIDTH - 1 : 0] or_int_decoder_input_ecc_code;
reg [CFG_DATA_WIDTH - 1 : 0] output_data;
reg output_data_valid;
reg [CFG_ECC_CODE_WIDTH - 1 : 0] output_ecc_code;
reg err_corrected;
reg err_detected;
reg err_fatal;
reg err_sbe;
wire int_err_corrected;
wire int_err_detected;
wire int_err_fatal;
wire int_err_sbe;
reg [CFG_ECC_CODE_WIDTH - 1 : 0] int_output_ecc_code;
wire [CFG_DATA_WIDTH - 1 : 0] decoder_input;
wire [CFG_ECC_DATA_WIDTH - 1 : 0] decoder_output;
reg decoder_output_valid;
reg [CFG_ECC_DATA_WIDTH - 1 : 0] decoder_output_r;
reg decoder_output_valid_r;
reg int_err_corrected_r;
reg int_err_detected_r;
reg int_err_fatal_r;
reg int_err_sbe_r;
reg [CFG_ECC_CODE_WIDTH - 1 : 0] int_output_ecc_code_r;
wire zero = 1'b0;
//--------------------------------------------------------------------------------------------------------
//
// [END] Register & Wires
//
//--------------------------------------------------------------------------------------------------------
//--------------------------------------------------------------------------------------------------------
//
// [START] Common Logic
//
//--------------------------------------------------------------------------------------------------------
// Input data splitting/masking logic:
// change
// <Empty data> - <ECC code> - <Data>
// into
// <ECC code> - <Empty data> - <Data>
generate
genvar i_data;
for (i_data = 0;i_data < CFG_DATA_WIDTH;i_data = i_data + 1)
begin : decoder_input_per_data_width
always @ (*)
begin
int_decoder_input_data [i_data] = input_data [i_data];
end
end
endgenerate
generate
if (CFG_ECC_RDATA_REG)
begin
always @ (posedge ctl_clk or negedge ctl_reset_n)
begin
if (!ctl_reset_n)
begin
int_decoder_input <= 0;
end
else
begin
int_decoder_input <= int_decoder_input_data;
end
end
always @ (posedge ctl_clk or negedge ctl_reset_n)
begin
if (!ctl_reset_n)
begin
decoder_output_valid <= 0;
end
else
begin
decoder_output_valid <= input_data_valid;
end
end
end
else
begin
always @ (*)
begin
int_decoder_input = int_decoder_input_data;
end
always @ (*)
begin
decoder_output_valid = input_data_valid;
end
end
endgenerate
// Decoder input assignment
assign decoder_input = int_decoder_input;
// Decoder output, registered
always @ (posedge ctl_clk or negedge ctl_reset_n)
begin
if (~ctl_reset_n)
begin
decoder_output_r <= {CFG_ECC_DATA_WIDTH{1'b0}};
decoder_output_valid_r <= 1'b0;
int_err_corrected_r <= 1'b0;
int_err_detected_r <= 1'b0;
int_err_fatal_r <= 1'b0;
int_err_sbe_r <= 1'b0;
int_output_ecc_code_r <= {CFG_ECC_CODE_WIDTH{1'b0}};
end
else
begin
decoder_output_r <= decoder_output;
decoder_output_valid_r <= decoder_output_valid;
int_err_corrected_r <= int_err_corrected;
int_err_detected_r <= int_err_detected;
int_err_fatal_r <= int_err_fatal;
int_err_sbe_r <= int_err_sbe;
int_output_ecc_code_r <= int_output_ecc_code;
end
end
// Decoder output ecc code
generate
if (CFG_DATA_WIDTH <= 8)
begin
// No support for ECC case
always @ (*)
begin
int_output_ecc_code = {CFG_ECC_CODE_WIDTH{zero}};
end
end
else
begin
always @ (*)
begin
if (cfg_enable_ecc)
int_output_ecc_code = int_decoder_input_data [CFG_DATA_WIDTH - 1 : CFG_ECC_DATA_WIDTH];
else
int_output_ecc_code = 0;
end
end
endgenerate
// Decoder wrapper output assignment
generate
begin : gen_decoder_output_reg_select
if (CFG_ECC_DEC_REG)
begin
always @ (*)
begin
if (cfg_enable_ecc)
begin
output_data = {{CFG_ECC_CODE_WIDTH{1'b0}}, decoder_output_r}; // Assign '0' to ECC code portions
output_data_valid = decoder_output_valid_r;
err_corrected = int_err_corrected_r;
err_detected = int_err_detected_r;
err_fatal = int_err_fatal_r;
err_sbe = int_err_sbe_r;
output_ecc_code = int_output_ecc_code_r;
end
else
begin
output_data = input_data;
output_data_valid = input_data_valid;
err_corrected = 1'b0;
err_detected = 1'b0;
err_fatal = 1'b0;
err_sbe = 1'b0;
output_ecc_code = int_output_ecc_code;
end
end
end
else
begin
always @ (*)
begin
if (cfg_enable_ecc)
begin
output_data = {{CFG_ECC_CODE_WIDTH{1'b0}}, decoder_output}; // Assign '0' to ECC code portions
output_data_valid = decoder_output_valid;
err_corrected = int_err_corrected;
err_detected = int_err_detected;
err_fatal = int_err_fatal;
err_sbe = int_err_sbe;
output_ecc_code = int_output_ecc_code;
end
else
begin
output_data = input_data;
output_data_valid = input_data_valid;
err_corrected = 1'b0;
err_detected = 1'b0;
err_fatal = 1'b0;
err_sbe = 1'b0;
output_ecc_code = int_output_ecc_code;
end
end
end
end
endgenerate
//--------------------------------------------------------------------------------------------------------
//
// [END] Common Logic
//
//--------------------------------------------------------------------------------------------------------
//--------------------------------------------------------------------------------------------------------
//
// [START] Instantiation
//
//--------------------------------------------------------------------------------------------------------
generate
begin
if (CFG_ECC_DATA_WIDTH == 8 && CFG_DATA_WIDTH > 8) // Make sure this is an ECC case else it will cause compilation error
begin
wire [39 : 0] int_decoder_input;
wire [32 : 0] int_decoder_output;
// Assign decoder output
assign int_decoder_input = {decoder_input [CFG_DATA_WIDTH - 1 : CFG_ECC_DATA_WIDTH], 24'd0, decoder_input [CFG_ECC_DATA_WIDTH - 1 : 0]};
// Assign decoder output
assign decoder_output = int_decoder_output [CFG_ECC_DATA_WIDTH - 1 : 0];
// 32/39 bit decoder instantiation
alt_mem_ddrx_ecc_decoder_32 decoder_inst
(
.data (int_decoder_input [38 : 0]),
.err_corrected (int_err_corrected ),
.err_detected (int_err_detected ),
.err_fatal (int_err_fatal ),
.err_sbe (int_err_sbe ),
.q (int_decoder_output )
);
end
else if (CFG_ECC_DATA_WIDTH == 16)
begin
wire [39 : 0] int_decoder_input;
wire [32 : 0] int_decoder_output;
// Assign decoder output
assign int_decoder_input = {decoder_input [CFG_DATA_WIDTH - 1 : CFG_ECC_DATA_WIDTH], 16'd0, decoder_input [CFG_ECC_DATA_WIDTH - 1 : 0]};
// Assign decoder output
assign decoder_output = int_decoder_output [CFG_ECC_DATA_WIDTH - 1 : 0];
// 32/39 bit decoder instantiation
alt_mem_ddrx_ecc_decoder_32 decoder_inst
(
.data (int_decoder_input [38 : 0]),
.err_corrected (int_err_corrected ),
.err_detected (int_err_detected ),
.err_fatal (int_err_fatal ),
.err_sbe (int_err_sbe ),
.q (int_decoder_output )
);
end
else if (CFG_ECC_DATA_WIDTH == 32)
begin
// 32/39 bit decoder instantiation
alt_mem_ddrx_ecc_decoder_32 decoder_inst
(
.data (decoder_input [38 : 0]),
.err_corrected (int_err_corrected ),
.err_detected (int_err_detected ),
.err_fatal (int_err_fatal ),
.err_sbe (int_err_sbe ),
.q (decoder_output )
);
end
else if (CFG_ECC_DATA_WIDTH == 64)
begin
// 32/39 bit decoder instantiation
alt_mem_ddrx_ecc_decoder_64 decoder_inst
(
.data (decoder_input ),
.err_corrected (int_err_corrected),
.err_detected (int_err_detected ),
.err_fatal (int_err_fatal ),
.err_sbe (int_err_sbe ),
.q (decoder_output )
);
end
end
endgenerate
//--------------------------------------------------------------------------------------------------------
//
// [END] Instantiation
//
//--------------------------------------------------------------------------------------------------------
endmodule
|
// ==============================================================
// RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
// Version: 2017.4
// Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
//
// ===========================================================
`timescale 1 ns / 1 ps
module Block_Mat_exit1573_p (
ap_clk,
ap_rst,
ap_start,
start_full_n,
ap_done,
ap_continue,
ap_idle,
ap_ready,
start_out,
start_write,
height,
width,
sat,
img0_rows_V_out_din,
img0_rows_V_out_full_n,
img0_rows_V_out_write,
img0_cols_V_out_din,
img0_cols_V_out_full_n,
img0_cols_V_out_write,
img2_rows_V_out_din,
img2_rows_V_out_full_n,
img2_rows_V_out_write,
img2_cols_V_out_din,
img2_cols_V_out_full_n,
img2_cols_V_out_write,
img3_rows_V_out_din,
img3_rows_V_out_full_n,
img3_rows_V_out_write,
img3_cols_V_out_din,
img3_cols_V_out_full_n,
img3_cols_V_out_write,
p_cols_assign_cast_out_out_din,
p_cols_assign_cast_out_out_full_n,
p_cols_assign_cast_out_out_write,
p_rows_assign_cast_out_out_din,
p_rows_assign_cast_out_out_full_n,
p_rows_assign_cast_out_out_write,
sat_out_din,
sat_out_full_n,
sat_out_write
);
parameter ap_ST_fsm_state1 = 1'd1;
input ap_clk;
input ap_rst;
input ap_start;
input start_full_n;
output ap_done;
input ap_continue;
output ap_idle;
output ap_ready;
output start_out;
output start_write;
input [15:0] height;
input [15:0] width;
input [7:0] sat;
output [15:0] img0_rows_V_out_din;
input img0_rows_V_out_full_n;
output img0_rows_V_out_write;
output [15:0] img0_cols_V_out_din;
input img0_cols_V_out_full_n;
output img0_cols_V_out_write;
output [15:0] img2_rows_V_out_din;
input img2_rows_V_out_full_n;
output img2_rows_V_out_write;
output [15:0] img2_cols_V_out_din;
input img2_cols_V_out_full_n;
output img2_cols_V_out_write;
output [15:0] img3_rows_V_out_din;
input img3_rows_V_out_full_n;
output img3_rows_V_out_write;
output [15:0] img3_cols_V_out_din;
input img3_cols_V_out_full_n;
output img3_cols_V_out_write;
output [11:0] p_cols_assign_cast_out_out_din;
input p_cols_assign_cast_out_out_full_n;
output p_cols_assign_cast_out_out_write;
output [11:0] p_rows_assign_cast_out_out_din;
input p_rows_assign_cast_out_out_full_n;
output p_rows_assign_cast_out_out_write;
output [7:0] sat_out_din;
input sat_out_full_n;
output sat_out_write;
reg ap_done;
reg ap_idle;
reg start_write;
reg img0_rows_V_out_write;
reg img0_cols_V_out_write;
reg img2_rows_V_out_write;
reg img2_cols_V_out_write;
reg img3_rows_V_out_write;
reg img3_cols_V_out_write;
reg p_cols_assign_cast_out_out_write;
reg p_rows_assign_cast_out_out_write;
reg sat_out_write;
reg real_start;
reg start_once_reg;
reg ap_done_reg;
(* fsm_encoding = "none" *) reg [0:0] ap_CS_fsm;
wire ap_CS_fsm_state1;
reg internal_ap_ready;
reg img0_rows_V_out_blk_n;
reg img0_cols_V_out_blk_n;
reg img2_rows_V_out_blk_n;
reg img2_cols_V_out_blk_n;
reg img3_rows_V_out_blk_n;
reg img3_cols_V_out_blk_n;
reg p_cols_assign_cast_out_out_blk_n;
reg p_rows_assign_cast_out_out_blk_n;
reg sat_out_blk_n;
reg ap_block_state1;
reg [0:0] ap_NS_fsm;
// power-on initialization
initial begin
#0 start_once_reg = 1'b0;
#0 ap_done_reg = 1'b0;
#0 ap_CS_fsm = 1'd1;
end
always @ (posedge ap_clk) begin
if (ap_rst == 1'b1) begin
ap_CS_fsm <= ap_ST_fsm_state1;
end else begin
ap_CS_fsm <= ap_NS_fsm;
end
end
always @ (posedge ap_clk) begin
if (ap_rst == 1'b1) begin
ap_done_reg <= 1'b0;
end else begin
if ((ap_continue == 1'b1)) begin
ap_done_reg <= 1'b0;
end else if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
ap_done_reg <= 1'b1;
end
end
end
always @ (posedge ap_clk) begin
if (ap_rst == 1'b1) begin
start_once_reg <= 1'b0;
end else begin
if (((internal_ap_ready == 1'b0) & (real_start == 1'b1))) begin
start_once_reg <= 1'b1;
end else if ((internal_ap_ready == 1'b1)) begin
start_once_reg <= 1'b0;
end
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
ap_done = 1'b1;
end else begin
ap_done = ap_done_reg;
end
end
always @ (*) begin
if (((real_start == 1'b0) & (1'b1 == ap_CS_fsm_state1))) begin
ap_idle = 1'b1;
end else begin
ap_idle = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
img0_cols_V_out_blk_n = img0_cols_V_out_full_n;
end else begin
img0_cols_V_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
img0_cols_V_out_write = 1'b1;
end else begin
img0_cols_V_out_write = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
img0_rows_V_out_blk_n = img0_rows_V_out_full_n;
end else begin
img0_rows_V_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
img0_rows_V_out_write = 1'b1;
end else begin
img0_rows_V_out_write = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
img2_cols_V_out_blk_n = img2_cols_V_out_full_n;
end else begin
img2_cols_V_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
img2_cols_V_out_write = 1'b1;
end else begin
img2_cols_V_out_write = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
img2_rows_V_out_blk_n = img2_rows_V_out_full_n;
end else begin
img2_rows_V_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
img2_rows_V_out_write = 1'b1;
end else begin
img2_rows_V_out_write = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
img3_cols_V_out_blk_n = img3_cols_V_out_full_n;
end else begin
img3_cols_V_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
img3_cols_V_out_write = 1'b1;
end else begin
img3_cols_V_out_write = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
img3_rows_V_out_blk_n = img3_rows_V_out_full_n;
end else begin
img3_rows_V_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
img3_rows_V_out_write = 1'b1;
end else begin
img3_rows_V_out_write = 1'b0;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
internal_ap_ready = 1'b1;
end else begin
internal_ap_ready = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
p_cols_assign_cast_out_out_blk_n = p_cols_assign_cast_out_out_full_n;
end else begin
p_cols_assign_cast_out_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
p_cols_assign_cast_out_out_write = 1'b1;
end else begin
p_cols_assign_cast_out_out_write = 1'b0;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
p_rows_assign_cast_out_out_blk_n = p_rows_assign_cast_out_out_full_n;
end else begin
p_rows_assign_cast_out_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
p_rows_assign_cast_out_out_write = 1'b1;
end else begin
p_rows_assign_cast_out_out_write = 1'b0;
end
end
always @ (*) begin
if (((start_full_n == 1'b0) & (start_once_reg == 1'b0))) begin
real_start = 1'b0;
end else begin
real_start = ap_start;
end
end
always @ (*) begin
if ((1'b1 == ap_CS_fsm_state1)) begin
sat_out_blk_n = sat_out_full_n;
end else begin
sat_out_blk_n = 1'b1;
end
end
always @ (*) begin
if ((~((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1)) & (1'b1 == ap_CS_fsm_state1))) begin
sat_out_write = 1'b1;
end else begin
sat_out_write = 1'b0;
end
end
always @ (*) begin
if (((start_once_reg == 1'b0) & (real_start == 1'b1))) begin
start_write = 1'b1;
end else begin
start_write = 1'b0;
end
end
always @ (*) begin
case (ap_CS_fsm)
ap_ST_fsm_state1 : begin
ap_NS_fsm = ap_ST_fsm_state1;
end
default : begin
ap_NS_fsm = 'bx;
end
endcase
end
assign ap_CS_fsm_state1 = ap_CS_fsm[32'd0];
always @ (*) begin
ap_block_state1 = ((sat_out_full_n == 1'b0) | (p_rows_assign_cast_out_out_full_n == 1'b0) | (real_start == 1'b0) | (p_cols_assign_cast_out_out_full_n == 1'b0) | (img3_cols_V_out_full_n == 1'b0) | (img3_rows_V_out_full_n == 1'b0) | (img2_cols_V_out_full_n == 1'b0) | (img2_rows_V_out_full_n == 1'b0) | (img0_cols_V_out_full_n == 1'b0) | (img0_rows_V_out_full_n == 1'b0) | (ap_done_reg == 1'b1));
end
assign ap_ready = internal_ap_ready;
assign img0_cols_V_out_din = width;
assign img0_rows_V_out_din = height;
assign img2_cols_V_out_din = width;
assign img2_rows_V_out_din = height;
assign img3_cols_V_out_din = width;
assign img3_rows_V_out_din = height;
assign p_cols_assign_cast_out_out_din = width[11:0];
assign p_rows_assign_cast_out_out_din = height[11:0];
assign sat_out_din = sat;
assign start_out = real_start;
endmodule //Block_Mat_exit1573_p
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_MS__UDP_MUX_2TO1_N_TB_V
`define SKY130_FD_SC_MS__UDP_MUX_2TO1_N_TB_V
/**
* udp_mux_2to1_N: Two to one multiplexer with inverting output
*
* Autogenerated test bench.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_ms__udp_mux_2to1_n.v"
module top();
// Inputs are registered
reg A0;
reg A1;
reg S;
// Outputs are wires
wire Y;
initial
begin
// Initial state is x for all inputs.
A0 = 1'bX;
A1 = 1'bX;
S = 1'bX;
#20 A0 = 1'b0;
#40 A1 = 1'b0;
#60 S = 1'b0;
#80 A0 = 1'b1;
#100 A1 = 1'b1;
#120 S = 1'b1;
#140 A0 = 1'b0;
#160 A1 = 1'b0;
#180 S = 1'b0;
#200 S = 1'b1;
#220 A1 = 1'b1;
#240 A0 = 1'b1;
#260 S = 1'bx;
#280 A1 = 1'bx;
#300 A0 = 1'bx;
end
sky130_fd_sc_ms__udp_mux_2to1_N dut (.A0(A0), .A1(A1), .S(S), .Y(Y));
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_MS__UDP_MUX_2TO1_N_TB_V
|
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer: Muhammad Ijaz
//
// Create Date: 08/08/2017 06:03:02 PM
// Design Name:
// Module Name: DUAL_PORT_MEMORY_SIMULATION
// Project Name: RISC-V
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module DUAL_PORT_MEMORY_SIMULATION();
parameter MEMORY_WIDTH = 512 ;
parameter MEMORY_DEPTH = 512 ;
parameter MEMORY_LATENCY = "LOW_LATENCY" ;
parameter INIT_FILE = "" ;
// Inputs
reg clk ;
reg [$clog2(MEMORY_DEPTH-1) - 1 : 0] write_address ;
reg [MEMORY_WIDTH-1 : 0] data_in ;
reg write_enable ;
reg [$clog2(MEMORY_DEPTH-1)-1 : 0] read_address ;
reg read_enble ;
// Outputs
wire [MEMORY_WIDTH-1 : 0] data_out ;
// Instantiate the Unit Under Test (UUT)
DUAL_PORT_MEMORY uut(
.CLK(clk),
.WRITE_ADDRESS(write_address),
.DATA_IN(data_in),
.WRITE_ENABLE(write_enable),
.READ_ADDRESS(read_address),
.READ_ENBLE(read_enble),
.DATA_OUT(data_out)
);
initial
begin
// Initialize Inputs
clk = 1'b0 ;
write_address = 9'b1 ;
data_in = 512'b11 ;
write_enable = 1'b1 ;
// Wait 100 ns for global reset to finish
#100;
// Add stimulus here
clk = 1'b1 ;
#100;
clk = 1'b0 ;
write_address = 9'b0 ;
data_in = 512'b1 ;
write_enable = 1'b1 ;
#100;
clk = 1'b1 ;
#100;
clk = 1'b0 ;
write_address = 9'b0 ;
data_in = 512'b0 ;
write_enable = 1'b0 ;
read_address = 9'b0 ;
read_enble = 1'b1 ;
#100;
clk = 1'b1 ;
#100;
clk = 1'b0 ;
read_enble = 1'b0 ;
#100;
clk = 1'b1 ;
#100;
clk = 1'b0 ;
end
endmodule
|
`ifndef _SPI_MASTER_V
`define _SPI_MASTER_V
module spi_master #(
parameter CLK_DIVIDE=3 // divide clk by 2^CLK_DIVIDE to get spi_sclk
) (
input clk, // 50 MHz system clk
input reset,
input spi_start,
input [7:0] spi_data,
output spi_fin,
output spi_csn,
output spi_sdo,
output spi_sclk // max 10 MHz clk
);
`define SPI_MASTER_SM_W 2
localparam STATE_IDLE = `SPI_MASTER_SM_W'h0;
localparam STATE_SEND = `SPI_MASTER_SM_W'h1;
localparam STATE_HOLD = `SPI_MASTER_SM_W'h2;
localparam STATE_DONE = `SPI_MASTER_SM_W'h3;
reg [`SPI_MASTER_SM_W-1:0] spi_sm_state;
reg [CLK_DIVIDE-1:0] clk_divider;
reg [7:0] spi_data_shift;
reg [2:0] shift_counter;
assign spi_csn = ((spi_sm_state==STATE_IDLE) && (spi_start==1'b0)) ? 1'b1 : 1'b0;
assign spi_sdo = spi_data_shift[7];
assign spi_sclk = ((spi_sm_state==STATE_SEND) && (clk_divider[CLK_DIVIDE-1]==1'b1)) ? 1'b1 : 1'b0;
assign spi_fin = (spi_sm_state==STATE_DONE) ? 1'b1 : 1'b0;
always @(posedge clk or posedge reset) begin
if (reset) begin
spi_sm_state <= STATE_IDLE;
spi_data_shift <= 'b0;
clk_divider <= 'b0;
shift_counter <= 'b0;
end else begin
case (spi_sm_state)
STATE_IDLE: begin
if (spi_start==1'b1) begin
spi_sm_state <= STATE_SEND;
spi_data_shift <= spi_data;
clk_divider <= 'b0;
shift_counter <= 'b0;
end
end
STATE_SEND: begin
clk_divider <= clk_divider + 1;
if (clk_divider == {CLK_DIVIDE{1'b1}}) begin
shift_counter <= shift_counter + 1;
spi_data_shift <= {spi_data_shift[6:0], 1'b0};
if (shift_counter==3'b111) begin
spi_sm_state <= STATE_HOLD;
end
end
end
STATE_HOLD: begin
clk_divider <= clk_divider + 1;
if (clk_divider == {CLK_DIVIDE{1'b1}}) begin
spi_sm_state <= STATE_DONE;
end
end
STATE_DONE: begin
if (spi_start==1'b0) begin
spi_sm_state <= STATE_IDLE;
end
end
default:
spi_sm_state <= STATE_IDLE;
endcase
end
end
endmodule
`endif
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_HD__CLKBUF_SYMBOL_V
`define SKY130_FD_SC_HD__CLKBUF_SYMBOL_V
/**
* clkbuf: Clock tree buffer.
*
* Verilog stub (without power pins) for graphical symbol definition
* generation.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_hd__clkbuf (
//# {{data|Data Signals}}
input A,
output X
);
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_HD__CLKBUF_SYMBOL_V
|
//Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
module sdr_data_path(
CLK,
RESET_N,
DATAIN,
DM,
DQOUT,
DQM
);
//`include "Sdram_Params.h"
input CLK; // System Clock
input RESET_N; // System Reset
input [`DSIZE-1:0] DATAIN; // Data input from the host
input [`DSIZE/8-1:0] DM; // byte data masks
output [`DSIZE-1:0] DQOUT;
output [`DSIZE/8-1:0] DQM; // SDRAM data mask ouputs
reg [`DSIZE/8-1:0] DQM;
// internal
reg [`DSIZE-1:0] DIN1;
reg [`DSIZE-1:0] DIN2;
reg [`DSIZE/8-1:0] DM1;
// Allign the input and output data to the SDRAM control path
always @(posedge CLK or negedge RESET_N)
begin
if (RESET_N == 0)
begin
DIN1 <= 0;
DIN2 <= 0;
DM1 <= 0;
end
else
begin
DIN1 <= DATAIN;
DIN2 <= DIN1;
DQM <= DM;
end
end
assign DQOUT = DIN2;
endmodule
|
//*******************************************************************************************************************************************************/
// Module Name: user_interface
// Module Type: User Interface and External Communications Module
// Author: Shreyas Vinod
// Purpose: User Interface and External Communications Module for Neptune I v3.0
// Description: A simplistic User Interface and Front Panel Communications and Translation Module that takes a small number of inputs from Neptune I's
// Front Panel and converts it into a multitude of signals to communicate in perfect harmony with Neptune I's Control Matrix. It's able
// to request for IO and Bus Access as well. It is also capable of driving two sets of five 7-segment displays with binary outputs that
// can later be externally decoded into BCD or hexadecimal.
//*******************************************************************************************************************************************************/
module user_interface(clk, rst, dma_req, dma_appr, wr, add_wr, incr_add, clk_sel, entity_sel, pc_rd, mar_rd, rf_rd1, rf_rd2, ram_rd, stk_rd, alu_rd, data_in, sys_clk, sys_rst, sys_hrd_rst, sys_dma_req, mar_incr, we_out, d_out, add_out, data_out);
// Parameter Definitions
parameter width = 'd16; // Data Width
parameter add_width = 'd13; // Address Width
parameter disp_output_width = 'd20; // Display Driver Data Width
// Inputs
input wire clk /* External Clock */, rst /* External Reset Request */, dma_req /* External Direct Memory Access (DMA) Request */; // Management Interfaces
input wire dma_appr /* External Direct Memory Access (DMA) Request Approval Notification */; // Notification Interfaces
input wire wr /* External Write Request */, add_wr /* External Address Write Request */;
input wire incr_add /* Increment Address Request */, clk_sel /* Clock Select Toggle */;
input wire [2:0] entity_sel /* Read/Write Processor Entity Select */;
input wire [add_width-1:0] mar_rd /* Memory Address Register (MAR) Read */;
input wire [width-1:0] pc_rd /* Program Counter (PC) Read */, rf_rd1 /* Register File Port (RF) I Read */, rf_rd2 /* Register File (RF) Port II Read */, ram_rd /* Random Access Memory (RAM) Read */;
input wire [width-1:0] stk_rd /* Stack Read */, alu_rd /* ALU Result Read */;
input wire [width-1:0] data_in /* External Data Input Port */;
// Outputs
output wire sys_clk /* System Clock Output */, sys_rst /* System Reset Output */, sys_hrd_rst /* System Hard Reset Output */, sys_dma_req /* Request Direct Memory Access (DMA) from System */;
output wire mar_incr /* Increment MAR Request */;
output wire [2:0] we_out /* Control Matrix Write Enable Request */;
output wire [width-1:0] d_out /* Data Output for Direct Memory Access (DMA) Write Requests */;
output wire [disp_output_width-1:0] add_out /* External Address Output Port */;
output wire [disp_output_width:0] data_out /* External Data Output Port */;
// Internals
reg sclk /* Slow Clock Driver */, rst_buf /* External Reset Request Buffer */, dma_req_buf /* External Direct Memory Access (DMA) Request Buffer */, wr_buf /* External Write Request Buffer */;
reg add_wr_buf /* External Address Write Request Buffer */, incr_add_buf /* Increment Address Request Buffer */, incr_c /* Incrementation Complete Notification */;
reg [2:0] entity_sel_buf /* Read/Write Processor Entity Select Buffer */, entity_sel_buf_t /* True Entity Select Buffer */;
reg [3:0] stclk /* Reset LED State Clock */;
reg [width-1:0] data_in_buf /* Data Input Buffer */, data_conv_buf /* Hex Conversion Data Buffer */;
reg [disp_output_width:0] a_bus_disp; // Binary to Hex (Extended Binary, decoded by Display Driver) converted Address Output signal. Extra bit ignored.
reg [disp_output_width:0] d_bus_disp; // Binary to Hex (Extended Binary, decoded by Display Driver) converted Data Output signals. One extra bit for sign notation.
reg [23:0] counter /* Slow Clock Driver Counter */;
// Initialization
initial begin
sclk <= 1'b0;
stclk [3;0] <= 4'b0;
counter [23:0] <= 24'b0;
end
// Slow Clock Driver Block
always@(posedge clk) begin
if(counter [23:0] < 5000000) counter [23:0] <= counter [23:0] + 1'b1;
else begin
sclk <= !sclk;
counter [23:0] <= 24'b0;
end
end
// Write Request
assign we_out [2:0] = (wr_buf && dma_appr)?entity_sel_buf [2:0]:3'b0;
// Output Logic
assign sys_clk = (clk_sel)?sclk:clk; // System Clock Select
assign sys_rst = (rst_buf)?1'b1:1'b0; // Reset Enable
assign sys_hrd_rst = (rst_buf && wr_buf && add_wr_buf && incr_add_buf && (entity_sel_buf == 3'b0))?1'b1:1'b0; // Hard Reset Enable Logic
assign sys_dma_req = dma_req_buf; // Request Direct Memory Access (DMA) from Control Matrix
assign mar_incr = incr_add_buf; // Increment MAR contents.
assign d_out [width-1:0] = data_in_buf [width-1:0]; // Assigns the Direct Memory Access (DMA) Write Request Data Line based on input.
assign add_out [disp_output_width-1:0] = a_bus_disp [disp_output_width-1:0]; // Assigns the Address Output Port the value of the Address Output buffer.
assign data_out [disp_output_width:0] = d_bus_disp [disp_output_width:0]; // Assigns the Data Output Port the value of the Data Output buffer.
// Buffer Logic
always@(posedge sclk) begin
rst_buf <= rst; // External Reset Request Buffer
dma_req_buf <= dma_req; // External Direct Memory Access (DMA) Request Buffer
wr_buf <= wr; // External Write Request Buffer
add_wr_buf <= add_wr; // External Address Write Request Buffer
data_in_buf <= data_in; // External Data Input Buffer
// Entity Select
entity_sel_buf_t [2:0] <= entity_sel [2:0]; // True Entity Select Buffer based on input.
if(add_wr_buf) entity_sel_buf [2:0] <= 3'b101; // Select MAR to write address.
else entity_sel_buf [2:0] <= entity_sel [2:0]; // Select Entity based on input.
end
// Data Conversion Buffer
always@(entity_sel_buf_t, rf_rd1, rf_rd2, ram_rd, pc_rd, mar_rd, stk_rd, alu_rd) begin
case(entity_sel_buf_t) // synthesis parallel_case
3'b000: data_conv_buf [width-1:0] = {width{1'b0}};
3'b001: data_conv_buf [width-1:0] = rf_rd1 [width-1:0];
3'b010: data_conv_buf [width-1:0] = rf_rd2 [width-1:0];
3'b011: data_conv_buf [width-1:0] = ram_rd [width-1:0];
3'b100: data_conv_buf [width-1:0] = pc_rd [width-1:0];
3'b101: data_conv_buf [width-1:0] = {{width-add_width{1'b0}}, mar_rd [add_width-1:0]};
3'b110: data_conv_buf [width-1:0] = stk_rd [width-1:0];
3'b111: data_conv_buf [width-1:0] = alu_rd [width-1:0];
default: data_conv_buf [width-1:0] = {width{1'b0}};
endcase
end
// Incrementation Logic and signal buffer
// This block ensures that incrementation takes place only once for every increment request by using the 'Incrementation Complete (incr_c)' notification.
always@(posedge sclk) begin
if(!incr_add_buf && incr_add && !incr_c) incr_add_buf <= 1'b1;
else if(incr_add_buf && incr_add) begin
incr_add_buf <= 1'b0;
incr_c <= 1'b1;
end else if(!incr_add) begin
incr_add_buf <= 1'b0;
incr_c <= 1'b0;
end
end
// Binary to Hex (Extended Binary, decoded by Display Driver) Conversion Block
// Address Bus
always@(mar_rd) begin
a_bus_disp [disp_output_width:0] = {7'b0, mar_rd [add_width-1:0]};
end
// Data Bus
always@(data_conv_buf) begin
d_bus_disp [disp_output_width:0] = {data_conv_buf[width-1], 4'b0, data_conv_buf [width-2:0]};
end
endmodule
|
(** * Types: Type Systems *)
Require Export Smallstep.
Hint Constructors multi.
(** Our next major topic is _type systems_ -- static program
analyses that classify expressions according to the "shapes" of
their results. We'll begin with a typed version of a very simple
language with just booleans and numbers, to introduce the basic
ideas of types, typing rules, and the fundamental theorems about
type systems: _type preservation_ and _progress_. Then we'll move
on to the _simply typed lambda-calculus_, which lives at the core
of every modern functional programming language (including
Coq). *)
(* ###################################################################### *)
(** * Typed Arithmetic Expressions *)
(** To motivate the discussion of type systems, let's begin as
usual with an extremely simple toy language. We want it to have
the potential for programs "going wrong" because of runtime type
errors, so we need something a tiny bit more complex than the
language of constants and addition that we used in chapter
[Smallstep]: a single kind of data (just numbers) is too simple,
but just two kinds (numbers and booleans) already gives us enough
material to tell an interesting story.
The language definition is completely routine. *)
(* ###################################################################### *)
(** ** Syntax *)
(** Informally:
t ::= true
| false
| if t then t else t
| 0
| succ t
| pred t
| iszero t
Formally:
*)
Inductive tm : Type :=
| ttrue : tm
| tfalse : tm
| tif : tm -> tm -> tm -> tm
| tzero : tm
| tsucc : tm -> tm
| tpred : tm -> tm
| tiszero : tm -> tm.
(** _Values_ are [true], [false], and numeric values... *)
Inductive bvalue : tm -> Prop :=
| bv_true : bvalue ttrue
| bv_false : bvalue tfalse.
Inductive nvalue : tm -> Prop :=
| nv_zero : nvalue tzero
| nv_succ : forall t, nvalue t -> nvalue (tsucc t).
Definition value (t:tm) := bvalue t \/ nvalue t.
Hint Constructors bvalue nvalue.
Hint Unfold value.
Hint Unfold extend.
(* ###################################################################### *)
(** ** Operational Semantics *)
(** Informally: *)
(**
------------------------------ (ST_IfTrue)
if true then t1 else t2 ==> t1
------------------------------- (ST_IfFalse)
if false then t1 else t2 ==> t2
t1 ==> t1'
------------------------- (ST_If)
if t1 then t2 else t3 ==>
if t1' then t2 else t3
t1 ==> t1'
-------------------- (ST_Succ)
succ t1 ==> succ t1'
------------ (ST_PredZero)
pred 0 ==> 0
numeric value v1
--------------------- (ST_PredSucc)
pred (succ v1) ==> v1
t1 ==> t1'
-------------------- (ST_Pred)
pred t1 ==> pred t1'
----------------- (ST_IszeroZero)
iszero 0 ==> true
numeric value v1
-------------------------- (ST_IszeroSucc)
iszero (succ v1) ==> false
t1 ==> t1'
------------------------ (ST_Iszero)
iszero t1 ==> iszero t1'
*)
(** Formally: *)
Reserved Notation "t1 '==>' t2" (at level 40).
Inductive step : tm -> tm -> Prop :=
| ST_IfTrue : forall t1 t2,
(tif ttrue t1 t2) ==> t1
| ST_IfFalse : forall t1 t2,
(tif tfalse t1 t2) ==> t2
| ST_If : forall t1 t1' t2 t3,
t1 ==> t1' ->
(tif t1 t2 t3) ==> (tif t1' t2 t3)
| ST_Succ : forall t1 t1',
t1 ==> t1' ->
(tsucc t1) ==> (tsucc t1')
| ST_PredZero :
(tpred tzero) ==> tzero
| ST_PredSucc : forall t1,
nvalue t1 ->
(tpred (tsucc t1)) ==> t1
| ST_Pred : forall t1 t1',
t1 ==> t1' ->
(tpred t1) ==> (tpred t1')
| ST_IszeroZero :
(tiszero tzero) ==> ttrue
| ST_IszeroSucc : forall t1,
nvalue t1 ->
(tiszero (tsucc t1)) ==> tfalse
| ST_Iszero : forall t1 t1',
t1 ==> t1' ->
(tiszero t1) ==> (tiszero t1')
where "t1 '==>' t2" := (step t1 t2).
Tactic Notation "step_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "ST_IfTrue" | Case_aux c "ST_IfFalse" | Case_aux c "ST_If"
| Case_aux c "ST_Succ" | Case_aux c "ST_PredZero"
| Case_aux c "ST_PredSucc" | Case_aux c "ST_Pred"
| Case_aux c "ST_IszeroZero" | Case_aux c "ST_IszeroSucc"
| Case_aux c "ST_Iszero" ].
Hint Constructors step.
(** Notice that the [step] relation doesn't care about whether
expressions make global sense -- it just checks that the operation
in the _next_ reduction step is being applied to the right kinds
of operands.
For example, the term [succ true] (i.e., [tsucc ttrue] in the
formal syntax) cannot take a step, but the almost as obviously
nonsensical term
succ (if true then true else true)
can take a step (once, before becoming stuck). *)
(* ###################################################################### *)
(** ** Normal Forms and Values *)
(** The first interesting thing about the [step] relation in this
language is that the strong progress theorem from the Smallstep
chapter fails! That is, there are terms that are normal
forms (they can't take a step) but not values (because we have not
included them in our definition of possible "results of
evaluation"). Such terms are _stuck_. *)
Notation step_normal_form := (normal_form step).
Definition stuck (t:tm) : Prop :=
step_normal_form t /\ ~ value t.
Hint Unfold stuck.
(** **** Exercise: 2 stars (some_term_is_stuck) *)
Example some_term_is_stuck :
exists t, stuck t.
Proof.
exists (tsucc ttrue).
unfold stuck. split.
unfold normal_form.
intro contra. inversion contra.
inversion H. inversion H1.
intro contra.
unfold value in contra.
inversion contra; inversion H. inversion H1.
Qed.
(** [] *)
(** However, although values and normal forms are not the same in this
language, the former set is included in the latter. This is
important because it shows we did not accidentally define things
so that some value could still take a step. *)
(** **** Exercise: 3 stars, advanced (value_is_nf) *)
(** Hint: You will reach a point in this proof where you need to
use an induction to reason about a term that is known to be a
numeric value. This induction can be performed either over the
term itself or over the evidence that it is a numeric value. The
proof goes through in either case, but you will find that one way
is quite a bit shorter than the other. For the sake of the
exercise, try to complete the proof both ways. *)
Lemma value_is_nf : forall t,
value t -> step_normal_form t.
Proof.
unfold normal_form, value.
intros.
inversion H.
inversion H0; intro contra; inversion contra; inversion H2.
induction t; intro contra; inversion contra;
try inversion H1; try inversion H0.
subst.
apply IHt; auto.
exists t1'. assumption.
Qed.
(** [] *)
(** **** Exercise: 3 stars, optional (step_deterministic) *)
(** Using [value_is_nf], we can show that the [step] relation is
also deterministic... *)
Theorem step_deterministic:
deterministic step.
Proof with eauto.
unfold deterministic.
intros.
generalize dependent y2.
step_cases (induction H) Case; intros; inversion H0; subst.
Case "ST_IfTrue". reflexivity. solve by inversion.
Case "ST_IfFalse". reflexivity. solve by inversion.
Case "ST_If".
solve by inversion. solve by inversion.
apply IHstep in H5; subst. reflexivity.
Case "ST_Succ". apply IHstep in H2; subst. reflexivity.
Case "ST_PredZero".
reflexivity. solve by inversion.
Case "ST_PredSucc".
reflexivity.
assert (step_normal_form (tsucc t1)) by (apply value_is_nf; auto).
exfalso. apply H1. exists t1'. assumption.
Case "ST_Pred".
solve by inversion.
assert (step_normal_form (tsucc y2)) by (apply value_is_nf; auto).
exfalso. apply H1. exists t1'. assumption.
apply IHstep in H2; subst. reflexivity.
Case "ST_IszeroZero". reflexivity. inversion H1.
Case "ST_IszeroSucc".
reflexivity.
assert (step_normal_form (tsucc t1)) by (apply value_is_nf; auto).
exfalso. apply H1. exists t1'. assumption.
Case "ST_Iszero".
inversion H.
assert (step_normal_form (tsucc t0)) by (apply value_is_nf; auto).
exfalso. apply H1. exists t1'. assumption.
apply IHstep in H2; subst. reflexivity.
Qed.
(** [] *)
(* ###################################################################### *)
(** ** Typing *)
(** The next critical observation about this language is that,
although there are stuck terms, they are all "nonsensical", mixing
booleans and numbers in a way that we don't even _want_ to have a
meaning. We can easily exclude such ill-typed terms by defining a
_typing relation_ that relates terms to the types (either numeric
or boolean) of their final results. *)
Inductive ty : Type :=
| TBool : ty
| TNat : ty.
(** In informal notation, the typing relation is often written
[|- t \in T], pronounced "[t] has type [T]." The [|-] symbol is
called a "turnstile". (Below, we're going to see richer typing
relations where an additional "context" argument is written to the
left of the turnstile. Here, the context is always empty.) *)
(**
---------------- (T_True)
|- true \in Bool
----------------- (T_False)
|- false \in Bool
|- t1 \in Bool |- t2 \in T |- t3 \in T
-------------------------------------------- (T_If)
|- if t1 then t2 else t3 \in T
------------ (T_Zero)
|- 0 \in Nat
|- t1 \in Nat
------------------ (T_Succ)
|- succ t1 \in Nat
|- t1 \in Nat
------------------ (T_Pred)
|- pred t1 \in Nat
|- t1 \in Nat
--------------------- (T_IsZero)
|- iszero t1 \in Bool
*)
Reserved Notation "'|-' t '\in' T" (at level 40).
Inductive has_type : tm -> ty -> Prop :=
| T_True :
|- ttrue \in TBool
| T_False :
|- tfalse \in TBool
| T_If : forall t1 t2 t3 T,
|- t1 \in TBool ->
|- t2 \in T ->
|- t3 \in T ->
|- tif t1 t2 t3 \in T
| T_Zero :
|- tzero \in TNat
| T_Succ : forall t1,
|- t1 \in TNat ->
|- tsucc t1 \in TNat
| T_Pred : forall t1,
|- t1 \in TNat ->
|- tpred t1 \in TNat
| T_Iszero : forall t1,
|- t1 \in TNat ->
|- tiszero t1 \in TBool
where "'|-' t '\in' T" := (has_type t T).
Tactic Notation "has_type_cases" tactic(first) ident(c) :=
first;
[ Case_aux c "T_True" | Case_aux c "T_False" | Case_aux c "T_If"
| Case_aux c "T_Zero" | Case_aux c "T_Succ" | Case_aux c "T_Pred"
| Case_aux c "T_Iszero" ].
Hint Constructors has_type.
(* ###################################################################### *)
(** *** Examples *)
(** It's important to realize that the typing relation is a
_conservative_ (or _static_) approximation: it does not calculate
the type of the normal form of a term. *)
Example has_type_1 :
|- tif tfalse tzero (tsucc tzero) \in TNat.
Proof.
apply T_If.
apply T_False.
apply T_Zero.
apply T_Succ.
apply T_Zero.
Qed.
(** (Since we've included all the constructors of the typing relation
in the hint database, the [auto] tactic can actually find this
proof automatically.) *)
Example has_type_not :
~ (|- tif tfalse tzero ttrue \in TBool).
Proof.
intros Contra. solve by inversion 2. Qed.
(** **** Exercise: 1 star, optional (succ_hastype_nat__hastype_nat) *)
Example succ_hastype_nat__hastype_nat : forall t,
|- tsucc t \in TNat ->
|- t \in TNat.
Proof.
intros. inversion H; subst. assumption.
Qed.
(** [] *)
(* ###################################################################### *)
(** ** Canonical forms *)
(** The following two lemmas capture the basic property that defines
the shape of well-typed values. They say that the definition of value
and the typing relation agree. *)
Lemma bool_canonical : forall t,
|- t \in TBool -> value t -> bvalue t.
Proof.
intros t HT HV.
inversion HV; auto.
induction H; inversion HT; auto.
Qed.
Lemma nat_canonical : forall t,
|- t \in TNat -> value t -> nvalue t.
Proof.
intros t HT HV.
inversion HV.
inversion H; subst; inversion HT.
auto.
Qed.
(* ###################################################################### *)
(** ** Progress *)
(** The typing relation enjoys two critical properties. The first is
that well-typed normal forms are values (i.e., not stuck). *)
Theorem progress : forall t T,
|- t \in T ->
value t \/ exists t', t ==> t'.
(** **** Exercise: 3 stars (finish_progress) *)
(** Complete the formal proof of the [progress] property. (Make sure
you understand the informal proof fragment in the following
exercise before starting -- this will save you a lot of time.) *)
Proof with auto.
intros t T HT.
has_type_cases (induction HT) Case...
(* The cases that were obviously values, like T_True and
T_False, were eliminated immediately by auto *)
Case "T_If".
right. inversion IHHT1; clear IHHT1.
SCase "t1 is a value".
apply (bool_canonical t1 HT1) in H.
inversion H; subst; clear H.
exists t2...
exists t3...
SCase "t1 can take a step".
inversion H as [t1' H1].
exists (tif t1' t2 t3)...
(* following by myself... *)
Case "T_Succ".
inversion IHHT; clear IHHT.
left. apply (nat_canonical t1 HT) in H. auto.
right. inversion H. exists (tsucc x)...
Case "T_Pred".
right. inversion IHHT; clear IHHT.
apply (nat_canonical t1 HT) in H.
inversion H; subst. clear H.
exists tzero... exists t...
inversion H; subst. clear H.
exists (tpred x)...
Case "T_Iszero".
right. inversion IHHT; clear IHHT.
apply (nat_canonical t1 HT) in H.
inversion H; subst. clear H.
exists ttrue... exists tfalse...
inversion H; subst. clear H.
exists (tiszero x)...
Qed.
(** [] *)
(** **** Exercise: 3 stars, advanced (finish_progress_informal) *)
(** Complete the corresponding informal proof: *)
(** _Theorem_: If [|- t \in T], then either [t] is a value or else
[t ==> t'] for some [t']. *)
(** _Proof_: By induction on a derivation of [|- t \in T].
- If the last rule in the derivation is [T_If], then [t = if t1
then t2 else t3], with [|- t1 \in Bool], [|- t2 \in T] and [|- t3
\in T]. By the IH, either [t1] is a value or else [t1] can step
to some [t1'].
- If [t1] is a value, then by the canonical forms lemmas
and the fact that [|- t1 \in Bool] we have that [t1]
is a [bvalue] -- i.e., it is either [true] or [false].
If [t1 = true], then [t] steps to [t2] by [ST_IfTrue],
while if [t1 = false], then [t] steps to [t3] by
[ST_IfFalse]. Either way, [t] can step, which is what
we wanted to show.
- If [t1] itself can take a step, then, by [ST_If], so can
[t].
(* TODO: FILL IN HERE *)
[] *)
(** This is more interesting than the strong progress theorem that we
saw in the Smallstep chapter, where _all_ normal forms were
values. Here, a term can be stuck, but only if it is ill
typed. *)
(** **** Exercise: 1 star (step_review) *)
(** Quick review. Answer _true_ or _false_. In this language...
- Every well-typed normal form is a value.
Yes.
- Every value is a normal form.
No.
- The single-step evaluation relation is
a partial function (i.e., it is deterministic).
Yes.
- The single-step evaluation relation is a _total_ function.
Yes.
*)
(** [] *)
(* ###################################################################### *)
(** ** Type Preservation *)
(** The second critical property of typing is that, when a well-typed
term takes a step, the result is also a well-typed term.
This theorem is often called the _subject reduction_ property,
because it tells us what happens when the "subject" of the typing
relation is reduced. This terminology comes from thinking of
typing statements as sentences, where the term is the subject and
the type is the predicate. *)
Theorem preservation : forall t t' T,
|- t \in T ->
t ==> t' ->
|- t' \in T.
(** **** Exercise: 2 stars (finish_preservation) *)
(** Complete the formal proof of the [preservation] property. (Again,
make sure you understand the informal proof fragment in the
following exercise first.) *)
Proof with auto.
intros t t' T HT HE.
generalize dependent t'.
has_type_cases (induction HT) Case;
(* every case needs to introduce a couple of things *)
intros t' HE;
(* and we can deal with several impossible
cases all at once *)
try (solve by inversion).
Case "T_If". inversion HE; subst; clear HE.
SCase "ST_IFTrue". assumption.
SCase "ST_IfFalse". assumption.
SCase "ST_If". apply T_If; try assumption.
apply IHHT1; assumption.
Case "T_Succ".
inversion HE; subst; clear HE.
constructor. apply IHHT. assumption.
Case "T_Pred".
inversion HE; subst; clear HE. assumption.
inversion HT; subst. assumption.
auto.
Case "T_Iszero".
inversion HE; subst; clear HE; auto.
Qed.
(** [] *)
(** **** Exercise: 3 stars, advanced (finish_preservation_informal) *)
(** Complete the following proof: *)
(** _Theorem_: If [|- t \in T] and [t ==> t'], then [|- t' \in T]. *)
(** _Proof_: By induction on a derivation of [|- t \in T].
- If the last rule in the derivation is [T_If], then [t = if t1
then t2 else t3], with [|- t1 \in Bool], [|- t2 \in T] and [|- t3
\in T].
Inspecting the rules for the small-step reduction relation and
remembering that [t] has the form [if ...], we see that the
only ones that could have been used to prove [t ==> t'] are
[ST_IfTrue], [ST_IfFalse], or [ST_If].
- If the last rule was [ST_IfTrue], then [t' = t2]. But we
know that [|- t2 \in T], so we are done.
- If the last rule was [ST_IfFalse], then [t' = t3]. But we
know that [|- t3 \in T], so we are done.
- If the last rule was [ST_If], then [t' = if t1' then t2
else t3], where [t1 ==> t1']. We know [|- t1 \in Bool] so,
by the IH, [|- t1' \in Bool]. The [T_If] rule then gives us
[|- if t1' then t2 else t3 \in T], as required.
(* TODO: FILL IN HERE *)
[] *)
(** **** Exercise: 3 stars (preservation_alternate_proof) *)
(** Now prove the same property again by induction on the
_evaluation_ derivation instead of on the typing derivation.
Begin by carefully reading and thinking about the first few
lines of the above proof to make sure you understand what
each one is doing. The set-up for this proof is similar, but
not exactly the same. *)
Theorem preservation' : forall t t' T,
|- t \in T ->
t ==> t' ->
|- t' \in T.
Proof with eauto.
intros t t' T HT HE.
generalize dependent T.
step_cases (induction HE) Case;
intros; inversion HT; subst; auto.
inversion H1. assumption.
Qed.
(** [] *)
(* ###################################################################### *)
(** ** Type Soundness *)
(** Putting progress and preservation together, we can see that a
well-typed term can _never_ reach a stuck state. *)
Definition multistep := (multi step).
Notation "t1 '==>*' t2" := (multistep t1 t2) (at level 40).
Corollary soundness : forall t t' T,
|- t \in T ->
t ==>* t' ->
~(stuck t').
Proof.
intros t t' T HT P. induction P; intros [R S].
destruct (progress x T HT); auto.
apply IHP. apply (preservation x y T HT H).
unfold stuck. split; auto. Qed.
(* ###################################################################### *)
(** * Aside: the [normalize] Tactic *)
(** When experimenting with definitions of programming languages in
Coq, we often want to see what a particular concrete term steps
to -- i.e., we want to find proofs for goals of the form [t ==>*
t'], where [t] is a completely concrete term and [t'] is unknown.
These proofs are simple but repetitive to do by hand. Consider for
example reducing an arithmetic expression using the small-step
relation [astep]. *)
Definition amultistep st := multi (astep st).
Notation " t '/' st '==>a*' t' " := (amultistep st t t')
(at level 40, st at level 39).
Example astep_example1 :
(APlus (ANum 3) (AMult (ANum 3) (ANum 4))) / empty_state
==>a* (ANum 15).
Proof.
apply multi_step with (APlus (ANum 3) (ANum 12)).
apply AS_Plus2.
apply av_num.
apply AS_Mult.
apply multi_step with (ANum 15).
apply AS_Plus.
apply multi_refl.
Qed.
(** We repeatedly apply [multi_step] until we get to a normal
form. The proofs that the intermediate steps are possible are
simple enough that [auto], with appropriate hints, can solve
them. *)
Hint Constructors astep aval.
Example astep_example1' :
(APlus (ANum 3) (AMult (ANum 3) (ANum 4))) / empty_state
==>a* (ANum 15).
Proof.
eapply multi_step. auto. simpl.
eapply multi_step. auto. simpl.
apply multi_refl.
Qed.
(** The following custom [Tactic Notation] definition captures this
pattern. In addition, before each [multi_step] we print out the
current goal, so that the user can follow how the term is being
evaluated. *)
Tactic Notation "print_goal" := match goal with |- ?x => idtac x end.
Tactic Notation "normalize" :=
repeat (print_goal; eapply multi_step ;
[ (eauto 10; fail) | (instantiate; simpl)]);
apply multi_refl.
Example astep_example1'' :
(APlus (ANum 3) (AMult (ANum 3) (ANum 4))) / empty_state
==>a* (ANum 15).
Proof.
normalize.
(* At this point in the proof script, the Coq response shows
a trace of how the expression evaluated.
(APlus (ANum 3) (AMult (ANum 3) (ANum 4)) / empty_state ==>a* ANum 15)
(multi (astep empty_state) (APlus (ANum 3) (ANum 12)) (ANum 15))
(multi (astep empty_state) (ANum 15) (ANum 15))
*)
Qed.
(** The [normalize] tactic also provides a simple way to calculate
what the normal form of a term is, by proving a goal with an
existential variable in it. *)
Example astep_example1''' : exists e',
(APlus (ANum 3) (AMult (ANum 3) (ANum 4))) / empty_state
==>a* e'.
Proof.
eapply ex_intro. normalize.
(* This time, the trace will be:
(APlus (ANum 3) (AMult (ANum 3) (ANum 4)) / empty_state ==>a* ??)
(multi (astep empty_state) (APlus (ANum 3) (ANum 12)) ??)
(multi (astep empty_state) (ANum 15) ??)
where ?? is the variable ``guessed'' by eapply.
*)
Qed.
(** **** Exercise: 1 star (normalize_ex) *)
Theorem normalize_ex : exists e',
(AMult (ANum 3) (AMult (ANum 2) (ANum 1))) / empty_state
==>a* e'.
Proof.
eapply ex_intro. normalize.
Qed.
(** [] *)
(** **** Exercise: 1 star, optional (normalize_ex') *)
(** For comparison, prove it using [apply] instead of [eapply]. *)
Theorem normalize_ex' : exists e',
(AMult (ANum 3) (AMult (ANum 2) (ANum 1))) / empty_state
==>a* e'.
Proof.
apply ex_intro with (ANum 6). normalize.
Qed.
(** [] *)
(* ###################################################################### *)
(** ** Additional Exercises *)
(** **** Exercise: 2 stars (subject_expansion) *)
(** Having seen the subject reduction property, it is reasonable to
wonder whether the opposity property -- subject _expansion_ --
also holds. That is, is it always the case that, if [t ==> t']
and [|- t' \in T], then [|- t \in T]? If so, prove it. If
not, give a counter-example. (You do not need to prove your
counter-example in Coq, but feel free to do so if you like.)
*)
Theorem subject_expansion_fail : exists t t' T,
|- t' \in T ->
t ==> t' ->
~ |- t \in T.
Proof.
exists (tif ttrue ttrue tzero); exists (ttrue); exists (TBool).
intros. intro contra. inversion contra; subst. solve by inversion.
Qed.
(** [] *)
(** **** Exercise: 2 stars (variation1) *)
(** Suppose, that we add this new rule to the typing relation:
| T_SuccBool : forall t,
|- t \in TBool ->
|- tsucc t \in TBool
Which of the following properties remain true in the presence of
this rule? For each one, write either "remains true" or
else "becomes false." If a property becomes false, give a
counterexample.
- Determinism of [step]
Remain true. [step] is not modified.
- Progress
Becomes false. [tsucc true] is not value and also cannot be reduced.
- Preservation
Remain true. Works for this rule.
[] *)
(** **** Exercise: 2 stars (variation2) *)
(** Suppose, instead, that we add this new rule to the [step] relation:
| ST_Funny1 : forall t2 t3,
(tif ttrue t2 t3) ==> t3
Which of the above properties become false in the presence of
this rule? For each one that does, give a counter-example.
- Determinism of [step]
if ttrue tzero ttrue ==> tzero
if ttrue tzero ttrue ==> ttrue
- Preservation
|- if ttrue tzero ttrue \in TNat
ttrue tzero ttrue ==> ttrue
|- ttrue \in TBool
[] *)
(** **** Exercise: 2 stars, optional (variation3) *)
(** Suppose instead that we add this rule:
| ST_Funny2 : forall t1 t2 t2' t3,
t2 ==> t2' ->
(tif t1 t2 t3) ==> (tif t1 t2' t3)
Which of the above properties become false in the presence of
this rule? For each one that does, give a counter-example.
- Determinism of [step]
if (if ttrue ttrue ttrue) (if ttrue ttrue ttrue) ttrue ==>
if ttrue (if ttrue ttrue ttrue) ttrue
&
if (if ttrue ttrue ttrue) (if ttrue ttrue ttrue) ttrue ==>
if (if ttrue ttrue ttrue) ttrue ttrue
[] *)
(** **** Exercise: 2 stars, optional (variation4) *)
(** Suppose instead that we add this rule:
| ST_Funny3 :
(tpred tfalse) ==> (tpred (tpred tfalse))
Which of the above properties become false in the presence of
this rule? For each one that does, give a counter-example.
All remain true. Mostly because this is not well-typed.
[] *)
(** **** Exercise: 2 stars, optional (variation5) *)
(** Suppose instead that we add this rule:
| T_Funny4 :
|- tzero \in TBool
]]
Which of the above properties become false in the presence of
this rule? For each one that does, give a counter-example.
- Progress
[if tzero then tzero tzero] is not value and cannot be reduced.
- Preservation
|- if ttrue tzero tzero \in TNat
if ttrue tzero tzero ==> tzero
|- tzero \in TBool
[] *)
(** **** Exercise: 2 stars, optional (variation6) *)
(** Suppose instead that we add this rule:
| T_Funny5 :
|- tpred tzero \in TBool
]]
Which of the above properties become false in the presence of
this rule? For each one that does, give a counter-example.
- Progress
[if (tpred tzero) then tzero tzero] is not value and cannot be reduced.
- Preservation
|- tpred tzero \in TBool
tpred tzero ==> tzero
|- tzero \in TNat
[] *)
(** **** Exercise: 3 stars, optional (more_variations) *)
(** Make up some exercises of your own along the same lines as
the ones above. Try to find ways of selectively breaking
properties -- i.e., ways of changing the definitions that
break just one of the properties and leave the others alone.
(* TODO: FILL IN HERE *)
[] *)
(** **** Exercise: 1 star (remove_predzero) *)
(** The evaluation rule [E_PredZero] is a bit counter-intuitive: we
might feel that it makes more sense for the predecessor of zero to
be undefined, rather than being defined to be zero. Can we
achieve this simply by removing the rule from the definition of
[step]? Would doing so create any problems elsewhere?
This will break the _progress_. [tpred tzero] is not a value and will be not able to be reduced.
[] *)
(** **** Exercise: 4 stars, advanced (prog_pres_bigstep) *)
(** Suppose our evaluation relation is defined in the big-step style.
What are the appropriate analogs of the progress and preservation
properties?
[progress] maybe something like [SKIP or ceval further]?
[preservation] and [hoare_consequence_pre] are pretty the same.
[] *)
(* $Date: 2014-11-13 13:12:50 -0500 (Thu, 13 Nov 2014) $ *)
|
`timescale 1ns / 1ps
module control_unit();
parameter ADDR_SIZE = 12;
parameter WORD_SIZE = 16;
reg sysclk;
initial begin //clock
sysclk <= 1'b1;
forever #1 sysclk = ~sysclk;
end
initial begin
$dumpfile("control_unit.vcd");
$dumpvars;
end
/*
* Instructions:
* 0: ACC := [S]
* 1: [S] := ACC
* 2: ACC:= ACC + [S]
* 3: ACC := ACC - [S]
* 4: PC := S
* 5: PC := S if ACC >=0
* 6: PC :=S if ACC != 0
* 7: HALT
* 8: [SP] := ACC, SP := SP + 1
* 9: ACC := [SP], SP := SP - 1
* a: IP := S, [SP] := IP, SP := SP + 1
* b: IP := [SP - 1], SP := SP - 1
* /
/*
* Specifications:
* posedge: exec
* negedge: fetch
*/
//Registers
reg [WORD_SIZE-1:0] acc;
reg [ADDR_SIZE-1:0] ip;
reg [WORD_SIZE-1:0] ir;
reg [ADDR_SIZE-1:0] sp;
//Memory
reg [ADDR_SIZE-1:0] mem_addr;
reg [WORD_SIZE-1:0] mem_in;
wire [WORD_SIZE-1:0] mem_out;
wire [WORD_SIZE-1:0] rom_out;
reg mem_write;
ram ram_blk(
.clk(sysclk),
.addr(mem_addr),
.data_in(mem_in),
.write_en(mem_write),
.data_out(mem_out),
.rom_addr(ip),
.rom_out(rom_out)
);
initial begin //default register values
ir <= 16'h4000;
ip <= 0;
sp <= 12'd191; //64 word stack
mem_addr <= 0;
mem_in <= 0;
mem_write <= 0;
acc <= 0;
end
//0/1 -> Fetch/Exec
reg state = 1;
//Determine pop operations
wire pop_op;
assign pop_op = (rom_out[WORD_SIZE-1:WORD_SIZE-4] == 4'h9)
| (rom_out[WORD_SIZE-1:WORD_SIZE-4] == 4'hb);
always @(posedge sysclk) begin
state <= ~state; //Alternate state
if (state) begin //Exec
case (ir[WORD_SIZE-1:WORD_SIZE-4])
4'h0: begin //ACC := [S]
acc <= mem_out;
end
4'h1: begin //[S] := ACC
mem_in <= acc;
mem_addr <= ir[WORD_SIZE-5:0];
mem_write <= 1;
end
4'h2: begin //ACC:= ACC + [S]
acc <= acc + mem_out;
end
4'h3: begin //ACC := ACC - [S]
acc <= acc - mem_out;
end
4'h4: begin // PC := S
ip <= ir[WORD_SIZE-5:0];
end
4'h5: begin //PC := S if ACC >=0
if (acc[WORD_SIZE-1] == 1'b0)
ip <= ir[WORD_SIZE-5:0];
end
4'h6: begin //PC := S if ACC != 0
if (acc != 8'd0)
ip <= ir[WORD_SIZE-5:0];
end
4'h7: begin // HALT
$finish;
end
4'h8: begin // [SP] := ACC, SP := SP + 1
mem_addr <= sp;
mem_in <= acc;
mem_write <= 1;
sp <= sp + 12'b1;
end
4'h9: begin // ACC := [SP - 1], SP := SP - 1
acc <= mem_out;
sp <= sp - 12'b1;
end
4'ha: begin // IP := S, [SP] := IP, SP := SP + 1
ip <= mem_addr ;
mem_addr <= sp;
mem_in <= ip;
mem_write <= 1;
sp <= sp + 12'b1;
end
4'hb: begin // IP := [SP - 1], SP := SP - 1
ip <= mem_out;
sp <= sp - 12'b1;
end
default: $finish;
endcase
end
else begin //Fetch
ir <= rom_out;
ip <= ip + 1;
mem_write <= 0;
//Get stack if pop/return
if (pop_op) //
mem_addr <= (sp - 12'b1);
else //Get memory
mem_addr <= rom_out[WORD_SIZE-5:0];
end
end
endmodule |
// megafunction wizard: %RAM: 2-PORT%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altsyncram
// ============================================================
// File Name: COMMAND_RAM.v
// Megafunction Name(s):
// altsyncram
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 13.1.0 Build 162 10/23/2013 SJ Web Edition
// ************************************************************
//Copyright (C) 1991-2013 Altera Corporation
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, Altera MegaCore Function License
//Agreement, or other applicable license agreement, including,
//without limitation, that your use is for the sole purpose of
//programming logic devices manufactured by Altera and sold by
//Altera or its authorized distributors. Please refer to the
//applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module COMMAND_RAM (
clock,
data,
rdaddress,
wraddress,
wren,
q);
input clock;
input [15:0] data;
input [10:0] rdaddress;
input [11:0] wraddress;
input wren;
output [31:0] q;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri1 clock;
tri0 wren;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire [31:0] sub_wire0;
wire [31:0] q = sub_wire0[31:0];
altsyncram altsyncram_component (
.address_a (wraddress),
.clock0 (clock),
.data_a (data),
.wren_a (wren),
.address_b (rdaddress),
.q_b (sub_wire0),
.aclr0 (1'b0),
.aclr1 (1'b0),
.addressstall_a (1'b0),
.addressstall_b (1'b0),
.byteena_a (1'b1),
.byteena_b (1'b1),
.clock1 (1'b1),
.clocken0 (1'b1),
.clocken1 (1'b1),
.clocken2 (1'b1),
.clocken3 (1'b1),
.data_b ({32{1'b1}}),
.eccstatus (),
.q_a (),
.rden_a (1'b1),
.rden_b (1'b1),
.wren_b (1'b0));
defparam
altsyncram_component.address_aclr_b = "NONE",
altsyncram_component.address_reg_b = "CLOCK0",
altsyncram_component.clock_enable_input_a = "BYPASS",
altsyncram_component.clock_enable_input_b = "BYPASS",
altsyncram_component.clock_enable_output_b = "BYPASS",
altsyncram_component.intended_device_family = "Cyclone III",
altsyncram_component.lpm_type = "altsyncram",
altsyncram_component.numwords_a = 4096,
altsyncram_component.numwords_b = 2048,
altsyncram_component.operation_mode = "DUAL_PORT",
altsyncram_component.outdata_aclr_b = "NONE",
altsyncram_component.outdata_reg_b = "UNREGISTERED",
altsyncram_component.power_up_uninitialized = "FALSE",
altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE",
altsyncram_component.widthad_a = 12,
altsyncram_component.widthad_b = 11,
altsyncram_component.width_a = 16,
altsyncram_component.width_b = 32,
altsyncram_component.width_byteena_a = 1;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
// Retrieval info: PRIVATE: Clock NUMERIC "0"
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "65536"
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
// Retrieval info: PRIVATE: MIFfilename STRING ""
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
// Retrieval info: PRIVATE: REGq NUMERIC "1"
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
// Retrieval info: PRIVATE: REGrren NUMERIC "1"
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
// Retrieval info: PRIVATE: VarWidth NUMERIC "1"
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16"
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32"
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16"
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32"
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
// Retrieval info: PRIVATE: enable NUMERIC "0"
// Retrieval info: PRIVATE: rden NUMERIC "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: ADDRESS_ACLR_B STRING "NONE"
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4096"
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "12"
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11"
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "32"
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock"
// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL "data[15..0]"
// Retrieval info: USED_PORT: q 0 0 32 0 OUTPUT NODEFVAL "q[31..0]"
// Retrieval info: USED_PORT: rdaddress 0 0 11 0 INPUT NODEFVAL "rdaddress[10..0]"
// Retrieval info: USED_PORT: wraddress 0 0 12 0 INPUT NODEFVAL "wraddress[11..0]"
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT GND "wren"
// Retrieval info: CONNECT: @address_a 0 0 12 0 wraddress 0 0 12 0
// Retrieval info: CONNECT: @address_b 0 0 11 0 rdaddress 0 0 11 0
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
// Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
// Retrieval info: CONNECT: q 0 0 32 0 @q_b 0 0 32 0
// Retrieval info: GEN_FILE: TYPE_NORMAL COMMAND_RAM.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL COMMAND_RAM.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL COMMAND_RAM.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL COMMAND_RAM.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL COMMAND_RAM_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL COMMAND_RAM_bb.v TRUE
// Retrieval info: LIB_FILE: altera_mf
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__NOR3B_2_V
`define SKY130_FD_SC_LP__NOR3B_2_V
/**
* nor3b: 3-input NOR, first input inverted.
*
* Y = (!(A | B)) & !C)
*
* Verilog wrapper for nor3b with size of 2 units.
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
`include "sky130_fd_sc_lp__nor3b.v"
`ifdef USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__nor3b_2 (
Y ,
A ,
B ,
C_N ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A ;
input B ;
input C_N ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
sky130_fd_sc_lp__nor3b base (
.Y(Y),
.A(A),
.B(B),
.C_N(C_N),
.VPWR(VPWR),
.VGND(VGND),
.VPB(VPB),
.VNB(VNB)
);
endmodule
`endcelldefine
/*********************************************************/
`else // If not USE_POWER_PINS
/*********************************************************/
`celldefine
module sky130_fd_sc_lp__nor3b_2 (
Y ,
A ,
B ,
C_N
);
output Y ;
input A ;
input B ;
input C_N;
// Voltage supply signals
supply1 VPWR;
supply0 VGND;
supply1 VPB ;
supply0 VNB ;
sky130_fd_sc_lp__nor3b base (
.Y(Y),
.A(A),
.B(B),
.C_N(C_N)
);
endmodule
`endcelldefine
/*********************************************************/
`endif // USE_POWER_PINS
`default_nettype wire
`endif // SKY130_FD_SC_LP__NOR3B_2_V
|
/*
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__NAND2_FUNCTIONAL_V
`define SKY130_FD_SC_LP__NAND2_FUNCTIONAL_V
/**
* nand2: 2-input NAND.
*
* Verilog simulation functional model.
*/
`timescale 1ns / 1ps
`default_nettype none
`celldefine
module sky130_fd_sc_lp__nand2 (
Y,
A,
B
);
// Module ports
output Y;
input A;
input B;
// Local signals
wire nand0_out_Y;
// Name Output Other arguments
nand nand0 (nand0_out_Y, B, A );
buf buf0 (Y , nand0_out_Y );
endmodule
`endcelldefine
`default_nettype wire
`endif // SKY130_FD_SC_LP__NAND2_FUNCTIONAL_V |
// ========== Copyright Header Begin ==========================================
//
// OpenSPARC T1 Processor File: dbl_buf.v
// Copyright (c) 2006 Sun Microsystems, Inc. All Rights Reserved.
// DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
//
// The above named program is free software; you can redistribute it and/or
// modify it under the terms of the GNU General Public
// License version 2 as published by the Free Software Foundation.
//
// The above named program is distributed in the hope that it will be
// useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
// General Public License for more details.
//
// You should have received a copy of the GNU General Public
// License along with this work; if not, write to the Free Software
// Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
//
// ========== Copyright Header End ============================================
////////////////////////////////////////////////////////////////////////
/*
// Module Name: dbl_buf
// Description: A simple double buffer
// First-in first-out. Asserts full when both entries
// are occupied.
*/
////////////////////////////////////////////////////////////////////////
// Global header file includes
////////////////////////////////////////////////////////////////////////
`include "sys.h" // system level definition file which
// contains the time scale definition
////////////////////////////////////////////////////////////////////////
// Local header file includes / local defines
////////////////////////////////////////////////////////////////////////
module dbl_buf (/*AUTOARG*/
// Outputs
dout, vld, full,
// Inputs
clk, rst_l, wr, rd, din
);
// synopsys template
parameter BUF_WIDTH = 64; // width of the buffer
// Globals
input clk;
input rst_l;
// Buffer Input
input wr;
input rd;
input [BUF_WIDTH-1:0] din;
// Buffer Output
output [BUF_WIDTH-1:0] dout;
output vld;
output full;
// Buffer Output
wire wr_buf0;
wire wr_buf1;
wire buf0_vld;
wire buf1_vld;
wire buf1_older;
wire rd_buf0;
wire rd_buf1;
wire rd_buf;
wire en_vld0;
wire en_vld1;
wire [BUF_WIDTH-1:0] buf0_obj;
wire [BUF_WIDTH-1:0] buf1_obj;
////////////////////////////////////////////////////////////////////////
// Code starts here
////////////////////////////////////////////////////////////////////////
// if both entries are empty, write to entry pointed to by the older pointer
// if only one entry is empty, then write to the empty entry (duh!)
assign wr_buf0 = wr &
(buf1_vld | (~buf0_vld & ~buf1_older));
assign wr_buf1 = wr &
(buf0_vld | (~buf1_vld & buf1_older));
// read from the older entry
assign rd_buf0 = rd & ~buf1_older;
assign rd_buf1 = rd & buf1_older;
// flip older pointer when an entry is read
assign rd_buf = rd & (buf0_vld | buf1_vld);
dffrle_ns buf1_older_ff (.din(~buf1_older),
.rst_l(rst_l),
.en(rd_buf),
.clk(clk),
.q(buf1_older));
// set valid bit for writes and reset for reads
assign en_vld0 = wr_buf0 | rd_buf0;
assign en_vld1 = wr_buf1 | rd_buf1;
// the actual buffers
dffrle_ns buf0_vld_ff (.din(wr_buf0),
.rst_l(rst_l),
.en(en_vld0),
.clk(clk),
.q(buf0_vld));
dffrle_ns buf1_vld_ff (.din(wr_buf1),
.rst_l(rst_l),
.en(en_vld1),
.clk(clk),
.q(buf1_vld));
dffe_ns #(BUF_WIDTH) buf0_obj_ff (.din(din),
.en(wr_buf0),
.clk(clk),
.q(buf0_obj));
dffe_ns #(BUF_WIDTH) buf1_obj_ff (.din(din),
.en(wr_buf1),
.clk(clk),
.q(buf1_obj));
// mux out the older entry
assign dout = (buf1_older) ? buf1_obj:buf0_obj;
assign vld = buf0_vld | buf1_vld;
assign full = buf0_vld & buf1_vld;
endmodule // dbl_buf
// Local Variables:
// verilog-library-directories:(".")
// End:
|
// Listing 5.6
module debouncer
(
input wire clk, reset,
input wire sw,
output reg db
);
// symbolic state declaration
localparam [2:0]
zero = 3'b000,
wait1_1 = 3'b001,
wait1_2 = 3'b010,
wait1_3 = 3'b011,
one = 3'b100,
wait0_1 = 3'b101,
wait0_2 = 3'b110,
wait0_3 = 3'b111;
// number of counter bits (2^N * 20ns = 10ms tick)
localparam N =19;
// signal declaration
reg [N-1:0] q_reg;
wire [N-1:0] q_next;
wire m_tick;
reg [2:0] state_reg, state_next;
// body
//=============================================
// counter to generate 10 ms tick
//=============================================
always @(posedge clk)
q_reg <= q_next;
// next-state logic
assign q_next = q_reg + 1;
// output tick
assign m_tick = (q_reg==0) ? 1'b1 : 1'b0;
//=============================================
// debouncing FSM
//=============================================
// state register
always @(posedge clk, posedge reset)
if (reset)
state_reg <= zero;
else
state_reg <= state_next;
// next-state logic and output logic
always @*
begin
state_next = state_reg; // default state: the same
db = 1'b0; // default output: 0
case (state_reg)
zero:
if (sw)
state_next = wait1_1;
wait1_1:
if (~sw)
state_next = zero;
else
if (m_tick)
state_next = wait1_2;
wait1_2:
if (~sw)
state_next = zero;
else
if (m_tick)
state_next = wait1_3;
wait1_3:
if (~sw)
state_next = zero;
else
if (m_tick)
state_next = one;
one:
begin
db = 1'b1;
if (~sw)
state_next = wait0_1;
end
wait0_1:
begin
db = 1'b1;
if (sw)
state_next = one;
else
if (m_tick)
state_next = wait0_2;
end
wait0_2:
begin
db = 1'b1;
if (sw)
state_next = one;
else
if (m_tick)
state_next = wait0_3;
end
wait0_3:
begin
db = 1'b1;
if (sw)
state_next = one;
else
if (m_tick)
state_next = zero;
end
default: state_next = zero;
endcase
end
endmodule |
// Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
// --------------------------------------------------------------------------------
// Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
// Date : Sun Jun 04 00:41:32 2017
// Host : GILAMONSTER running 64-bit major release (build 9200)
// Command : write_verilog -force -mode funcsim -rename_top system_rgb565_to_rgb888_0_0 -prefix
// system_rgb565_to_rgb888_0_0_ system_rgb565_to_rgb888_0_0_sim_netlist.v
// Design : system_rgb565_to_rgb888_0_0
// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified
// or synthesized. This netlist cannot be used for SDF annotated simulation.
// Device : xc7z020clg484-1
// --------------------------------------------------------------------------------
`timescale 1 ps / 1 ps
module system_rgb565_to_rgb888_0_0_rgb565_to_rgb888
(rgb_888,
rgb_565,
clk);
output [15:0]rgb_888;
input [15:0]rgb_565;
input clk;
wire clk;
wire [15:0]rgb_565;
wire [15:0]rgb_888;
FDRE \rgb_888_reg[10]
(.C(clk),
.CE(1'b1),
.D(rgb_565[5]),
.Q(rgb_888[5]),
.R(1'b0));
FDRE \rgb_888_reg[11]
(.C(clk),
.CE(1'b1),
.D(rgb_565[6]),
.Q(rgb_888[6]),
.R(1'b0));
FDRE \rgb_888_reg[12]
(.C(clk),
.CE(1'b1),
.D(rgb_565[7]),
.Q(rgb_888[7]),
.R(1'b0));
FDRE \rgb_888_reg[13]
(.C(clk),
.CE(1'b1),
.D(rgb_565[8]),
.Q(rgb_888[8]),
.R(1'b0));
FDRE \rgb_888_reg[14]
(.C(clk),
.CE(1'b1),
.D(rgb_565[9]),
.Q(rgb_888[9]),
.R(1'b0));
FDRE \rgb_888_reg[15]
(.C(clk),
.CE(1'b1),
.D(rgb_565[10]),
.Q(rgb_888[10]),
.R(1'b0));
FDRE \rgb_888_reg[19]
(.C(clk),
.CE(1'b1),
.D(rgb_565[11]),
.Q(rgb_888[11]),
.R(1'b0));
FDRE \rgb_888_reg[20]
(.C(clk),
.CE(1'b1),
.D(rgb_565[12]),
.Q(rgb_888[12]),
.R(1'b0));
FDRE \rgb_888_reg[21]
(.C(clk),
.CE(1'b1),
.D(rgb_565[13]),
.Q(rgb_888[13]),
.R(1'b0));
FDRE \rgb_888_reg[22]
(.C(clk),
.CE(1'b1),
.D(rgb_565[14]),
.Q(rgb_888[14]),
.R(1'b0));
FDRE \rgb_888_reg[23]
(.C(clk),
.CE(1'b1),
.D(rgb_565[15]),
.Q(rgb_888[15]),
.R(1'b0));
FDRE \rgb_888_reg[3]
(.C(clk),
.CE(1'b1),
.D(rgb_565[0]),
.Q(rgb_888[0]),
.R(1'b0));
FDRE \rgb_888_reg[4]
(.C(clk),
.CE(1'b1),
.D(rgb_565[1]),
.Q(rgb_888[1]),
.R(1'b0));
FDRE \rgb_888_reg[5]
(.C(clk),
.CE(1'b1),
.D(rgb_565[2]),
.Q(rgb_888[2]),
.R(1'b0));
FDRE \rgb_888_reg[6]
(.C(clk),
.CE(1'b1),
.D(rgb_565[3]),
.Q(rgb_888[3]),
.R(1'b0));
FDRE \rgb_888_reg[7]
(.C(clk),
.CE(1'b1),
.D(rgb_565[4]),
.Q(rgb_888[4]),
.R(1'b0));
endmodule
(* CHECK_LICENSE_TYPE = "system_rgb565_to_rgb888_0_0,rgb565_to_rgb888,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "rgb565_to_rgb888,Vivado 2016.4" *)
(* NotValidForBitStream *)
module system_rgb565_to_rgb888_0_0
(clk,
rgb_565,
rgb_888);
(* x_interface_info = "xilinx.com:signal:clock:1.0 clk CLK" *) input clk;
input [15:0]rgb_565;
output [23:0]rgb_888;
wire \<const0> ;
wire clk;
wire [15:0]rgb_565;
wire [20:3]\^rgb_888 ;
assign rgb_888[23:21] = \^rgb_888 [18:16];
assign rgb_888[20:16] = \^rgb_888 [20:16];
assign rgb_888[15:14] = \^rgb_888 [9:8];
assign rgb_888[13:3] = \^rgb_888 [13:3];
assign rgb_888[2] = \<const0> ;
assign rgb_888[1] = \<const0> ;
assign rgb_888[0] = \<const0> ;
GND GND
(.G(\<const0> ));
system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 U0
(.clk(clk),
.rgb_565(rgb_565),
.rgb_888({\^rgb_888 [18:16],\^rgb_888 [20:19],\^rgb_888 [9:8],\^rgb_888 [13:10],\^rgb_888 [7:3]}));
endmodule
`ifndef GLBL
`define GLBL
`timescale 1 ps / 1 ps
module glbl ();
parameter ROC_WIDTH = 100000;
parameter TOC_WIDTH = 0;
//-------- STARTUP Globals --------------
wire GSR;
wire GTS;
wire GWE;
wire PRLD;
tri1 p_up_tmp;
tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
wire PROGB_GLBL;
wire CCLKO_GLBL;
wire FCSBO_GLBL;
wire [3:0] DO_GLBL;
wire [3:0] DI_GLBL;
reg GSR_int;
reg GTS_int;
reg PRLD_int;
//-------- JTAG Globals --------------
wire JTAG_TDO_GLBL;
wire JTAG_TCK_GLBL;
wire JTAG_TDI_GLBL;
wire JTAG_TMS_GLBL;
wire JTAG_TRST_GLBL;
reg JTAG_CAPTURE_GLBL;
reg JTAG_RESET_GLBL;
reg JTAG_SHIFT_GLBL;
reg JTAG_UPDATE_GLBL;
reg JTAG_RUNTEST_GLBL;
reg JTAG_SEL1_GLBL = 0;
reg JTAG_SEL2_GLBL = 0 ;
reg JTAG_SEL3_GLBL = 0;
reg JTAG_SEL4_GLBL = 0;
reg JTAG_USER_TDO1_GLBL = 1'bz;
reg JTAG_USER_TDO2_GLBL = 1'bz;
reg JTAG_USER_TDO3_GLBL = 1'bz;
reg JTAG_USER_TDO4_GLBL = 1'bz;
assign (weak1, weak0) GSR = GSR_int;
assign (weak1, weak0) GTS = GTS_int;
assign (weak1, weak0) PRLD = PRLD_int;
initial begin
GSR_int = 1'b1;
PRLD_int = 1'b1;
#(ROC_WIDTH)
GSR_int = 1'b0;
PRLD_int = 1'b0;
end
initial begin
GTS_int = 1'b1;
#(TOC_WIDTH)
GTS_int = 1'b0;
end
endmodule
`endif
|
//Legal Notice: (C)2011 Altera Corporation. All rights reserved. Your
//use of Altera Corporation's design tools, logic functions and other
//software and tools, and its AMPP partner logic functions, and any
//output files any of the foregoing (including device programming or
//simulation files), and any associated documentation or information are
//expressly subject to the terms and conditions of the Altera Program
//License Subscription Agreement or other applicable license agreement,
//including, without limitation, that your use is for the sole purpose
//of programming logic devices manufactured by Altera and sold by Altera
//or its authorized distributors. Please refer to the applicable
//agreement for further details.
// synthesis translate_off
`timescale 1ns / 1ps
// synthesis translate_on
// turn off superfluous verilog processor warnings
// altera message_level Level1
// altera message_off 10034 10035 10036 10037 10230 10240 10030
module ddr3_int_example_driver (
// inputs:
clk,
local_rdata,
local_rdata_valid,
local_ready,
reset_n,
// outputs:
local_bank_addr,
local_be,
local_burstbegin,
local_col_addr,
local_cs_addr,
local_read_req,
local_row_addr,
local_size,
local_wdata,
local_write_req,
pnf_per_byte,
pnf_persist,
test_complete,
test_status
)
/* synthesis ALTERA_ATTRIBUTE = "MESSAGE_DISABLE=14130;MESSAGE_DISABLE=14110" */ ;
output [ 2: 0] local_bank_addr;
output [ 15: 0] local_be;
output local_burstbegin;
output [ 9: 0] local_col_addr;
output local_cs_addr;
output local_read_req;
output [ 13: 0] local_row_addr;
output [ 5: 0] local_size;
output [127: 0] local_wdata;
output local_write_req;
output [ 15: 0] pnf_per_byte;
output pnf_persist;
output test_complete;
output [ 7: 0] test_status;
input clk;
input [127: 0] local_rdata;
input local_rdata_valid;
input local_ready;
input reset_n;
wire [ 19: 0] COUNTER_VALUE;
wire [ 5: 0] LOCAL_BURST_LEN_s;
wire [ 2: 0] MAX_BANK;
wire MAX_CHIPSEL;
wire [ 9: 0] MAX_COL;
wire [ 13: 0] MAX_ROW;
wire [ 13: 0] MAX_ROW_PIN;
wire MIN_CHIPSEL;
wire [ 7: 0] addr_value;
wire avalon_burst_mode;
reg [ 2: 0] bank_addr;
reg [ 15: 0] be;
reg [ 5: 0] burst_beat_count;
reg burst_begin;
reg [ 9: 0] col_addr;
wire [ 15: 0] compare;
reg [ 15: 0] compare_reg;
reg [ 15: 0] compare_valid;
reg [ 15: 0] compare_valid_reg;
reg cs_addr;
wire [127: 0] dgen_data;
reg dgen_enable;
reg [127: 0] dgen_ldata;
reg dgen_load;
wire dgen_pause;
wire enable_be;
reg full_burst_on;
reg last_rdata_valid;
reg last_wdata_req;
wire [ 2: 0] local_bank_addr;
wire [ 15: 0] local_be;
wire local_burstbegin;
wire [ 9: 0] local_col_addr;
wire local_cs_addr;
wire local_read_req;
wire [ 13: 0] local_row_addr;
wire [ 5: 0] local_size;
wire [127: 0] local_wdata;
wire local_write_req;
wire [ 9: 0] max_col_value;
wire p_burst_begin;
wire p_read_req;
reg p_state_on;
wire pause_be;
wire [ 15: 0] pnf_per_byte;
reg pnf_persist;
reg pnf_persist1;
wire pnf_persist_compare;
wire powerdn_on;
reg rdata_valid_flag;
reg rdata_valid_flag_reg;
reg rdata_valid_flag_reg_2;
wire reached_max_address;
reg read_req;
reg [ 7: 0] reads_remaining;
reg reset_address;
reg reset_be;
reg reset_data;
wire restart_LFSR_n;
reg [ 13: 0] row_addr;
wire selfrfsh_on;
wire [ 5: 0] size;
reg [ 4: 0] state;
reg test_addr_pin;
reg test_addr_pin_mode;
wire test_addr_pin_on;
reg test_complete;
reg test_dm_pin;
reg test_dm_pin_mode;
wire test_dm_pin_on;
reg test_incomplete_writes;
reg test_incomplete_writes_mode;
wire test_incomplete_writes_on;
reg test_seq_addr;
reg test_seq_addr_mode;
wire test_seq_addr_on;
wire [ 7: 0] test_status;
reg wait_first_write_data;
wire [127: 0] wdata;
wire wdata_req;
reg write_req;
reg [ 7: 0] writes_remaining;
//
//Turn on this mode to test sequential address
assign test_seq_addr_on = 1'b1;
//Turn on this mode to test all address pins by a One-hot pattern address generator
assign test_addr_pin_on = 1'b1;
//Turn on this mode to make use of dm pins
assign test_dm_pin_on = 1'b1;
//This mode can only be used when LOCAL_BURST_LEN_s = 2
assign test_incomplete_writes_on = 1'b0;
//restart_LFSR_n is an active low signal, set it to 1'b0 to restart LFSR data generator after a complete test
assign restart_LFSR_n = 1'b1;
//Change COUNTER_VALUE to control the period of power down and self refresh mode
assign COUNTER_VALUE = 150;
//Change MAX_ROW to test more or lesser row address in test_seq_addr_mode, maximum value is 2^(row bits) -1, while minimum value is 0
assign MAX_ROW = 3;
//Change MAX_COL to test more or lesser column address in test_seq_addr_mode, maximum value is 2^(column bits) - (LOCAL_BURST_LEN_s * dwidth_ratio (aka half-rate (4) or full-rate (2))), while minimum value is 0 for Half rate and (LOCAL_BURST_LEN_s * dwidth_ratio) for Full rate
assign MAX_COL = 16;
//Decrease MAX_BANK to test lesser bank address, minimum value is 0
assign MAX_BANK = 7;
//Decrease MAX_CHIPSEL to test lesser memory chip, minimum value is MIN_CHIPSEL
assign MAX_CHIPSEL = 0;
//
assign MIN_CHIPSEL = 0;
assign MAX_ROW_PIN = {14{1'b1}};
assign max_col_value = ((addr_value == 4) == 0)? MAX_COL :
(MAX_COL + 4);
assign powerdn_on = 1'b0;
assign selfrfsh_on = 1'b0;
assign local_burstbegin = burst_begin | p_burst_begin;
assign avalon_burst_mode = 1;
//
//One hot decoder for test_status signal
assign test_status[0] = test_seq_addr_mode;
assign test_status[1] = test_incomplete_writes_mode;
assign test_status[2] = test_dm_pin_mode;
assign test_status[3] = test_addr_pin_mode;
assign test_status[4] = 0;
assign test_status[5] = 0;
assign test_status[6] = 0;
assign test_status[7] = test_complete;
assign p_read_req = 0;
assign p_burst_begin = 0;
assign local_cs_addr = cs_addr;
assign local_row_addr = row_addr;
assign local_bank_addr = bank_addr;
assign local_col_addr = col_addr;
assign local_write_req = write_req;
assign local_wdata = wdata;
assign local_read_req = read_req | p_read_req;
assign wdata = (reset_data == 0)? dgen_data :
128'd0;
//The LOCAL_BURST_LEN_s is a signal used insted of the parameter LOCAL_BURST_LEN
assign LOCAL_BURST_LEN_s = 2;
//LOCAL INTERFACE (AVALON)
assign wdata_req = write_req & local_ready;
// Generate new data (enable lfsr) when writing or reading valid data
assign dgen_pause = ~ ((wdata_req & ~reset_data) | (local_rdata_valid));
assign enable_be = (wdata_req & test_dm_pin_mode & ~reset_data) | (test_dm_pin_mode & local_rdata_valid);
assign pnf_per_byte = compare_valid_reg;
assign pause_be = (reset_data & test_dm_pin_mode) | ~test_dm_pin_mode;
assign local_be = be;
assign local_size = size;
assign size = (full_burst_on == 0)? 1'd1 :
LOCAL_BURST_LEN_s[5 : 0];
assign reached_max_address = ((test_dm_pin_mode | test_addr_pin_mode | state == 5'd9) & (row_addr == MAX_ROW_PIN)) || ((test_seq_addr_mode | test_incomplete_writes_mode) & (col_addr == (max_col_value)) & (row_addr == MAX_ROW) & (bank_addr == MAX_BANK) & (cs_addr == MAX_CHIPSEL));
assign addr_value = ((test_incomplete_writes_mode & write_req & ~full_burst_on) == 0)? 8 :
4;
assign pnf_persist_compare = (rdata_valid_flag_reg_2 == 0)? 1'd1 :
pnf_persist1;
ddr3_int_ex_lfsr8 LFSRGEN_0_lfsr_inst
(
.clk (clk),
.data (dgen_data[7 : 0]),
.enable (dgen_enable),
.ldata (dgen_ldata[7 : 0]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_0_lfsr_inst.seed = 1;
// 8 bit comparator per local byte lane
assign compare[0] = (dgen_data[7 : 0] & {8 {be[0]}}) === local_rdata[7 : 0];
ddr3_int_ex_lfsr8 LFSRGEN_1_lfsr_inst
(
.clk (clk),
.data (dgen_data[15 : 8]),
.enable (dgen_enable),
.ldata (dgen_ldata[15 : 8]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_1_lfsr_inst.seed = 11;
// 8 bit comparator per local byte lane
assign compare[1] = (dgen_data[15 : 8] & {8 {be[1]}}) === local_rdata[15 : 8];
ddr3_int_ex_lfsr8 LFSRGEN_2_lfsr_inst
(
.clk (clk),
.data (dgen_data[23 : 16]),
.enable (dgen_enable),
.ldata (dgen_ldata[23 : 16]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_2_lfsr_inst.seed = 21;
// 8 bit comparator per local byte lane
assign compare[2] = (dgen_data[23 : 16] & {8 {be[2]}}) === local_rdata[23 : 16];
ddr3_int_ex_lfsr8 LFSRGEN_3_lfsr_inst
(
.clk (clk),
.data (dgen_data[31 : 24]),
.enable (dgen_enable),
.ldata (dgen_ldata[31 : 24]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_3_lfsr_inst.seed = 31;
// 8 bit comparator per local byte lane
assign compare[3] = (dgen_data[31 : 24] & {8 {be[3]}}) === local_rdata[31 : 24];
ddr3_int_ex_lfsr8 LFSRGEN_4_lfsr_inst
(
.clk (clk),
.data (dgen_data[39 : 32]),
.enable (dgen_enable),
.ldata (dgen_ldata[39 : 32]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_4_lfsr_inst.seed = 41;
// 8 bit comparator per local byte lane
assign compare[4] = (dgen_data[39 : 32] & {8 {be[4]}}) === local_rdata[39 : 32];
ddr3_int_ex_lfsr8 LFSRGEN_5_lfsr_inst
(
.clk (clk),
.data (dgen_data[47 : 40]),
.enable (dgen_enable),
.ldata (dgen_ldata[47 : 40]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_5_lfsr_inst.seed = 51;
// 8 bit comparator per local byte lane
assign compare[5] = (dgen_data[47 : 40] & {8 {be[5]}}) === local_rdata[47 : 40];
ddr3_int_ex_lfsr8 LFSRGEN_6_lfsr_inst
(
.clk (clk),
.data (dgen_data[55 : 48]),
.enable (dgen_enable),
.ldata (dgen_ldata[55 : 48]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_6_lfsr_inst.seed = 61;
// 8 bit comparator per local byte lane
assign compare[6] = (dgen_data[55 : 48] & {8 {be[6]}}) === local_rdata[55 : 48];
ddr3_int_ex_lfsr8 LFSRGEN_7_lfsr_inst
(
.clk (clk),
.data (dgen_data[63 : 56]),
.enable (dgen_enable),
.ldata (dgen_ldata[63 : 56]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_7_lfsr_inst.seed = 71;
// 8 bit comparator per local byte lane
assign compare[7] = (dgen_data[63 : 56] & {8 {be[7]}}) === local_rdata[63 : 56];
ddr3_int_ex_lfsr8 LFSRGEN_8_lfsr_inst
(
.clk (clk),
.data (dgen_data[71 : 64]),
.enable (dgen_enable),
.ldata (dgen_ldata[71 : 64]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_8_lfsr_inst.seed = 81;
// 8 bit comparator per local byte lane
assign compare[8] = (dgen_data[71 : 64] & {8 {be[8]}}) === local_rdata[71 : 64];
ddr3_int_ex_lfsr8 LFSRGEN_9_lfsr_inst
(
.clk (clk),
.data (dgen_data[79 : 72]),
.enable (dgen_enable),
.ldata (dgen_ldata[79 : 72]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_9_lfsr_inst.seed = 91;
// 8 bit comparator per local byte lane
assign compare[9] = (dgen_data[79 : 72] & {8 {be[9]}}) === local_rdata[79 : 72];
ddr3_int_ex_lfsr8 LFSRGEN_10_lfsr_inst
(
.clk (clk),
.data (dgen_data[87 : 80]),
.enable (dgen_enable),
.ldata (dgen_ldata[87 : 80]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_10_lfsr_inst.seed = 101;
// 8 bit comparator per local byte lane
assign compare[10] = (dgen_data[87 : 80] & {8 {be[10]}}) === local_rdata[87 : 80];
ddr3_int_ex_lfsr8 LFSRGEN_11_lfsr_inst
(
.clk (clk),
.data (dgen_data[95 : 88]),
.enable (dgen_enable),
.ldata (dgen_ldata[95 : 88]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_11_lfsr_inst.seed = 111;
// 8 bit comparator per local byte lane
assign compare[11] = (dgen_data[95 : 88] & {8 {be[11]}}) === local_rdata[95 : 88];
ddr3_int_ex_lfsr8 LFSRGEN_12_lfsr_inst
(
.clk (clk),
.data (dgen_data[103 : 96]),
.enable (dgen_enable),
.ldata (dgen_ldata[103 : 96]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_12_lfsr_inst.seed = 121;
// 8 bit comparator per local byte lane
assign compare[12] = (dgen_data[103 : 96] & {8 {be[12]}}) === local_rdata[103 : 96];
ddr3_int_ex_lfsr8 LFSRGEN_13_lfsr_inst
(
.clk (clk),
.data (dgen_data[111 : 104]),
.enable (dgen_enable),
.ldata (dgen_ldata[111 : 104]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_13_lfsr_inst.seed = 131;
// 8 bit comparator per local byte lane
assign compare[13] = (dgen_data[111 : 104] & {8 {be[13]}}) === local_rdata[111 : 104];
ddr3_int_ex_lfsr8 LFSRGEN_14_lfsr_inst
(
.clk (clk),
.data (dgen_data[119 : 112]),
.enable (dgen_enable),
.ldata (dgen_ldata[119 : 112]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_14_lfsr_inst.seed = 141;
// 8 bit comparator per local byte lane
assign compare[14] = (dgen_data[119 : 112] & {8 {be[14]}}) === local_rdata[119 : 112];
ddr3_int_ex_lfsr8 LFSRGEN_15_lfsr_inst
(
.clk (clk),
.data (dgen_data[127 : 120]),
.enable (dgen_enable),
.ldata (dgen_ldata[127 : 120]),
.load (dgen_load),
.pause (dgen_pause),
.reset_n (reset_n)
);
defparam LFSRGEN_15_lfsr_inst.seed = 151;
// 8 bit comparator per local byte lane
assign compare[15] = (dgen_data[127 : 120] & {8 {be[15]}}) === local_rdata[127 : 120];
//
//-----------------------------------------------------------------
//Main clocked process
//-----------------------------------------------------------------
//Read / Write control state machine & address counter
//-----------------------------------------------------------------
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
begin
//Reset - asynchronously force all register outputs LOW
state <= 5'd0;
write_req <= 1'b0;
read_req <= 1'b0;
burst_begin <= 1'b0;
burst_beat_count <= 0;
dgen_load <= 1'b0;
wait_first_write_data <= 1'b0;
test_complete <= 1'b0;
reset_data <= 1'b0;
reset_be <= 1'b0;
writes_remaining <= 0;
reads_remaining <= 0;
test_addr_pin <= 1'b0;
test_dm_pin <= 1'b0;
test_seq_addr <= 1'b0;
test_incomplete_writes <= 1'b0;
test_addr_pin_mode <= 1'b0;
test_dm_pin_mode <= 1'b0;
test_seq_addr_mode <= 1'b0;
test_incomplete_writes_mode <= 1'b0;
full_burst_on <= 1'b1;
p_state_on <= 1'b0;
dgen_enable <= 1'b1;
end
else
begin
if (write_req & local_ready)
begin
if (wdata_req)
writes_remaining <= writes_remaining + (size - 1);
else
writes_remaining <= writes_remaining + size;
end
else if ((wdata_req) & (writes_remaining > 0))
//size
writes_remaining <= writes_remaining - 1'b1;
else
writes_remaining <= writes_remaining;
if ((read_req | p_read_req) & local_ready)
begin
if (local_rdata_valid)
reads_remaining <= reads_remaining + (size - 1);
else
reads_remaining <= reads_remaining + size;
end
else if ((local_rdata_valid) & (reads_remaining > 0))
reads_remaining <= reads_remaining - 1'b1;
else
reads_remaining <= reads_remaining;
case (state)
5'd0: begin
test_addr_pin <= test_addr_pin_on;
test_dm_pin <= test_dm_pin_on;
test_seq_addr <= test_seq_addr_on;
test_incomplete_writes <= test_incomplete_writes_on;
test_complete <= 1'b0;
state <= 5'd1;
end // 5'd0
5'd1: begin
//Reset just in case!
reset_address <= 1'b0;
reset_be <= 1'b0;
write_req <= 1'b1;
writes_remaining <= 1'b0;
reads_remaining <= 1'b0;
wait_first_write_data <= 1'b1;
dgen_enable <= 1'b1;
if (test_seq_addr == 1'b1)
begin
test_seq_addr_mode <= 1;
if (avalon_burst_mode == 0)
begin
state <= 5'd5;
burst_begin <= 1'b1;
end
else if (avalon_burst_mode == 1)
begin
state <= 5'd13;
burst_begin <= 1'b1;
end
end
else if (test_incomplete_writes == 1'b1)
begin
full_burst_on <= 1'b0;
test_incomplete_writes_mode <= 1;
state <= 5'd5;
if (avalon_burst_mode == 1)
burst_begin <= 1'b1;
end
else if (test_dm_pin == 1'b1)
begin
reset_data <= 1'b1;
test_dm_pin_mode <= 1;
if (avalon_burst_mode == 0)
begin
burst_begin <= 1'b1;
state <= 5'd2;
end
else
begin
burst_begin <= 1'b1;
state <= 5'd10;
end
end
else if (test_addr_pin == 1'b1)
begin
test_addr_pin_mode <= 1;
if (avalon_burst_mode == 0)
begin
burst_begin <= 1'b1;
state <= 5'd5;
end
else if (avalon_burst_mode == 1)
begin
state <= 5'd13;
burst_begin <= 1'b1;
end
end
else
begin
write_req <= 1'b0;
wait_first_write_data <= 1'b0;
state <= 5'd9;
end
end // 5'd1
5'd10: begin
wait_first_write_data <= 1'b0;
burst_begin <= 1'b0;
if (write_req & local_ready)
begin
burst_beat_count <= burst_beat_count + 1'b1;
state <= 5'd11;
end
end // 5'd10
5'd11: begin
if (write_req & local_ready)
if (burst_beat_count == size - 1'b1)
begin
burst_beat_count <= 0;
burst_begin <= 1'b1;
if (reached_max_address)
state <= 5'd12;
else
state <= 5'd10;
end
else
burst_beat_count <= burst_beat_count + 1'b1;
end // 5'd11
5'd12: begin
burst_begin <= 1'b0;
if (write_req & local_ready)
state <= 5'd3;
end // 5'd12
5'd13: begin
wait_first_write_data <= 1'b0;
burst_begin <= 1'b0;
reset_be <= 1'b0;
if (write_req & local_ready)
begin
burst_beat_count <= burst_beat_count + 1'b1;
state <= 5'd14;
end
end // 5'd13
5'd14: begin
if (write_req & local_ready)
if (burst_beat_count == size - 1'b1)
begin
burst_beat_count <= 0;
burst_begin <= 1'b1;
if (reached_max_address)
state <= 5'd15;
else
state <= 5'd13;
end
else
burst_beat_count <= burst_beat_count + 1'b1;
end // 5'd14
5'd15: begin
if (write_req & local_ready)
begin
reset_address <= 1'b1;
burst_begin <= 1'b0;
state <= 5'd6;
end
end // 5'd15
5'd16: begin
dgen_load <= 1'b0;
reset_be <= 1'b0;
if (local_ready & read_req)
if (reached_max_address)
begin
read_req <= 1'b0;
burst_begin <= 1'b0;
state <= 5'd8;
end
end // 5'd16
5'd2: begin
wait_first_write_data <= 1'b0;
if (write_req & local_ready)
if (reached_max_address)
begin
write_req <= 1'b0;
burst_begin <= 1'b0;
state <= 5'd3;
end
end // 5'd2
5'd3: begin
if (avalon_burst_mode == 0)
begin
if (!wdata_req)
if (writes_remaining == 0)
begin
reset_be <= 1'b1;
reset_address <= 1'b1;
dgen_load <= 1'b1;
state <= 5'd4;
end
end
else if (write_req & local_ready)
begin
reset_be <= 1'b1;
write_req <= 1'b0;
reset_address <= 1'b1;
dgen_load <= 1'b1;
state <= 5'd4;
end
end // 5'd3
5'd4: begin
reset_address <= 1'b0;
dgen_load <= 1'b0;
reset_be <= 1'b0;
reset_data <= 1'b0;
write_req <= 1'b1;
if (avalon_burst_mode == 0)
begin
burst_begin <= 1'b1;
state <= 5'd5;
end
else
begin
burst_begin <= 1'b1;
state <= 5'd13;
end
end // 5'd4
5'd5: begin
wait_first_write_data <= 1'b0;
if (write_req & local_ready)
if (reached_max_address)
begin
reset_address <= 1'b1;
write_req <= 1'b0;
burst_begin <= 1'b0;
state <= 5'd6;
if (test_incomplete_writes_mode)
full_burst_on <= 1'b1;
end
end // 5'd5
5'd6: begin
reset_address <= 1'b0;
if (avalon_burst_mode == 0)
begin
if (writes_remaining == 0)
begin
dgen_load <= 1'b1;
reset_be <= 1'b1;
read_req <= 1'b1;
burst_begin <= 1'b1;
state <= 5'd7;
end
end
else if (test_incomplete_writes_mode)
begin
dgen_load <= 1'b1;
read_req <= 1'b1;
burst_begin <= 1'b1;
state <= 5'd16;
end
else if (write_req & local_ready)
begin
write_req <= 1'b0;
dgen_load <= 1'b1;
reset_be <= 1'b1;
read_req <= 1'b1;
burst_begin <= 1'b1;
state <= 5'd16;
end
end // 5'd6
5'd7: begin
dgen_load <= 1'b0;
reset_be <= 1'b0;
if (local_ready & read_req)
if (reached_max_address)
begin
read_req <= 1'b0;
burst_begin <= 1'b0;
state <= 5'd8;
end
end // 5'd7
5'd8: begin
if (reads_remaining == 1'b0)
begin
reset_address <= 1'b1;
if (test_seq_addr)
begin
test_seq_addr <= 1'b0;
test_seq_addr_mode <= 1'b0;
state <= 5'd1;
end
else if (test_incomplete_writes)
begin
test_incomplete_writes <= 1'b0;
test_incomplete_writes_mode <= 1'b0;
state <= 5'd1;
end
else if (test_dm_pin)
begin
test_dm_pin <= 1'b0;
test_dm_pin_mode <= 1'b0;
state <= 5'd1;
end
else if (test_addr_pin)
begin
test_addr_pin_mode <= 1'b0;
dgen_load <= 1'b1;
state <= 5'd9;
end
else
state <= 5'd9;
end
end // 5'd8
5'd9: begin
reset_address <= 1'b0;
reset_be <= 1'b0;
dgen_load <= 1'b0;
if (powerdn_on == 1'b0 & selfrfsh_on == 1'b0)
begin
test_complete <= 1'b1;
p_state_on <= 1'b0;
dgen_enable <= restart_LFSR_n;
state <= 5'd0;
end
else if (reached_max_address & reads_remaining == 0)
begin
p_state_on <= 1'b1;
reset_address <= 1'b1;
reset_be <= 1'b1;
dgen_load <= 1'b1;
end
end // 5'd9
endcase // state
end
end
//
//-----------------------------------------------------------------
//Logics that detect the first read data
//-----------------------------------------------------------------
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
rdata_valid_flag <= 1'b0;
else if (local_rdata_valid)
rdata_valid_flag <= 1'b1;
end
//
//-----------------------------------------------------------------
//Address Generator Process
//-----------------------------------------------------------------
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
begin
cs_addr <= 0;
bank_addr <= 0;
row_addr <= 0;
col_addr <= 0;
end
else if (reset_address)
begin
cs_addr <= MIN_CHIPSEL;
row_addr <= 0;
bank_addr <= 0;
col_addr <= 0;
end
else if (((local_ready & write_req & (test_dm_pin_mode | test_addr_pin_mode)) & (state == 5'd2 | state == 5'd5 | state == 5'd10 | state == 5'd13)) | ((local_ready & read_req & (test_dm_pin_mode | test_addr_pin_mode)) & (state == 5'd7 | state == 5'd16)) | ((local_ready & p_read_req) & (state == 5'd9)))
begin
col_addr[9 : 3] <= {col_addr[8 : 3],col_addr[9]};
row_addr[13 : 0] <= {row_addr[12 : 0],row_addr[13]};
if (row_addr == 14'd0)
begin
col_addr <= 10'd8;
row_addr <= 14'd1;
end
else if (row_addr == {1'b1,{13{1'b0}}})
begin
col_addr <= {{6{1'b1}},{4{1'b0}}};
row_addr <= {{13{1'b1}},1'b0};
end
else if (row_addr == {1'b0,{13{1'b1}}})
begin
col_addr <= {{7{1'b1}},{3{1'b0}}};
row_addr <= {14{1'b1}};
end
if (bank_addr == MAX_BANK)
bank_addr <= 0;
else
bank_addr <= bank_addr + 1'b1;
if (cs_addr == MAX_CHIPSEL)
cs_addr <= MIN_CHIPSEL;
else
cs_addr <= cs_addr + 1'b1;
end
else if ((local_ready & write_req & (test_seq_addr_mode | test_incomplete_writes_mode) & (state == 5'd2 | state == 5'd5 | state == 5'd10 | state == 5'd13)) | ((local_ready & read_req & (test_seq_addr_mode | test_incomplete_writes_mode)) & (state == 5'd7 | state == 5'd16)))
if (col_addr >= max_col_value)
begin
col_addr <= 0;
if (row_addr == MAX_ROW)
begin
row_addr <= 0;
if (bank_addr == MAX_BANK)
begin
bank_addr <= 0;
if (cs_addr == MAX_CHIPSEL)
//reached_max_count <= TRUE
//(others => '0')
cs_addr <= MIN_CHIPSEL;
else
cs_addr <= cs_addr + 1'b1;
end
else
bank_addr <= bank_addr + 1'b1;
end
else
row_addr <= row_addr + 1'b1;
end
else
col_addr <= col_addr + addr_value;
end
//
//-----------------------------------------------------------------
//Byte Enable Generator Process
//-----------------------------------------------------------------
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
be <= {16{1'b1}};
else if (reset_be)
be <= 16'd1;
else if (enable_be)
be[15 : 0] <= {be[14 : 0],be[15]};
else if (pause_be)
be <= {16{1'b1}};
else
be <= be;
end
//------------------------------------------------------------
//LFSR re-load data storage
//Comparator masking and test pass signal generation
//------------------------------------------------------------
always @(posedge clk or negedge reset_n)
begin
if (reset_n == 0)
begin
dgen_ldata <= 0;
last_wdata_req <= 1'b0;
//all ones
compare_valid <= {16 {1'b1}};
//all ones
compare_valid_reg <= {16 {1'b1}};
pnf_persist <= 1'b0;
pnf_persist1 <= 1'b0;
//all ones
compare_reg <= {16 {1'b1}};
last_rdata_valid <= 1'b0;
rdata_valid_flag_reg <= 1'b0;
rdata_valid_flag_reg_2 <= 1'b0;
end
else
begin
last_wdata_req <= wdata_req;
last_rdata_valid <= local_rdata_valid;
rdata_valid_flag_reg <= rdata_valid_flag;
rdata_valid_flag_reg_2 <= rdata_valid_flag_reg;
compare_reg <= compare;
if (wait_first_write_data)
dgen_ldata <= dgen_data;
//Enable the comparator result when read data is valid
if (last_rdata_valid)
compare_valid <= compare_reg;
//Create the overall persistent passnotfail output
if (&compare_valid & rdata_valid_flag_reg & pnf_persist_compare)
pnf_persist1 <= 1'b1;
else
pnf_persist1 <= 1'b0;
//Extra register stage to help Tco / Fmax on comparator output pins
compare_valid_reg <= compare_valid;
pnf_persist <= pnf_persist1;
end
end
endmodule
|
/**
* Copyright 2020 The SkyWater PDK Authors
*
* Licensed under the Apache License, Version 2.0 (the "License");
* you may not use this file except in compliance with the License.
* You may obtain a copy of the License at
*
* https://www.apache.org/licenses/LICENSE-2.0
*
* Unless required by applicable law or agreed to in writing, software
* distributed under the License is distributed on an "AS IS" BASIS,
* WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
* See the License for the specific language governing permissions and
* limitations under the License.
*
* SPDX-License-Identifier: Apache-2.0
*/
`ifndef SKY130_FD_SC_LP__NOR3_PP_BLACKBOX_V
`define SKY130_FD_SC_LP__NOR3_PP_BLACKBOX_V
/**
* nor3: 3-input NOR.
*
* Y = !(A | B | C | !D)
*
* Verilog stub definition (black box with power pins).
*
* WARNING: This file is autogenerated, do not modify directly!
*/
`timescale 1ns / 1ps
`default_nettype none
(* blackbox *)
module sky130_fd_sc_lp__nor3 (
Y ,
A ,
B ,
C ,
VPWR,
VGND,
VPB ,
VNB
);
output Y ;
input A ;
input B ;
input C ;
input VPWR;
input VGND;
input VPB ;
input VNB ;
endmodule
`default_nettype wire
`endif // SKY130_FD_SC_LP__NOR3_PP_BLACKBOX_V
|
Subsets and Splits
No saved queries yet
Save your SQL queries to embed, download, and access them later. Queries will appear here once saved.