CDS_position_ids
sequencelengths
4
648
IGS_position_ids
sequencelengths
0
490
CDS_ids
sequencelengths
4
648
IGS_ids
sequencelengths
0
490
CDS_seqs
sequencelengths
4
648
IGS_seqs
sequencelengths
0
490
CDS_orientations
sequencelengths
4
648
[ 1, 2, 4, 6, 8 ]
[ 0, 3, 5, 7, 9 ]
[ "8007290008|Ga0350928_244|CDS|8007295858|+|1015:2610", "8007290008|Ga0350928_244|CDS|8007295859|+|2607:3338", "8007290008|Ga0350928_244|CDS|8007295860|-|3924:4616", "8007290008|Ga0350928_244|CDS|8007295861|+|5088:5414", "8007290008|Ga0350928_244|CDS|8007295862|+|5434:5853" ]
[ "8007290008|Ga0350928_244|IG|IG_000001|+|718:1014", "8007290008|Ga0350928_244|IG|IG_000002|+|3339:3923", "8007290008|Ga0350928_244|IG|IG_000003|+|4617:5087", "8007290008|Ga0350928_244|IG|IG_000004|+|5415:5433", "8007290008|Ga0350928_244|IG|IG_000005|+|5854:6267" ]
[ "MSEISTNMPHVRDAAHHAPTGWRRWLFATNHKDIGTLYLLFSIVNLMIGGVMALMIRAELFEPGLQLMRPEFFNQLSTEHGFIMIFGAIMPAFVGLANWMIPLQIGASDMAFARMNNFSFWLLPVSSALLIGSFFVPGGAPAAGWTMYAPLSTQMGPGMDLSIFAIHIAGASSIMGAINIVVTVVNMRAPGLTLMKMPMFAWTWIITSFLLIAIMPVLAGAVTMVLFDRHFGTSFFNASGGGDPVLYQHIFWYFGHPEVYVMILPGFGMISQVIPAFSRKPLFGYASMVYATASIGILSFMVWAHHMFVTGMPVAGQLFFMYATMTIAVPTGVKVFNWVATMWRGSLTFETPMLFSIGFIFVFLIGGLTGVTLAMAPLNVALHGTYYIIAHFHYVMVAASLFSIYAGWYFWVPKWTGYFYDERRGRIHFWGSMISFNVTFFPMHFLGLAGMPRRYADYPAQFTDFNQIATIGAFAFGLMQVYFLFWVALPTYLGKTRRCVDAKPWDGAQGLEWTLPSPAPYHSFETPPAVK", "MTARPRTRRHARAHADAGANANANADANADADADVNMKADARCDTRKHAQRHCTHAFVAHDPRLMTRDVAENRRVWLLKRNCALSPRQSLISMGLLAALTLAIAMPFAIAGAWAVFACAIGEIAAMCGCFLLYARHAVDYDCVALTEQRLEVVQCDGAQLRRYDWNPLWVAVDLDAAHARDPTIRIRHGSETVLVGRHVTLARRRHVAGELNAALAASAGDFGDTDFAPSVRQRQPEPLAAHR", "MNSQHARFIRPVLGTLCIVSLAALSGCRGDACFGFDGCFDDGGVQTVTLSGTAATGPALASATVNVDCARGSVATLSDGGGHYSVTFDAALPCAITVTSGGTSLHSLAYAGGTFNTTPQTELLLVYLAAQLGTSPARLIGDLPNSSRLQQALENQTNVQAAQTAVVANLQQRYAVMFAVPEFLTTPFFVGQPGVDGDLGALANAGAIDANGMPDALAVSLLQQAGAAHPL", "MHSSQAYTADAPTRAEVDALAGATVIEFGANWCGICAGAQPAIRDAFSVHADVRHLKIEDGPGRPLGRSFGIKLWPTLVFLRDGTEVARVVRPTHAEQIETIGFAALV", "MQQSIQHITVEARGRGLVEFTPQVRAFVEVQSVSTGLLTVFCRHTSASLLIQENADPSVQRDIERYFAALAPEDDARYEHDTEGADDMPAHLRTALTQVQLSIPVEHGRMVLGTWQGIYLFEHRRAPHRRDVVLHLIGE" ]
[ "CTGCTGCTTGAGACGATTGGCTGCGCGAATTAACCTCGGCTGACCGAAGTGGGAGGCCGCTCAGGCATCGGCCGCGCGTCGAGCCGGGCAACGGCCAAGCGAGCCGCGGCGCATCGCGCGAAGCGGTGAGCGGCGTTTCGTCTTCGCGACGCGCGACGCGTCGTTCAACCGCCCGCGCGCGCAAGGCGATGTCCGGCCGAGAGCGGCGAGCGCATCGAATATCGCGGTCGCGACACAGTGCCGACAGCCGGCACAACGGCCCCCATTCCGGATTTTCGAGTACGAGGCAACGACACA", "CGCGGTGAAATACACGAGCGACCATTACGCGGGCGTCAAATTTGGCGCGCTGTACGGGTTCTCGAACGCGGCGAACTTCGCCGACAACCGCGCTCGCCGGCGCATGCGCGGCGTTCGCATACGCGATCGGCAAAAGCGACGTGATGTGCGGTTGCCTGCCGCGCTCGCGCTATGCGCGGCACGCCATCGATGACGGTTGCGTCGCGCTGATCGAGCTGCGCGTCAACGCGATTCAATGCGGCGGCGCGAAGCTTCGGCGATGCGATCGAAATCCGCTATCGGTCGCCATCGATTCCGATGCCGCGCACGCGCCCGACCCGACGCTGCGAATAGGGTATGGCGGCGGCACTGCGTCGATCGGACGGCATGTCATGCCGTTGCCGCGGCGGCATGACGTGACGAGGAAGCATCGGGCGCTTTGCGCGGCTGAAGCAGCGAGCGCGAGCGGCGAGCGACGCACGACGACGCACCGCACGCCGGACAATCGCGGCTCCACCGGATACCGCGCGACGCCCACCCGGTTCGGTGCGATGCGCGCGGCGATCAAGCCGCCTGGGGTCGTCGCAATGGCGGCTCGCGCGGCCC", "GGCCGCTGCGGTCACATGGAAGAAAAAGGTCCACTGATTTCACGCCAGGTGCAGGCGCTACATTCGATTCTAGGTCCTGAATCGAGAAAACGGGCGTCGCGCTCGCTGCAATCACGAACAGAGTTTATTCCCGCTCCATCGAGCGCCATCACGGCCGGGCGATCGCAGCCATGAATCATGCAAGGCTTGCCGCGCCCCGCGCCGACACCGCGGTGCGCCGAATTGCCACGCGCACGCACGCGGTTGCATTCCGCCGCCGAATTGCCGGCCCGCCCGTCGGTCGAAGCCGCGCTCACCCGGTCGGCCGGTTCGGGTCCGCTTCTTGCGCGGGCACGGATATGCCCGAATCGGGCCGGCGGGCCGGCGCCTCGCAGCACATCGTGTAGTCGCGCCCTTTTTCGACTACAGTAAAACCGCTGGCCTCGACGACGGCCTGAACGCAACCCTGCTTCTGCTAGCCCTGGAGTCACG", "TCAACCGACGCTCATCGCC", "ACGGGCCGCGAGCATGGCGTGGGCGGCGCCGCGCGCGACCCGGCGCGGCGTGCGCGGCTCGATGCCCTCCGCCTCTTGATCGTCCGTCGCGGCCGTTCGCCGGGATCGCGTTTGCGACGCGCTGCGGCAGCGGTGCCCGCGCACGTCTTCGCGCAAAGCTCGGTCATGCGCGGCGGCCGGCACGCGTTCTTCACCGACAGGCCTGCGCGGCGCGCCGATCTCATCGACCTTGGCCGGAAATGGCGGTGTCCGAAGACCGGACATAGGCGTGGGCATCGGCGAGCCCGGGAAAGCGCCGCAGTGCGCCTGTTGTCGTGGCCATGCCGCGCAGCTCACAGTCAATCGCATAGCCGGTTCACGGCCCGTCGGTTCCGGGCGTGGCGACGGCATTGGCGCAGAAACGAACGAAGCGCG" ]
[ true, true, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 12, 14 ]
[ 0, 2, 4, 6, 8, 10, 13, 15 ]
[ "8007290008|Ga0350928_247|CDS|8007295874|-|588:872", "8007290008|Ga0350928_247|CDS|8007295875|+|897:2111", "8007290008|Ga0350928_247|CDS|8007295876|-|2137:2304", "8007290008|Ga0350928_247|CDS|8007295877|-|2335:2730", "8007290008|Ga0350928_247|CDS|8007295878|+|2963:3157", "8007290008|Ga0350928_247|CDS|8007295879|-|3498:3668", "8007290008|Ga0350928_247|CDS|8007295880|-|3640:4647", "8007290008|Ga0350928_247|CDS|8007295881|-|4683:6095" ]
[ "8007290008|Ga0350928_247|IG|IG_000001|+|461:587", "8007290008|Ga0350928_247|IG|IG_000002|+|873:896", "8007290008|Ga0350928_247|IG|IG_000003|+|2112:2136", "8007290008|Ga0350928_247|IG|IG_000004|+|2305:2334", "8007290008|Ga0350928_247|IG|IG_000005|+|2731:2962", "8007290008|Ga0350928_247|IG|IG_000006|+|3158:3497", "8007290008|Ga0350928_247|IG|IG_000007|+|4648:4682", "8007290008|Ga0350928_247|IG|IG_000008|+|6096:6215" ]
[ "MAMKKTDLEKNKALKLTHAMKQTTSARFGKGAEDAAADRRERRRLEQAQGLVPFACKLNIELVARLNERAAAHPDGMTGLLTELLQRGLDETAK", "MRLYALPRGADIGRHAPCGAPGRTLRGRPCAKRRRPARICRYNAAAIRMTDLIRSMGNSTRAFLLGPLLKGVSRSFYLTLRVLPQGMRDPIGLAYLLARAADTIADTSLIPPAQRLELLLSLRAQINGAPDPHALARIAGEVAGQQAQTDEKTLLESLGPALAVLSQLSAPDRAAVRGVVTTLTEGMEFDLRTFPDESSGRVVALREWPALDRYTYLVAGCVGEFWTKMTCAHLPGLLTEREDTMSERGIRFGKALQMTNVLRDCGRDLRIGRCYLPQAMLDEYGLRAEDLLGPGASLRARPLMHALVRTTLAHFRAGIDYTFAIPRRAPRLRLACFWPIAIGLRTLALLASNDAWLDPARASKVRRGDVYRILAYSLPLAMSNGALRAWLDRLIADVEARLIA", "VQILARHTQDVRQPIHAVYYRNTAISSRIASFVDYLIDALGGGNAAQKAAAWTRP", "MRGYRERFPRVELELNSNEGVIDLLERRTDVAIRIGRLKDSTLHSRLTGNSRLRILASPAYLDAQGQPRKAGDLGKHALLGFNQPESLNVWPTSARTASLAGSSRPCGRRAARRSNSSRSTARASSAGRIS", "MRLLLALLLPWLQFFTIGRPFAGIICLILQITLIGWLPAAIWSVYALSQYKTDRKIEEALGRRS", "MKTSITTTTEPRAVARGARLPGWMWFALLWMGGVAGAVTLGYAFKLSMYATLFAVK", "MDVTVIWAAIIALGLFMYVVLDGFDLGIGIVFPFFPAEQERDLMMNTVAPVWDGNETWLVLGGAGLFAVFPIVYSTVLSALYLPLVFMLVCLIFRGVSFEIRAKARRTKHLWDLAFIGGSTGATFFQGVALGAFLQGIPVDGGRFAGDAFGWLTPFSLLTGLGLIATYALLGCCWLVAKTEGDLQRRLHRVVWPLTVVLLGFIAVVSLWTPLQDTNIAQRWFATGLFWRLLPVPFLVAGCAVLMRRAVRERHDTTPFALALALVFLGYVGLLASLWPYAIPQSVTLWEAAAPRSSQTFTLIGAAVIIPVILAYTTMGYWVFRGKVRHEDQHYYHH", "METAFSALDLARVQFAFTVSFHIVFPALSIGLASFIAVLEYRWLKTGKAYYKSLCLFWSKIFAVAFGMGVVSGVVMAYQFGTNWSGFSSFAGSVTGPLLMYEVMTAFFLEAGFLGIMLFGWNRVSPRAHFGATLMVAIGTLISTFWILASNSWMQTPQGFEIVDGQVVPTDWFAIVFNPSFPYRLAHMAIAAFVVAALVVAATAAWHLLKGRRDKAVKKMFSMSLWLLLVLAPLQAVIGDQHGINTLRHQPAKLAAIEGLWETEPGGTALNLFGIPDMKAQTTHYAVSIPHLGSLILTHSWDGEIRGLKSFPPQDRPNSTVVFWSFRIMVGLGLAMIGLAALAWLLRRRGRLYEAKWFHRFALAMGPTGFVSLLAGWVTTEVGRQPWVVYGVMRTSHAVSPLSTQQVSVSLMTFVVVYFLVFGTGVYYMLKLMRKGPALPGDTPDDAHDARPERTARRPLSAANQLIDAA" ]
[ "CACGGCACTCCTGTTTTCGAAGAGTCGACGAACGGGGTGTTGCGCAGCTGCGTTTTCAGCATAGGCCGAAAACGCGGCGGCGCCCCTGCGCAACGTCGCCGTGTGCGCCGTGCGGCGCGCGCGCCGT", "CGCGGTCACCTCTTGCAGGAAAGG", "TGCGCGGCGCGCCCGGCCGCGCGCG", "GAGGCGGCCCGCTTCGCGATCCTTGCGCGG", "GCTCGTCCCTCACATCCTTGCGCCGGCCCGCGTCGCGTGGCTTTAAGCCCGGTTTAATTCCCGATCTGCTATAACGATCGCGTGACGGCTCCGGGCGCAGCCGGCCGGCGGTGAAAGCGACCGAGGGCCGGACGCAGGGGCCGGCGGCGCGGAACTTGAATGTCGGCGCCGCGCCGCCATCTACGCGCCGATGCATTTCGATCGTTGGCGATCCGACCAAGAGGAAAACACG", "GCGCGCGGCCGGCGCGTGTCGCGGGCGCGCGCCGCCGACACGGCGAAGCGATATCGCGCCGGCGGCGCGCGTTCTCCTTCCTGCGTCGAGCCCCGCTGTGGCGAAGCGGCGCGCGGCCGGTTTCTCGCGGCGGCGCGATTTCATCGCGAAGCCACTTCGCGTCGGATGACTGAGAGACGGCGGCGCCTGCGATGCCCCGCGCCGCCGCGCCGCCGGTTGACGCGCTGCAATCGGCCGTACGTCACACGTCGCTCATTGCGCGTCAGATGTCGCACGTCAGATGCCGCACGTCGCTCCGCGCCGCATCGCGCGGCGTCGTTTCACGTCCGCCTCACCGCCA", "TTGCTAACCTCGCTTGGCTTGGTGGCGCGCCGGCT", "GCGAGTAGACCGAAGCTGACGACACCCGCCGGCGGGGCTGCCGGCGGCCCCTGCGCCGCGCCTGCAAGGCCGCGGCCGTAGAGTCGGAGCCGAGTATCGCGCTCGGTGTTGCAGTGCGAA" ]
[ false, true, false, false, true, false, false, false ]
[ 1, 3, 5, 7, 9 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "8007290008|Ga0350928_248|CDS|8007295884|+|548:1273", "8007290008|Ga0350928_248|CDS|8007295885|-|1463:1591", "8007290008|Ga0350928_248|CDS|8007295887|+|2224:3126", "8007290008|Ga0350928_248|CDS|8007295888|-|3167:4420", "8007290008|Ga0350928_248|CDS|8007295889|-|4503:5966" ]
[ "8007290008|Ga0350928_248|IG|IG_000001|+|542:547", "8007290008|Ga0350928_248|IG|IG_000002|+|1274:1462", "8007290008|Ga0350928_248|IG|IG_000003|+|1592:2223", "8007290008|Ga0350928_248|IG|IG_000004|+|3127:3166", "8007290008|Ga0350928_248|IG|IG_000005|+|4421:4502", "8007290008|Ga0350928_248|IG|IG_000006|+|5967:6008" ]
[ "MEINTMFRDTTLSSRDYEEMLARESRLVDLLSTKSPTMARANWRVTGDTLEEANSYPAFEADGAPSTPALAVLTERGRGNKHGVSHAAIWNAATSAEEGASISCHVSDAKVLPDTLSVSLRVPGCYATADDFADVIKAIVAAFHPAVIEASPDGYFEKQVFDDKPGVGWMLYLPKIITQQQVPEAHALIPVPEAGKRQTGTIIVSVTDAPFSVDNPEHVAIANRIEIRLVDQDLLPAYVDI", "LFYLDPPYFETEGYGVAFPFAEYEKIAERLRSIKGAGDRQPQ", "MPGRPAPALPAPSRSRLTRSVPVNFDEYCQQKAAPPGSSFYYALRQAPLARQPLVAALFALRRELEQTVKEVSDPTVGHTKLAWWQKELAALASGHPSHPVSQALAAHHPDIARETDALRTLVAGYGMDLEQARYLDFANLHRYADHTGGMFAALVASASAGRPTANGARWAQPLGQALTLAQFVQDLGQDARQGRIYVPIDELQRYGVTAADLLNRRYSPAYTELMRFQTSRARDALNASLSGIPADERRAQRTLRALGALALAVLDEIERDGFQVLHQRIALTPIRKLWIAWRAARRR", "MKRRDFLALASLAGAAGVSLPVPYAFAAAPGETSATGAMGAVGAARAARYSNLLILVELKGGNDGLNTVIPYANPLYRTLRPAIGVKREQVVQLDERAALHPALEPLMPIWRDGRLAIVEGVGYPQPNLSHFRSIEIWDTASRANEYLREGWLTRAFAQASVPPGFAADGIVLGSAEMGPLANGARAIALVNPAQFARAARLAQPVSLRERNPALAHVIDIENDIVKAADRLRPHAGTPALATAFPGGPFGASVKTAMQVLAACDTPQRTPAPGQGVAVLRLTLNGFDTHQNQPGQQAGLLGQLAQGLVAMRSALIELGRWNDTLVMTYAEFGRRARENQSNGTDHGTAAPHFVMGGRVRGGLYGAPPALDALDGNGNLPVAVDFRQLYATVLGPWWGLDAASVLRQRFEPLPLLRA", "MSDSRTPGQPPAKPQRMPAAMRTPLDADDARFLLTRTGFSPPPRALARFVGMTRAQALAELLDGARTQSVTPPPDWVHEPPPSRAMRAAFSPDERRAEQQLRNRCYDELRAWWVREMIVTPSPLTERMTLFWHNHFTSGQDKVPFPQTIAAQHALLRANALGNFGAMLHGVAKDPAMLQYLDGASNRKGRPNENFAREAMELFTLGEGHYTQRDVSEAARAYTGWGLDPDALTYVFRPNVHDDGVKTVLGETGRFDGDAVLDILLGRPETARFVVAKLWREFVSDAPDAGEVERIAARLRQSDYDIRAALTELFSSDAFWAERNRGVLVKSPAEFVVGTVRLFDVDYVDAAPFANTLRALGQNLFYPPNVKGWPGGVSWINSATLLARKQFVEQMMRATEAPGMRAAPVSRDMAGQPAPTRRGAMRFDLDAWLAAYRTKPQAQPDLSTELQLQHAVLPISPVAAIEAGATSGAYLQALLMDPAYQLK" ]
[ "TCGAAC", "AGCTGAACCCGCCGGCGCGATTACCGCGCCGGCCGCACGCGTCCAAACAATCCGACTGGCTCCGCCGAGTCGCCCCAACTAAAAGTAATCCGCTCGCGGCGCTCGACGCCCTTTGCGCCGCCGACCGTATACTGAATCGGCACGCTCTCGATATGAAAGCCCGCGAATACGCGCCGGATTTCAGGATGG", "CGCGCACGGCCGATCGCAACGATCAATGGACGTCGCCCAATCGAACCGCTCAATGTACGCATTCGCGAGGCGAATGTGAGAAATGTGAGCCGCCGATAGCTCCCACCCATACGCAATAGATTCAGGCGCGGGCAAACCCGCGCCGCAGCCTTCGTATCACGAACAGTCGAATTCCGCACGCCCTTGGCGCGCCCTCGACATAGACCTCTGCGCGCGCAACCACCAGCCGGTGTCTTTCTGACGGCGCCCTGCGTAACTTATGCGCGGCGAGACGTAGTTCAGCGTGACGATTGGGAGGGAAGGGGCAGGCTCAAAAAGCAATGCAAGCCATTGAAAACGCATGCCTTGTGGTGCTTTATCGTGCCGTATGAAGATGCTGTTGGTGCGTGAGGCCGGACTCGAACCGGCACGCCCTTGCGGGCGTCAGGACCTAAACCTGGTGCGTCTACCAATTTCGCCACTCACGCACGCGAAAAAAAATGTTGCGCCACCCGGGGTTGCAAGCCGCTTTCGCGACTGCCCGAAAAGCGCGAGCGCGAGATTCTAACCGATCTCCCCACGCTTGTCTCTACCGGCCGGCCTCTCTTGCGCCACGATGCTAGAATTCGCCGTTGTCGCCGCAACATGGCGCG", "CGCGCGCCGCCCTCCGCCGCGCACGCCCCGCTCCCGCGTA", "GCTGCCTTCCTCGTTTCGCATTCGACGCGGCCCGCGCAACGCCGCATCGCGCGGAGTCTCATCGTCATCGGGTTCATGTTCT", "CGTCGACCGTTCTCCGAAAGAGGCAAGCCACGCCGGCTCGCG" ]
[ true, false, true, false, false ]
[ 1, 3, 5, 7, 9 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "8007290008|Ga0350928_249|CDS|8007295892|-|1443:1901", "8007290008|Ga0350928_249|CDS|8007295893|-|2080:2772", "8007290008|Ga0350928_249|CDS|8007295894|-|2931:3428", "8007290008|Ga0350928_249|CDS|8007295895|+|3452:4501", "8007290008|Ga0350928_249|CDS|8007295896|-|4933:5592" ]
[ "8007290008|Ga0350928_249|IG|IG_000001|+|1342:1442", "8007290008|Ga0350928_249|IG|IG_000002|+|1902:2079", "8007290008|Ga0350928_249|IG|IG_000003|+|2773:2930", "8007290008|Ga0350928_249|IG|IG_000004|+|3429:3451", "8007290008|Ga0350928_249|IG|IG_000005|+|4502:4932", "8007290008|Ga0350928_249|IG|IG_000006|+|5593:5596" ]
[ "MPASRRRGTAWYSNWLHTGFTRAWRGALRRAGAPGPRGRGDIAAEDPVNQRGEGECRPASSWRPARAPADPGRSGSTITVSLAASGKQSVEYGNNQNPSLNGRVISPSTNGAFASGSPSKTTRGSTWDSVLNIHHTLTFSGAGGLNVAGPQT", "MATTRRHATATALAAAARPCAAPHADSFLGILIEVFARVVAALALGVFAYAAYLQWRLDPSRITLILLAVSTTLTVGLSLFAEPPKKRDWSPLALFFSVGGTFYYLVFQLTASRQLLPEAAGAAIQLIGLFWQLFAKVSLRKSFGILPANRGIVSRGAYRFVRHPMYLGYLIIDAGFLLTNFSARNLIAVALQIGLQLGRIRREERLLSEDAAYRAYRRSVRYRVIPGLF", "VEANERFCATTPAKGTIDSCFGYGMAANARAAQAKMRGGHRTRPARALAGPAASRASMPTPISVGTRDRRRVVSIGIGQSQINFSAMLNKPDDAPLNRKRLIASQSENAAARAASNETKSSRKRSARAKFRAGMLENPYEGPPRRMIRRGGGAARFDGDFANGCI", "MPRPLPSLARLLSMLFAVALAIGAAPACASPAAGAAPPGPRAGHAPLSLAGKRIGITAAGTDHYWDLQAYQGAVDEVKRLGGTPIALDAGRNDSRQIAQIQTLIAQQPDAIIEQLGTASVLEPWLRKIRQAGIPLFTIDTASPSSLNVVTSDNFAIGSQLALKLVNDIRGEGNVLVFNGFYGVPVCAIRYDQLKAVLKWYPKVKIIEPELRDVIPNTAQNAYAQISQLLQKYPKGTISAIWAAWDIPQVGATQAVDAAGRREIRTYAVDGSPEAVALVRNPTSSAAAVVAQQPALIGRTAVRNVARYLAGDRSLPAYTFVPSVLVTKDDAGVARPALGQTPAAAGLARR", "LPPEPSPPRQPDDLASPHRERQRAEAAGQREIARFERDRARRGARAAPRGIGAGWRAVRIVAPCIGPCTDPRIGSGGGGRRGGGGGLRRADHRRDERVARYRARLEVRDVGAVAQHAHAVAYARDLVQVVRHVEDGVPVGAQPLDRPQQPVDLARHERGARLVEHEDLVAAEQRARDLHDLLLGDGQLADQPIGRQVQAELRVQHRATVAAHALHVERQ" ]
[ "TCCGGCGTCTGCGCGCACAGCGCGCGCGGGGGAAGCGGGTTCCATGCCCGATACCCTTGCGGCAGGCGGTGGGCAGTGGGCCGATCGCCAGCGCGCCGGCG", "TGCCCGCATGTGGCCGGCGCGAATCGCCGCGCCGCACGCACGTATCGGCCACGCGCGGGCGGCGTTTCGCTTCGGATCACGAAGGCTGGCGCGGCCGCAGGCGGAAGCGAATGCAGCGCGGCGGCGGCGCGTCGGTCGCCGCGCGCGGGCCGGCCTCGGCCCAAGCGCGGCGGCCGGC", "CGATGGTCGGACTTCGTGTTCCATCGCGAACACCCCCGTTCGTCGCATACCGCTTTTATGTGAATCGAGCTTATCACGCTGCCGCCGCGCGCCCGCGCGCCGAATCTAAAAATTAGGTTAATTATTCCGCTATCGAACTGATGATTTTCCCGGTTCGA", "GTCCGAACCCAGACCCGCCGATC", "AGGCCGCGCTCACGACGGCCGCGGCATCCGCCGCCGCGGCGCCGGACGCCGCCGGCGCGCCGCTTGCGCTCGACGTGCGGCGCGTCGTCAAGCGCTTCGGCGGCGTCGCCGCGCTGCGCGGCGCGTCGCTCGCGGTAACGCGCGGCACCGTGCATGGGCTGATCGGCCAGAACGGCGCGGGCAAGTCGACGCTCGTCAAGCTTCTGGCGGGCCTGCACGCGCCGGACGAAGGCGAGATCACGGTCGGCGGCGTGCCGTTCGCGTCGGGCGGCAGCGGTTCCCGCGCGTCGGCCGGCGCGGCCCGGCGGCATTCGACGGGCATCGGCTTCATCCATCAGGAACGGCTGCTGCCCGCGACGTTTACCGTCGCCGAGGCGCTCTTCTTCCCGCATCCGCCGACGCTCGGCGGCCGCGCGTCGCGACTCGCGCGG", "GGAC" ]
[ false, false, false, true, false ]
[ 1, 3, 5, 7, 9, 11, 13 ]
[ 0, 2, 4, 6, 8, 10, 12, 14 ]
[ "8007290008|Ga0350928_252|CDS|8007295904|+|761:1135", "8007290008|Ga0350928_252|CDS|8007295905|+|1172:1471", "8007290008|Ga0350928_252|CDS|8007295906|+|1474:1749", "8007290008|Ga0350928_252|CDS|8007295907|+|1777:2229", "8007290008|Ga0350928_252|CDS|8007295908|+|2373:3755", "8007290008|Ga0350928_252|CDS|8007295909|+|3894:4520", "8007290008|Ga0350928_252|CDS|8007295910|+|4531:5541" ]
[ "8007290008|Ga0350928_252|IG|IG_000001|+|526:760", "8007290008|Ga0350928_252|IG|IG_000002|+|1136:1171", "8007290008|Ga0350928_252|IG|IG_000003|+|1472:1473", "8007290008|Ga0350928_252|IG|IG_000004|+|1750:1776", "8007290008|Ga0350928_252|IG|IG_000005|+|2230:2372", "8007290008|Ga0350928_252|IG|IG_000006|+|3756:3893", "8007290008|Ga0350928_252|IG|IG_000007|+|4521:4530", "8007290008|Ga0350928_252|IG|IG_000008|+|5542:5775" ]
[ "MRHYEIVFIVHPDQSEQVPAMIERYKSTITSHGGQIHRVEDWGRRQLAYMIEKLAKAHYVCMNIECDQTTLDELEHAFKFNDAVLRHLIVKMKKAETGPSPMMKEVQREEAKKAAAAQPTEAQA", "MNRLQLTASVVEREPVRYTPAGVPIASATLQHRTEVVEAGIARQVELTIPAVAAGEASGRLEACEMGVETLFTGFLAKKHRNARTLVFHITALQDIGKD", "MARPTGKKFDKRRQQQNPLFKRKKFCRFTAAGVEQIDYKDTETLKDFIGENGKITPARLTGTKAHYQRQLDTAIKRARFLALLPYTDQHKA", "MQIILLEKVANLGNLGDIVKVKDGYARNFLIPNRKARRATKDAIAEFEVRRAELEKVAAEKLAAAQAVGEKLNGQTFEITQKSGVDGRLFGSVTNGDVAELLKKAGYEIEKAQVRMPEGPLKMIGEHGVQVALHTDVVVDVTVNVIGDHA", "MNAPKDPQIESLKVPPHSIEAEQSVLGGLLLDNGAWDRIADFLSQSDFYRYDHRIIFEHIGRLIAATRPADVVTVYEALTTSGKAEDVGGLAYLNALAQNTPSAANIRRYAEIVRDRAVLRRLVSVADEISADAFNPQGKEVRQLLDEAESKVFSIAEDGARGTQGFLEIGPLLTQVVERIDTLYHTANPSDVTGTPTGFVDLDRMTSGMHGGELIIVAGRPSMGKTAFSMNIGEYVAVEYGLPVAVFSMEMPGTQLVMRMLGSVGRLDQHRMRTGRLTDEDWPKLTHAVQKMSEAQLFIDETGGLNPMELRSRARRLARQCGKLGLIIVDYLQLMTGSSQGENRATEISEISRSLKSLAKELDVPVIALSQLNRGLEQRPNKRPVMSDLRESGAIEQDADVILFIYRDEVYNPDSPDKGTAEIIIGKQRNGPIGPVRLTFLGQYTKFDNFAGAQNFYGE", "MFGRFMPTEGKFFEIFNAHANYIVSGGRELELLIDNLADAEIHKQNVQSAEKAADKLTHEAIDLLHKTFITPLDRDEIHKLITTMDDILDLMEDVATAVSLYDVRSVTSEASQLAHIVTQSAQHVQAAVALLSDMKQSGQILKACEEIDRWESEADRVLRAAMSKLFREEDDVKTLIKLKAIYELLEQITDKCEDVANIIEGIVLENA", "MHSIQLALWVVAALVLVALVFDFMNGFHDAANSIATVVSTGVLKPQQAVVFAAAFNVIAYFIFHLKVAQTVGKGTIDASIVDHYVVFGALFGAIGWNIITWYYGIPSSSSHALIGGLVGAAVSKSGWGSLNVDGLMKTVAFIFISPLLGFILGSLFMLGVSWLYFRTAPSKVDRRFRRLQLLSASLYSLGHGGNDAQKTIGIIWMLLIASGYASAASDAPPAWVIGACYLSMGLGTLFGGWRIVRTMGQKITKLKPVGGFCAETGGALTLFIASWMGIPVSTTHTITGAIVGVGATRKLSAVRWGVAGNIVWAWVLTIPASALIAAAGWWIGHRVF" ]
[ "CGGCGTTTTGGCGATGCGACCCCGTCCCCGGGCCGGCGGTGCCCGCGCGGCTGCCGCGCCGGCGTGGCCGGCCGAACGCCCGCCGGCCTGCCCAAGTTGTTGAAAACACGGCCGAATCACGCGGCGAAGCCTGTATGAGCCTTGCATGCGACCCATATACGGGTTAGAATCTTCGGCTTCTCACTTGCTACACCGGTTCAGACGCCCGGGTGGCTTCTATCCACAAGGAGTGTGT", "CGCACCAAGCCATCAGGGAAGGAGCGCGTCGCTTTC", "AC", "TCAGGCGACGCAATAAGGAGAATTCGA", "GCGACATGCAGTCTCTACGGGCGGCGCACGCCGCCCGACATGAAGGGCAGGGGCTCGGGCAACCGGTTCCTGCCTTTTTTCGTTTCTCGGCGGTGCCGATGCCGAGTGGCGAAGCCGCGCCCATTTCGCGATAATCCGTAGCC", "CGCCGGATGTAAACCCCTATTTCGCAAAACGTTGTATCCCGTCGCCGTCGTTGCGATCGGGCGCTTGGCGGGCAAGTGTTAAACAGTACAATGTCGCCGGTTTTTGTGACCGCCGTTTGACCATCTTTCAGGAATCCC", "GCCGATCACG", "TGCGCTGAGCGTGCCGATTGCGCGGCTTTGCGCCGCGCATCGCCGAACGGAAACGCGCAGCGGAAAGTCAGCGAACGATGCGCCGCAGGCCGACAGGCTGCGGCGCATCGTCTTTTCGGCAACGGTATTTTTCGCTTGCCCTTCGCGTTTCACTCGCGCGGCGGTGCATCGCGCGGCCCATCGCGTCCGGACGGCGCCTTCGGCGTGTCGGCGCTTGCCGCTTCTCCGATAGCC" ]
[ true, true, true, true, true, true, true ]
[ 1, 3, 5, 6 ]
[ 0, 2, 4, 7 ]
[ "8007290008|Ga0350928_256|CDS|8007295929|+|935:1885", "8007290008|Ga0350928_256|CDS|8007295930|+|1896:3065", "8007290008|Ga0350928_256|CDS|8007295931|+|3128:3901", "8007290008|Ga0350928_256|CDS|8007295932|+|3901:4617" ]
[ "8007290008|Ga0350928_256|IG|IG_000001|+|713:934", "8007290008|Ga0350928_256|IG|IG_000002|+|1886:1895", "8007290008|Ga0350928_256|IG|IG_000003|+|3066:3127", "8007290008|Ga0350928_256|IG|IG_000004|+|4618:4925" ]
[ "MDIFVQQILNGLVLGSVYAIIALGYTMVYGILGIINFAHGDVLMVGAMVALSAITVLQNHFPELGHVATLTIGLLIAAVVCACVGFTIERVAYRPLRRAPRLAPLITAIGVSILLQTAAMMIWSRNPLPFPQLLPTDPINVIKAGENNPGAVISMTEITIIIVAFLVMAGLLLLVHKTKLGRAMRAIAENPNTASLMGVNPNFVISATFMIGSALAALAGVMIASEYGNVHFYMGFIPGMKAFTAAVLGGIGNLGGAMVGGVLLGLIEQLGAGYIGNLTGGVFGSNYQDVFAFVVLIIVLVFRPSGLLGERVADRA", "MTSIQPIETSASLVEERHPAKTATVGILIAAFVIAAPLVIGAAGGNYWVRVLDFAMLYVMLALGLNVVVGFAGLLDLGYIAFYAVGAYTAALLSSPHLTSHFDWIAQMVPGGLHVPFLLIVPIAMALAAIFGILLGAPTLRLRGDYLAIVTLGFGEIVRIFMNNLDRPVNVTNGPQGITGIDPVQVAGFNLSQTHEIFGFSLPSVYMYYYLFVLCALLVIWVCTRLQHSRIGRAWAAIREDEIAAKAMGINTRNVKLLAFAMGASFGGLSGAMFGAFQGFVSPESFTFWESVVVLACVVLGGMGHIPGVILGAVLLAVFPEFLRSTMSPLQHMLFGHDIVDTEVIRQALYGLAMVVIMLYRSEGLWPAPKHEDRIAKLAKRGGKKPVRA", "MSEQIRLSVKGVNKRFGGLQALSDVGLEIREGQIYGLIGPNGAGKTTFFNVITGLYTPDSGEFKLDGTEYTPTAVHQVAKAGIARTFQNIRLFGGMTALENVMVGRHVRTKHGLLGAVFQTPAERREEREIKERAIELLDYVGVLQYADYTARNLSYGHQRRLEIARALATDPKLLALDEPAAGMNATEKVELTRLLDKIRSDGRTILLIEHDVKLVMGLCNRMTVLDYGKVIAEGLPQDVQKNPKVIEAYLGAGVH", "MAAAMLKIKGLQVNYGGIQAVKGVDMEVRQGELVTLIGANGAGKTTTMKAITGLKPYSAGDIEYDGQSIKGVPPHELLKRGLAMVPEGRGIFARMSIIENMQMGAYLRNDNEQIKKDVERMFGFFPRLKERATQLAGTLSGGEQQMLAMSRAILSKPKLLLLDEPSMGLSPIMVEKIFEVVREISKEGITVLLVEQNARLALQAADRGYVMDSGTVTMEGDAKQMLDDPKVRAAYLGE" ]
[ "ATTACAGACGGGACTCGCGGCGGCACCGAAGCTTCAGTGCGGTGCCGTTTTCGTATCCGCCCCGGCAAGACGCGCGGTCGCACAGTCCGCGTGGTCCGCGCCGGGCCGGCATCACCTTACCGGTATTGACTAAGTACCCGCAGTGTCGTTGAGATCGCGCGATTCCATCGCTTATCCGCATGGCGGAGCGCGCATCCAGCCGCACGGGCCAAGGAGCTTTAA", "GGAGAACAAC", "AGGACGTGGGACCGGCGCTGCGCGCGCGAGCGCGAGCGCCGGTCGACCCAGGAGAAAAGGTA", "GCGCCGAGCGGCGCCCGCCGCGCGGCTCGCGCGGTGCGGCGTTCATGAAGCCGTCGCGGTGGTTCGCCGTGGCGGCTTTTAGTTTTTTGACGGGCGGCGTCGCTCGCTTTTCCGGCGAAGGCGGCGGGGCGAAGGCGAAGGCGGCGGCGGTGGCGGTGGCTACTGCCGCCGGGCGGGCGGCGCGACTGTCGCCGACGCTTGGTGGTGCGCGAGGCGACGTGCGACAGACCGCGTTCGCCGATCGGCCGATCGAAAACCGACACGCAGCTCGGGCACAATGTGCGCGTCACCCTCGAACGGAGACGCAC" ]
[ true, true, true, true ]
[ 0, 2, 4, 6, 8 ]
[ 1, 3, 5, 7 ]
[ "8007290008|Ga0350928_257|CDS|8007295935|+|639:956", "8007290008|Ga0350928_257|CDS|8007295936|+|1174:1893", "8007290008|Ga0350928_257|CDS|8007295937|-|1989:3014", "8007290008|Ga0350928_257|CDS|8007295938|-|3213:4616", "8007290008|Ga0350928_257|CDS|8007295939|-|4858:5514" ]
[ "8007290008|Ga0350928_257|IG|IG_000001|+|957:1173", "8007290008|Ga0350928_257|IG|IG_000002|+|1894:1988", "8007290008|Ga0350928_257|IG|IG_000003|+|3015:3212", "8007290008|Ga0350928_257|IG|IG_000004|+|4617:4857" ]
[ "MSGPPRKRAAVLAYDAKGGDTAPRVVAKGYGLVAERIIERARDAGLYVHTAPEMVSLLMQVDLDARIPPQLYQAVAELLAWLYALERDAGDGGGAQPAFPPLPKR", "MNAPESIEKHSGVVVPYPGSPRKLDAFELAERRRRSRRATFIKWLRKVHGWVGLWGAALGLLFGVTGVLLNHRAPPLKISSGEPQVSQLQLALPSPAPATPHAMAAWIRRELAFDGRLGRVRKEPAQPVAWGGRRVEQPEHWQFGLFGPRSNVQVEYWKGNGYVSVKRTDNAFLTTLNNLHRGVGMNLFWVLLMDTIAGSMVLLSLTGVLLWTELNKRRTVGVVLVAGSVAAALAAGLT", "MESVDLEVLRTSARWLDEGRRALLVTVVRTWGSSPRPEGAMLAVRDDGLVVGSVSGGCIEDDLIARVQASGVARWTRPEAVKYGVTAEEAHRFGLPCGGTIELVLEPLTPASGIAALCDALEHGRLVTRTLALATGEATLASASATDGLHFDGARLVTVHGPRYRMLVIGAGQLSRYLCQIAAGLDYQVTVCDPREAYTDAWDVPGTRIVRTMPDDTVLDMRLDRRSAVIALTHDPKLDDLALMEALKTPAFYVGALGSRRNSQARRERLREFDLSAAELARLRGPAGLYIGSRTPPEIAVSILAEVTAAKNGVSLPTILQVEGAKAAREIEANSGVACGM", "MSLFRKKNVDRMIAGAQAAGLKKALGAVDLTFLGIGAIIGTGIFVLTGTGAVQAGPALMLSFVIAAIACGLAALSYAEFASTIPVAGSIYTYSYATLGELVAWIIGWDLMLEYGLAASAVSVGWSGYLQSLLQGFGVSLPTALTAAPGALPGVVTYFNLPAFVVMLVITTLLSIGIRESTRVNNIMVFIKVAVVLLVIAVGVFHVTPANWKPFMPHGWNGVFGAAAVMFFAFIGFDAVSSAAEEVKNPKRDLPVGIIASLGVCAFLYVAVAAIATGIVPWAQYANVSHPISYALQVAGEKWVAGFIDLGAVIGMLTVILVMSYGQTRIIFAMSRDGLLPAALSRVHPRFATPFLTTWLVGLFFGLIAALIPLNVLAELINIGTLAAFSMVSIAVLVLRRTHPDLPRAFRCPGVPLVPILAVAACLFLMLNLQPVTWIAFLTWLVIGLFVYFAYSRSRSKLAHGAQQH", "MSLQILLVDDHAIVRQGIRQLLIDRGIAREVKEAECGGDALVIAEKSEFDVILLDISLPDMNGIEVLKRLKRRLPSTPVLMFSMYREDQFAVRALKAGAAGYLSKTVNAAQMVSAISQVAAGRKYVSPAMAEALAEYVSFENEPLPHEKLSDREYQTLCMIASGKRLTDIAHALSLSVKTVSVYRTRLLEKMKLSNNAELTFYVMSNRLVDMNPAIGA" ]
[ "GCGCCTGATGCCCGACGCCGGCCACCGGCCGCCGGGATCACGCTGGCGGCTATCGCGCGGGCGCATGACGGGCGTTTCGGGCGCGGCGATCCCGCGTCCGGCGACATTGAGTCCGCCGCCATTTTGTGTAATGATATCCATTCTCATTTAATTGTTGCGGGCGGCGTGCGACTCGGTTGCGCGCCGCCCGATGTTTTGTGCGAATGGAAGCCGAAGC", "GTCGGCCGCCTTCGGCTCCAGCCCCGGCCGCCGGCCGGCCGAGGCGGCGCGCGGACGCCGCTTGCGCCGCTCGCCGCCGCGCTGAATCCGGCCGG", "GATTTTTCCCCGCAGCTTTCCGGCCGGCGGGGGAGCCGACCGCTCGATGGCGCGATTATGGCGCGTTCGATGAAAGCGTGCAGGCGCGCCCGGCCGCGCAAAAAAACTCGCTGAAGCCGGGAGCGTGGCGTGGCAAGCGCGGCGCGCGTTCGCGAGCGATGCGGCTCGCGCACGGCGCGCACCGCGAGAGATCGCGCG", "TGCGTGGGATTACCGGACGGCGCGGGGCGCGCGCCGGACAAGTGGAAAACCCGCCATTTTAGCGGATATGGCGGACATGCCCGCGCCGGACGATGCGCGATCGCGACGTGGGGCGCAAAAAAATCGACCAATGAAAACAATTGCTTGCATCAATCGCCCGGGGCGGCGCGACGTGGCGCCGCGGCGGGCGCGCGCTCCGCATTCGCGGCGGCCGAAGCGGGGAAGCGGCCGGGCCGCGGCG" ]
[ true, true, false, false, false ]
[ 1, 3, 5, 7, 9 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "8007290008|Ga0350928_258|CDS|8007295942|+|1211:1570", "8007290008|Ga0350928_258|CDS|8007295943|+|1611:1979", "8007290008|Ga0350928_258|CDS|8007295944|-|2468:2902", "8007290008|Ga0350928_258|CDS|8007295945|+|3457:4527", "8007290008|Ga0350928_258|CDS|8007295946|-|4660:5304" ]
[ "8007290008|Ga0350928_258|IG|IG_000001|+|978:1210", "8007290008|Ga0350928_258|IG|IG_000002|+|1571:1610", "8007290008|Ga0350928_258|IG|IG_000003|+|1980:2467", "8007290008|Ga0350928_258|IG|IG_000004|+|2903:3456", "8007290008|Ga0350928_258|IG|IG_000005|+|4528:4659", "8007290008|Ga0350928_258|IG|IG_000006|+|5305:5308" ]
[ "MNKFGKHVVVAAALAALAAGAQAAGVVGNPKDGASKAAMCIGCHGIDGYRVAYPEVYRVPLLGGQNQVYLENALKAYRKKDRHFPSMNAIAESLTDQDIADLASYYAAQKPDSKNNPYK", "MKKPQTALKTAAALALAAGFAIGTAHAANVAKGKELVESHNCAACHGAKLDNPINAEYPRLAGQHADYLVWAMRQYQMGLTNPLLGRNNAIMQAQVQSLSIADMKDIAAYLESLQGSLVFKK", "MFNPSRDDVRRFFIDTWRKQRSGEILTPLEAMAADWIVEHPEYHAELEDAGRSAAHDYTPDEGRTNPFLHLSMHLAISEQLSIDQPPGIRAAHEKLAARCDSAHDAQHAIMECLGETIWEAQRTHTPPDSDAYLQRILRRASRG", "MPFIADSSRAALRGVLYVALSAVAFGAMAIFGRYAYAGGADVLGLLIVRFSIAGALLVAVARRRRVRWPRGRALAAIVGMGALGYVGQSLCYFSALQHAQASLVALLLYLYPAFVALLAAWWLGERLTRAKAVALALCVAGSALMVGGGRGEPLGIALALGAAVVYSLYIVVGAKAARGVDPLATVAVICCAAAAMLAMLALARAAAFDAPPHWPRAAAGWAALVAIALVSTVAAMLAFFAGLARLGAARTSMLSTLEPVVTVALAAALFGETLTPLQWAGGVAILAAVLWLVRAGDAADSRGAGDDRERRRLGRRDDEPSAPGGSGADGGPAGFVDPNECGIRRVRSADENARPM", "MNATKRRAIFETLQSLNPHPTTELEYTTPFELLIAVMLSAQATDVSVNKAMRKMFPVANTPKKIVALGEEGVADYIKTIGLYRTKAKNVVAASRILLEQYGGEVPAEREALESLPGVGRKTANVVLNTAFGQPTIAVDTHIFRVANRTGLAPGKDVRAVEAALEKLTPKEFLHDAHHWLILHGRYVCKARKPECWHCAIEPLCEYRPKTPAPMQ" ]
[ "GGCGGCGAGCTTCCGGCGAAAAAATCCCAGTATAAGGCAGAAGCGCCGCCGGCCTCGCACGGCGGGTGTATCGTGCGGGGCGTGCTCGGCAGGGCCGCATGGTGGACGCATTCCCCGACGACGCGGTACAATCTGGCCGTTTTTTTGGCCTGCGTGGCGGCCTCACAAGTAAAACGGCGCCGGCCGTTGCCTTTGCGGGCGCCAGTTTCCCCTCAAGCTAGGTTACAAGAGCT", "GCTCGCGCTTGCTGACCTCAGCACCGGGATAGGAGCATCC", "CGCCGGTACGCAGGCGTCGCGCGTGCGTTCTCGCCGCGCGTCCGGAAGAATGCCCCGCCTCGTGCGGGGTATTTTTTTGCCCGGCGTATTTGCCCGGTGCGTGTCCGGTGCGCGCATGAGCGGCGGGCCGGCGCGCGGCGTCTTCGCATGGACTGCATGGGAGCGCGTGGTTGCGGCAAGCGCGGGGGCGGCGGGGGCGGGCGCGACGAGCGCGGCGCGATCGCGGCGCTCCGGAAGTTGGCGTGATGCGACACGCGTGATGTGCGTCGCGCCGGCATGTTCGCGAACGTGCCCGGCATGGCGAGGACGCAGGCGTCCGTTCGATTGCCGCGCGCGCCGACGTTCAATGCCGCATGGACGAGCTCGTCTCCGGCGTGTTCGTCACCGCGGCGCAGACCCGGTCGCGCATCGAGGCGGCGAGGTGACCGAGCGATGCGGGGGGCGGGAACCGGTGCGCATTCGTCGACGAAGCGACGCGCGCCGCCGCG", "GATGTAACGCAGGGCGTGTGACGGGCCGCAGCGGCCCGCGAGCGCGTATTTTACGCCGCCCGCGGCGGGGCTGCCGAAAGCGCGCCGCAGCGATCGCGCGACGAAGCGGCGAGGCAAGCGCGCGACGGCATGCGGTGGCGCGGTTTGCTTGCGGGGTGTGTTGGGGCGTCGGCGCGTTGGGGCGACCGGATGCGCGGCGCGCCCGGCGTCGGGGCGGCGGCGCGCACGCGCGCATGCGAGGCCCGCGCGCGGAGGTGTTGGCTTGCGGACGCGCTCCGACGCGGGGGTGCTGCGGGCATCATCTGCCGGCACAACGGCACAACGGCACAACGGCACAACGGCACAACGGCACAACGGCACAACGGCACGGCGGCACGGCGGCACGGCGGCGCGATTTTGGGCGCCGTGTCGCCCGACTTCCCGCGAACGCGGCACGTTCGCGATGAGGCCCGTCGCGGGGCGCCGCGCGGGCCGCAACGGGTTCGGCATAAATACCGCTTATGCGGCCATTCGAAGCTCGTTAGAATCGAGCGCTTTCACTACTCGCGCGAGCC", "ACGAACGCGCGATGAGCGCGCAACGGTCGTGCGGCTTTGCAGCAAACGCCGCTGCGGCCCGGCGGCGAACGCTCGGGCGTGCCGGGCGGCCGCTACCGCCCGTACGACACATCGCGCGCGGCGGGGCCTGCG", "GCGG" ]
[ true, true, false, true, false ]
[ 1, 3, 5, 6 ]
[ 0, 2, 4, 7 ]
[ "8007290008|Ga0350928_260|CDS|8007295952|+|278:538", "8007290008|Ga0350928_260|CDS|8007295953|+|627:2042", "8007290008|Ga0350928_260|CDS|8007295954|+|2053:2421", "8007290008|Ga0350928_260|CDS|8007295955|+|2414:3025" ]
[ "8007290008|Ga0350928_260|IG|IG_000001|+|215:277", "8007290008|Ga0350928_260|IG|IG_000002|+|539:626", "8007290008|Ga0350928_260|IG|IG_000003|+|2043:2052", "8007290008|Ga0350928_260|IG|IG_000004|+|3026:3059" ]
[ "MPARVSGVRWEPTRILARRPGYRYRYRYRYRYRYRYRYRYRYRYRYRYRYRYRQPQPQPQPQPQPQPQPHRRGQGFSVWGRRAARP", "MSESTVMQMSAAIVEQLNCGVFSVDHDMRILAWNGFMQYHTGRSRDDAIGQDLFALFPELPARWLRKKLESVFVLGVAMYTSWEHRPYLFRFDHSRPITGTIDAMRQNCSFVPLDDGRGGVAAVGVTIVDATDICIAHEVLGNREKRLTDALAELTARHAELSDLNRQLAHAHQQLLQSEKLAAIGQLAAGIAHEINNPVGFVLSNVNTLGGYLGALIAHAYAVERLVAERQPQLAPALAELARGADLDYLCEDAPALVDESKEGLARVRKIVVDLRDFSRVDSVHQWEWVDIHHCIESTLNIVRNEVKYAADLVREYASLPMVRCIPSQVNQVVLNLVVNAAQSYSSMRADGGHGDVPRGTITIRTGVDAGDCPRIWFEVIDAGCGIAPENLKRIFDPFFTTKPVGKGTGLGLSVAYGIVSAHGGEIAVSSAFGAGTTFRVTLPVERTGEPQPEAAAVSHSTPVASGIAS", "MALPVLVVDDSTLARKLLIKSLPSDWDVEITQASNGAEALSHYRAGKGAVIFLDLTMPVMDGFQVLEHIREEGLDAFVIVVSADIQEGAVDRVKASGAIGFVAKPVSTERIVPILKGYGLYE", "MNDIALTEEHRDALQEISNIGMGRAGAALAKLLGAFVTLSVPDIKLVSARELLDELQRCERRADMPRPVRQSFQSDICGEALVLFGSDGRRELKELMGYDDATDDIEDEALSDIASLLVGACVHSVFEQLDRRLTFLRPTFVPPGSLASALGDERLGRWDVALLLEVHFTLEHGGFVAKLVMLLPDAAIHKMKAALEQFLDAL" ]
[ "TGATCGGCGGGGCGACCGTGCGTCCGCGCGACGCCGGCCGTGGCCGCTGCGCGTTTGTGCTCG", "TCCGGTGTGTTGGACGCGGTTTGACGTGCTCGCGCGCCGCGCGGCACCAGCCGCGTCCCGTTCGATCCCGCCCGAACCGAACCGCGCC", "GGAGGACACC", "GCGCGCGCCGCTTGGGCGGCGCGCGCGGGCCGTC" ]
[ true, true, true, true ]
[ 1, 3, 5, 7, 9 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "8007290008|Ga0350928_262|CDS|8007295962|-|905:1666", "8007290008|Ga0350928_262|CDS|8007295963|-|1693:2934", "8007290008|Ga0350928_262|CDS|8007295964|-|2962:3804", "8007290008|Ga0350928_262|CDS|8007295965|-|3858:4283", "8007290008|Ga0350928_262|CDS|8007295966|-|4367:4858" ]
[ "8007290008|Ga0350928_262|IG|IG_000001|+|872:904", "8007290008|Ga0350928_262|IG|IG_000002|+|1667:1692", "8007290008|Ga0350928_262|IG|IG_000003|+|2935:2961", "8007290008|Ga0350928_262|IG|IG_000004|+|3805:3857", "8007290008|Ga0350928_262|IG|IG_000005|+|4284:4366", "8007290008|Ga0350928_262|IG|IG_000006|+|4859:5117" ]
[ "MDRLIYTAMTGATQSLEQQSVVANNLANASTTGFRAQLATFRAVPMNFEDGSGNVDPATTRTYTLSSTPGADFAPGPIERTGNPLDVAVQGPGWLSVMLPDGTEAYTRAGNLHVDQNGQLVTANNLPVVGNGGPLAVPPNAQLTIGADGTVSALMPGDPPTAVAMIDQLKLVNPDPATMKRGDDGLFRTADGNSADADPAVKVVPNSLEGSNVNPVAAMVSMIDNARAFELQTKLIQSADQNEQAANQLLNFS", "MGYQQGLSGLAGASSDLDVIGNNIANANTVGFKGSTAQFSDMYANSVASAVNNPIGIGTMLASVQQQFSQGTITSSTSSLNVAINGNGFFQMSNNGVTTYSRDGTFQRDKNGYIVNSQGLNLMGYAANANGVINTAATVPLQAPTTNIAPTATTKITGQFNLNSQDAVPATTPFNYTDPTSYNYTTSVQVFDTLGGSQNVNLYFVKSATSGQWEAYAGPAGQTPTDLGSVKFSTAGTITGTSTPAGVPTTNVGQFSFSIPTTTGAANPQNLTLDLTGTTQYGGKNGINNLAQDGFASGVLTTFSIGADGKVTGNYSNGQTSTLGQIVLANFNNPNGLVNVGNNQYVESAASGVPQISAPGSTNHGTLQGSALENSNVDLTSQLVKLITAQRNYQANAQTIKTQQTVDQTLINL", "MTSSFTTIGGSGTTVNSLPYDTMSSNGQSSGTSGANTTNGTAGTNGTSGANSGINVASSLSTTSAADLQTTFLKLLVTQLQNQDPTSPVDSSQMTSQLAQINTVSGIAQLNTALTSLSSQLTAGQQTQAAMLIGSNVLAPGNTVPVKSGAASPFGVQLTSAVSNLTITVKNASGVVVNTINAGAQSAGTVPFNWTPTDTAGNKLPDGTYTISAIYTDTSGKQYAPTTLSSAQVLSVIKQADGTPGLVLSNGSTVGFSQVASIFPNTTKSASTGNASSSTN", "MPSLMNIFDVAGSAMSAQSQRLNVTASNLANADSVTGPDGKPYKAKQVVFATAPMGRARTASGQGVGGVQVSKVIDDPSPMKTAYDPSNPAADQNGYVTMPNVDPVQEMVNMISASRSYQANVETLNTAKQLMLKTLTIGS", "MLDKLDAEFAFGRQALDVRAYRQELLSSNIANADTPGYRARDVDFSSTLARALKQDGAASAGNAAQLPLAQPAGVASGMSMATTSGVHLAGNVKLIPTGGPSDDYGRAQYRIPQQPALDGNTVDLDTERVQFADNAVHYQAGMTVMTQQIKTMIAAITSNSSS" ]
[ "GTGTTGACTCCTTGGTCTGGCGCGCGGCGGCGC", "GGCTGGCTGTTATCCGCGAAGGCCGC", "CTCGGTCTCCGTAGCGAAGAAGCTGGA", "CCGGGTTCGCCTTCCTCTGCTATGCGTGTTTCGATCGATGAAAAAGGGGGGCG", "GCGTACTCCTTATCGCGTTGCGCGCACATTCAACCGTTTCCCGTGACGCGCGCGGCACGCGGGAAAAGCGCCGAAGCGGCGCG", "CTGCGAGTCTCCGTCTGAAGATGCGCCGCTCTTTCGCCGCCCGCGGCCGGCCGCGATGCGGGCGAACCGACGATGGGCGGCCAAAGAGGCTTTTTTCCATGGGACGCATGGTAGGCGGACAACGCAACCGGCAATCGGGCGAATAGCCGGCAAAGGGCCCCTCTATTCGCGGTTTGCCGGCCACCGCCCATCCCTAGAATGCAACCCCGTACCAGACGGTTTCCAACTGGGTTTCCAACCGGGCTTCGAGGAGGGCGCG" ]
[ false, false, false, false, false ]
[ 0, 2, 3, 5, 7, 8 ]
[ 1, 4, 6 ]
[ "8007290008|Ga0350928_265|CDS|8007295977|-|97:855", "8007290008|Ga0350928_265|CDS|8007295978|+|1249:2535", "8007290008|Ga0350928_265|CDS|8007295979|+|2532:2801", "8007290008|Ga0350928_265|CDS|8007295980|+|2861:3163", "8007290008|Ga0350928_265|CDS|8007295981|+|3168:4115", "8007290008|Ga0350928_265|CDS|8007295982|+|4112:4699" ]
[ "8007290008|Ga0350928_265|IG|IG_000001|+|856:1248", "8007290008|Ga0350928_265|IG|IG_000002|+|2802:2860", "8007290008|Ga0350928_265|IG|IG_000003|+|3164:3167" ]
[ "MANRFNKFDCVVVSPGKSRSFAGPAIRLIRPVTEIAKLDVSFGAMSHAVSIDKNKSALVIGIGQFKIASREGAWRFHDVPLFEAAKLLAFLESCGAPDGAGREYRAGAPDGAVIDAPGDLSIWKFDRWLIARVMGAPHGADPLFAFLRAQESYGLVRFLLRERANPQPIAALAARYGVSEPHFRRLCRQALGRGLKRELRQWRAAQAVLEVVESRDSMTEVAMSNGFASSSHFSREIKDLFGISPCRFRRRT", "MNKPDSGLEALQLRILFGPLFGSDIAIPSGEVFFCVGEQVIDDRPAEHPENRAGHLLERAVDTLYIPHRAGAPNFRLRFPGAPTQAARTAETGEAASGDFEVDFLSADGCVTQRAAFNTVCRFGDLAFALRRQREPWSEAVMHYAPHAPSRAADAAEPGAPGEPGDGGERASRFALKLGALLVAGVALAALAYWQVQRYVGAQKLASVNGVLAGAPVPNAILPGDDGRIYVLSASQDGAEWDREALLKAALPEKIEVAVIGAERQRVERRLDEAGVDFVTVRLDAPEHPELILTGAAPAAARARAIGELRRAAPYVRDVRVIDASLGAIEQEARNALDKVGARYRLLARRGGATFEVASSFGDEELAALQNLMRSFGHKWGTRRVDFKIALRTDWLKGKSYREGGDGYVLLDHASWYFPQPLEGAHYR", "MSNPPTPLLADYEWSGYLTGIGRAFDDGVKDLNKQLQDAQANLTKNPSDPTALANYQMIMSEYNLYRNAQSSAVKSMKDIDSSIVSNFR", "MNITNPHAVPALPSLSEIESPERPATLDAILKQTLADANEKSNAAKTSIESRLADPVDFAQSEKLIALQTELSDYSIYVSLASTLARKAVSAVETLVKAQ", "MKRFVSFSLLPALLLLAACNQQELLKNLTEQQANDVVAVLQAHDLAVRKEDLGKTGYAVSVEQADFPTAVDLLRQYNLPSQARVQIAQAFPADSLVASPQAEQARLLSAVEQRLEQNLAALQNVVSARVQVSYPLKPSDSGKPDARMHVAALLTYRNDVNADILVSEVKRFVKNSFTNIDYDDISVILYRAPSLFRGAPTMPASHAGGAWLAWLAAIPVALAAAAAGGLAYLRRRRAGGPDTPARAAPRVEPAAPGGPDARETTEVPPPGDAFDISDASDAFDASGTSASPGAAADAAAADAPGASRGAPWEPRR", "MNPLALMRVMYGPLGYAHPDHRTIAGVDLARMPADVANQWLIDHHRLDTAIDFDWRGAPRAAPCVDHWARLPRIAYLIGVQRLRAALVERGRYVRLDASSQRFLCMPLAAVPKAACAGMPDDDAIVAAGAACLTAALHDAPRALRQRLPLLFPRAHAARLASGLDGAHDDARAAWSSSLFSFAVNHALLEPAPVS" ]
[ "CGCGCACTCCTCGATAACGTCCGCGAAATACTCGAGCGATATTTCCGCGGCCTTCCGGGAATGCAGATTAATCAGGCATCACATTCCGATCAAGGAAAAATTCGGCAATTTATAAAATCTCAATAAAATCATATCTATTAATAATTCCATAATCTTCTTTCATATTCGAATTCAGAACTATTCAGGCTTGCTCATGGCGCGGAACGCCGCCGGGCAGTACATTCCGTCCATCGAGCCGGATTCCGGCGCGTCGACGAAGATCCTGACCGATGCGCTCCTTCGGCCGGAAACCGATTATCCGGTTCACGATCGACGGCAGCGAAGGCGATCGGGAAAACGATATATACATAATCAGGCCATTTATCGCCCAGGTCACCCTGGAAAATTACCGAG", "CCCTCTCTACCGCTCCGGGACGACGCGGCGCGAGCCGCGCCGCGCCGGGCCGAACGATC", "CGCG" ]
[ false, true, true, true, true, true ]
[ 1, 3, 5, 6 ]
[ 0, 2, 4 ]
[ "8007290008|Ga0350928_266|CDS|8007295985|-|724:1140", "8007290008|Ga0350928_266|CDS|8007295986|-|1154:1735", "8007290008|Ga0350928_266|CDS|8007295987|+|1971:3053", "8007290008|Ga0350928_266|CDS|8007295988|+|3050:5122" ]
[ "8007290008|Ga0350928_266|IG|IG_000001|+|722:723", "8007290008|Ga0350928_266|IG|IG_000002|+|1141:1153", "8007290008|Ga0350928_266|IG|IG_000003|+|1736:1970" ]
[ "MNTPLSASDLSRALDVAFSDAAAAPAGAGAPAAAPVPAEVAGRFQALMSNAVPTPPLAHAQETSAIAKLVETSDAEIRKVLDNVEYLNLHANEMTMNQMFAASLQASAEATAMQIDMQAKMGVVTSTKDAIGSLMKNQ", "MDESRPTYLRCSHAALNGLIDATNLALGGALDGPAADPYDIGLLIDALRVLAPQLPAADMFDGMLQIALGNWDDAARAFAEISNGTSNAVYGKALLALALQVKRDPEWRLAADEVLAAGGPAQAIALVRALERQHDVLLAKEHAQRTGVWELPASVAAASGDAAGTAGEAPGANPSGGFDPQAAFAHQQYLRL", "MAEEKTEEPTEKKLKKVREKGQVAKSKDIADAMTLAAAIGVLTACESMLTGGLSRAVRTALDFVRGERTPQATLAALHDLAASAALTMLPFVAAAIVAGIVSQAPQAGFLITLEPVMPKFDAINPMAGIKRIFSLKSLLELVKMIVKALVLACVAWKMMTSLFPLVVASVYEATPQLARVLWTVLMKLLGTVSVVVAVLAAADYKIARVMFVRENRMTKEEVKREHKESDGDPHTKGERRRLAREIATSAPPRQRVGQANVLVVNPTHYAVAIRYAPDEHPLPRVIEKAVDDGALALRRHAHALGVPIVGNPSVARALYRVERDASIPEELFETVAAILRWVESLSGARAVAAVSSARSS", "MTLKSLKLPAGGEVGITVLVVAIVSLMILPLPPEVIDVLLGVNIAISVTLLMVTMYVGSIVSLSVFPSILLFTTLYRLSLNIASTKSILLHANAGDIIESFGELVVGGNLVVGLVVFLIITIVQFIVIAKGSERVAEVGARFTLDAMPGKQMSIDADLRANILTPDEARRKRATLAKESQLHGGMDGAMKFVKGDAIAGLIITLVNIVAGIAIGVMYHGMTAGDAANRFSILSVGDAMVSQIPSLLISVAAGVMITRVADDHDDGDGTSLGSEIARQLGGSHRALYFAAVLLIGFAAVPGFPAALFVLLSGMLAFAGYRLQKGARRTTARGEPVIALQRAGAKSSTPSILPRAPLFTCAIGVRVAPDLVARLAPAALDRAFDEERAKLQEEQGLPFPGITLWTSDALPASTCEILMRDVPQARLELPADQTMLPDAASDPALAGRCTKRGPAGGLAESYWIDDKAVPAGARAWRAEQVVAHETIALLRRNAHLFLGIQEAQWILDQLGVDYPGLVAEVQKALPTQRIADVLRRLLEEHIPIRNARDIMESLVAWGPKEKDMLMLAEYVRGDLSRYLAYRAARGARQLPAVLLDMAVEQHIRQSIKQTPAGNFLALPPEQVRYLVDSIASFVGEAPRDDVALVTSMDVRRYTRRMIEARLDWLPVYSYQELGDQVQLQPVGRVTMPTAAHA" ]
[ "GA", "GCGGAGCTCCTCG", "GGTGTGCGCTCCGTGTCGCCGCGTCGGCGGCGCATGACGTTGAGGGAAAGGGCGTATGGGCCGCAAGGTAGCAGCGCGCCGGGCGCGGGGGCGCGGCGATGCGAAGCGCGCGCGCCGGATGCGAAGCACGGCGCGCGCTTCGCATCCGGCGGCGCGGCTTCGGCTCGGCGCGCGCGCGGCGCGCGGCGCGCCGCTAACGTACGCGGCATCTCCGACTCCCGAAGGAAACGCGGCG" ]
[ false, false, true, true ]
[ 1, 3, 5, 6 ]
[ 0, 2, 4 ]
[ "8007290008|Ga0350928_268|CDS|8007295994|+|988:2091", "8007290008|Ga0350928_268|CDS|8007295995|-|2174:2737", "8007290008|Ga0350928_268|CDS|8007295996|+|2832:3095", "8007290008|Ga0350928_268|CDS|8007295997|+|3065:4813" ]
[ "8007290008|Ga0350928_268|IG|IG_000001|+|878:987", "8007290008|Ga0350928_268|IG|IG_000002|+|2092:2173", "8007290008|Ga0350928_268|IG|IG_000003|+|2738:2831" ]
[ "MSAPVSVSDQAARLRRHFAQIVLPIWRGPGFNPALQLPFEAVAPDTHAPLPVTRYRAMACARQLFIFSQAGDAQHAHALFAALCRHFRDPRHDGWFYSVDAQGAPLDRTKDLYTHAFVVFACAEYFAAFGNRDARELTQRTAALIVDRFAPRPGSALLDSARGEDFAAAAGGPLQNPLMHLTEGWLAAGRAFGDTAFDDALLRTAQAVERTFVDPHTGCVAELPIGCADNRFEPGHQFEWFYLVASAGARLAATGLPDALARAYAFAQRHGVDLDTGGVSAATDERGACVDGTQRIWAQTEYLRALATHGGEPDALARQIARFAERFLHPRGWYECKTAQGEVSRADMPSTTPYHLATAYASLPAGT", "MLQRTVGAFRDAHPRIRVDVREVPMSEVAARLDAGTLDAAYVRPPLPLPLPDGVSTHTVHRDVFVVAVHGQSPLAARASIRPAALAGERFVVPEQALGTLEVARRGRFEPIVDARPGALVAVLAHVSVSGGVAIVPQALVGCVSLPGVAYRPIEGKPIASEVAVAYRRHEKAPAVRAFVRFVRQAAL", "MRERGLRFAEIGRARGAQRDRAPRAVKEPHAEKPLRVLDEPRQRGRRDVELVRRAGEVQVPRDAEKRTHMAQFEAIHGWLLYCFFMQ", "MAPLLLFHAIKMNFCGNDLQQPLSLTCRSPLRITVSGMHDAPSRPAPIEPPRHACGSPAASAPARARALAPAVEPGAEAPSRAWRALATASATCSLIVLDTNVVAVSLPSIARTFHASFADIEWVVSAYMTAFAACLLPAGGLADRAGRKRVLLAGLAVFFVASLGCGLAPSAALLNVARAVKGIGAAMLLTSALAVIANRFSEGRERARAWAIWGMCMGVATAIAPLVGGAIAQWIGWRWIFLLNLPVCIALAAAVRATIDESRDPHAKRIDAPGSVLFGAALALGIWALIDAPSHGWTAPGTLARFAASAALGAAFVAAERWQRRPMIDLALFCAPRFVGALLAMFGYAACAQVMMTFLPLYLQIGFGMSAIDAGLGMLPFALAMIVGPSLGAALSARAPAATVLGCGLALIGIGNFATAALAGASHYGLVALGMMITGCGAGILNGDTQKAIMACVPPERTGMASGISTTTRFSAIVTSVGVLGAVLAEQTHAALAARVAHAPALLGALDPHFMSSLLAGDLAQAICGLPPRTGATLAHIAPGGFASGFSVALCASGAFALAAAVAVRLLVGAQPGRAA" ]
[ "TCGTGCTCTCCCATGTTCGACGGCGGCGGCCCGCATGCTTTATCCTTGCCGCTCGGCGCCGCGCGTGCGGCGCCCGCGTTCTTCCCCCGTCAACCGCGCCGCCATCCGTC", "CGCACCGCGCGCCCGCGCCGCGTGCCGCGCGGAATCCGGCATCCCGCCGTAGCGCCGCCGCCGCGCGCCGCTCGCCCGTGCG", "CCCCGGCGTACGCCGCCGACGATACGTACCCGAGCTCGATCCGCCCGATTTCGCCGCGCTCGGCGAGCGCCGCCCGCTCGCGGCCGCGCGCGAG" ]
[ true, false, true, true ]
[ 0, 2, 4, 6 ]
[ 1, 3, 5, 7 ]
[ "8007290008|Ga0350928_281|CDS|8007296041|+|94:516", "8007290008|Ga0350928_281|CDS|8007296042|+|644:1009", "8007290008|Ga0350928_281|CDS|8007296043|+|1037:1765", "8007290008|Ga0350928_281|CDS|8007296044|-|2080:2715" ]
[ "8007290008|Ga0350928_281|IG|IG_000001|+|517:643", "8007290008|Ga0350928_281|IG|IG_000002|+|1010:1036", "8007290008|Ga0350928_281|IG|IG_000003|+|1766:2079", "8007290008|Ga0350928_281|IG|IG_000004|+|2716:3124" ]
[ "MRQQSVSQYLIAAALAFGLAGVARAQPGLPDVQHHGDVGFVSGGVGLDESTAFQRSESSWPLALRFTGAGGEYLSDVHVSVLDARGGEVLKTDARGPYMLVRLKPGRYTVRASYNGSEQTHAVTVPAKGGTKAAFSWKAQ", "MSDAAGHRIEIEPNRHRVRVIHRGITYADSLAAYTLREPGAPDVQYLPRDDVNMSRLVPSDRVALEHCAHKGPATYFHLHTEDGVIENAAWSYEEPSGIANAIRQYVAFDAACVDRIDVTS", "MELNDALYIPLAPSVVWDALQDLALVRASLDHCESFSRLARGEYALALTVPLGPLRARYDVRAHVVGERHDEPVHTRRTLNFRARADGIGALRGQIDVVLAPADDERGASRRAPTTRIEYAVWATASGPLAELPGRQIQNALHELADDFFNEFCAVVQAKHGLAPNRARDGAPQRQHVFLRPAAFTGIARRAHAQHLGGALTGRAASALHHRESNPVPLWAWAVMIFFVALLLYAARWLNGG", "MARRTKEEALATRDRILDAAEHVFFEKGVSHTSLADIAQHAGVTRGAIYWHFASKSELFDAMFDRVLLPIDELKAGTGEPHADPLGRIREILIWCLLGAARDPQLRRVFSILFMKCEYVADMGPLLQRNREGMRDALRNIEADLAQGVANGQLPADLDTWRATLMLHTLVSGFVRDMLMLPGEIDAERHAEKLVDGCFDMLRTSPAMRKDD" ]
[ "TCCCGCCGCGGCGATGACGACGCGCAATTTGCGGCGGTTTGGCCGATAATGCGATAACAAGCGGCGTTTCGCCGCGGCCATGCCTATTTCCGGCCGGCGTTTCGCGCGGCGGCCGCAGGGGAACCCG", "CGCGGCGGTTCATCGGGGGAGGCGATC", "GCCGTGCGATTGCCGCCGCCGCGCGTCCGCGCCGGGGCGTTCCGCGGCGCGGACGCGGACGTGGGCGCCGCGTCACGTGAGTTTCACGACCGGGCAGCCACCGGCCAGCCGGCAATCAACCAACAATCAGCCAGCAACGGACCAACAACGGGCCAACAACGGGCCGATGAACCGACGGCCGGCCGTCGACCGTCGGCGCTACGGGCCGCTGCCGCGCGCCCGCCTTTCTCCTTCGCATTCGTTGCCGCCCGCTTGCCGCAAGCGCGGCTCATCCCGTGTGCGCATCGGAGCCGATCGCCGCGCGGCGGGCTTGC", "TCAACAGCTCATCGTGTGCAAGATCGGGGTCGTTGCGTGAATAATAAGGGTTGCATCATAAGCGTCGCACTGGGCCGCTTGCTTGGCCTGCCTGTTGTAAACCTTGGTAATGTGTAAGAAATTGTGCGGCGCATGAAGGACTTTTACATACATTCGTGAATGTATATACAATGCCAGCTTACGGCCGAATGGCCTTCGAGGCAATCAGTTAATATCCGTCTCTGCTGATTTCCCTCCTCATTGTTGTTCCGCGCGCGCCGCGCGCGGCGGCATCCCGGCACGGTGGTCGCGTGCCGCTGTTGTATTCGCAGTCAAGCAATTCAGTTGTCCGATCCGCGCCGCAAGGCGCGTTCGTGTTGTGTCCCCGAGGCCGGCACTTATTTCATCTAGTTACAAACGAGGTCGCTCC" ]
[ true, true, true, false ]
[ 0, 2, 4, 6 ]
[ 1, 3, 5, 7 ]
[ "8007290008|Ga0350928_283|CDS|8007296050|+|272:826", "8007290008|Ga0350928_283|CDS|8007296051|-|1267:2130", "8007290008|Ga0350928_283|CDS|8007296052|-|2548:2994", "8007290008|Ga0350928_283|CDS|8007296053|-|3352:3840" ]
[ "8007290008|Ga0350928_283|IG|IG_000001|+|827:1266", "8007290008|Ga0350928_283|IG|IG_000002|+|2131:2547", "8007290008|Ga0350928_283|IG|IG_000003|+|2995:3351", "8007290008|Ga0350928_283|IG|IG_000004|+|3841:4018" ]
[ "MSAERLVYVMGPSGAGKDSLLAYARKHVREPRIAFAHRYITRKSDGHENHVELTRDEFAARAQLGFFALEWSSHGFRYGVGVEIDAWLAAGSVVVVSGSRAHLPAALERYPQMCVVHIDAAPHVLAERLATRGRETADEIRARLARSVRWAVPDGVALTAIDNSGTLDDAGRVLVALLEGLARS", "MLARFPLYLRLIRMDKPIGSLLLLWPTLNALWIASDGHPAPSLVVIFALGTLLMRSAGCAINDYADRDFDRHVKRTAERPLTSGKIRAWEAIAIAVGLALVSFLLILPLNGLTKELSVVAVFVAATYPFMKRFFAIPQAYLGIAFGFGIPMAFAAVQDTVPMIAWAMLAANVFWSVAYDTAYAMVDRDDDLKIGMRTSAITFGRHDVLAIMLCYAAMLGIYVWLGAALHFGWPYWAGWAAAAGCSIYHYTLIKDRERMACFAAFRHNNWLGGVLFAGIAAHYALAVR", "MSDDACPVARAVDAVGDRWSLLIVRDAFDGVRRFSEFQRNLGIARNMLADRLKTLVEAGVLAAAPASDGSAHHEYVLTDKGRALFPVVVALRQWGESQLFGAGEPHSRLVERRSGLPVAPMSPRTQSGRALRPEDAVVDKRGADDAAT", "MAKKSNATQINIGISDKDRKKIAAGLSRLLADTYTLYLKTHNFHWNVTGPMFNTLHLMFEEQYNELWLAVDLVAERIRTLGVVAPGTYREFAKLSSIPEADGVPAAEEMIRQLVEGHEAVVRTARAIFPDADAASDEPTADLLTQRLQTHEKTAWMLRSLLA" ]
[ "CGGCGCCGGCAACGGCGACGCCGGGCGGACGGCGCTCGCCGTCGCGCACACGCCCCCCTTTCTCGTACTTCTCGCGCTTCCCGCCTCACGGCGAGCGATTCGAACGACGAACTCGGGGAGACGGAACAATCGTGTTCGAACCGCGCCGAATCGGCACGGCACGGCACGGCACGGCACGAGCCGAGCCGAGCAGAACCGAGCAGAACCGAGCCGGGCCGAACCGAACCGAACCGAACCGAACCGAACCGAACCGAACCGAACCGAACCGCAACGAGCATCGGCCCGAACGCTACAAATACGCCAGGCGATCGCGACCGCTTTCGCGCCGCCCCCGCTCGCTCACGCCCGCCTCGCCCCCCAAAGCATCGCCCCAAAAAGAAAGCGGCCCGCAGGCCGCTTCCCGATCGCGCCCGAGCGCACCGCGCCCGCCGGCTCGCCGC", "CGGCGAGAACGGGCGGCGAGCCCTCGAACGTAACCCCGGCATTGTAGAACCGCGCGGGATTCGCGGCAAAACGCCGGGCCGCGCCATCGCCCTTTTTTGTCGTTTCCGCTTGCGTTCGGGCGGCCGCCCTGCCCCACGGCCGGGCGCGAAACGGGCAGGCAGCCGAGGCGCGTGCAGCGGCGCCGCGGGTCGCCAGCGCGGCAAACGACAGCGGCGGCACGCAGCGGCTGTCGCGCCGAGCACACGCATGCTGGCGCGTCGCGCTCGCCCATCGGGTCACTGATTCATCGGGCTCGTCGGGCTCGTCGGGCTCGTCGGGTCCGTCGGGTCCGTCGACTCATCCGTTTCACCGGGGTCATCAGGCTCGCCGCTTCACCCGCTGCGCGGCGCGCGCGAGCGCCGGCGCGCCGTATCGGT", "GTCTCTACGTCGGGCCATGGGCTTCGGAAAAAACGGAGGAGTCTCGGCGCGCGGCGCCGGCTCGCGCGCACTATGGACGATGGGTTGCATTCTAAAACCGCCGGCGCGCCGCGCCAATCGCACCGGTCGCGCCGAGCATCCCAAGCGCACCGAGCACGCCGGGCGCGTCGAGCGATCGCGCATCCGTGCGTCCGTGCGTCCGTGCGTGGCCGCGCCGAAAACGCCCGGCGCGCCGCCGCACGCAAAAAAACCCCTCGTGGGCACAGCCACGAGAGGCTTTCGTCACATCGCGCGATTCGAGCCGAATCGCGATCGGCATGCGCGGCGGCGCGCGACGCGCCGCCCTTTACGCATCGC", "CCTCGAAACTCCTTGTCCGATGGTTCGAACCGACGAAAATGCATCGAAAGATCCGCATGCCCGATGCGGGATGCGCGCAGGCGACATGCGCATGGGCAGCCTATGCAGAGGCGGCAGACGCGGGTGCGGCTGCCCGCCGGGCGCCGCACCAGTTTAGCGTAGATCGCGAGCGCGCGAT" ]
[ true, false, false, false ]
[ 1, 3, 4, 6 ]
[ 0, 2, 5 ]
[ "8007290008|Ga0350928_314|CDS|8007296155|+|468:1454", "8007290008|Ga0350928_314|CDS|8007296156|+|1494:1853", "8007290008|Ga0350928_314|CDS|8007296157|+|1850:2335", "8007290008|Ga0350928_314|CDS|8007296158|+|2357:2866" ]
[ "8007290008|Ga0350928_314|IG|IG_000001|+|411:467", "8007290008|Ga0350928_314|IG|IG_000002|+|1455:1493", "8007290008|Ga0350928_314|IG|IG_000003|+|2336:2356" ]
[ "MDAAGSFASFAATLACALSAWLAPSAGIARTAWPSDAPRVAGASGPPRTPGLARTPAAVTDAPGAATAGALAASPPAATRYRLDPRHSGVTFRVDNFWHAHLTMRFARMRAELSDVGDDRLASRVDVTVDAASLGANVPFVATLVKGSAMLDVARYPEIRFVGTRFERTGATTGVLTGELTIRAMTRPITLAVRFDAGRQLEPDTGARAEREGDESDESGESNPAERRAGQGRRAAELRDGRPRDAGPGVADSRDAMRRETDSGSAHRGAEPPERIARAAPDAARPLAFVADGHFSRTAFGLSRWLPAVGDDVRLRIRAEFVRARADP", "MIRNVLIALVCAAPVAALAEPPKAAGGMIVDDDGMTLYTFDRDTMPGKSACTGGCTANWPAALADAYDKPGGDLGFIAAAGGKHQWTYKGRPLYRFSGDAKPGQHTGDGFGGMWHVARP", "VTGKGGAAANPRAATAIVVAASGSISPASRRTALSTMKTTANATARRRDGGTMKAPKEKEPIASGGAVLAGSVESPVWATSAGHLVHAADAAAVATFRLSAGSASRVPRVPAVPARRFGEAAGPRAPPKRRGAPRIVRTHSPSGYKYVYNNHSHLQNSIPA", "MPANTLRLQREIAALYTGHRGWLHGWLSKKLGCGERAADLVHDTFIRLLARDEPIDAAEPRAFLTTVAQRVLYNHWRRERLERAYLDALAQWPEAVAPSPETRAVLFETLVEIDRMLDGLPAVVRRAFLLAQLDGMTHAQIAAELRVSLATVKRYLVKAGAQCYFAIAA" ]
[ "CGCGCGAGCCGAGGCGCGACGCCGAGGCCGTCGACGAGTCGATCATACGAGTCGAGC", "GCCCGCGCGTCGGTTTTTCTTCATTCGAGGAGAGTCATC", "CGGTCCGGGGGGATCACGTCC" ]
[ true, true, true, true ]
[ 0, 2, 4, 5, 7, 9, 11, 13, 15, 16, 18, 20, 22, 24, 25, 27, 28, 30, 32, 33, 35, 37, 38, 40, 42, 44, 46, 47, 49, 50, 52, 54, 56, 58, 60, 61, 63, 65, 66, 67, 69, 71, 73, 75, 76, 78, 79, 81, 83, 85, 87, 89, 91, 92, 93, 94, 96, 98, 100, 102, 104, 105, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 125, 127, 129, 131, 133, 134, 136, 137 ]
[ 1, 3, 6, 8, 10, 12, 14, 17, 19, 21, 23, 26, 29, 31, 34, 36, 39, 41, 43, 45, 48, 51, 53, 55, 57, 59, 62, 64, 68, 70, 72, 74, 77, 80, 82, 84, 86, 88, 90, 95, 97, 99, 101, 103, 107, 109, 111, 113, 115, 117, 119, 121, 123, 126, 128, 130, 132, 135, 138 ]
[ "2974510363|NC_049826.1|CDS|2974510364|+|238:480", "2974510363|NC_049826.1|CDS|2974510365|+|569:1699", "2974510363|NC_049826.1|CDS|2974510366|+|1831:2046", "2974510363|NC_049826.1|CDS|2974510367|+|2046:2534", "2974510363|NC_049826.1|CDS|2974510368|+|2537:2935", "2974510363|NC_049826.1|CDS|2974510369|-|2949:3164", "2974510363|NC_049826.1|CDS|2974510370|-|3363:3755", "2974510363|NC_049826.1|CDS|2974510371|-|3758:5341", "2974510363|NC_049826.1|CDS|2974510372|-|5409:5630", "2974510363|NC_049826.1|CDS|2974510373|-|5627:6013", "2974510363|NC_049826.1|CDS|2974510374|-|6085:6795", "2974510363|NC_049826.1|CDS|2974510375|-|6805:7029", "2974510363|NC_049826.1|CDS|2974510376|-|7175:7402", "2974510363|NC_049826.1|CDS|2974510377|-|7577:7858", "2974510363|NC_049826.1|CDS|2974510378|-|7858:8052", "2974510363|NC_049826.1|CDS|2974510379|-|8124:8414", "2974510363|NC_049826.1|CDS|2974510380|-|8404:8556", "2974510363|NC_049826.1|CDS|2974510381|-|8634:8900", "2974510363|NC_049826.1|CDS|2974510382|-|8920:9159", "2974510363|NC_049826.1|CDS|2974510383|-|9156:9428", "2974510363|NC_049826.1|CDS|2974510384|-|9515:9703", "2974510363|NC_049826.1|CDS|2974510385|+|10180:10641", "2974510363|NC_049826.1|CDS|2974510386|+|10641:10904", "2974510363|NC_049826.1|CDS|2974510387|+|10977:11162", "2974510363|NC_049826.1|CDS|2974510388|+|11178:11363", "2974510363|NC_049826.1|CDS|2974510389|+|11463:12365", "2974510363|NC_049826.1|CDS|2974510390|+|12439:12669", "2974510363|NC_049826.1|CDS|2974510391|+|12656:12877", "2974510363|NC_049826.1|CDS|2974510392|+|12889:13509", "2974510363|NC_049826.1|CDS|2974510393|+|13506:13667", "2974510363|NC_049826.1|CDS|2974510394|+|13739:14038", "2974510363|NC_049826.1|CDS|2974510395|+|14055:14240", "2974510363|NC_049826.1|CDS|2974510396|+|14435:15025", "2974510363|NC_049826.1|CDS|2974510397|+|15096:15500", "2974510363|NC_049826.1|CDS|2974510398|+|15600:15863", "2974510363|NC_049826.1|CDS|2974510399|+|15860:16039", "2974510363|NC_049826.1|CDS|2974510400|+|16082:16369", "2974510363|NC_049826.1|CDS|2974510401|+|16445:16699", "2974510363|NC_049826.1|CDS|2974510402|+|16699:16932", "2974510363|NC_049826.1|CDS|2974510403|+|16929:17243", "2974510363|NC_049826.1|CDS|2974510404|+|17442:17672", "2974510363|NC_049826.1|CDS|2974510405|+|17750:18052", "2974510363|NC_049826.1|CDS|2974510406|+|18191:18424", "2974510363|NC_049826.1|CDS|2974510407|+|18504:19025", "2974510363|NC_049826.1|CDS|2974510408|+|19025:20593", "2974510363|NC_049826.1|CDS|2974510409|+|20662:21942", "2974510363|NC_049826.1|CDS|2974510410|+|21942:22706", "2974510363|NC_049826.1|CDS|2974510411|+|22710:23822", "2974510363|NC_049826.1|CDS|2974510412|+|23835:24347", "2974510363|NC_049826.1|CDS|2974510413|+|24393:24827", "2974510363|NC_049826.1|CDS|2974510414|+|24911:25885", "2974510363|NC_049826.1|CDS|2974510415|+|25942:26214", "2974510363|NC_049826.1|CDS|2974510416|+|26262:26678", "2974510363|NC_049826.1|CDS|2974510417|+|26675:27046", "2974510363|NC_049826.1|CDS|2974510418|+|27039:27482", "2974510363|NC_049826.1|CDS|2974510419|+|27472:27870", "2974510363|NC_049826.1|CDS|2974510420|+|27876:28538", "2974510363|NC_049826.1|CDS|2974510421|+|28638:28955", "2974510363|NC_049826.1|CDS|2974510422|+|29306:31978", "2974510363|NC_049826.1|CDS|2974510423|+|32035:32331", "2974510363|NC_049826.1|CDS|2974510424|+|32393:33154", "2974510363|NC_049826.1|CDS|2974510425|+|33154:33885", "2974510363|NC_049826.1|CDS|2974510426|+|33866:34462", "2974510363|NC_049826.1|CDS|2974510427|+|34543:38121", "2974510363|NC_049826.1|CDS|2974510428|+|38165:38470", "2974510363|NC_049826.1|CDS|2974510429|+|38473:39111", "2974510363|NC_049826.1|CDS|2974510430|-|39144:39371", "2974510363|NC_049826.1|CDS|2974510431|+|39873:40934", "2974510363|NC_049826.1|CDS|2974510432|+|41016:41282", "2974510363|NC_049826.1|CDS|2974510433|+|41340:41996", "2974510363|NC_049826.1|CDS|2974510434|+|42041:42487", "2974510363|NC_049826.1|CDS|2974510435|-|42502:42759", "2974510363|NC_049826.1|CDS|2974510436|-|42746:43237", "2974510363|NC_049826.1|CDS|2974510437|-|43252:43575", "2974510363|NC_049826.1|CDS|2974510438|-|43622:44323", "2974510363|NC_049826.1|CDS|2974510439|-|44492:46549", "2974510363|NC_049826.1|CDS|2974510440|-|46648:47682", "2974510363|NC_049826.1|CDS|2974510441|-|47636:48109", "2974510363|NC_049826.1|CDS|2974510442|+|48199:50244", "2974510363|NC_049826.1|CDS|2974510443|+|50231:50650" ]
[ "2974510363|NC_049826.1|IG|IG_000001|+|481:568", "2974510363|NC_049826.1|IG|IG_000002|+|1700:1830", "2974510363|NC_049826.1|IG|IG_000003|+|2535:2536", "2974510363|NC_049826.1|IG|IG_000004|+|2936:2948", "2974510363|NC_049826.1|IG|IG_000005|+|3165:3362", "2974510363|NC_049826.1|IG|IG_000006|+|3756:3757", "2974510363|NC_049826.1|IG|IG_000007|+|5342:5408", "2974510363|NC_049826.1|IG|IG_000008|+|6014:6084", "2974510363|NC_049826.1|IG|IG_000009|+|6796:6804", "2974510363|NC_049826.1|IG|IG_000010|+|7030:7174", "2974510363|NC_049826.1|IG|IG_000011|+|7403:7576", "2974510363|NC_049826.1|IG|IG_000012|+|8053:8123", "2974510363|NC_049826.1|IG|IG_000013|+|8557:8633", "2974510363|NC_049826.1|IG|IG_000014|+|8901:8919", "2974510363|NC_049826.1|IG|IG_000015|+|9429:9514", "2974510363|NC_049826.1|IG|IG_000016|+|9704:10179", "2974510363|NC_049826.1|IG|IG_000017|+|10905:10976", "2974510363|NC_049826.1|IG|IG_000018|+|11163:11177", "2974510363|NC_049826.1|IG|IG_000019|+|11364:11462", "2974510363|NC_049826.1|IG|IG_000020|+|12366:12438", "2974510363|NC_049826.1|IG|IG_000021|+|12878:12888", "2974510363|NC_049826.1|IG|IG_000022|+|13668:13738", "2974510363|NC_049826.1|IG|IG_000023|+|14039:14054", "2974510363|NC_049826.1|IG|IG_000024|+|14241:14434", "2974510363|NC_049826.1|IG|IG_000025|+|15026:15095", "2974510363|NC_049826.1|IG|IG_000026|+|15501:15599", "2974510363|NC_049826.1|IG|IG_000027|+|16040:16081", "2974510363|NC_049826.1|IG|IG_000028|+|16370:16444", "2974510363|NC_049826.1|IG|IG_000029|+|17244:17441", "2974510363|NC_049826.1|IG|IG_000030|+|17673:17749", "2974510363|NC_049826.1|IG|IG_000031|+|18053:18190", "2974510363|NC_049826.1|IG|IG_000032|+|18425:18503", "2974510363|NC_049826.1|IG|IG_000033|+|20594:20661", "2974510363|NC_049826.1|IG|IG_000034|+|22707:22709", "2974510363|NC_049826.1|IG|IG_000035|+|23823:23834", "2974510363|NC_049826.1|IG|IG_000036|+|24348:24392", "2974510363|NC_049826.1|IG|IG_000037|+|24828:24910", "2974510363|NC_049826.1|IG|IG_000038|+|25886:25941", "2974510363|NC_049826.1|IG|IG_000039|+|26215:26261", "2974510363|NC_049826.1|IG|IG_000040|+|27871:27875", "2974510363|NC_049826.1|IG|IG_000041|+|28539:28637", "2974510363|NC_049826.1|IG|IG_000042|+|28956:29305", "2974510363|NC_049826.1|IG|IG_000043|+|31979:32034", "2974510363|NC_049826.1|IG|IG_000044|+|32332:32392", "2974510363|NC_049826.1|IG|IG_000045|+|34463:34542", "2974510363|NC_049826.1|IG|IG_000046|+|38122:38164", "2974510363|NC_049826.1|IG|IG_000047|+|38471:38472", "2974510363|NC_049826.1|IG|IG_000048|+|39112:39143", "2974510363|NC_049826.1|IG|IG_000049|+|39372:39872", "2974510363|NC_049826.1|IG|IG_000050|+|40935:41015", "2974510363|NC_049826.1|IG|IG_000051|+|41283:41339", "2974510363|NC_049826.1|IG|IG_000052|+|41997:42040", "2974510363|NC_049826.1|IG|IG_000053|+|42488:42501", "2974510363|NC_049826.1|IG|IG_000054|+|43238:43251", "2974510363|NC_049826.1|IG|IG_000055|+|43576:43621", "2974510363|NC_049826.1|IG|IG_000056|+|44324:44491", "2974510363|NC_049826.1|IG|IG_000057|+|46550:46647", "2974510363|NC_049826.1|IG|IG_000058|+|48110:48198", "2974510363|NC_049826.1|IG|IG_000059|+|50651:50724" ]
[ "MKVEQGRQAVWDHAKECGIAEDISRIAKYFDIADISIISGDKMTFLNERPRKMHRVPAIPTKIDFKEAMAKIREPRKYYK", "MKQIKITDEQFINERKQGKTYKQIANEYGMNVRSIERRAARLAKQGKVTTIGSPGFGVTGESKLIDKDGNVVMTWIKTSKDREQLEALMQAAMDAFSEEVPRLDPQPESQKDYSETLSLYPIFDMHLGAMAHKHECGENWDTATAERVMNNFIDYSIQCAPDSEKAVLLIGGDMLHSDGLEAVTPASGHVLDQDSRYAKLVYVAIRSVRRAITKLLSKHKNVEIQIIEGNHDQSGMIWLRAAMAAAYENEPRVHVDVSPRVVHHTQYGKTFLAYHHGHTIRKPETLLMMCAADWREDFGNSKMMYTHVGHWHHQTVTETSLGIVEVHSTMAAKDAYAARGGWRSRRRAAVIVYDKEYGEVGRFMHYPEMADRTFNY", "MKDFLNAATSGTGGASITGAVTGQTTIAIASFVLMAAFGMWGAYLRWRDSKALRDALECGDIKKAIEIRGK", "MSIKQRVTAAAFGVALALTSPLLEEIEGVKYKPYKDIAGIWTVCAGITGPDVIPGKTYTQRECDALLAKHIKIAKDEVDKRVKVDIPDTMRAAMYSFTYNAGTGAFRNSTMLKLINKGRYMEACDQLWRWTKYTNPKTGKKETSKGLRNRRAVEFKYCIKDL", "MRKLSVIAIAVILSGCSSVTPLTGLIGSKPEITAQAGAENVKQTVGLTAKQDASTKQDTTIKESKVDTVDTSNKKEIKTSTIQANTIKADKIQVVQGEQGKWYDPIIYCAAIFSGLLSMLYFSCRKGNKKEA", "MANKFLVVRVYNHSNGTSSEIPTLYSKADGWTEESAVANEKKVAEMYGDKCEFEVREYAKLTNESYMWADA", "MFLLDLYRWCESFEWFTRQHIAKFIFQHRECERLARAAGFTPRMFATAVSKEFCARMMTAGYLDGVGGKFWCKKGSANRPFSFELYSLEGMQNEWVRDVLRIGEMSDEELFTSNANRQNIEVLRRKFNLT", "MNFSFETNKLTPKQIIELADAQGLSPVRVSIQANGYRQSSSFWGEVNDVNNGNDRYPVISLGNDVDVVGKLARNIARSVQFPESSAYMHFIGCVSAAMLGRFTVEYHGTDQPTALYVVTSQPPSTGKSAVNSLALAPMVAEVERINDQRKKERKKIQAKLAGLAKEMKSERSGADMESLYQEKEDLEEKLEKMCDIVFPVSDTTPEGLARINNRQGNFAVISDEATSINSLLGLTYANSERKTNSELVLKAWDHGHVSIARANADNNMSFKALGCMAVIAQDETINAIMDAGSRGIGVSERYLLVREESFLGRRKFVDDNGDSTYEPVDGELKSQYFKLIHNIMSESNIQLKISKSAMRVLNMARQEMEPHLADGGKYSHTMLRGALGKMDKQVIRIASVLHTIRNWFNPGGYPQKSKEIEVETVQEALLMFSELSKTYISAANASGYAGDDAEMGKLIEIITRHGKANKGILNVRAIYEAARKVKPFVGQAGVMKRMETQLLPMLEERNYICVINGVVFVNPTLLG", "MIKTEYRYKVTYSATKTFKPGDIVRPIKKTMVGWLIGLSYHKSLYFDFADSVTAHCHSEKEPEKILVSIERIK", "MKVICNKTNVLMNGRYKTVYEKISGSDDVIELEQFQVKSITKGSKWQNAVESDYRHIKTKTIKCTFVDHKIPCKKHFKEGKRYQIEQGRALGAVAGYVFDEAGDRWTLYREEVGFSAGGCHLFEAMYK", "MYKGLIFSLFDGSGKAVKDWADAGYKCICFNYDDADHGDYHSVRIIHDNIFYVNVWIDELFKYTAKLENWGKPDFIMAFPPCTDLANSGSRHWSKKRLENPSFQGEAADTCKIAAHIADYFGVPYMIENPVGKLSTLWRKPDYTFHPCDYAGYLPEDDKHPDFPDIIPARDRYFKKTCLWTGNGFVIPPRALILPKENDKDNPGWAKTGGKSSRTKMIRSLTPRGFARAVFEANAK", "MSQDGFFERLQKAEDAGLNKEAALEVAYKIRTLDDALGEMDMDHECGAAFADPTMIVNDCGCNFDPNCQRCFPF", "MKAKVTNIMHTVDAHHRVAIKMDKSCGINQLTITSGKGVFVVGSHPGSKIRKYTREELIKLLEENSMFIESWKAV", "MAYKNSDFKNSAETIKAARTKQRAYRFWLDYHNLMKKMDNLIKNGNASCSNMTVWRNMNAQYGQGKIRLFGGSVEAYVFSGTKVVSFNVVGIN", "METQVDVKVVQRDTTHEKGIFKKGAIITIDLEDMVAYHSGLTWNVVKHGNAFKLRGFNTFMEVV", "MSSKFYSYTDTVYFDGIQLPLPNIYRYITRDERGFIESWRSKPVRDLEFGGFRSLEGELPICFGHQRGENLPVVARQYRRHKITRQLISLTGSVVE", "MVTLITWENENSQPEAREFETVASCYNLAKNGGFYKAQIVNEFGVVDYEF", "MPRINAPIPVKRKARLLNNGCYAMRPAFKDRTFPVIVNVSHVSRSGDLVFVKGEDLAALGFYGGVYGEALGFWLVDPLTGEREIEFLD", "MINEVDFELAEAQVRAKKMGLRILTQTVEFPSMPFASFHKVTVLSHKCECNALIPVGDDKRMAKFINESCDVLDAVKHG", "MNISKTTFNFAKRHGLELTVEDFGDVMQLCIWEADNDCEWLCSYRINYDCLTWHGNIYLPQEVKEELPATINNEVFLRKVLKFIAEEIKK", "MNMLRARIAGKDARGSRFSIPSTQKVNHLIKIDQTQDLTIQVISNELFLLFLINGIGGIIAS", "MTMTSKEFCDMLRVNAASLANGDVSELQIKELDLQCNIAPEDLRKINASYIRTIMNRTPEVKAVGVVKVERVRGDADIPDCYRVTINKNPKRRLLTDEELPKLESKWRAKFIAQLLKTQPRISDLEGERLEGAAIALERMNEMLNEMVKMEDE", "MAMMKIRKATDDFIRSNAESGRLYVAFVERKRKRGKIVATERLFKMKPRTECECKKDCGFYFGCRCSTIENARTLIDEGKVYFNPAE", "MARRITKDLKVLNKENVVKILVIWGYNEEAAKQKVEAGYDLAVKAMPNDDAKGIANYVAFF", "MKTKLVHKSEIKIGDTVIHNGELRTVGKESISHDEFMGILLFGDSYRLGYKMVELVEEVKF", "MLKLTDIKFPITFESRGVGHFMFTDEKTCTKFNEYGSTNASTMEIEHFIEAHNKHYNSLRDYGYAMPGYTCFHYKPYKGQVLPVRSPERAEIHTVDMKTCDYKKLWCLSVDNIKYPIVFNCGGSTIRFTSRDNGVYSDGQKSELKVDFFVNGHNKFNPHNEKDKVYYVEGITKHLFGETPFGGGKTSIQKIIGEEIHKAAVEMKAPGGCKIDKSDIITIRSKIGGVEYEGKIEKMPLGASIIGIKDDNGDWHDVSFPIKRIEVQDDLICLLAHHGSYKNNPFSAELNIKRGTIVKWKAKV", "MYINKKMKCISVNYGCSGMFKPGEIYMAQKLKSSTGSFYVSNSKGHRMFLNGGEGTKVMAHAMVIAEFEEVKDESK", "MKANKRVKHRFIDNHGDAIDVYKFGDRVFIDGTFEGGRRPDFRSILTADQVRKLADKLNDLADEIEYKQIHFN", "MKKYEFELWGSKYNFSTSKPIVIVDLDGTLSDGTHRLHLLPTEDLHLTESWSEFNKAAVGDSPIKSTVAVVNGLWMSGFAIVILTGRSDEVMADTCDWLNYNGIKYDALIMRRKEDNRKDTIIKEEVLRAIGLENIVCAFDDSHNVVKHFRSLGITTYQVTEYDKPHNHIQSHGVDELKPKIECEGEHCFSVVDGKCLRCGVEGLK", "MTWLLLIFVTSYNSAAIESHEFHSESRCQEARKQVVLHMSDSGKDVTALCVRK", "MLVRNAIYKFTNEMGVQLYHVNHQGHTSIAMYRDARGKCKPSLCGRISPAFFMFKWYATEQSNHNHWNKVLVAGGRIVASHVVDPRKLTSRQLRKMARK", "MIYALVFLVIFFYISGLFIFRALVKECDCTDKDHPLVLMFWFVWFWVALYQIVRDESGFKW", "MKRDIIILNGPPGVGKDTLAAYLTGHRYAAVKASFKQPMFDIAFSMLGVYRYDEFIDLYNDREQKEKPQAILQGKSPRQFMIWISEEVMKSAFGEQYFGNRMVEQVHEMYRDLAVVISDGGFPEEIKPLVKAGHEVHICRLHREGFTFDGDSRNYIDLNGYHHRVKHYDFTMIDGDPEKTVDEIIKTVQWKHIKIK", "MLELNYIRVGTKFRVTWVYDERCGIRKGEIVTASDRYIGSLKEFNRPRIKNGYIITRRLGFDHYCVVATQGVLIELKRISDHRGCHVKTMKMPFQRARYDARRMRRLARNAIKFKKPGNDFYVMYKGIARNAGK", "MVFNALKRIFKPEYRIVACTECNIYYLQRRRVIGGGWEYLTDDAFGFWAMEFNSYSEAREYAKKLHKFRPYKLRLLVVKKCDVWEHE", "MKKYAIITLALIIGCALAYSCDGILESIGGMIIFAAGCYAGYHDGFTAGKRHILTGKTK", "MAKSYHKMLINAFEKAALERGWNDSFHTANCIRRYGFKNCRSWAREMASWYDFDAHYLDMDCALVEMIEQAALEDRPLTQSDFDDFVRDEIYYMS", "MSTLKDKNFIGHVRVKLINVDYRPDPESPTLREWAGFENGKEMVVELYKDGEMWAMAGINHNGEFGEVKETDWFSLTENEAEII", "MITINLSEKQAYQVMSYISGFGMEGAMGEVADQICRQLTPAPTRAAETAAWRNEAGYIQKAIEDWKRKNETAEDAGL", "MIYIHYYQIGTNENKKEHRFIHDDLDKAKAQQQVLGGVIQAFEPVEDIEHREHLKEIVIDEISSLIDDMTNHGPGSPWEEAGSTECDCAKVATLNNFRELLDDF", "MFGMNEAQFNAAKRQAKKCGEAMKADVEKRGKYVDEVMKGIIAEHYQPVAPMLTITQFIWLCGYLRGRWGNAFDRE", "MPRNNGDMQVFTVRRIAFFIGGYMEKYKLTFMFNSMVMNPLNGYACNSFSVTVDEDGIDDDGKFIQIGDYLYPIHNINCIKREIVKDEVQSKADDQANGA", "MLNLISAFVIGFVLAVIILFVASEKICKFLAKNGKFASVTWNNKMQRWDVRGHYLTIGSKIHQHLKNQEDEKVKYKY", "MAKNVEPAVKACNFKKLYNKQYGDIAKMTKAHKYTPEQVFDLAVRYFTWAEENHIQAAETASFQGDVYESKIHKPRVFTLNGFRLFAGLSASVLEKWRREPGFSDVMDFIDGVVYEQKFQLAANNIVNAGFIGKEIGIEKPATVTIENNTSASVDAVTAEEVKEAVIDILEKI", "MLIWEDLTAAQKRAVKEMSEYSFEKMIRIWFQLLQGQRFLGNWHFSYLCSEVEKIIKGESQNVIFNITPGSGKTEIFSIHMSPYASLKSKKVRNLNLSFSDGLVQQNSNRIKEIIGSPEWQELWPGKLVKASAKDLIVTDGGKVKLQVNSRSIGGQVTGLRGGYMDDGFTGMLVLDDPDKPDDMLSKVKREAGHMRLKNTVRSRRMKDTTPIVMVQQRLHVNDSTWFMTNGGMGGIQFKVVSIPALVTKEYRETLPDWLKPEFDRDVLSSKPVMIDGVAHYSFWPAKESAEELLALRNADPYTFASQYQQQPVALGGNVFKTEWFQYYGSSEKCTLPKPDRFEYTFITVDTAQKTGELNDYSVICYWGMYKDRVYFIDGVRGKWEAPDLETNFVAFVNQCWKRNKECGTLRRIHVEDKSSGTGLIQSAAKKIMIKINPVQRDKDKVTRAMDAAPVMRAGRVALPESHPMLAEILAEVAAFTFDDSHPHDDIVDNIIDAVNIEMNMADDPVGRMKKLAGLRNK", "MSKSRIVKADGYNEIFKGENCKSRVEQPFYMQSMPYKTLADFYEKDGLAKRIIDVVPEEMVSPGFTVDGVADEAAFRSLWDEKRLNAKIIDALCWSRLFGGSAIIALVQDGRALKSPVKPGAVLEDVRVYDRYQIRVEARETNPRKVRYGEPVLYTVTPGGDLPEYQVHYTRVCIIDGERIPNAKRRSNDGWGESVLNKRLVEAIVDYNYCETLATQLLRRKQQAVWKAKGLADLCDDEEGVSAARLRLAQVDDEGGVGRAIGIDAEDEEYDVLNSDISGVDSFLEKKMDRIVSLSGIHEIILKNKNVGGVSASQNTALETFYKLIERKRVEDYKPILEFLLPFIISEQEWSIEFSPLSVPSDKDQAEILNKNIDSISKAIDGQFLDVEEARDTLRAIAPSVKLKDTNKIKLPEPSEPEPGTQGNE", "MKVNGVVKQWRFPEASERQFSRSIQEAIRDLVVLMRKRTKAMKFDATDNEINSAEDEINSLATDLIAGIVSTLPAIALTIYKFNAKQFINVAKSTGGKDNTAVIVLIAVGANANEEWYHTLYGQWHGLTESSLRKLFTNIASDWSTNIRNANFRGSNDKQVNDLAEKRFAVYSSWGKTRSENIIGAWNSRLMRQRLYDAKVTHYFWHGMLDDRERLQHVLWEGKRIALDAIHDFPGEPWGCRCWAIPDWNSKGE", "MKAKQRFDSVKVKAHFDDNGFLVDRPIVARIGLQEYHTPYGIRREFRPASEVFKADSLATFAGKPITIGHVTVTPDNADQVVVGSCAGAGVPNGVGVEVPLSIYSKRAIESAKKKDTAEISVGYTSIDIDKPGWGNNKTGDYLFEEDIKEDWKPDSPDWVKFDAIQTNIRVNHIALVFRGRAGIAKLNLDSEQDFPYSDEILNDKGDEEMTVKIKLDGAVEFDVPKAVADHIEVLKADAKAATEKADGLEAERDALKTKVDGIPAQIAEAVKKAKTDAEEHAKLVADATEIGIKCDGLDAKAIKVAYVKEVTGADISEKADAYIETAFDLAKQSDKMAAQRKYVKGDSFESGKSEKTDSLDPTARLKKLK", "MATIGASYFAVMSRALPGQVSDTSAYNIDGACVLENAEGNQNKNIFVGVAVQHGGVDAMGNKLIKPMAASGKAYGVAIRSHFQTTSADGRMIYESGGGINVMTEGRVWMLAKDATAPAFGTTIKLSDDGQVDTTSGTIETNWIATGDFTKFQDLKLVEVQVQKVPVVPAG", "MSDYSVIVGAESPGCMVDSSIMVINGSFVCGDEFISPGKIVRLSYISSGYKIVSVNGDLVIGVAVRPPDSCIYEEGDPVNVVSRGSVWCLTSESEAPEYGDKVFVTQDGDAAYSEGYLLSGWIFTGEHVKVDHDSYIVGVSISA", "MEKEKFDQLDADIVSSYLATRGVKGDASDMGIWTAQELHKIRSTAYEKEYPAGSALRVFPVTNELSDTDKTFEYQMFDKVGYAKIIADYTDDLPTVDALMSSEFGKVFRLGNAFLISIDEIKAGQRTGKSLSTRKANAAQNAHDQEVNRLVFKGSKPHKILSVFEHPNLTKIVSTGWLSADENTKFPQAASDELEKAIETIQTITNGQHRATNILIPPSMRKVLSVRMENTTESYLEYFQKQNSGITIDSIAELEDIDGTGTKGCLVYEKDPMNMSIEIPEAFNMLPAQPKDLHFKVPCTSKCTGLTIYRPFTLVLITGLKKSG", "MAKEKTVTLKVSGVCLIIVDGEHYHPGKEFDVEESKLKTSAFEYLIAKGDLEVKDNSALNEEIKQSAASKRKKDPREGKSKAELEDGGIY", "MVDEFFTDAEITQQIVKLAPPMKQIDPDLMVAWIELAKEFVCKKRFKESYPKAVALYTLHLMTLDGAMKQEGESVESYSRRVASFSLTGEFSQTFDRVSGDSDGKEIRQTPWGKMYETLNRKKGGGFGLVTGFRRRCK", "MNYNEIARMATEGINFFSDGNGEFKCITQRGSVEIIGGEEVEKPEISVMIKGLIRSPKVREVDGETIRVTDKLGVFNNKVEIKNGYHIDVDGELYVVVEARPIRQTNVTVAYRPILRRISVHG", "MAKNYTIREFHGNIDAWINAVDSGLKDCVELFAEKVHTDLVKRSPVDTGRYRANWQVTANKPPLYALNQYDKHGDKTIAEGKRAIYAILRGGGAVRAIYFSNMLIYANALEYGHSKQAPAGVLGIVAVKLRSYMAEAIKESRAKNAL", "MHYELMLSARKALATEYESRFIIAYENVEFTPPGDGSPWLKFDYAEVDTEYLSLDRKCVSYIGMIQVGIVFPPGYGTDRPRVLAKEIAQFFYDGKMLEHGYIYEGARVHKPLKSESGWILPIRFYVRIETKE", "MHLPNGSQIFVESNRGSEIQATAVSNAKDPVFTVASGGTAYKKGDYVIITASSWGKLIDRVMRVKANGEETSVTLEGVDTTDQNVFPSGGTASFAKIDAWTEIPCVQDLSQGGGEQQYYTYQCLADDQEQQLPTYKSAVSLTYTFAHEYDNAIYPLLRTADESGAVTALRMCVPKAKEMRCWAGVLSFNEIPQTTVNEMETVSLSVSLKGRFTFLPSQVA", "MSKMKLTIGPLPDFKLPVKFAMPNGEDQTIIFTVRHRKTSEIHERYTSDTPMSDVEMITFLASGWNLDDEFNEENIKQLLDYYPATAIGLTSAYMKALAGQRVKN", "MSEQYAGLTLGVDVSQLNNAVKSLQQFKKANDDAKGSVESFVDSEVVARQRAKQLAEELAKQKQEFKAIQSAIDPTASKMDKLRQAATQLDALWKKGIVPDDTFFELGSILETQQNKLIATKKALTEEGRAALEEAKNKARAEAEARKFIAALQAQADAAEKTKSELVEMRAAQLGVSAEAAPFIAKMKEQEKQASKLGVSMGQYKQAMAQLPMQITDVVTSLASGMPVWMIAIQQGGQIKDSFGGVANTFKALMTFVTPLSVGMTALTGALGYAAYNAYKANAQLKEITKTVQEATGLSGDFAERIATGIQALSDKTGESADNIAKAYISTKDGASEAIQKLVDVGFTYDEAKVKVNEYKNASSFVSLNNEIADHKNKVLELGDSWYAVLKAKRNYASPSGGLLGKELGYVNPMLQFAKNTYEDIGKIVKDANKDMAERAARIDRENLSLNRVRAAQEALNKAIEDQKNVARTADEELKKRAAENVEFRRKELEEAKKAQQQKEKVGGVVKAPTEQLDKELYVLKAQLETLKEHRTVNDVISRQRQSLWSIEKQIQILEEAQSKRKLTKAEQALLNEQKAVIAMAKEKAEIGDQIVLQQRKNKQYQEGLKFIQQTSDAIDAMNLRQSGATDLQIQRELELKKLRTDYVAGGGSIDDEIYQQMEAKLKEYYATEDQLRNNWIAGAKNAWEVYGQDAMDMYGNVQDIASEALNGLTNQMATFLATGKANFKSFATSIIQMIIQMITKMVIFNAISGAIGGDTWTIGSLLKNVGFATGGYTGDGGKYEPAGVVHKGEFVMTKEATKRIGVGNLYKMMRGYANGGVVGGTSYTGGGVSSGATNLNIGGISVDINNGSDPKGLETGVKMIFTDMIKRSCTQGGEVYEFVMSKRG", "MTTSNNDREISFGNGYTQVASGGFNTVRREFSIVYVGKDYRDVVDFLNGHRLKPFLWFMPDGQPGLFRVKSGSVGLTPISATVQEVKATFTEQFTSMQ", "MANETTGRADLENCLQSLYPGEIITLIEIDGTKFGANIYRIHNENISYTAEELLQARETGVLPPKEITFRGEVYGARPFGISGINFTSNGKADKPQLILSNLDSQVSAMIRNFNGMMQAKVTIWITPAELMGKDGSIKDGASRKLVYYIERPSHYNRMMAKFDLTSPYDMDGIMIPPRITQSVCYWAQRGWYRSGKGCGYNGSRMFDKDNNPVTDPSQDFCAGTVTACKLRFGADQQLDFGGAPVASLLRRNQ", "MISAKIKLEIMQHVKDEYPREACGVITQKSRVQKYHRITNVHDDPENHFEMNAIEYVEACESGEIIAVVHSHTGDGASTIPSAHDTCMCDEMGVSWVIVSWPEGDMRIIEPESRPLIGRPWSLGAYDCWGLIMAWHKKHGVILNDFRKPYEWWKPEHGENLYQENYLKEGFVETGEPPKPGDMVIFQLSAPVWNHAGIYLGNNQFLHHAFGKLSRVDLYSGWYQEHAKMVCRHKDLKYDFEGN", "MTLKVIKLSGSLGRRFGVFHKLAVDSYPEAIRALSSQVEGFKDYMQSEVGSRMRYAVFVDGNNVGQHDEKAWQCAKEVRIIPIPTGSKSGGLFQVVLGAVIMATAFFTGGSSLALMGAFASSAFMMGGAMVLGGVMQMISPQQGGSRLSSQSAENKPSYAFGGAVNTTAAGYPIPLPYGQRTVGGAIWSAGSYAEDKA", "MAENMITGSKGGSSKPYVPKEMEDNLISINKIKILLAVSDGECDPDFTLRDLYLDDVPVIADDGTVNYQGVSAEFRPGTQTQDYIQGFTDTSREVTLARDITTSNPYVISVTNKTLSAIRIKMLMPTGIKQEDNGDLVGVKVTYAVDMAVDGDSYKEVLRDTIEGKTRSGYDRSRRIDLPAFNDRVLLRVRRITADSASSRVTDLIKLQSYAEVIDAKFRYPLTGLVYVEFDSELFPNQIPNISIKKKWKLINVPSNYDPVSREYRGSWDGTFKKAWSNNPAWVLYDIITNQRYGLDQRELGVQVDKWSLYEAAQYCDQKVPDGKGGTEPRYLCDVVIQSQIEAYQLIRDICSIFRGMSFWNGESLSIVIDKPRDPSYIFTNDNVVDGDFQYTTASEKSMYTQCNVTFDDEQNMYQQDVEGVFDTEAALRFGYNPTSITAIGCTRRSEANRRGRWILKTNLRSTTVNFATGLEGMIPSIGDVIAIADNFHSSNLKLNLSGRVMEVSGLQVFVPFKIDARPGDFIIINKPDGKPVKRTISKVSGDGKTIELNIGFGFEVKPDTVFAIDRTDIALQQYVVTSIGKGDDDDEFTYSITAVEYDPNKYDEIDYGVNIDDRPTSIVQPDTMAAPENVQISSYSRIVQGASVETMVVSWDKVPYASLYEMQWRKGDGNWLNTPQTANKEIEVEGIYSGNYQVRVRSVSASGSTSPWSRIVTASLTGKVGEPGAPVNLTASDNEVFGIRVKWGMPEGSGDTAYIELHQSPDGTAENSSLLTLIPYPQYEYWHGTLPAGHVVWYRIRSVDRIGNVSGWTDFVRGMASDDVEAVLGDILDKIFDTEAGQDLKENAIDSANKIKDQAQAIIQNALANDADIKWTRVQNGKRKAEYGHALELIATETEARVTQIEELRASIDEDIVSSIKTVQEAIATESETRATQINALDSKFTTEIDGVKRDTAASINQVNQTIANESEARAQAVNALDAKFTKEIEDLNGVIKTEVEANISEVKQAIANETEARVQADQALTAKFGDVESALAQKLDSWASVSSVGAKYSMKLGLTYNGQQYSAGMVMQLSQSSSGLISQILFDANRFAIMTSSTGGVYKLPFVVENNQVFINSLLVKNGSITNAMIGNYIQSNNFVANQQGWRLNKNGRFENYGSTSGEGAMKLTNETISVRDANRRLRVQIGRLTGTW", "MAEYGVSTWDANGKYNNYGIKPVSVVGVISLAAGQTSGSWSFSIPSGFKVGYVVSLDEGARGVGREIVASGNTIRISPTSSIGANRYSSSKCELVVFLERV", "MAEYGAMLSLSNGNPFVTPKSTPFCLYGKYTYSSSGTSAYHSASANIPVNQSYPCMAFIKTTNTQQPTALIAYRNGGNIYVNGGNPYGQSFTMTVYIFAIFPQTLPKYGMAIWDASGKLVLTNESRVLTDLVTIGTPGSSGGTNIDQTLSGSYAVCPSRLGAVIGMGASDIYTSCRYNGSVTRIGAARTTPGTGSITNNGNSIIAIKTDIYD", "MKKLIAVLIAAVTLTGCANMPKRECTAIYENSGMQYSVAIFGVKRVGERTLVKAGYPFNFQWVSIDNFKNADCSL", "MNKFMAYTSEEMSNELYHDPEAWTADYVSGSSLAEIYSTCPAAWKFKPRDDKSKALVFGTQSHTNFESKELFEKTYRRAPAPEDFKDLITSQTALAAKLKSFGLKGTTGKTYPDLIKMMVDCGEDLNVMWLIDMIAESQARADGVQLIDAKDYDACVAMRQVLESIPEHNACMNSKTAQRELSLFGEINGVKVKVRCDHVDVTKNVTATLIDGYDEKGQPICRDIIYPEAIVITDYKTTMSANPVEFMRLAYNHGYYLKMALQCDLFRKAYPEEKRPIVVRLLAQEKKEPYLPLAFRMNSEQLKIGRIQYMSVINQFSMCQQHDVWPSYSNGEPEVCLDTPDWVRRQFKQYLI", "MENKQVSEVAVHINNFATGMAMLLRDFVAPLDPTAGAEEMEYIRKVMDAVDNVVLIATMSEDNEEAIKAVKESSDRMMENLIKLHTKE", "MKLSEQFDKVLPALHKARSLFVKVKKDKQNTHLKNRYATLDAVLDAITPALNDNELMLMQDMIESDQPNRIKVETTVLHVSGQYVKFYAELPIVKNDPQGVGSAFTYARRYAAAAAFGLSQADDDAQIAVKSANDWKRDIEKCESVNELQEVLKSAWKASDPASKQVIKEHYEKRKAELEIGKARGFNPAQPKQNLAAQDVDTKNDEQVKSQSITDFE", "MHIITGEIRKEPRVKQMPNGSTLYVVELSERYKDKDGNWQYTNYSFFFNAKTEGLNGWYSEAFQVGKVISVSCDTLRIETREYNGKTYSSLMTGGFANLIFSQRGEGQPQQQQQGGWGQPQQHNQPQQQQQPRQSNQPPMDFDDDIPF", "MKKSEGIDQVVKDLSERAHTIADDGHSPISIMKSAMSDFNGLGSMSGMLSIMTTGKLNAHQTELAKRIAVKVIAVLGMAESEKGA", "MQNINQNGAGVTQFNGMRPKQIIEIGGVKWSLRRDATDSSMAFPHQKVNWLNAGCEPLDGNIHYCWIMGVIAPNMGTIERPANVMYIGFHQQRIMIAPNSVTVADLDRMHVYVSDSSNFVGEFVGKFLGVEPERPIAERESISPWPVMPEQQSTPEGKANEEK", "MKILVAGYHLPRVHLPRVVIEGNGHDRDYDRDHEHDAVMGSVIERTKHHPKTWDAHIDKPGGLMSIVEMEYKELMTEKADGSHHGIEKELTDLAAACICALRKMKSM", "MSDMTLLPTGGFGGEAGAAGLGGAVGGLIGSWFGNGFNGRGGYGGDGAAVAVGANAVLDGINNIQTGVNNLGLQTLQGQNSTNLTVERSAASTFNGITSQNTQNLLASVQGFAGLNTAIVSGTNQTVAAINAADVNALERSYQAQIASERCCCETNLNIERQGNATRDLMRQQFADSQAVLICDMKAQLQEARFALSQANQTAALNCKINQVEQLVNFKLPTPQTPPTGCCGC", "MAIYRTGQASMDAQGYITGYDTKWREQLTLIRPGATIVFLTQPLQIAVITEVINDTSIRAITTGGAVVTRSNYAILLHDSITVDGLAQDVAETLRYYQSKETEIAEAIEFFRDFDLEGLKDLVNQVKQGAESAKQSASAAKTSETNSKTSETNAKASETAANTSKTQAAQSAQSADASKTAAAGSATAAAGSATTAKNEADRAQRLADSFETDKLMQKENNLSDVADKAKARDNLALKGMAIQDPASVDITGGHATLNSTYAKPLLISSSNPTIMFSETDKPTGTPDYAFVFDGGAWRIQRQDSGAALFSYQAAPQSLRSHADHYFLGFTSTQQYSEVGQIRTTGKYAQDSILIRAISDNTGFVSYMRNDKVVFRAGMSTTKAYAIYRYNDDGTYHSTPFVIGAPGYGSQFNEPLTLSNGNLIAHINGPGVIVKPGSANGSCYVAGNNTDNSSLWYVGRGADADDVTMYNYKRKNYIALSSTISLRGSDVETSHSVTVGGSTLTVKGNAGTDNTHLWLRNTSGRSRGVIYASDANILSIRSDNGATGATGQGMSLNGNTGEVRATTFTNTSDERAKFWIKPVTGALEKLCTLKGVVYSMHTTIQDTVRNAGLIAQDVQKVLPEAVKVLSNAQDSGVIDKDCRTVEKPLGLDYNAMSALYVEAFKEMKAEIDNLKAEIEQLKNNNV", "MNLADSCINLKALIAKNNAWHDVIICQAFLFEVHMNNENFMVYQKEDVLPYMQGLWREALQSICGLPNEVFNGKHQSCPHCGGKDRFRWTDKLGDKKGDGGAICNVCGNDTGIGWIMKLTGEPYSEVINILGRFLGKVPQDYRIKANKRVSRDSGYSFGKQASHECCERVMSKTLKFDRTPLSTYEGIYPPEDGHYECGVKDGRYIHALPCHLVHSDEIDDEMCNVLFIDEDGKQSFLAKDYTRGSVVRTGSTDKTIYLCVDWIDAQHIHLATGQEVWACFDATNIEIVAYRYKGNRRMRVVCKSTDRDAIIAAEERGLEIMMPINDNYRQGMERKVYKPESLL", "MKEQRIAVSTGKIDKRTINGNNGTRRGKDKKKRKRPTGYYVLKDEVRAGLKARLDILIEYYGSVSAMAKRLNVSQQTVNQWRVRGMISAAGAQKVHNDYKKYGCQGYRASFCRPDLRFDSNGKPLTKRCDKREMLRVVRMSDYEPGGFLYKPESTNC", "MIPNIEKQISALGEAVIKSIQERFTVGEIVPYHYQCVAYAEIAKRMKNYEHPFFVKASVSAGKTLMFAMVAHQCRKMGLKMMVLARQAEIVDQDSEEITNLGVPNSIYCAGLKTKSAYFPIVVGSEGTVVNGLFKALGDYVPHVIGIDECHQVDWEDLADAIEKDESFLQMTTKKGEKVPNPDYDITKGSRNRNTEFLIGEDGLPMEGTGRTQYTVIIMEMMRRCRKAYGHELRIFGMTGSEFRGVVPILVEDKKQKGFWREQVTNIDTNYLIKFGSVVPTNFGDVGDLGYDLSEFEASSEDGVADFDAKTLRRMEQKIHEEATMTKRIMAKVHEICKNRNGVLVTCAGERHCKEAAAALPPGTTYRIITGKTGDNQRKEWLKEAYEGKVKYIFQVQALTTGVNVPFWDTSVILRKIGSLTLLIQLLGRGMRLLKKWHKEQGFQKDDHLVMDFSGTMDELGELYFDPILEQAQHQKRFRNGKDPKPCPVCGTLNSYYARRCMNVDENGNRCEWFFKFRTCDDQIDPRTKKIIHRGCGTKNDIAARVCRHCDMSLIDPNEKLSGKHYTKNDWFQVQSFAVDMTKNQKGIIFNYELSDGIDTFKAREIFFPESESQICRAKWRSVALKHIPDRRVAGMVASYRNARKIMQYVNHIMPPSRVTHRKTSKGEDNLYKKEFNYGND", "MAMTDKGDYLEFYERDPTDTLKEESHQIGAFQWLTYAHPELLAWHTKNEGDKGIATAMMDQQAGLVKGVSDFIILIGLKGRYPFAAIEMKRVNKSGKGKASPVSKDQKAFLRRVRELGGFAAVTYGYKQFMIAVEYMMK" ]
[ "GGATTATTATCATGTGGCGTTTGTTACCCTGTTATGATGGCGATCTCTATTGTATACGTTGTCATAATGAGATAAGGAGAATTTTTTG", "AATATCAATATAAATCATCAATTTAATTGGGCGAGGCATTTTGCTTTGCCCTTTTTTTATTCCTTTTTGTGCGTTCAATGGTTAGGATACAATCAATTAATTGTTGACTTTTATTTACAGGAGATCTAATC", "TT", "CGCTTCCTTTGTT", "GATGTTTTCCTTCTGGTCGTTTCGTCTCGATGAAACAAATATACCCGATACGAGATCGGGTGTTTTAACAAAAAGTGCTATTCAATACGCTTTACAGTAACCAACAAAACTCCGTCCTCATCACACAAATTATGCTCTGATATATCAAACGCTCGCATGTCAGAATGAATGAGCGTTAACATTGCGTGCATGAAGTCG", "AA", "TGGTGATAACTCCTTTTTGATGCGGCAATAATACCGCACCAATGCTACCCGCATTTAACAAAAAATA", "CGTTTATTTCTCCTTTCGTTTCGGTGAGGTGATTATGCCAGGCTTTTACACCTGGCTTTTAACAAAAAGTG", "TTCATCACC", "TTAATAAACTCCTTAATAACAGTAAGAAGAATTGCAAGCCAAAGCAAACCAACAAGGCCAATCATCACGACAAATAAAATATCAAGAAATTTCATCATTATTATCACCTTTATTTAAAGGGAGGAGGAAACCTCCCATTGATTAA", "TATGCAATCCTCATTATATTTGGTGTGGTAACTATACCAGGTTTGAGAAATATTGTTTAGCAATTAGTGCTATCAGTTGAAATAGCCATGGCGCTTTGCTCGCTTTCCGTCTCCGCCATAATGATCATTAGAAACGGCAATCATCCAGGCATTATGGAATATTATTATCTTCAT", "TTTTAAATACTCGCTCGTTGTCGATGCGCTTAATATACCAATCAGAGGCGCATCGTTTTTAACAAAAAGTG", "TTTAAAATCCTCGCATTCGTTGTCGATGTGTGTAATATATCGGATCGCGAGGATTGAGTTTTAACAAAAAGTGCTAT", "CTTTATTTCTCCTTTGTTG", "CTTACCGTCTCCTGATGGGTGTCTCGTTTCGATGTGTGTAATGTACCCGATCAGGCGGATTCAGTTTTAACAAAAAGTGCTATTTC", "TATGTTTCCATCCGTTCACGCAAATGAGCCTCCGCAGTTCTTCCACGTTTCATGCTGTCATGCACACAAAAGAAACAATGTAAAATCTATATAAATACACATTAAATCAATAAGTTATTTATATATGTATTTATATTTGTTTCTTATTGTTCTTGTTGTGTGATGGTTATTGGTATATGTTGCGATTTTTGTGTTGGTGGATATTGATTGTTTATTGTTCGATTTGGTTGATGATTATGGTTGCTCATGGTTGCGTATGATTCTTGATGTATTTATGTATATATCCGCGAAAATTCGTGAACAGCCACACAGCCAAAAAACATGGTGAGGATTCTTTTTAAATCATGCACTTAACTGTGAATTTTGTTTCCGCGCAATCTCCACACGTATAGCAAACAATTAGAACGCTTGACATCGACACGGCGCGGTGGCAACATTGCAACCACCAACAAACAGAGCAAATAGGAGTTACTCTT", "CACTTTTTGTTAAAACGCCAGGTGCGAATCCTGGCATAATAGCCTCATCGAAACGAGATTGAGGAAAACAAA", "TTAGCAGGTGAATAA", "ATAGCACTTTTTGTTAAAACTCAAAAACGATAACCAGGCATAATAAATCACATAGGGCGGCACGGCGTCGCCCAGTAAAACCAATCAGGAGAAAGCACC", "ATAGCACGAATTGTTAAAACTCAAATGTGGGGCCAAGTATAATGGCCTCACTTTCAACGAAGGAGATAAAACG", "TAGGTTTGATA", "CACTTTTTGCAAAAACAGATTGTTGGGCTTGCGGTATAGTAAGCCCATCGACAACGAAAAAGGAAAACATC", "TAGAGGAGAAGAACAA", "TTCTTGCTATAGCGCATTCATACAGTGCGCTATGTTGAGATAACAACATGAGATCATGAAGATGCGAAACTTCGAAAAAATCGTATCCAAAAAGAAGCGATACAGCTACCACGAGCACCAAGAGATGAATCAACGCTGTCGCAATAAGCGCAAGCGCCCAGGTAAACATTCAAGCAGATATGGAGACAACTAAT", "CACGAATTGCTAAAAGCGGGGCGCAATGCCTCGCTATAATGCAAACACCAATCAACGAGAGGACTTGAAC", "CAACAACGCAACCAATCCTAAGCCACCGTTTGATTTTCATGAAATCATGATTGTGTTATTCTTGATTCTCTTATTTATTTATTCAGTGAGGGCCGCACT", "TGTTAACCGCGCCGCTTAGGTGGCGCCAAAAGGAGGAAACAA", "TAGCACTTTTTGTTAAAACTTCCGCGAGGGCATTTGTTAAAGTGTCCTCATTGAAGCGAAACAGAGGAAAGCACA", "TTAAAATTGAATGCCTCATACAGCGAACAGGACGCATTTTGACGAGGCATTTTTTAGGTAAGGTGATTCAATGGATAACTACATAAAACCGTCGCAGTGGTGCGCACAGAAGCAAGAGGAAGCATTAGAACGCGGCGACACTGAAACGGCAATGCACTATTTCGAAATGTACAACTTGTGGAAGGGTAGAGGTCTGTA", "TTGACAACAATTTCCTGATTGGTTAACATCTTCTGTGTTTACATAAGAGCGGCGTTAGTGCCGAACGGGTGGCGCGG", "TTGCCAGTCATGTAGGCAGGATTTTGAATGCGAGATTTCAGCGCAAAATCCTGTTGACGCGATTCAATGTGCTAAAGTTATATCAGGTGCAGATCCAGAGACGCATCAATTTTCAATCAATTTTGTAAGGGAAGTATT", "CCAACTACCCGCCAAATGGCGGGTTTTTTATTGCCTGCAATTTGTCTATAATGAGGCTTTGTTATAAGGAGGGCGCATT", "CACGAATTGCTAAAAGAAAGTATAATCAAAGGCTGGTAAATCCAGCCTTTTTTATTGGAGGAAAGATA", "TTA", "GGAGAAATAAAC", "TAAATATGGCGCTCAGTGAGCGCCTTTTTTATATTTGGAGTTCTC", "CACAAAATTATGTTGCACGTTTAACAAAAAATGCTATCATTGTGGCGTTACATACTAACAGCCTTTATATATGGAGGAAGAAA", "TCGTATAACATTGGGGAATCCTTAACTGGATTCCCTTTTTTATTGGAGGTTATGAA", "TAAAGAGGGCGCTTATAGCGCCCTTTGTCATATCTGGAGGAAACACA", "AAATT", "TCACAAGGGGCGTTGCGCCCCTTTTTTTGTTACTGTACAATCATGATAAACGATTCATAATCAATTCTTTTAACAAAAAGTGCTATCAAGGAGAAAGAA", "AAAGGGCGGTTTACCTGTTTTATCAGAAACCGCCGACAGATGCAGAGCTTGAGGCAGTTGGCCTTACAAGGGCAGACTATGAAGGAGAAGATCCGCCAGAGGTTATATTTGATGAAAGCATGATGCAATCATGGGATATATTTTGCGCAATGCAAACGCAATGGCGATGTTCTGGCGGTGGCGCTTACGGATTTGATTATAATGTCTTGCCTATGCTTTTTGAGATTTACAAGGTTGAGGATCGCGAGATGGCGCTAAACGACTTGCGAATCATGGAGCAAAAAGCACTTGAAATGATGCATTCAAAATAAGCGCCTACGGGCGCTTTTTTATTACCTGGAGGATTAATA", "TAGTGAAACTTGAGCAATTCAAATGGTGCACACAAACGCAAGGAGGCGGCGGCACT", "TTCAAGCCGCCTTTGTGCGGCTTTTTTATTGATGATACAATGTACGAAAGGAGGTGCAATT", "TATAAAAGAGTCGCGCGTTGCGCGGCTTTTTTTTTGCCCGTATAATTCAACAAATCAAATAGCACAAAAGGTGAAAAAGC", "AATCAAAGCGGGGCGATTGCCCCGCATTTATGGAGGTTTAATA", "AA", "TGAAAAAGGGGCTTTCGCCCCTTTGTTTTTAT", "GTTGGTGTCTCCTTCGTTGTTGTTGGTTGCAACTATACACGATCTTACAGCAGGCGTTTAGCAAAAAGTGCTATTCTGTTCTTTTTGTTCTCCTGCGTTCTCAAATCTGCGGAGGCGTAAATTTAGAGTAAAAAGTGAGCAATAACAGAGCGCGATATATACAGATAAATATATATACATACATATAAATCAATAAGTTAGTAGTATATATATTAATGTTTGTTCTTTATTGTTATCTGTGTTTTGTGTGATTTTGTGTGTCTGGTGATTTTTCTGTGATTATGCATGTGTCAGTGGTTATGTGTGTCTATATATCTATATCCGTCGCAAATTGAGGAACAAGAGAACAAAAAGACAACAAAGATATTTATCAATCACTTACATGTAAGTTTTGTTATCCAAGTTGGCGAGAACGTTGTTAAAACAGAAAAAACAAAATAACTATTGACTAAACGCATCAAATAGCTACAATGCAGACATACCAACCAATGAGGGCAAATC", "ACAGCACAAATAGCTAAACAAATGAAAAATGCGGTGTTATAATTCACCGCATAAGTTAACAACAAGCGTAAGGAAATAAAC", "ACAAAGCATTAACAGCAATAAGGCGGCGAAAGCCGCCATGAATTACGGGAATTAATC", "TTGACATGGGGCGCTTGCGCCCCTTTCTTACAGGAGAATAAATA", "TCAACAAAGGGGCA", "ATCGGTTCACCTTG", "AAGTATATCCCCTTATAAAAAAGCGCCTCGAAAGGCGCTTGATTTA", "AGTAAATTCCTCTTTGACGTTAAAAAAAATTAAAAAAGTTTGCAACATTTATGTTACGACAAAGAGTATGCGCCTAACTGTCAGGAATAGATATAAAACATTTGTAAGCGTTATGTCAGCAAATGGCATAAAGACGAAAAAAAGCGCAGCCGGAGCCGCGCTTAAATG", "TCGTTTTCTCCCATGTTGCATGTTAATGTCAATGCAGATAATAGCATTTGATAAGGCAAAAAAAAACCCCGCAATGCGGGGTAAAGTAGCAGTGACAT", "TACTCATTCTCCAATAGCATTTTTTGTTAAACGATGATTTAATGATTGTGTATTATACACGCCAAATGGCATTACTCAAAGGTTTTAGA", "CACTTTTTGTTAAAACAGGCGCGAAGGATTGCGCCATAATAACGCCAGTTCAACAAGCAACAGGTAATTAAGAA" ]
[ true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, false, false, false, false, false, false, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 52, 54, 56, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 76, 78, 80, 82, 83, 85, 87, 88, 90, 91, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 163, 165, 167, 168, 170, 171, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 199, 201, 203, 205, 207, 209, 211, 213, 215, 217, 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, 240, 242, 244, 245, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303, 305, 307, 309, 311, 313, 314, 316, 318, 319, 321, 322, 323, 325, 327, 328, 330, 331, 333, 335, 337, 339, 341, 343, 345, 347, 349, 351, 353, 355, 357, 359, 361, 363, 364, 365, 367, 369, 370, 372, 374, 376, 378, 380, 381, 383, 384, 386, 388, 390, 391, 393, 395, 397, 399, 401, 403, 405, 407, 409, 411, 413, 415, 417, 419, 421, 423, 425, 427, 428, 430, 432, 434, 436, 438, 440, 442, 444, 446, 448, 450, 451, 453, 455, 457, 458, 460, 462, 464, 466, 468, 470, 472, 474, 476, 478, 480, 482, 484, 486, 488, 490, 492, 494, 496, 498, 500, 501, 503, 505, 507, 509, 511, 513, 515, 516, 518, 519, 520, 522, 524, 526, 528, 530, 532, 534, 536, 538, 540 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 53, 55, 58, 60, 62, 64, 66, 68, 70, 72, 74, 77, 79, 81, 84, 86, 89, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 164, 166, 169, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 200, 202, 204, 206, 208, 210, 212, 214, 216, 219, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239, 241, 243, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302, 304, 306, 308, 310, 312, 315, 317, 320, 324, 326, 329, 332, 334, 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 366, 368, 371, 373, 375, 377, 379, 382, 385, 387, 389, 392, 394, 396, 398, 400, 402, 404, 406, 408, 410, 412, 414, 416, 418, 420, 422, 424, 426, 429, 431, 433, 435, 437, 439, 441, 443, 445, 447, 449, 452, 454, 456, 459, 461, 463, 465, 467, 469, 471, 473, 475, 477, 479, 481, 483, 485, 487, 489, 491, 493, 495, 497, 499, 502, 504, 506, 508, 510, 512, 514, 517, 521, 523, 525, 527, 529, 531, 533, 535, 537, 539 ]
[ "2841813427|Ga0349638_01|CDS|2841813428|-|969:2300", "2841813427|Ga0349638_01|CDS|2841813429|-|2416:2784", "2841813427|Ga0349638_01|CDS|2841813430|-|2869:3372", "2841813427|Ga0349638_01|CDS|2841813431|-|3420:4550", "2841813427|Ga0349638_01|CDS|2841813432|+|4643:5542", "2841813427|Ga0349638_01|CDS|2841813433|-|5746:6468", "2841813427|Ga0349638_01|CDS|2841813434|-|6485:7594", "2841813427|Ga0349638_01|CDS|2841813435|-|7653:8012", "2841813427|Ga0349638_01|CDS|2841813436|-|8125:8661", "2841813427|Ga0349638_01|CDS|2841813437|-|8708:9085", "2841813427|Ga0349638_01|CDS|2841813438|+|9327:10343", "2841813427|Ga0349638_01|CDS|2841813439|-|10424:10894", "2841813427|Ga0349638_01|CDS|2841813440|-|10995:11492", "2841813427|Ga0349638_01|CDS|2841813441|-|11495:12367", "2841813427|Ga0349638_01|CDS|2841813442|-|12379:13434", "2841813427|Ga0349638_01|CDS|2841813443|-|13447:15210", "2841813427|Ga0349638_01|CDS|2841813444|-|15214:16224", "2841813427|Ga0349638_01|CDS|2841813445|-|16226:17008", "2841813427|Ga0349638_01|CDS|2841813446|-|17107:17826", "2841813427|Ga0349638_01|CDS|2841813447|-|17857:19119", "2841813427|Ga0349638_01|CDS|2841813448|+|19213:19698", "2841813427|Ga0349638_01|CDS|2841813449|+|19718:20137", "2841813427|Ga0349638_01|CDS|2841813450|+|20146:20682", "2841813427|Ga0349638_01|CDS|2841813451|+|20737:21291", "2841813427|Ga0349638_01|CDS|2841813452|+|21384:23258", "2841813427|Ga0349638_01|CDS|2841813453|+|23572:24159", "2841813427|Ga0349638_01|CDS|2841813454|+|24143:24289", "2841813427|Ga0349638_01|CDS|2841813455|-|24592:25236", "2841813427|Ga0349638_01|CDS|2841813456|-|25243:27324", "2841813427|Ga0349638_01|CDS|2841813457|-|27321:29432", "2841813427|Ga0349638_01|CDS|2841813458|+|29504:31651", "2841813427|Ga0349638_01|CDS|2841813459|+|31758:32393", "2841813427|Ga0349638_01|CDS|2841813460|+|32502:32678", "2841813427|Ga0349638_01|CDS|2841813461|+|32811:33923", "2841813427|Ga0349638_01|CDS|2841813462|-|33983:34795", "2841813427|Ga0349638_01|CDS|2841813463|-|34869:35441", "2841813427|Ga0349638_01|CDS|2841813464|-|35459:36049", "2841813427|Ga0349638_01|CDS|2841813465|-|36121:36600", "2841813427|Ga0349638_01|CDS|2841813466|+|37278:37616", "2841813427|Ga0349638_01|CDS|2841813467|+|37609:39264", "2841813427|Ga0349638_01|CDS|2841813468|+|39511:40077", "2841813427|Ga0349638_01|CDS|2841813469|+|40079:40495", "2841813427|Ga0349638_01|CDS|2841813470|+|40616:41596", "2841813427|Ga0349638_01|CDS|2841813471|-|41576:41773", "2841813427|Ga0349638_01|CDS|2841813472|-|41785:42381", "2841813427|Ga0349638_01|CDS|2841813473|-|42423:44180", "2841813427|Ga0349638_01|CDS|2841813474|-|44180:44908", "2841813427|Ga0349638_01|CDS|2841813475|-|44934:45941", "2841813427|Ga0349638_01|CDS|2841813476|-|45941:46960", "2841813427|Ga0349638_01|CDS|2841813477|-|46953:47852", "2841813427|Ga0349638_01|CDS|2841813478|-|47858:48721", "2841813427|Ga0349638_01|CDS|2841813479|-|48724:49530", "2841813427|Ga0349638_01|CDS|2841813480|-|49543:50547", "2841813427|Ga0349638_01|CDS|2841813481|+|50710:51180", "2841813427|Ga0349638_01|CDS|2841813482|+|51280:52038", "2841813427|Ga0349638_01|CDS|2841813483|+|52052:53083", "2841813427|Ga0349638_01|CDS|2841813484|+|53094:53324", "2841813427|Ga0349638_01|CDS|2841813485|+|53393:55924", "2841813427|Ga0349638_01|CDS|2841813486|+|56151:57443", "2841813427|Ga0349638_01|CDS|2841813487|+|57547:58071", "2841813427|Ga0349638_01|CDS|2841813488|+|58082:58492", "2841813427|Ga0349638_01|CDS|2841813489|-|58646:58798", "2841813427|Ga0349638_01|CDS|2841813490|-|58829:59017", "2841813427|Ga0349638_01|CDS|2841813491|+|59147:59572", "2841813427|Ga0349638_01|CDS|2841813492|+|59865:60209", "2841813427|Ga0349638_01|CDS|2841813493|+|60267:61118", "2841813427|Ga0349638_01|CDS|2841813494|+|61136:62083", "2841813427|Ga0349638_01|CDS|2841813495|-|62236:62748", "2841813427|Ga0349638_01|CDS|2841813496|-|62754:63206", "2841813427|Ga0349638_01|CDS|2841813497|-|63214:63390", "2841813427|Ga0349638_01|CDS|2841813498|-|63416:64363", "2841813427|Ga0349638_01|CDS|2841813499|-|64367:64828", "2841813427|Ga0349638_01|CDS|2841813500|-|64866:65186", "2841813427|Ga0349638_01|CDS|2841813501|+|65540:67369", "2841813427|Ga0349638_01|CDS|2841813502|+|67457:67651", "2841813427|Ga0349638_01|CDS|2841813503|-|67771:68154", "2841813427|Ga0349638_01|CDS|2841813504|-|68224:68691", "2841813427|Ga0349638_01|CDS|2841813505|-|68839:73587", "2841813427|Ga0349638_01|CDS|2841813506|+|73590:74606", "2841813427|Ga0349638_01|CDS|2841813507|+|74643:75002", "2841813427|Ga0349638_01|CDS|2841813508|+|75032:75727", "2841813427|Ga0349638_01|CDS|2841813509|-|75805:76488", "2841813427|Ga0349638_01|CDS|2841813510|-|76498:77661", "2841813427|Ga0349638_01|CDS|2841813511|+|77753:78778", "2841813427|Ga0349638_01|CDS|2841813513|-|79305:82514", "2841813427|Ga0349638_01|CDS|2841813514|-|82511:84643", "2841813427|Ga0349638_01|CDS|2841813515|-|84663:85913", "2841813427|Ga0349638_01|CDS|2841813516|-|85986:87206", "2841813427|Ga0349638_01|CDS|2841813517|-|87193:88746", "2841813427|Ga0349638_01|CDS|2841813518|-|89503:90219", "2841813427|Ga0349638_01|CDS|2841813519|-|90216:91046", "2841813427|Ga0349638_01|CDS|2841813520|-|91043:91471", "2841813427|Ga0349638_01|CDS|2841813521|-|91607:92539", "2841813427|Ga0349638_01|CDS|2841813522|-|92737:93756", "2841813427|Ga0349638_01|CDS|2841813523|-|93856:94161", "2841813427|Ga0349638_01|CDS|2841813524|+|94194:94388", "2841813427|Ga0349638_01|CDS|2841813525|-|94402:95373", "2841813427|Ga0349638_01|CDS|2841813526|-|95377:96633", "2841813427|Ga0349638_01|CDS|2841813527|+|97240:97398", "2841813427|Ga0349638_01|CDS|2841813528|-|97411:99006", "2841813427|Ga0349638_01|CDS|2841813529|-|99059:99235", "2841813427|Ga0349638_01|CDS|2841813530|+|99598:101193", "2841813427|Ga0349638_01|CDS|2841813531|+|101364:102053", "2841813427|Ga0349638_01|CDS|2841813532|+|102058:102453", "2841813427|Ga0349638_01|CDS|2841813533|-|102643:104850", "2841813427|Ga0349638_01|CDS|2841813534|-|104843:105166", "2841813427|Ga0349638_01|CDS|2841813535|-|105179:106450", "2841813427|Ga0349638_01|CDS|2841813536|-|106472:106654", "2841813427|Ga0349638_01|CDS|2841813537|-|106730:106879", "2841813427|Ga0349638_01|CDS|2841813538|-|106962:109286", "2841813427|Ga0349638_01|CDS|2841813539|-|109736:111484", "2841813427|Ga0349638_01|CDS|2841813540|-|111619:112152", "2841813427|Ga0349638_01|CDS|2841813541|+|112588:113100", "2841813427|Ga0349638_01|CDS|2841813542|+|113249:115105", "2841813427|Ga0349638_01|CDS|2841813543|+|115464:117224", "2841813427|Ga0349638_01|CDS|2841813544|+|117214:117855", "2841813427|Ga0349638_01|CDS|2841813545|+|118907:119944", "2841813427|Ga0349638_01|CDS|2841813546|-|120157:120354", "2841813427|Ga0349638_01|CDS|2841813547|-|120549:121169", "2841813427|Ga0349638_01|CDS|2841813548|+|122002:124350", "2841813427|Ga0349638_01|CDS|2841813549|-|124628:126418", "2841813427|Ga0349638_01|CDS|2841813550|-|126441:126773", "2841813427|Ga0349638_01|CDS|2841813551|-|126916:127860", "2841813427|Ga0349638_01|CDS|2841813552|-|128332:130026", "2841813427|Ga0349638_01|CDS|2841813553|-|130040:130237", "2841813427|Ga0349638_01|CDS|2841813554|-|131137:132648", "2841813427|Ga0349638_01|CDS|2841813555|-|132650:135538", "2841813427|Ga0349638_01|CDS|2841813556|-|135776:137464", "2841813427|Ga0349638_01|CDS|2841813557|-|137807:139510", "2841813427|Ga0349638_01|CDS|2841813558|-|139500:140345", "2841813427|Ga0349638_01|CDS|2841813559|-|140371:141282", "2841813427|Ga0349638_01|CDS|2841813560|-|141394:142227", "2841813427|Ga0349638_01|CDS|2841813561|-|142230:143522", "2841813427|Ga0349638_01|CDS|2841813562|-|143584:144495", "2841813427|Ga0349638_01|CDS|2841813563|-|144508:145221", "2841813427|Ga0349638_01|CDS|2841813564|-|145303:145719", "2841813427|Ga0349638_01|CDS|2841813565|-|145732:146781", "2841813427|Ga0349638_01|CDS|2841813566|-|146836:148686", "2841813427|Ga0349638_01|CDS|2841813567|-|148708:150231", "2841813427|Ga0349638_01|CDS|2841813568|-|150260:151030", "2841813427|Ga0349638_01|CDS|2841813569|+|151142:151984", "2841813427|Ga0349638_01|CDS|2841813570|+|151987:152427", "2841813427|Ga0349638_01|CDS|2841813571|-|152546:155308", "2841813427|Ga0349638_01|CDS|2841813572|-|155409:155828", "2841813427|Ga0349638_01|CDS|2841813573|-|155835:156314", "2841813427|Ga0349638_01|CDS|2841813574|-|156418:157272", "2841813427|Ga0349638_01|CDS|2841813575|+|157420:157695", "2841813427|Ga0349638_01|CDS|2841813576|+|157769:158167", "2841813427|Ga0349638_01|CDS|2841813577|+|158171:158461", "2841813427|Ga0349638_01|CDS|2841813578|+|158757:160151", "2841813427|Ga0349638_01|CDS|2841813579|+|160247:160909", "2841813427|Ga0349638_01|CDS|2841813580|-|160940:162331", "2841813427|Ga0349638_01|CDS|2841813581|-|162518:163129", "2841813427|Ga0349638_01|CDS|2841813582|-|163183:163758", "2841813427|Ga0349638_01|CDS|2841813583|-|163773:164204", "2841813427|Ga0349638_01|CDS|2841813584|-|164259:166064", "2841813427|Ga0349638_01|CDS|2841813585|-|166095:166823", "2841813427|Ga0349638_01|CDS|2841813586|-|166971:167834", "2841813427|Ga0349638_01|CDS|2841813587|-|167873:168952", "2841813427|Ga0349638_01|CDS|2841813588|-|168960:169505", "2841813427|Ga0349638_01|CDS|2841813589|-|169508:169951", "2841813427|Ga0349638_01|CDS|2841813590|-|170121:170234", "2841813427|Ga0349638_01|CDS|2841813591|-|170340:171296", "2841813427|Ga0349638_01|CDS|2841813592|-|171299:172201", "2841813427|Ga0349638_01|CDS|2841813593|-|172198:173406", "2841813427|Ga0349638_01|CDS|2841813594|-|173410:174549", "2841813427|Ga0349638_01|CDS|2841813595|-|174565:175683", "2841813427|Ga0349638_01|CDS|2841813596|-|175680:176093", "2841813427|Ga0349638_01|CDS|2841813597|-|176122:177156", "2841813427|Ga0349638_01|CDS|2841813598|-|177140:178270", "2841813427|Ga0349638_01|CDS|2841813599|-|178267:179373", "2841813427|Ga0349638_01|CDS|2841813600|-|179414:180463", "2841813427|Ga0349638_01|CDS|2841813601|-|180470:181591", "2841813427|Ga0349638_01|CDS|2841813602|-|181588:182709", "2841813427|Ga0349638_01|CDS|2841813603|-|182717:183916", "2841813427|Ga0349638_01|CDS|2841813604|-|183900:184994", "2841813427|Ga0349638_01|CDS|2841813605|-|185023:186006", "2841813427|Ga0349638_01|CDS|2841813606|-|186103:188481", "2841813427|Ga0349638_01|CDS|2841813607|-|188491:189288", "2841813427|Ga0349638_01|CDS|2841813608|-|189333:191267", "2841813427|Ga0349638_01|CDS|2841813609|-|191458:191925", "2841813427|Ga0349638_01|CDS|2841813610|-|192011:193279", "2841813427|Ga0349638_01|CDS|2841813611|-|193333:194220", "2841813427|Ga0349638_01|CDS|2841813612|-|194348:196708", "2841813427|Ga0349638_01|CDS|2841813613|-|196719:197516", "2841813427|Ga0349638_01|CDS|2841813614|-|197531:198451", "2841813427|Ga0349638_01|CDS|2841813615|-|198461:200083", "2841813427|Ga0349638_01|CDS|2841813616|-|200090:201184", "2841813427|Ga0349638_01|CDS|2841813617|-|201187:201456", "2841813427|Ga0349638_01|CDS|2841813618|-|201461:201901", "2841813427|Ga0349638_01|CDS|2841813619|-|202097:203230", "2841813427|Ga0349638_01|CDS|2841813620|-|203232:204347", "2841813427|Ga0349638_01|CDS|2841813621|-|204347:205480", "2841813427|Ga0349638_01|CDS|2841813622|-|205464:206729", "2841813427|Ga0349638_01|CDS|2841813623|-|206748:207647", "2841813427|Ga0349638_01|CDS|2841813624|-|207854:208735", "2841813427|Ga0349638_01|CDS|2841813625|-|208732:209592", "2841813427|Ga0349638_01|CDS|2841813626|-|209595:210527", "2841813427|Ga0349638_01|CDS|2841813627|-|210547:211485", "2841813427|Ga0349638_01|CDS|2841813628|-|211526:212446", "2841813427|Ga0349638_01|CDS|2841813629|-|212454:212993", "2841813427|Ga0349638_01|CDS|2841813630|-|213033:214127", "2841813427|Ga0349638_01|CDS|2841813631|-|214124:214963", "2841813427|Ga0349638_01|CDS|2841813632|-|215018:215884", "2841813427|Ga0349638_01|CDS|2841813633|-|215871:216662", "2841813427|Ga0349638_01|CDS|2841813634|-|216673:217731", "2841813427|Ga0349638_01|CDS|2841813635|-|217736:218911", "2841813427|Ga0349638_01|CDS|2841813636|-|218913:219848", "2841813427|Ga0349638_01|CDS|2841813637|-|219838:221145", "2841813427|Ga0349638_01|CDS|2841813638|-|221161:222021", "2841813427|Ga0349638_01|CDS|2841813639|-|222034:222993", "2841813427|Ga0349638_01|CDS|2841813640|-|222998:224137", "2841813427|Ga0349638_01|CDS|2841813641|-|224313:225218", "2841813427|Ga0349638_01|CDS|2841813642|-|225439:227277", "2841813427|Ga0349638_01|CDS|2841813643|-|227319:227504", "2841813427|Ga0349638_01|CDS|2841813644|+|228499:231687", "2841813427|Ga0349638_01|CDS|2841813645|-|232252:233904", "2841813427|Ga0349638_01|CDS|2841813646|-|233963:234250", "2841813427|Ga0349638_01|CDS|2841813647|-|234266:235240", "2841813427|Ga0349638_01|CDS|2841813648|+|235594:236286", "2841813427|Ga0349638_01|CDS|2841813649|+|236390:237619", "2841813427|Ga0349638_01|CDS|2841813650|+|237632:238903", "2841813427|Ga0349638_01|CDS|2841813651|+|238969:239322", "2841813427|Ga0349638_01|CDS|2841813652|+|239414:240610", "2841813427|Ga0349638_01|CDS|2841813653|-|240685:241482", "2841813427|Ga0349638_01|CDS|2841813654|-|241526:243847", "2841813427|Ga0349638_01|CDS|2841813655|-|243913:245304", "2841813427|Ga0349638_01|CDS|2841813656|-|245304:246725", "2841813427|Ga0349638_01|CDS|2841813657|-|246735:249557", "2841813427|Ga0349638_01|CDS|2841813658|-|249724:250332", "2841813427|Ga0349638_01|CDS|2841813659|-|250370:251017", "2841813427|Ga0349638_01|CDS|2841813660|-|251199:252062", "2841813427|Ga0349638_01|CDS|2841813661|-|252129:253019", "2841813427|Ga0349638_01|CDS|2841813662|-|253048:253689", "2841813427|Ga0349638_01|CDS|2841813663|-|253780:256395", "2841813427|Ga0349638_01|CDS|2841813664|+|256525:256902", "2841813427|Ga0349638_01|CDS|2841813665|+|257049:258254", "2841813427|Ga0349638_01|CDS|2841813666|+|258400:259269", "2841813427|Ga0349638_01|CDS|2841813668|+|259764:260258", "2841813427|Ga0349638_01|CDS|2841813669|+|260248:260922", "2841813427|Ga0349638_01|CDS|2841813670|-|260953:262416", "2841813427|Ga0349638_01|CDS|2841813671|-|262570:264018", "2841813427|Ga0349638_01|CDS|2841813672|-|264072:264674", "2841813427|Ga0349638_01|CDS|2841813673|-|264671:265369", "2841813427|Ga0349638_01|CDS|2841813674|+|265474:266409", "2841813427|Ga0349638_01|CDS|2841813675|-|266772:267314", "2841813427|Ga0349638_01|CDS|2841813676|-|267330:267902", "2841813427|Ga0349638_01|CDS|2841813677|-|268044:268493", "2841813427|Ga0349638_01|CDS|2841813678|-|268543:270315", "2841813427|Ga0349638_01|CDS|2841813679|-|270341:270688", "2841813427|Ga0349638_01|CDS|2841813680|-|270701:271474", "2841813427|Ga0349638_01|CDS|2841813681|+|271510:272004", "2841813427|Ga0349638_01|CDS|2841813682|+|272011:272514", "2841813427|Ga0349638_01|CDS|2841813683|-|272559:273104", "2841813427|Ga0349638_01|CDS|2841813684|+|273212:273586", "2841813427|Ga0349638_01|CDS|2841813685|+|273676:274395", "2841813427|Ga0349638_01|CDS|2841813686|+|274398:274958", "2841813427|Ga0349638_01|CDS|2841813687|+|275044:277407", "2841813427|Ga0349638_01|CDS|2841813688|-|277478:277765", "2841813427|Ga0349638_01|CDS|2841813689|-|277773:278657", "2841813427|Ga0349638_01|CDS|2841813690|-|278670:279290", "2841813427|Ga0349638_01|CDS|2841813691|-|279497:280321", "2841813427|Ga0349638_01|CDS|2841813692|-|280389:282266", "2841813427|Ga0349638_01|CDS|2841813693|-|282367:283410", "2841813427|Ga0349638_01|CDS|2841813694|-|283501:284562", "2841813427|Ga0349638_01|CDS|2841813695|-|284562:285608", "2841813427|Ga0349638_01|CDS|2841813696|+|285719:286702", "2841813427|Ga0349638_01|CDS|2841813697|+|286707:287165", "2841813427|Ga0349638_01|CDS|2841813698|+|287601:288233", "2841813427|Ga0349638_01|CDS|2841813699|-|288277:288843", "2841813427|Ga0349638_01|CDS|2841813700|-|288888:289412", "2841813427|Ga0349638_01|CDS|2841813701|+|289493:290104", "2841813427|Ga0349638_01|CDS|2841813702|+|290107:290538", "2841813427|Ga0349638_01|CDS|2841813703|+|290519:291322", "2841813427|Ga0349638_01|CDS|2841813704|+|291393:291917", "2841813427|Ga0349638_01|CDS|2841813705|+|291914:293749", "2841813427|Ga0349638_01|CDS|2841813706|-|293746:294546", "2841813427|Ga0349638_01|CDS|2841813707|+|294616:296775", "2841813427|Ga0349638_01|CDS|2841813708|-|296831:298051", "2841813427|Ga0349638_01|CDS|2841813709|-|298171:298716", "2841813427|Ga0349638_01|CDS|2841813710|+|299243:299521", "2841813427|Ga0349638_01|CDS|2841813711|-|299611:300276", "2841813427|Ga0349638_01|CDS|2841813712|-|300296:300955", "2841813427|Ga0349638_01|CDS|2841813713|-|300971:301717", "2841813427|Ga0349638_01|CDS|2841813714|+|301868:302080", "2841813427|Ga0349638_01|CDS|2841813715|-|302162:304069", "2841813427|Ga0349638_01|CDS|2841813716|-|304082:306997" ]
[ "2841813427|Ga0349638_01|IG|IG_000001|+|832:968", "2841813427|Ga0349638_01|IG|IG_000002|+|2301:2415", "2841813427|Ga0349638_01|IG|IG_000003|+|2785:2868", "2841813427|Ga0349638_01|IG|IG_000004|+|3373:3419", "2841813427|Ga0349638_01|IG|IG_000005|+|4551:4642", "2841813427|Ga0349638_01|IG|IG_000006|+|5543:5745", "2841813427|Ga0349638_01|IG|IG_000007|+|6469:6484", "2841813427|Ga0349638_01|IG|IG_000008|+|7595:7652", "2841813427|Ga0349638_01|IG|IG_000009|+|8013:8124", "2841813427|Ga0349638_01|IG|IG_000010|+|8662:8707", "2841813427|Ga0349638_01|IG|IG_000011|+|9086:9326", "2841813427|Ga0349638_01|IG|IG_000012|+|10344:10423", "2841813427|Ga0349638_01|IG|IG_000013|+|10895:10994", "2841813427|Ga0349638_01|IG|IG_000014|+|11493:11494", "2841813427|Ga0349638_01|IG|IG_000015|+|12368:12378", "2841813427|Ga0349638_01|IG|IG_000016|+|13435:13446", "2841813427|Ga0349638_01|IG|IG_000017|+|15211:15213", "2841813427|Ga0349638_01|IG|IG_000018|+|16225:16225", "2841813427|Ga0349638_01|IG|IG_000019|+|17009:17106", "2841813427|Ga0349638_01|IG|IG_000020|+|17827:17856", "2841813427|Ga0349638_01|IG|IG_000021|+|19120:19212", "2841813427|Ga0349638_01|IG|IG_000022|+|19699:19717", "2841813427|Ga0349638_01|IG|IG_000023|+|20138:20145", "2841813427|Ga0349638_01|IG|IG_000024|+|20683:20736", "2841813427|Ga0349638_01|IG|IG_000025|+|21292:21383", "2841813427|Ga0349638_01|IG|IG_000026|+|23259:23571", "2841813427|Ga0349638_01|IG|IG_000027|+|24290:24591", "2841813427|Ga0349638_01|IG|IG_000028|+|25237:25242", "2841813427|Ga0349638_01|IG|IG_000029|+|29433:29503", "2841813427|Ga0349638_01|IG|IG_000030|+|31652:31757", "2841813427|Ga0349638_01|IG|IG_000031|+|32394:32501", "2841813427|Ga0349638_01|IG|IG_000032|+|32679:32810", "2841813427|Ga0349638_01|IG|IG_000033|+|33924:33982", "2841813427|Ga0349638_01|IG|IG_000034|+|34796:34868", "2841813427|Ga0349638_01|IG|IG_000035|+|35442:35458", "2841813427|Ga0349638_01|IG|IG_000036|+|36050:36120", "2841813427|Ga0349638_01|IG|IG_000037|+|36601:37277", "2841813427|Ga0349638_01|IG|IG_000038|+|39265:39510", "2841813427|Ga0349638_01|IG|IG_000039|+|40078:40078", "2841813427|Ga0349638_01|IG|IG_000040|+|40496:40615", "2841813427|Ga0349638_01|IG|IG_000041|+|41774:41784", "2841813427|Ga0349638_01|IG|IG_000042|+|42382:42422", "2841813427|Ga0349638_01|IG|IG_000043|+|44909:44933", "2841813427|Ga0349638_01|IG|IG_000044|+|47853:47857", "2841813427|Ga0349638_01|IG|IG_000045|+|48722:48723", "2841813427|Ga0349638_01|IG|IG_000046|+|49531:49542", "2841813427|Ga0349638_01|IG|IG_000047|+|50548:50709", "2841813427|Ga0349638_01|IG|IG_000048|+|51181:51279", "2841813427|Ga0349638_01|IG|IG_000049|+|52039:52051", "2841813427|Ga0349638_01|IG|IG_000050|+|53084:53093", "2841813427|Ga0349638_01|IG|IG_000051|+|53325:53392", "2841813427|Ga0349638_01|IG|IG_000052|+|55925:56150", "2841813427|Ga0349638_01|IG|IG_000053|+|57444:57546", "2841813427|Ga0349638_01|IG|IG_000054|+|58072:58081", "2841813427|Ga0349638_01|IG|IG_000055|+|58493:58645", "2841813427|Ga0349638_01|IG|IG_000056|+|58799:58828", "2841813427|Ga0349638_01|IG|IG_000057|+|59018:59146", "2841813427|Ga0349638_01|IG|IG_000058|+|59573:59864", "2841813427|Ga0349638_01|IG|IG_000059|+|60210:60266", "2841813427|Ga0349638_01|IG|IG_000060|+|61119:61135", "2841813427|Ga0349638_01|IG|IG_000061|+|62084:62235", "2841813427|Ga0349638_01|IG|IG_000062|+|62749:62753", "2841813427|Ga0349638_01|IG|IG_000063|+|63207:63213", "2841813427|Ga0349638_01|IG|IG_000064|+|63391:63415", "2841813427|Ga0349638_01|IG|IG_000065|+|64364:64366", "2841813427|Ga0349638_01|IG|IG_000066|+|64829:64865", "2841813427|Ga0349638_01|IG|IG_000067|+|65187:65539", "2841813427|Ga0349638_01|IG|IG_000068|+|67370:67456", "2841813427|Ga0349638_01|IG|IG_000069|+|67652:67770", "2841813427|Ga0349638_01|IG|IG_000070|+|68155:68223", "2841813427|Ga0349638_01|IG|IG_000071|+|68692:68838", "2841813427|Ga0349638_01|IG|IG_000072|+|73588:73589", "2841813427|Ga0349638_01|IG|IG_000073|+|74607:74642", "2841813427|Ga0349638_01|IG|IG_000074|+|75003:75031", "2841813427|Ga0349638_01|IG|IG_000075|+|75728:75804", "2841813427|Ga0349638_01|IG|IG_000076|+|76489:76497", "2841813427|Ga0349638_01|IG|IG_000077|+|77662:77752", "2841813427|Ga0349638_01|IG|IG_000078|+|78779:79304", "2841813427|Ga0349638_01|IG|IG_000079|+|84644:84662", "2841813427|Ga0349638_01|IG|IG_000080|+|85914:85985", "2841813427|Ga0349638_01|IG|IG_000081|+|88747:89502", "2841813427|Ga0349638_01|IG|IG_000082|+|91472:91606", "2841813427|Ga0349638_01|IG|IG_000083|+|92540:92736", "2841813427|Ga0349638_01|IG|IG_000084|+|93757:93855", "2841813427|Ga0349638_01|IG|IG_000085|+|94162:94193", "2841813427|Ga0349638_01|IG|IG_000086|+|94389:94401", "2841813427|Ga0349638_01|IG|IG_000087|+|95374:95376", "2841813427|Ga0349638_01|IG|IG_000088|+|96634:97239", "2841813427|Ga0349638_01|IG|IG_000089|+|97399:97410", "2841813427|Ga0349638_01|IG|IG_000090|+|99007:99058", "2841813427|Ga0349638_01|IG|IG_000091|+|99236:99597", "2841813427|Ga0349638_01|IG|IG_000092|+|101194:101363", "2841813427|Ga0349638_01|IG|IG_000093|+|102054:102057", "2841813427|Ga0349638_01|IG|IG_000094|+|102454:102642", "2841813427|Ga0349638_01|IG|IG_000095|+|105167:105178", "2841813427|Ga0349638_01|IG|IG_000096|+|106451:106471", "2841813427|Ga0349638_01|IG|IG_000097|+|106655:106729", "2841813427|Ga0349638_01|IG|IG_000098|+|106880:106961", "2841813427|Ga0349638_01|IG|IG_000099|+|109287:109735", "2841813427|Ga0349638_01|IG|IG_000100|+|111485:111618", "2841813427|Ga0349638_01|IG|IG_000101|+|112153:112587", "2841813427|Ga0349638_01|IG|IG_000102|+|113101:113248", "2841813427|Ga0349638_01|IG|IG_000103|+|115106:115463", "2841813427|Ga0349638_01|IG|IG_000104|+|117856:118906", "2841813427|Ga0349638_01|IG|IG_000105|+|119945:120156", "2841813427|Ga0349638_01|IG|IG_000106|+|120355:120548", "2841813427|Ga0349638_01|IG|IG_000107|+|121170:122001", "2841813427|Ga0349638_01|IG|IG_000108|+|124351:124627", "2841813427|Ga0349638_01|IG|IG_000109|+|126419:126440", "2841813427|Ga0349638_01|IG|IG_000110|+|126774:126915", "2841813427|Ga0349638_01|IG|IG_000111|+|127861:128331", "2841813427|Ga0349638_01|IG|IG_000112|+|130027:130039", "2841813427|Ga0349638_01|IG|IG_000113|+|130238:131136", "2841813427|Ga0349638_01|IG|IG_000114|+|132649:132649", "2841813427|Ga0349638_01|IG|IG_000115|+|135539:135775", "2841813427|Ga0349638_01|IG|IG_000116|+|137465:137806", "2841813427|Ga0349638_01|IG|IG_000117|+|140346:140370", "2841813427|Ga0349638_01|IG|IG_000118|+|141283:141393", "2841813427|Ga0349638_01|IG|IG_000119|+|142228:142229", "2841813427|Ga0349638_01|IG|IG_000120|+|143523:143583", "2841813427|Ga0349638_01|IG|IG_000121|+|144496:144507", "2841813427|Ga0349638_01|IG|IG_000122|+|145222:145302", "2841813427|Ga0349638_01|IG|IG_000123|+|145720:145731", "2841813427|Ga0349638_01|IG|IG_000124|+|146782:146835", "2841813427|Ga0349638_01|IG|IG_000125|+|148687:148707", "2841813427|Ga0349638_01|IG|IG_000126|+|150232:150259", "2841813427|Ga0349638_01|IG|IG_000127|+|151031:151141", "2841813427|Ga0349638_01|IG|IG_000128|+|151985:151986", "2841813427|Ga0349638_01|IG|IG_000129|+|152428:152545", "2841813427|Ga0349638_01|IG|IG_000130|+|155309:155408", "2841813427|Ga0349638_01|IG|IG_000131|+|155829:155834", "2841813427|Ga0349638_01|IG|IG_000132|+|156315:156417", "2841813427|Ga0349638_01|IG|IG_000133|+|157273:157419", "2841813427|Ga0349638_01|IG|IG_000134|+|157696:157768", "2841813427|Ga0349638_01|IG|IG_000135|+|158168:158170", "2841813427|Ga0349638_01|IG|IG_000136|+|158462:158756", "2841813427|Ga0349638_01|IG|IG_000137|+|160152:160246", "2841813427|Ga0349638_01|IG|IG_000138|+|160910:160939", "2841813427|Ga0349638_01|IG|IG_000139|+|162332:162517", "2841813427|Ga0349638_01|IG|IG_000140|+|163130:163182", "2841813427|Ga0349638_01|IG|IG_000141|+|163759:163772", "2841813427|Ga0349638_01|IG|IG_000142|+|164205:164258", "2841813427|Ga0349638_01|IG|IG_000143|+|166065:166094", "2841813427|Ga0349638_01|IG|IG_000144|+|166824:166970", "2841813427|Ga0349638_01|IG|IG_000145|+|167835:167872", "2841813427|Ga0349638_01|IG|IG_000146|+|168953:168959", "2841813427|Ga0349638_01|IG|IG_000147|+|169506:169507", "2841813427|Ga0349638_01|IG|IG_000148|+|169952:170120", "2841813427|Ga0349638_01|IG|IG_000149|+|170235:170339", "2841813427|Ga0349638_01|IG|IG_000150|+|171297:171298", "2841813427|Ga0349638_01|IG|IG_000151|+|173407:173409", "2841813427|Ga0349638_01|IG|IG_000152|+|174550:174564", "2841813427|Ga0349638_01|IG|IG_000153|+|176094:176121", "2841813427|Ga0349638_01|IG|IG_000154|+|179374:179413", "2841813427|Ga0349638_01|IG|IG_000155|+|180464:180469", "2841813427|Ga0349638_01|IG|IG_000156|+|182710:182716", "2841813427|Ga0349638_01|IG|IG_000157|+|184995:185022", "2841813427|Ga0349638_01|IG|IG_000158|+|186007:186102", "2841813427|Ga0349638_01|IG|IG_000159|+|188482:188490", "2841813427|Ga0349638_01|IG|IG_000160|+|189289:189332", "2841813427|Ga0349638_01|IG|IG_000161|+|191268:191457", "2841813427|Ga0349638_01|IG|IG_000162|+|191926:192010", "2841813427|Ga0349638_01|IG|IG_000163|+|193280:193332", "2841813427|Ga0349638_01|IG|IG_000164|+|194221:194347", "2841813427|Ga0349638_01|IG|IG_000165|+|196709:196718", "2841813427|Ga0349638_01|IG|IG_000166|+|197517:197530", "2841813427|Ga0349638_01|IG|IG_000167|+|198452:198460", "2841813427|Ga0349638_01|IG|IG_000168|+|200084:200089", "2841813427|Ga0349638_01|IG|IG_000169|+|201185:201186", "2841813427|Ga0349638_01|IG|IG_000170|+|201457:201460", "2841813427|Ga0349638_01|IG|IG_000171|+|201902:202096", "2841813427|Ga0349638_01|IG|IG_000172|+|203231:203231", "2841813427|Ga0349638_01|IG|IG_000173|+|206730:206747", "2841813427|Ga0349638_01|IG|IG_000174|+|207648:207853", "2841813427|Ga0349638_01|IG|IG_000175|+|209593:209594", "2841813427|Ga0349638_01|IG|IG_000176|+|210528:210546", "2841813427|Ga0349638_01|IG|IG_000177|+|211486:211525", "2841813427|Ga0349638_01|IG|IG_000178|+|212447:212453", "2841813427|Ga0349638_01|IG|IG_000179|+|212994:213032", "2841813427|Ga0349638_01|IG|IG_000180|+|214964:215017", "2841813427|Ga0349638_01|IG|IG_000181|+|216663:216672", "2841813427|Ga0349638_01|IG|IG_000182|+|217732:217735", "2841813427|Ga0349638_01|IG|IG_000183|+|218912:218912", "2841813427|Ga0349638_01|IG|IG_000184|+|221146:221160", "2841813427|Ga0349638_01|IG|IG_000185|+|222022:222033", "2841813427|Ga0349638_01|IG|IG_000186|+|222994:222997", "2841813427|Ga0349638_01|IG|IG_000187|+|224138:224312", "2841813427|Ga0349638_01|IG|IG_000188|+|225219:225438", "2841813427|Ga0349638_01|IG|IG_000189|+|227278:227318", "2841813427|Ga0349638_01|IG|IG_000190|+|227505:228498", "2841813427|Ga0349638_01|IG|IG_000191|+|231688:232251", "2841813427|Ga0349638_01|IG|IG_000192|+|233905:233962", "2841813427|Ga0349638_01|IG|IG_000193|+|234251:234265", "2841813427|Ga0349638_01|IG|IG_000194|+|235241:235593", "2841813427|Ga0349638_01|IG|IG_000195|+|236287:236389", "2841813427|Ga0349638_01|IG|IG_000196|+|237620:237631", "2841813427|Ga0349638_01|IG|IG_000197|+|238904:238968", "2841813427|Ga0349638_01|IG|IG_000198|+|239323:239413", "2841813427|Ga0349638_01|IG|IG_000199|+|240611:240684", "2841813427|Ga0349638_01|IG|IG_000200|+|241483:241525", "2841813427|Ga0349638_01|IG|IG_000201|+|243848:243912", "2841813427|Ga0349638_01|IG|IG_000202|+|246726:246734", "2841813427|Ga0349638_01|IG|IG_000203|+|249558:249723", "2841813427|Ga0349638_01|IG|IG_000204|+|250333:250369", "2841813427|Ga0349638_01|IG|IG_000205|+|251018:251198", "2841813427|Ga0349638_01|IG|IG_000206|+|252063:252128", "2841813427|Ga0349638_01|IG|IG_000207|+|253020:253047", "2841813427|Ga0349638_01|IG|IG_000208|+|253690:253779", "2841813427|Ga0349638_01|IG|IG_000209|+|256396:256524", "2841813427|Ga0349638_01|IG|IG_000210|+|256903:257048", "2841813427|Ga0349638_01|IG|IG_000211|+|258255:258399", "2841813427|Ga0349638_01|IG|IG_000212|+|259270:259763", "2841813427|Ga0349638_01|IG|IG_000213|+|260923:260952", "2841813427|Ga0349638_01|IG|IG_000214|+|262417:262569", "2841813427|Ga0349638_01|IG|IG_000215|+|264019:264071", "2841813427|Ga0349638_01|IG|IG_000216|+|265370:265473", "2841813427|Ga0349638_01|IG|IG_000217|+|266410:266771", "2841813427|Ga0349638_01|IG|IG_000218|+|267315:267329", "2841813427|Ga0349638_01|IG|IG_000219|+|267903:268043", "2841813427|Ga0349638_01|IG|IG_000220|+|268494:268542", "2841813427|Ga0349638_01|IG|IG_000221|+|270316:270340", "2841813427|Ga0349638_01|IG|IG_000222|+|270689:270700", "2841813427|Ga0349638_01|IG|IG_000223|+|271475:271509", "2841813427|Ga0349638_01|IG|IG_000224|+|272005:272010", "2841813427|Ga0349638_01|IG|IG_000225|+|272515:272558", "2841813427|Ga0349638_01|IG|IG_000226|+|273105:273211", "2841813427|Ga0349638_01|IG|IG_000227|+|273587:273675", "2841813427|Ga0349638_01|IG|IG_000228|+|274396:274397", "2841813427|Ga0349638_01|IG|IG_000229|+|274959:275043", "2841813427|Ga0349638_01|IG|IG_000230|+|277408:277477", "2841813427|Ga0349638_01|IG|IG_000231|+|277766:277772", "2841813427|Ga0349638_01|IG|IG_000232|+|278658:278669", "2841813427|Ga0349638_01|IG|IG_000233|+|279291:279496", "2841813427|Ga0349638_01|IG|IG_000234|+|280322:280388", "2841813427|Ga0349638_01|IG|IG_000235|+|282267:282366", "2841813427|Ga0349638_01|IG|IG_000236|+|283411:283500", "2841813427|Ga0349638_01|IG|IG_000237|+|285609:285718", "2841813427|Ga0349638_01|IG|IG_000238|+|286703:286706", "2841813427|Ga0349638_01|IG|IG_000239|+|287166:287600", "2841813427|Ga0349638_01|IG|IG_000240|+|288234:288276", "2841813427|Ga0349638_01|IG|IG_000241|+|288844:288887", "2841813427|Ga0349638_01|IG|IG_000242|+|289413:289492", "2841813427|Ga0349638_01|IG|IG_000243|+|290105:290106", "2841813427|Ga0349638_01|IG|IG_000244|+|291323:291392", "2841813427|Ga0349638_01|IG|IG_000245|+|294547:294615", "2841813427|Ga0349638_01|IG|IG_000246|+|296776:296830", "2841813427|Ga0349638_01|IG|IG_000247|+|298052:298170", "2841813427|Ga0349638_01|IG|IG_000248|+|298717:299242", "2841813427|Ga0349638_01|IG|IG_000249|+|299522:299610", "2841813427|Ga0349638_01|IG|IG_000250|+|300277:300295", "2841813427|Ga0349638_01|IG|IG_000251|+|300956:300970", "2841813427|Ga0349638_01|IG|IG_000252|+|301718:301867", "2841813427|Ga0349638_01|IG|IG_000253|+|302081:302161", "2841813427|Ga0349638_01|IG|IG_000254|+|304070:304081" ]
[ "MKVGVEAASYYVPHLYLEIKELAENRGIDPLKLEKGLGLHKMAFPDVHEDAATFAAEALLRLINDYNINPKEISRVYLGTESALDAAKPTATLAVQMVEQALEEKHGSRSFKNCDALDMTFACIGGVDALQNAIDFLRVNPGKKAVVIASDYAKYELASGGEYTQGGGAVALLVSSQPDLLEIENHWGVGMDSVFDFFKPRRHYNKSDLNNAPENFPDKIEVFSDEPVFDGQYSNECFKDRIREAYLHFKEEKGVSGNAFADWRFLIFHLPYAFHGKRIFSEIFAIENNLPHTTNEDLKAVAGSDAYKALVNEKIETSQRASSEIGNMYTASIFMALLSALQVSANEGEDLSGKKIGFFAYGSGSKSKVFEATIGANWKSVVEKWNLFENLKERQPIDFSTYEKLHRKQVENSVVDDKKGFGLDYTETENPVLKGARYYKYKN", "MKKILAVSKLFVAGLGLFVLQQCNVQKTAKGNDAETFVVASKQVDCTGVGKQKCYLVKEGEAKIWSYMYQSVEGFTYEPGYEYVLKVKKEKVANPPADASSVRYILEKVVSKKQKISEDLPQ", "MIGIIMGSQSDLPIMEQAANFLNDLGIPYELTVVSAHRTPERMFEYAKSAKQRGLKVIIAGAGGAAHLPGMVASCTTLPVIGVPILSSNSIDGWDSVLSILQMPGGIPVATVALNGALNAGILAAKIIGSADEQVAEKLQQYQDILKDKVLGTVDVIKAQHPNHYDQ", "MWNPFRKKKKIKHNKYNFDFESFYKLFMYLQEENSYVETLVEGQQKVAEMIWYEIPNSYQDIETELNVLKNNGFSNFYELLNKVHEKAEIGLIDTEEWLKNDGQYNLMQFNFRTDPSEEEQSYFKSALHKFYVLFVIVGDGEEINAYRIFYKRGMDYSIAGLLDSTDIVDLNNPDSEIEPAIAELEKVLAAMSQETGVEINKGITDKYPNARVSREITLQDFKDVLGLANYWEIEDLEEKAQYLYEQNYRDKDELIAELEEKDEDWEYYDDGYFPLRFEIIHEDNYWYSDWKFDPEDIEGIIGAFLDESWNFNYPEETYSHDLFPYIQKALAERDLELMNMNTLGDSYGFFLVKKENVAPLLSLSAKMALGIEQLR", "LRDYKLLFAILTVAIVWGTTFLGIRVAVESIPGWFVAGIRQLLAAIIMGTILIFRKELKWIGWKNLRYQIIFSTLMLVGANGLTTVAEENVTSSLASLISACAPILVFLGSLAVGLQKFSFRAMTGILICFFGIIFIFWDGLKDLANPSYRNGIFLMFCAISGWASGTIFTKKMNIQSGNISLNLFYQFAFAGVVQIILAFIFSDNYNFGNWTLKSISAMIYLAVFGSVTAFFAFHYALTKITPIQVSILAYINTIIAIFLGWLLLDEEISIKFIIAAFLIICGVFITNYKPKSERRLT", "LEKTRINKYLSEVGYCSRRAADKLLEEGRITINGKLPEMGTKVSDEDEIFVDGVSIRKTDEEHVYIAFNKPVGIVCTTDTKREKNNIIDYINHPKRIFPIGRLDKPSEGLILLTSDGDIVNKILRSRNNHGKEYLVRVNQPITPKFLDKMRNGVPILDTVTKKCEVEQIDNFTFRIVLTQGLNRQIRRMCEFLGYEVKKLKRIRIMNIRLDLPIGKWRDLSAQELKDLNNLLEDSHKTID", "MKIGILGGGQLGRMLIQSALKYDDEFYTLDPAADAPCHNISYFTQGNFNDYQTVLDFGKDKDVVTIEIEHVNADALETLESQGVKVVPNSRIIKIIQQKILQKEFYKENNIPSPDFQTVQNKSEINFPLPFVQKMNTGGYDGKGVQVIRTEEDLQKLWDAPSVLESLVDIDKELAVIVARNENGETKTFPVTEMVADPILNLLDFNICPTTLTEDIQNQISAITDKFLAAINSPGLFAIELFLDKDGKVWVNETAPRLHNSGHQSQEGNTNSQFEQMYRVVKNLPLADTDAVTFSGMLNLVGAEGFSGKVVYAGLNEVLKLPKTYIHLYGKTETKPGRKMGHINVLADSREELMEKLVKIKEMVQVIAE", "MKNSDSTGIKSSFTKQAILQTIMKNGEVKTENIPAFTRTVAKTVKKSLEERITFSSIHIDGNLASVWTPYQFYFQGKFSHCGVNSFQMVKENGEWKIQYIIDTRRKDNCIDDSKNELIK", "MKLFYVILGATPEGRNIEQHDVFFGISETLDDLIPDMKEFWKEAKIHIDCHQEVKFADGYEVKIVERGTQKSEDQLYFINLGGYKPGFFEEFHEQHLMVGTSMGEIVKRVKQTEFYQQMGFEGAVSHIDDKHGVDIDDIFNVSDILPKKMKEKYTIVLEKSNAEVQENEMHLGYFKLK", "MYIHHIAIICSDYQISKKFYTEILGLNIIREVYREERQSYKLDLAIGDHYVIELFSFPDPPKRASGPESCGLRHLAFAVEDVNSKREELIKKGLVCEDVRIDEFTNKEFFFTQDPDQLPLEFYQI", "MKKFINQFSAGLKNNILVFLTFPFVWISCARDNTIASENNNISPNAATARAAATTKANIKLFSFTEVNDTNPLNNLNFTLKNSGKPLIDMVVLFSANINYDAANDKVFVSNNPNVQHLLTNRAKYLKPLQDKGIKVILSILGNHDRSGIANLSTTRAKAFAQELKNTCDLYNLDGVFFDDEYSAYQTPPPAGFVTPSNNAAARLAYETKQAMPNKLVTVYVYSRTSSFPNTVDGVKAGSYVDYAIHDYGGSYDLATNYPGLAKSGMVMSSQEFNQGRYATAQALRNIVTKGYGGHMIFAMDPNRSNFTSGQLPALKLIAKELYGDELVYSNTPYSKDW", "MNQIPAKAVFRKTNIQIWFILILAVIVVPAVIMMEKDNQKYVLSIKLFMAAIFVIGALIKLILGYIFPELTLSNKGVKIFGRELIVWNDIKRIKTVGLYAGDARLIIVKRNNKRITEDFKNLNTNSYKLALIARSFAKKYKTRDILLLLNNIKQPV", "MKTIPSVSALIKQKFDYTDVYFQEVKTEKNICPEDLGKAFFTSDIPWVKKLFTLRNKLVAVFGLKGSDKKSKIIQQAEQSDFAVGERFGLFKVLDKKEKEIILGEDDKHLDFKVSLLYAQPENKIYISTGVQYHNFFGRLYFFFVKPFHRLVVKSMLNSMATSFA", "MRNFATLFFMFICLGLNAQVVKEPENMPKEWNQTYEPFRIAGNLYYVGTYDLASYLIVTDKGNILINTGTAESLPIIKANIQKLGFNYKDIKILLLTQAHYDHTGALQDLKTETAAKFYADKADADVLRTGGNSDYEMGKYGVTFKPVTPDKTLKDQDKITLGNTILTLLHHPGHTKGSCSFIFETKDEKRKYRVLIANMPSVIVDKKFSEVTAYPNIQSDYAYTFKAMKNLDFDLWVASHASQFDLHEKRKEGDPYNPQLFMDKQSYFQNLNDLEKSYLDKIKKDSQDK", "MEKEFLGDINFNISSRTVGESCPSNIALIKYWGKYENQIPANPSISYTLNHCRTNTTMEFSEGENFSVQTFLVGNEELKFAEKIEKYFKNIEQYLPWILKGKYVIRTENTFPHSSGIASSASGFGAIAKCLMQLDAIFSGNTSEEEALKKASFLARLGSGSACRSLYNGLVVWGNTKEVEGSSDLYAVQYPNDEIHPVFKKFNDWVLLIHEGEKSVSSTVGHGLMKTNPYAERRFQEAHENFAKLKNILKTGDLEGFIKLTEHEALTLHAMMMMSDPAFILMKTGTLEVINKIWDFRRITGLPLFFTLDAGANVHLLFPNDIESDKIKIFIQQELLPFTQKGGVVKDEMRF", "MNLAQSIITRNQEKHALKAAVGFKKENQWKELSWKKFSEMIAKTANALKAAGIQENDSVAIYSDNSAEWITFDLAILSLGAITVPIYSTNNAEQAEYIINDSQSKIILAGNQEQYNTCYELLQRNEYLKTIVVAKNKVIGKKENTVFLREFIADQPSTFEFCPKEDDDTATLIYTSGTTGIPKGVMITHGNFRKEFDAHFEFFKFKNFEDELSLAFLPLTHVFERSWSLLSLYGGAKVYFLEDPKNIAHALIEVKPTMMCTVPRFFQKVYAGIHDVVEKSSPTKKKIFNWSLGIGKQYAELKRTEKEIPFGLKAKHGLADLLVFSKMKEKLGGRLWFTPCGGASISPEVTEFFDAIGLHLTVGYGLTETTATLSLFPFTNYKYGTCGKILPGVEIRIGAENEIQARGSGIMKGYFNKPEETKAVFTEDGWFKTGDAGNIDDQGNLTITERIKDLLKTSNGKYIAPQQVENILSNNNYIQQVMVVAEGRQFVSALIVPNFEFLKGELSKMNIPFTSWNEIVKDEAINKLYKTKIEEFQTSLASFEKVKKFVLMPAEFEIGSGEITPTLKIKRKVVSEKYKDLIEQMYS", "MVLVTGATGILGRVIALKLLEKGFNVKATKRPSSNINDVKHSWHAYTDKAEEYFNKIQWVDADFDDTNSLQRALEGVTEVYHCAARVSFNPKFRRELYHTNIDGTKNLLYACEGSSVNKFCFISSIAVLDGFNENGELDEDSDFKHALDHSAYAKSKHFSEMEVWRASAEGLNTVIVLPGIILGTGNWEASSGKLFNSFEVTPYTFSGSSAYVDARDVADISIALMEKNIFGERFITISETRTYKSFSEKVRSKLGLSAPKIIPDGLLHFSRIFGKLGFLIPPLKMLNKANIEAITAHNKISNKKIKDQLGYQFIPLDESIDFHLNNYIKDKKLKS", "MEENILHSKIYGQDKTGTPLLVFHGLFGMLDNWGSFGREFGELMPVHLIDLRNHGKSFHSEEMTIDAMVNDVQVYMDHYGLEKVYLLGHSLGGKVVMQYAITNPEKVEKLIVADMAPKAYPPHHQGIFKALNSVDLNQVTSRQEVEEELKKYIPEIGVIQFLLKNLYWTEDKKLSWRFNLHVLTDKYTTFVTNAVKYGVYNGPVLFLAGANSNYILPQDGLLIRQQFPNSEVKKIANAGHWVQAENPKDFNAAVKEFLTQ", "MTKLSVNINKIATLRNARGGDVPSVTQIAIDAQKFGAQGITIHPRPDERHITRKDVYDLKPLVTTEFNIEGNPHRSFIDMVLDVKPEQVTLVPDADDAITSNAGWDCKTHLDKLTDIIAEFKNAGIRTSIFLDPDPAMVEYAAKTGTDRIELYTEAYATNYPKDKDAAIKPYYETALRATEFGLGINAGHDLSLDNLKFFADKIPNLLEVSIGHALISEALYLGLENTIQSYLKRLAQW", "MNNDLQETRDFLQQISYDINVFFAKYFDGSIAWIFQVTSKILVLLAFYFIVDLGIRLFFNFLYKVIKKNKYPFVEALYQSKFPRAMAHVIALGLCSFALDSIFYKNMHPATKSILDVIVQIGQLIVIGSAGLRLYKSVEIYYILIKENYKLIAFKAVSQTLKIFGGVILFFIAIKIVFKINSGTILGSLGAITAVMVLVFRDTILGFVTGIHVATSKNLKVGDWIGIPKYNIEGNITDISLLTTKIVNFDKTVSTIPTYDLMSTEIRNYQVMTEGNLRRIKRSMIFNIKSFRFLTKEDYEKLENVNLISDYIITKKNEIESEKLELHNADNMLNGQQLTNIGVFRKYAENYLRNNPNIEQKEIILVRQLEITPQGMPLEIYCFTIYSNLEDYERVQSDIFDHLLVATQDFGLEVIQVNKV", "MEEGLVTLVCIILLIIGILGTFLPVLPGLIVSYAGLLIYKFGTNSDMSMGYIWIFGILTLLSAILNYVIPAKTNKKYGGTRWGSIGSFVGTILGMFFIPVIFGFLIGMLVGVFVGELLHDRKDHKKAWNSTKGALIGFLYGTGFNFVVGCAMLIVVIIDLF", "MKAYLFTALLGLSALSSCKATSNTSYTEGPVITLKMGEEKSLQKEKLKIKFVDVPEDSRCPMNARCVWIGNARIKLKVNNQTLILDTQDMPDRKYAKTQVLGGYQYTLESIQPDRVTNVELKKSDYNISVRVEKVSKKN", "MSSRTDINSLLKDENLFEKAIILNRNEYLKEAGSIDTNIYFIREGSVRIFISDNAEERNIRFGYSGNIIVSLDSFLSNKPSPLYIQSLKKTTILIASKGSFMQIMNKDPQHLQLWNSILEDLNLQQFEREIDLLTQSPRERYHRLLKRSPQVFQQIPNKHIANYLRMTPETLSRIKKS", "MKIQTSQFYSELISLVQSHIKITEELKSKAETKLNQKISADSWCALECIEHLNRYGNFYLPEIENRIKSSSYPPEDTFKSGILGNYFTNIIKPKGKLNKMKSPKNMNPPDTKLDSVTLDIFLKQLQQALTMLEESKAVSLNKTKVSISISKHLKLKLGDAFRFFIYHNERHLQQTIKATCNIIV", "MKYIISCVFGILMSFSSINAQQTFEAIASVSHPRLFLQKAEEKALINAITSNPDLKTVDLALKKSGNAIISLPVSEHKKIGKRLLHVSREALKRIFVLSYLYRTTKEQKYFDAAEKELLQLSSFADWNPTHFLDVAEMTFAVSIGYDWLYDKLSDSSREKIKTAIIEKGLKPSLDKKYNSWLKVQNNWNQVCNAGISLGAMAVYETDPAMASQIISRAIESIKVPMKRYEPNGTYPEGYSYWAYGTTYNVIFLDALKKLTKSDYNLGHAPGFMATAEYFQHLMGASGLSFNYSDSMSAPEMSSATFWFAKNLNNPSLVWNDLQYIRNPAKAKQLSSDRFLPLIPVWGKDIQKLSPQRPEKLFWSGEGDNPVAMMRSSWTDSDALFLGFKLGSPSVEHGHMDVGSFVFDSDGVRWAMDFGQQDYESLESKNIDLWSYGQNAQRWTVFRYNSLSHNMLTFDNDQQYSKGKATFLKKSDNKNFSFATSDLSDLYKNRVPKVVRGVALKDQAYALIQDEVQTGSSPTIVRWRMLTPANAEIISPTEILLKKDNKKLLLKINSATPVTLKTWSTAPTNSYDAPNPGTVLVGFETELPANTKSTFAVQLIPRGKMKKRFETKVQPLEEWK", "MNEKNLYIIAGCNGAGKTKASFTILPEMLDCKEFVNANEIARGLSPFQPEKVAFEAGRIMLNRIDELFKNQETFAFETTLATKTYKQKIEYAKENNYNTTLLFFWLESSELATEHVRIRVNEGGHNIPKDVIERRYLNGIKNLFDIYLEIVDQILIFDNSEGKHILIAEKSYGEDIIIYDLDKFNNLKTYYDKRS", "MTKEAKNERKTKILQGLEKAYERMLKFKKEKNSEIVVIRENKIVRIKP", "MTWSEVLAPIKSSEYFETLWKKVNEQYKTQKCFPPKNQIFRALELTPFEDVEVVIIGQDPYHNDGQANGLCFSVSESVTAPPSLKNIFTELKDDLNINRTKKELDDWGQQGVLLLNATLTVQAHQPNSHKDLGWEKFTDYVIRQISEKKENVVFVLWGAFAQKKAALIDTSKHHILASAHPSPFSVYRGFYGSKPFSKINEYLKTKGKKPIVWG", "MKKLLLILCGFLFLGLCKANEIDIIKQRIAEWNWSNDINRTEIIKNAQQWQQTLQASQQWEDVDYKNPTRTTWTAFQHLKKVKEMTIAYTAPWSSLRNNDKVFEAIQSGLQFWNTAKLKNINWWWNEIEAPRTLGIILIMLERNDGKKLSDELFSGLVRQMDYKRTNGVTGVNLADFDTHIFYSGLLNKDEAEIQKGLDNIFSINKATVKEGVQYDNSYAQHEIMLHIFGYGSEYLKVETYIGAMVADTKFAMKGEQLKTFTNFITKTLIPQIRGRYTNWTSFGRQIAREDFTDMKWLVPYFEKLILMDKMNTGVYQDAIERINQKKKSDFHITELQKHYWNTDFTFYQNPVYQFSVRMSSRYTQQAETDLNGENKKGGNRSIGSYALLQDGTEYYNIYPVWDWKKIPGTTTLENSPLPDTKYLTPGKSVFAGGVSDGKTGVSAFMQDQFNVKAQKSWFMFGEEIVCVGSNISTDKEDEVLTTVEQNFFKDKVIYQNLVDKHKLKEGQLVNSKDQQVLIHRNTAYIFKEKTDLYISTQTQKGTWKELTELGSTDEKQSAVFKVWINHGKKADNGSYQYFIVPGIRSVNRAKKIADDFIVWNRKDVHAVYKKSSKKLMLVFFNPAQIEVEGRMIKADRPCTVLIEDLEAQSPELFVSDPSRKEKEVNLGIGKENIHINLPTDRAFAGSSVHYKK", "MRKLFFFIVCIMCTSIIKASEIDLIKHRIAEWHWEKGVDKAATVLNAQKWQKTLQSNQQWKDVDYVDPAPNIWKGFEHLKRVHEMTVAYTAPWSRLKNNPEIFKAIENALIYWNRTKPKNNNWWWNEIEAPRVLGVILIMLSEKDNPNRLSGELFTNLVKQMEYKRYKGDTGVNMADYDTHIFYSGLINNDESQIQSGLHNIFSINQPTIKEGIQFDNSYAQHDIMLHIFGYGTEYLKVETYIGAMVADTKFAMKGEQLRIFTDFITKTLIPQIRGRYTNSTSFGRQISRESFTDLEWLVPYLESLIVMDKANTHIYRNAILRLKGKKKPDYHIAEFQKHYWNTDFSFYQDKNYQFSLRMSSRFTEQAETNLNGENKLGGYRSIGSYSILRDGTEYFNIYPVWDWKKIPGTTTLDQLPIPDTYCLTPGESGFAGGVSNGKIGASVFMQNQFGVKAEKSWFMFEGTVICLGNNISSERDGNVFTTVEQSFFKDQLVYQNNGETKNAESGKLIKNTGEQMLIHNNTAYLFPQKTNLNISTQIQNGRWKDINDVGSTEEQKNAVFKVWIDHGEKATKASYQYIIMPGIRKSLKAKRIADDFIIENQKDVQAVYSKSANKLMLVFFRPAEMQIKGIKIKADQACVILIENPGSNNPELYIADPSRKAKEVNVQLGAEKINIQLPVTLDYAGSSVHYKKLTNKLNNQI", "VQVTKDNLSELEFPQLLEQIVPFAFSPKIAEQITHILPMPLDEARVSLTKVSEYTSSYENDNAIPFNEYEDIEAELKVMAIENYRLDAASFMKIKNISMMVGKLVVYFKKFNEYYPVLFSESQEIELTKEIIEKINNVFNRYGEVKSDASPDLEIIRKEISHARKAIQENFNRALTMYGQSDLLDDIRETIIDDQRVLAVKSGFKKRIPGRTLGVSKTGSITYIQPESVVKHQFRLRENEEEEKKEIDRILRQLTAEIAVFQPLLEEYQAYIFDIDLTQAKARFAHKVNGVLPEINEKKRLKLINAYHPLLWMRNQEEQKPIYSQTLELSEKNRIICISGPNAGGKSITLKTVGLLQLMIQSGILVPVHPKSEMFFFDKIRTDIGDNQSIENHLSTYSSRLKKMAGIIREADDNTLLLIDEFGTGSDPELGGALAEAFLEFFYDKNSFAIITTHYTNIKLVVEQLPHAINAAMLFDEETLEPMYKLEIGQAGSSFTFEVAEKNKIPRFIIKNAQKKVEHDIVNLDKTIVKLQQEKYEVEKLKSDLTERKESVEDKRDNLQKLNEQLQQKLFNFQKLYEEEHRKLQFGNRVESFIDGYVNGKSRKDIVKDFVKILEQEKYRRKETDKTTNDRLKVVKRKITQQLKKDEVKEKIAETNEKIEEKKQKERALWLKEGQRVRISGSTSVGTIEKISKNKVIVNYGTFKTTINADELERI", "MKPLKIISDLLPIPSYSVIIICFFFPFFLVKCGSTTLVSVKGTDLITGISKEKMNKQMKETLKKDSPFGSFGNDNSTDESGYTPMDKSDNSKGNIPPNPFIIIPLLSAVSGIIVHAIRSIRKKYIYHLVISAIALICLSIFYWTFQSQMNGLGDYKVGFGDDLSITLGFGGAFYICSLLFAILLLFHCVFAYFLRNNPEAIYGFQKPGTTL", "MKTLKVNALKLKNQKALSREQMKSINGSAGNWCTYECCPEIPKPLCPGYKCPAVICPQ", "MKHHSKILTKSFLFFFILMTCIRIYGNMAKPYSDGTTSSPIFGSKDCKVLSEKMDLTVVASEMYDDYSEFYRVKYKVSYQIYSEKKAKLPLLFIAQNMASESTVSVNGKKLNTPKITNQNISQFSFIHKNQNSEDSYDIRFEQNSPKYVNLNELIYFETDLEKGENNIVVEYEGVPEYNIYGLLREFKITYALYPSNYWKSFGPIDVDLHLPSNLEIIKNNIGKLQEEQNGNYKIQINSVTQEQLEITFSKKVSVFGKVLLFLDPIGIMTIGFLIMFYFHIKWMRSRRRKHPKKFNYTILLGSLIIAILTSLIFIFSYDFIQWVVDEQGMKQGYVLLAVFVIFPCILIFYSVLAWITDLWYKNRISQNIH", "MKALFNFILLLVFSHSLFAQNVIREKIFSAKMKKEISTIIVAPDIKPHQQYKTVYILHGYSGNPERTLKQDIPDLQAKANAFSTIYILPDGNYNSWYVDSPVDQKSQYKTFIGSELVQYIDGHYPTKAEKKSRGIIGWSMGGFGALYIGTSFPEIFGIVGSSCGALDFRTFNEGYNNYQVDKVLGPLSSLGSDYILSDNTDKMLNTGQYYILDCGINDFFISKNQNFHQELLSKKIEHLYIESLGEHNTKYWSRALSNQLSLFENFFTHQ", "MQDFIEQINSYYPLSAETTDALLRISKEDCFKKNELLQKSGETARYYYFIKSGLVGYYTIDEDGEQVYKLFFAEKSFPAATVSIIKNEPGDFSIIALEDCTVIKYLAKEYRKLLTKYHDLALFHIRYLEQNWIVNKEPLEISLKHETAKQRYLKLLKEPDLYNRLKQHHIASYLGVTPTQLSRIRKEIKD", "MKLDKEIQFILAVDALKNVQRRNYNADDSRRENTAEHSWQIVILAQILYPYAKNKEQVDLLRVIRMLSIHDLVEINAGDTFLFDEEQMKGKFEREKIAAKKIFGILDEPLQSEFYNMWIEFEEEETPDAIFACSIDRIMPFILNSNTSGKSWTEAGITETQVRNMLENAIKRASDEMGEAFDILLQKSIDEGKLVS", "MKKMFYLASLLIGGAAFAQITTNEINGIKVNMSLTELNTKFKQDIKPKVVENFTDEPENFTPVTINGTKYNIIFVKDFYSPEKTLVYSVATTDPKSKGKNVVGIGSTLAELKKAYAKESTDTSGDTFTVYQKDKGERILIFKLKNNKVTRIFASVFAAG", "MNTENTKAQMRKGILEFCILSLINKREMYVSDLIDELKKGKLDVVEGTLYPLLTRLKNGEFLSYRWEESTSGPPRKYYEITEKGKLFLNELQNTWTELTDSVNQITKSQDNE", "MNKTLSIGLAGFSFTIEEHAYIKLNDYLAALRRSMEPEEANEVMQDIEMRIVEIFKSRLGKREVVNDEDVEAIIALIGTPEQIDEQEQEYTSKEKSGSSRASGSGFSRDKQLFRDPETKMIGGVSGGLAGYLGVDIVWIRLAFVLLLFAKGFGALIYIILWIVVPKAKTAGDFLKMRGKPLNFDNLKEQSNKVVQFATDSSEKVNQFYQNNKGQANDVGNAFLKALSIIFGLFTSAIAVSFFLGSIAVLFGGLSFGEGAIDIPENINFYFNDGVTGSAIIFFGFLSMFIPAIIFTLISLKLFSPNLKIKYMGYLIATLVLCWIVLIGFIGYSASKANFRFNGHNEETENISINTKSDSIILDSKKVIIPENFKSYISDIYSDKKTIFEETYPYVQIERKDVAAPYLIIEKSANGYNKPLQMKLPIEVIDNKILLPNYFSYNYDNRFRHYRIEYKLVVPKKMKVITAPGADVSINEKDNDDDDSFNGNISISTTGNEDAPDSIIVNGKKVAVDDIKIGKSDDDKKIKIDKDSIKEVNVSISNGKKEVKIKTK", "MKKFIGSTLLKLLGWKVKLDGDLKNLDRCILVEAPHTSNWDYLLGIMVYWKYGKRLKVIIKDSHTKAFYGGIIKSIGAIGIDRSQKNDLINVIAREFEKDDFSLVITPEGSRSYAKKWKLGFYHMALQAKVPIVLASGDYKYKEIKIGHMISYEDLTTRSFESVMDEIENYFKDINAKYPENYNPKIY", "MTKEEQLHALNDWNRNTLLQTLDIKFTDVGDDFLVATMPVTPVVHQPLGMLHGGASAALAESLGSCLSNIVLDRSVNAAVGTNLNCNHLKSKKEGMVTGTARLIRKGRTQHFTEIEIRDEKGDLICHSTMTNVVINVR", "VILFKFPFKEVFYTLNNNTPLENTIRFHSFDNLREIIFSGSYQPITKEEILNLNITSQNLQQEYQSPPESKDEYISRIENVIGIIKDNQLPKVVISRQKWVPISEHETIDLGSTFLKLCKDYPNAFIHLFADKDEAWIGATPEVLGQYNKITKDFETMSLAGTLPVSEEWSEKEIEEQKPVSTYIQSVLGRYSQQVKISPVYDHISGNIKHLRNDFKAVINRHNISDLIKELHPTPAVCGVPKEQCSEIIKNNEKYNRAFYAGYIQTEQDNEINCFVNLRCAQLFRNGILLYAGGGITALSEPEKEWKETEMKADAVLQRLTFLGA", "MKTFYKVFLVLFIVFIGINFYAVQWNLGAFNEENDKFWFSIAAAVVGIIVVFILDFWSRLSTKKG", "MLEDISIKEIMTSFMVLFAVIDIIGSIPVIVGLKQKFGKIEAEKASIVAGCIMISFLFIGDKILKLIGVDVNSFAIAGAFVIFIIAVEMILGIEINKPGKVNSASIVPIAFPLIAGAGSLTTILSLRSGFHDINIILGIVLNIILIYVVLRSANWMEQKLGDASLQVLQKIFGIILLAISIKLFTANFAQLIKNYINF", "MNRVFLYILFLLTGLQIHAQVEVDVVMDEKPSYKIGQEIPIVFIATSKSSLDVRMKLPYFDPKKYDVSLPATNTEYFLDDKGVSLTRFSALVVVKPKVPGALKIGSALARVDNVMYKSDAKDIFVKNEKYVENRPPIRNNNEVYIRTNVTDNDIYVNQATMAVVTAYSRNMNSLDNVEEVQLPPAQGIRYYRVGDSNGEVNDHEEEYSMRMAVYLLFPEEAGRTIIPPASAKVVQGGSATKIKANSVSLNVKPLPENAPADFQNAVGKYDAKVAVKTPGDLEINKPVSVEVKLSGVGNLKDVHLPKFANSEYYSVFKPKINYNVKPSGSKGFKGELTANYIIVPKKQGNLPIALEGFSYFDPEDKKYKQVALSTIPLFVQTAEQLASDKSTVEKVMENTASVLNQKVKLPVIAQVDADHSFVPKSSENIPVWLLFVALAFVAFFLYFFYKIYSSNKAVSPTGKNYSPHFKPVTNVEDSEKQIREEMSVDIPAHLQYLDTLIKQKDYSGFFNAYTEMNAELEHDIDIHHNMSVLDYIEDTFGRTDMETFKEVQSRVELMKFSPFKEEDSMNDLLQQIHSVYVKIEK", "MLKKSTLFILFVFATGIFYAQDYNALVYKGNKNFENKKYDDASAKYLDAIKKNPQDFTAHYNLGNALYKQKMYKEAHAEYKKAAELSKNTNDKMASLYNQGNAMMQQNNHEEAAKYYKEALKLSPADSALRKNYEIAMLKDKEKKQKSGGGGNDQNNKNQQGKQGQKDNNQGDKKDQQGGSDQQNKGQGEGQDQNKNEGKNKLPKDIEKEILRQMGDKEKNTSKRILNQKANSSPISNEKDW", "MDWTFENYNYLFLLLLVPLMWLLIANFMRWREKRRKLFADSNFHTVLFASKSKYKFVFIPLYILAFTFLVLAFADLLGGKEETKVSHKIANVVLLMDVSNSMNAEDVQPNSRLGREKKIVLETLKKMKDERVGIVVFAGNAVSIMPLTTDYAAVETYISSIETSIIGQQGTDFLVAMREAAKMYKTTGKSGRNVVLISDGEDNEGHDKEAAALAKSQNIKITAVGIGTEQGAPVPDYMYGQLMGYKNTPYGEPVISKRETQALVQMSNGTGGTYIDGNNDSAAAQLVSALDKLKSDTEVTTNSQSSIHYYQWFLGISFILFFIIYLTNPKRDLNI", "MSDLFAPLGLNFEFESPWLLLLFLLFIPLLIRDLIRGKNEGIKVPTTEGMSRPSGIGFLFFILKCTKYIILSCIILALARPRTFTVAQDQNDGKGIDIMLSVDVSFSMLSKDLEPDRLRALSKIAQKFINDRPGDRIGLVAYAGEGFLKVPLTTDHEAVKQEIATLNPNELSAGTAIGEGLAVAVNHLKDSKAKSKIIILMTDGVQTIKNSLEPAIAAELAANNHIKVYTIGIGTNGYALTPTGIDPFFGDLIYTEQKVEIDELALQNIASVTGGKYFRATSNESLQQIYEEINQLEKSDIKVAKMYDYKEYFRYFLWIALIVLLLDALWRWIMYKILT", "MMKRIFLFCFIAISLLGFGQTLSSQLSDNTLVLGEQGEFKINVSNVTGLDVQAAPKNEILPFHFEILSDSIQRTPNLYTRTIKFQIFEEGKFKIPPIEIKAGDKILTTIPYEVEVINTAKKGEQINDIMNNKDVELGWQDYWSLYKFYIWIALVVIAIIVLIWMLVKWGRAKKSSPTVKTNLTLQELEKLRDKKYIENNDFRSFYIELIDITRNFLSGQYGFPAKELLTDDLLDYMKRQNKISEANEKILAEVFERGDLAKFAKVIPSHTEMEADFNNIKEFVKRSYKDIEFENLRKHV", "MDIKDIVKKVKQIEIRTKRSTDAMLMGQYHSAFKGQGMTFSEVRQYQFGDDIRRIDWNKTARFREPYVKVMEEERELTVMLMVDISASMNYGTHASLKREYVAEICATLGFSAVTNNDKVGLILFADKVYKVIPPKKGRPHVLAIISSILNADYVPSATNLDGALGYLMKAFKKKSYVFLLSDFNDKFDAKSLRVVGNKHQLLGFGVYDEKDLQIPDIGYALLNDAETGEKKWVNTSSARWRYQFAEQQKQKIKNTEEAFDKSASVFLKLNTGQDYTKPLYNYFQTR", "MSIKICIAGATGWAGSALSNGVLKEPDITLVSGISRSNAGDNLADILDVTTPEIPVFKDIEEALEGPSFDVLFEFTKPTIAKHNVVQAIKAGKNVIVGTSGLSDNDYAEIETLANQNDVSVLAVGNFAITAVLLQKFSVMAAKYIPNFEIIDYASHSKPDAPSGTTLELAKKISEVQTSADIVKDEDVIGYAKTRGEKINGVRVHSVRLPNYMISVESIFGLNDERLTIRHDSGMGAEPYVQGAILAIKKINTFKGFRRGLDSVLDEA", "MSEYNQAEDIKILMEKVKEKNYFFSLLKSEINKVIIGQEYMIDRLLIGLLGNGHVLLEGVPGLAKTLAIKTLADAVQGQYSRIQFTPDLLPADVVGTMIYNVKDNDFSIKKGPVFANFVLADEINRAPAKVQSALLEVMQEKQVTIGDTTMKLPKPFLVLATQNPIDQEGTYLLPEAQSDRFMMKCSIDYPTFEDERKVMRMVSTSDIPQINPIISLEQIVEAKELINKIYLDEKIEKYILDMVFATRYPEQYGLSDLKNYITFGASPRASINLAIASRALAFIRGRAFVIPEDVKEISKDVLRHRIGLSFEAEAEEMTAEKIIDAVLSKVQAP", "VNNLFKAHRVIRRNLADVLQKTSHQDLMMIPDGFNNNIYWNIAHCVATQQLLIYYLSGNPFRIDKYWIETYKKGTLPNLDVSQEEIEDLNFLLSETSRIMANDYDENFFLDYTHYSTSLGMDLKNIEDAVLFNNIHEGQHLGYIMAQKRAIIGEMY", "MQENKDKKDDFIFGLRPVIEAIEAGKTVDKVFIQNGLQGPIVAELKKLLAQHNLRANYVPVEKLNRFTRKNHQGVVAFISDIPFYKIEDVLPQLFEEGKTPFILMLDRLTDVRNFGAISRTAECVGVDAIIIPEKGAAPINSDAIKTSAGALYNVKICKEKNLAHSVDFLQQSGIKVFAATEKAQKLIYDENFAEPVCIVMGNEETGISKEVLHHADEKIKLPIEGKTQSLNVSVACGAILYEAVRQRIINH", "MKKVLAFAAISLSLIACKKEGKTTETKTEGNKTETTATETSPEVKAEIKPAISDSAGVYTLKYKLEKGKAYPFVLSQKDVQSMTMGDKTQSNTNETTDDMTFTVTNFDKGVYDMDVHFISKKQTGSVQGQTLSVDTNGAEPKNENLKIFWKVNKALMGNTLKMKMSETGKIISFEGFEPIYAKVNTAVNSAVKDANQRKALNEGFKQGFNQEALKAQFKTNINLFPEKGLKVGQSFTESTNLSPDGKLKSSTTFTLAKVENGIAEITVTGGIPKKTDKQSQNGVTQTVSLQGIQNGSLKLDTQTGWMKSSALTMTSTQSQTLSDGKQTQSATQKNVSTTKINP", "MFKYLFEFILIVIIIFFVWNILKRIFFQPFYQGMTGQQVSEKQKKQENQSQQKNKQNLNWDAETVEYEEIKEEKNK", "MKISNRNSIFIGISLVLFVLLAIFYANPVLTGKELIQHDIVQYRGGAQEMLEYRAKGGSETYWSDAMFGGMPTYQTGAQFRGDIIKKVDDVLMFLPKPANYLFLLFSGFFFLGMVALRNWKYALLGATFFGMSTYFFIIIAAGHNGKVHTIAYLAPLVASIILVYIRRNYFWGFVSTTVFMALQIMANHPQMTYYLFIGLGFFFLSELLRAILKTKDYKHFFISTAIVGVAMVLGLGMNSQRMLSNAEYVKETVRGKQILSSSGGKKDTHGMDKENITMWSYGQLETLNLFIPRLMGGASQEEGSDKMTEKLQQLVQENATSQQEVNNMMKGLTGSLTYWGDQPGTSGPAYQGAVVIFLAILGFFFAWPKYRWWILGASALTIMLAWGSNFMPLTDFFIDYVPVYNKFRAPSSILVVVELLFPFIAIIGLYRFFTDEKLTDEYKQKVLLYTTGSVVGITLILILFGKTILGFHTALEGQYLPSYLLDYLVGERYSMFRTDAVKAIIYVLITAGVIFMVMKQKLNQNIALIIIGLVSLFDLWTVNKRYLNNDNFADKMFARNPFITEASESYLAKSNGNSYIEGLLQQAPVNQALESIAKADKSHYRVFNTLLGPFNETNTSYFVNSVGGYSAAKLRRYDDLINKYFNGGGDPNVLNMLNTKYVLVADSTGIQPKENPFANGAAWFVSELKVASSPNEEIDLISKVDNKKVAVIGKEDEKYFNGKTLQADPAAKITIKTYQPNEILYQTSSATPQLAVMSEIYYPHGWKFYIDGKQTDYIKADYLLRAVHVPAGKHEIRMAFEPEVIQKGKAISLASVGLFVLLAALGFVFMNRKKQQAAKSEE", "MKQKKILIITYYWPPAGGPGVQRWLKFAKYLPENNWEPIIYTPENPSYPLLDESLVKDVPKDIKIIQNKIWEPYRFAEKLNKKNEKFKAGQFDVGKNQSWKSKLSIFVRGNFFIPDARKFWVNPSISFLKKYLEANPVDVIVTTGPPHSLHLIGKGLKKYFPAIKWIADFRDPWTEISYYKHLKLTSWADQKHRKLEKEVFQTADVTLATSYGDAENFRKAGANSTCITNGFDKEGLTKKYAKNPKFTLSYIGVLEQLRNPENLWKALSGIVQENSDFAQNFELRFVGRVDDIILENLQNSSLKENLNLVGYIPHEQSVKEMENADMLLITNFPKQESAGIIPGKIFEYLATQNPILSVGPEKADVEKILNETKAGEHFTYQDHEAIRSFVLENYNNWKNNTVNQSSENLMQFSRRNLTTKLANLLNSIV", "MNSPRERILDTAAILFHQQGYNSTGINQIISEAKVAKASFYQHFKSKDDLCAAFLNTRHDYWFGELQKFVSKSSEPKQKILSTFDFLIAMNEKENFRGCSFLNLLSEIPTDKTAILQIIQKHKQNLRDFFRTQLDDKIKADHIYLLFESSIIESQLFRSNELIRTSQNIIDNLI", "MEKKLPVPPFTLETAKQKIQMAEDAWNSQDPEKVSLAYTPDSEWRNRHLFINGRKEIVQFLTRKWENELDYKLKKEYWAHTEDRIAVRFEYEYRNKEGKWFRAYGNENWEFDENGLMKKRYASINDVEISAEERYL", "MAVPIRIDVLNNSLIGSTFSYEYKFQNWTNNGTNGDAFYAISSFVIAKKK", "MCWFIHGNRGKSYLILLFSDNWGNIIYVRDGSFFLNNVNTFQHQLGALGCSANPINNQSPGN", "MNTELIKQDYLNNKKLNDNELLLLFENILDHILRQNTFDNTLKSFYNYRNYKIIKKMFFERGFCITEELETKIQRVYDIELKLIKKESKISLNLGIFCVIFGAVYYILFQNEFGRAPFLFIVSLICLGGILVFRGISNLSK", "LYSSSIHYDEKKKKYIAAYDIDSQVDLGGMQNHKNSRIYFAGSSAWIEDAAWIDNDRFILAGIHSDEQSQRIPYIIIGSIKGKSLQAYHCTGKACIQTIGYKAANIGKIKIEDD", "MTKSIDELSNIATQIRRDIVRMVHAVNSGHPGGSLGCTEYFTALYGKVMNYKLPFTMEGKGEDLFFLSNGHISPVYYSTLARFDFFPVEELNTFRKLNSRLQGHPTTHEGLPGIRIASGSLGQGLSVAIGAAQGKKLDDDTALVYSLHGDGELQEGQVWEAFMYAAAKKVDNIIATIDYNGRQIDGDTDDVLSLGNLHAKLEAFGWEVLEEKNGNNLESVIGILGRAKEETGKGKPVAILLHTEMGAGVDYMMGSHAWHGKAPNDEQLAKALDQLQLDEVADY", "MKFTYTEKKDTRSGFGAGLAELADKNPNVVALCADLIGSLKMEKFIEKAPERFFQIGIAEANMMGIAAGLTINGKIPFTGTFANFSTSRVYDQIRQSIAYSGKNVKICASHAGLTLGEDGATHQVLEDIGMMKMLPGMVVINPCDYNQTKAATIAIADYEGPVYLRFGRPTVPVFIPEDMPFEIGKGILLQEGTDVTIVATGHLVWESLVAADELEKEGISCEVINIHTIKPLDDEIILKSVEKTGKIVTAEEHNYLGGLGESVAGMLARKRPTPQEFVAVNDSFGESATPAELMKKYKIDAEAVKEAVKRILNR", "MNVFDRYRFRENGSEISFMPNYNFLRTLVWWLLLGIIAAPVIYLCMDYMSRDNFLIALIVWGLYMTYFIFDLIFRIPVKYIFYKSEKSIYRKNIFRRKIMDFDEMTYFVKDEGGGYCYAIGKKKKQFIKNYRVSNYFSNSKSSQKTEDEYLENILFPILKLVGIAVENEK", "MYRFYKKEGRRYTFKNQPVLMFILALLVFIIAGMSYNVSAILGLAIAAVSVIIIINFFTKKFIIDMDQLTVTGKHGIFIPERTYPIADFITFEVIHTKYMGLITTNLILAAYFQVDGKEKVLTVGQAVTTRAIQRMLNETEDIMKSKAEI", "MKKISGIILIIIGFCITVLVKVGPSEETKWVFTYGDLPPIIIALAFIIPGLIIYNKNR", "MKKITIAAGIFVFISTATSCDKIKEKLSQQDKTTKVNPFSVDSGDENRDIIAFNNKMVKMDKAQSDYIKSFEEAITSMDDFVKNALANPNAMRFTPVSTPISTFIALEEIKAPKVLGGEYQKLTDKMVNTFKELKMLQDELSAYKNAEDWKDDKGKKVTELKAKSQKIIEENRNAANQLFTKLDEKADKAEMEMLKTHPLKQQITQSKEILDLTQKIIDDSYDIKDQAAYKKLFAQQYLQLEKLYNRNLEVKIPSSEKNKEASYNLFNNAVNIFLGKMRIVQRSMNESNDQLMNDLDDLEREAKTVLSRYNNFVD", "MSAYKHLKNDGSGYLVKQYPSLQQIIILAWVLIGFVLIINTSYFKTGIVIFVLSLLLAILTFRGPRVYVDPYTKIISVKHGFGQNQYDLKDFEGFGIQRFMLMGFIPIGSYLYANFKDLPKIKRPAMSQSFGKKRMQEVYNELEELINNKNTQ", "MDNKTLSVISYITIIGWIVSFVMGKDNANSLLKYHLRQSLGLVIFSFVLGIALQIIMSITGLYVLGYIGLINFALMIIGIINAANEAEKPLPLIGKMFEDKFAFVG", "MKGKFYLILLFCILLFHSVKAKNIYTDSLINLLKTEQLPVAEKLKVYELVIEKYRSEQQYDKASDYNKQYLKLARTSDNLLAITKAHVFQGIIMCNLEKYDQVQPYIDSASTSASKTNNKIAQAYACFLPAYYTHSLYEYKKSVIYILKSLSLLEKTEGDPMLEFRLYYLLYGTYTTWNDLKNSFKYARKSIEQAEKSGNKNQLSNAYTALATAYTYQYEKTNSREDLKAIMNNSEKAAALYKEFPGQVSDYAYAIARNNTASYLLKYFPELTDDLRKQIEYNIGEALNASIHTTKPQSIQAGGFGMLANLATRDNDLDKAEKYLLQAETILLTQSPVYYYIMIQIVNDLAELYERKGNMAKALEYQKKVTKYSIEQFNEDEAANVKRLEAQYQSDKKEQEVKSLEKQKLLYIILGCIGLTGAFFMFRSYHFRLRYSLVREKQLNTEKNEAEIQVKLEKEEQARLKAEQELLTLQQQKLQTEVLANHLHLQHKNEVLQQLQTRLSDTDININQVVKEVGRVDKDFEKAKFRIQEVHPDFFRSISEKALQRLTALDLKYCSYIYLGMDTKQIAHLLHVEPKSVRMAKYRLKKKFGLDEDTDLDTFFQSLI", "MKKLKLLTRNDLKSIKAGDFRFPDKSGNCLRGWYLCPTGVCVDDNGGRNPITPDNRYYKVCFNQ", "MEEQDYFENEHEPKRGTPFYLILGVLLLLLINNLNVDYMTVGMKEKMQIPQWYITLLFSLDALAILSLVGIYLFRKVAVYLFPVLIMIHFIIHLNYLMTFLYTDVFMMFFFIGVGLLVFIPKWRSFK", "MNYQLDEIDNKILGYLVANTRMPFTEIAKLMDVSAGTIHVRVKKMEDAGIILGSSLNIDYGKLDYHFTAFIGILLTKSNRTQEVLKELAKIPNVVEASVISGKYNIFIKIRAKNTDDAKRIIYQIDDIADVMRTESMISMEEYLSDKNRLIQAII", "MANLENNKDNNEKPIEKQIGKAVDKTIDTVSKAVDDAEHFAEDVAKQAIEDASHYSWWAKLLLYLFYIGLGIVGLFFIVVSLPVTKDWAATKALGSLNKDFGIEISKKNVNLNIFGDVTIEGLEIKDHRGFPFIKAKEYRASSDWFSLLDIGSTNTLAFKSMVLKNADVKVITYKGDSIDNFNRFISKFDDGKPRNPNKPMFQLRSRVQILDSKISIVNQNHTGEEAKWLTADHFNLTAPLLKVKGPDVSARINNMTFQTVRWGKKHLVETFSTDFSLTKEKLTFKDLTLNTDHSLLMGDLVLNLDKKTHFADFTNKVFWNMTLKLGSQVSGYDISYFVDRWDNYIPINISGKMDGPLNKFTLNNFLVRGKDVKVQTSKMQVKDLLKGKYHITTDQMSADFTYPDLRAMLPKFISGKMGNFADVFQNIKFNGKADVDPHRVIASGNLITGIGQAEIKSVTLSDYSSKDPKYVGDIFVKDLNVTAFTKNKDVGLITGNINVNGQGFDVNTLSLQTKSDIQRIQIMGKDVHNLSLDGNLAQRKYDGLIVINDDQIKGNVKGLIDFSTKRIQADVKSEIEYLNLNYFGNNANANASVSGIFDGKISMTDINDLNLDASLENLKFTSSDQKFEIPNANVKAFFDNGNRVIDVDAPGAAKGKIVGRFNLGDLTGMAQSGLGNVLVGYLPKKIYKGQNFNLEFDVQQKLISYFLPDVYIPSGAHVKGAYIGDTNDLNLDADVPSLKYVMTKKEEIKEADRALARLNPQYKIEEKAVVTDSAVVDSIHLKINTALHQEQILANIQKIKYNKNIVRDIVLKGTNEDDKILHIASTFKVGNESQDASGKLKEYAVNLNQVTNPNGDIAVRFEPTSVKLNKDTWSVDTSAELNHSIVYRKKQGDFLVSNLRLFSEESSILINGMFKGGKDFDTEIKLDNLQLDKVLAFLPGENSMDIRGIANGSAKIKMNKNDLQPIVDIKVEAIKMSGQDVGNLVLNAKNSNAVNVYDIDAHIASDSGVLGDNNLALHGTINNNTPSPTLDLTTSLKDFNIAFAGEFVKSLFSNLRGKANGEVKISGPLSNVDYSGDISMTGLGFKFIFTGVDYNFADAVIPVSKGLVVLDGLKVNDGRANSSGTIAGAINFENLSSLGINLIIQADDLLLLNTSQKDFDTFWGRVTAKGIINISGLSSKLNIDAKAAVLGGSEFTLNTSTASSVEEFKMLRFLKVDEKTGEVSIEQKVNSGANMNIGLILDVDKNSTVNVLVGDNVGNISVRGMANNLRFNMNRTGMMSMNGVYAVDNGTFVSKAILERTFQIEKGSNIAWDGNVMNPYLNIVANYYRVVTNLGEYLTVGKLQPTNVELQIKIKNKMQELNRQGAIAMDIILPDASSQIREALASKLNTEDEKIKQIGSVLIMNSFNVTSSLDGVTIGNAAVSTGYSMLFKNLASVFNAISNDFQIDMDYIKGDQASNTGDRANTSVNLTLSPRVKIKTGIGIPITRTADVQNNYLSGEGSIEYDVSKANDGSLVLHAYSKPANIGLVVGSNASDNQSYGAGVAYTRSFNKFSELFGKKKNKEKKKNKTDNKAVQDSIRK", "MSKSVILGIESSCDDTSAAIIQGNSILSNIAANQKIHLEYGGVVPELASRAHQQNIIPVVHQALNQANIQQNEICAIGFTRGPGLLGSLLVGTSFAKSLAMSLDVPLIEVNHLQAHILAHFIEDANPNPPKFPFICLTVSGGHTLIVLVKDYFDMEILGRTIDDAAGEAFDKIGKIMDLDYPAGPIIDKLSKEGDENAFQFNKPKLEGYDYSFSGIKTSVLYFLQKEVKKNPEFVKENLNNLCASVQKNIIDILLKKLEKAADDYGVKEIAIAGGVSANSKLRSALQELSEKKGWNYYIPKFEYTTDNAAMIAMVAKLKYEKGEFTDLRTTATAKYDL", "MHTYYFEKLQVWQNSKDFVLKVYSVTNTFPESEKFGIISQIRRASTSISANIAEGFSRNSDKEKAKFINIAYGSAIEVLNFLIISKDLLFLSEKDYTELRKQIEHITNQLQALNKTISK", "MKLFYGNITENTVQINEDDQQHIAKVLRMREGEEISVTNGEGALAHGQLYFEGKKALIEVTELQTETPDFPHHLHIAIAPTKNIDRTEFFIEKATEMGVTEISFLLTEKTERKNLNIDKIRKQVIAASKQSLRFYFPKVNDLIKTSDFLQKVNNEKTYVAHCHSELERQDITRLQIQKDICFLIGPEGDFSLKEIQMLKEKQIKAVSLGPQRLRTETAGVFVAAWGYEKMF", "MNDQRYQDVYEYMKGFLTEERLFKIDKYAEESSDFVLPVLENIYQFRNAAAIIRSVEACGFHKIVALEEENVFNPNTRVARGADTWMQVEKMPKTMASLQQIRDKGYKILAVSPEKNAVSLPEYELKEPVALIFGTEMEGVSQEVLDFADETLIIPMYGFTQSFNVSVAAAICMYELKQKLLKSDIDYKLSDEKKLAMKIRWAVNSTRSGDEVLNHYLKKHNIEATF", "MKIYYFLFMMSFSLFYSQSSKTMLVQDAVDGKPIIHARILVDNEIFYTNDDGKVPLPENAVNIEVFAGNYDKAILKSFAALVKLKPRIRSIKEVQIRNYNNIASLIKSVYKKYGKLYYTKPSLYNAIYKQKNTRNEEISMLLVANMDLWTLDNMYHPIYVRRKDFDSFIQADLHKIKYYKSIENNTAFNGSSLDSSKDFIGDMFFNYTLYKLDKFVRLKEAKIDGKIIDEDGDLITISFKLFSPKYKVTNTGFFVYHKADKVIIHLEMNYDQGDVKPFKTINEADEEYRYMTTNGEVIFDFYKLNDKYLPSFAHTSGEYYMLYDDQKHTGTFNREITFSQFYKSDNKGLTNKIDFGKKLWKNIQSGEVKETPVLLSEEERSFIDENK", "MKRKVLIIYTGGTIGMEKDYESGSLKAFDFSTIANRIPEINLLDCDVTLSQFSTPLDSSDVGPEHWTAIAKIIKENYEDFSGFLILHGTDTMAYTASALSFMLKGLRKPVILTGSQLPIGDLRTDAKENLLTSLYYASFYDGDEAVIQEVALYFEYKLLRGNRCIKASAENFDAFKTPNYPILGQSGVNLEADRNILYRAPKDETFDIDLHISKKVFLIWIFPGMNLNEVENFIKASEIKVLILRVFGSGTFFSDKNIENILLNIRANGTEIVIISQCISGEISIGKYDNSNIFKRIGAISGGDLTAESALTKAMHLIENPYYKESFSTLFSKNLRGEMSN", "MTENEIEQLAIKLLEQQGFKYINGADIAPDSANPMRQSFEEVVLQEKLQQAVRLINVNIPNDAQQDAIKQVLRIASPDVLSNNETFHRLLTEGIPVTKRVDGLERGDRVFLIDFENPLNNEFLVVNQFTIVENGVNKRPDIILFVNGLPLVVIELKNATDEKTTVNAAYRQLETYKAMIPSLFKFNAFSVISDGLEAKAGTISAGLSRFMAWKSHDGNTEASKTTSQLETLTKGMLNPTTLLDLVRHFIVFEKFKKEDSDGIVTVQTVKKLAAYHQYYAVNRAVESTKRASGFISKTELHSMLKEAPESYGLPGVKQQPSGDRKGGVVWHTQGSGKSLSMVFYTGKIVLALDNPTVLVITDRNDLDDQLFDTFAASKQLLRQDPIQATDRKQLKDLLKVNSGGVIFTTIQKFQPEEGNVYETLSTRENIIVIADEAHRTQYGFSAKTVDDKDDQGNVVGKKIVYGFAKYMRDALPNATYLGFTGTPIESTDVNTPAVFGNYVDIYDIAQAVEDGATVRIFYESRLAKVKLTEEGKELVEELDDELDQEDLTSTQKAKSKWTQLEALIGGKQRIKNIASDIVDHFIQRQEVFQGKGMIVSMSRRIAAELYNAIVAIKPEWHSDDLKKGKIKVIMTSASSDGPELAKFHTTKEQRRLLAERMKDPNDELELVIVRDMWLTGFDAPSMHTLYIDKPMKGHNLMQAIARVNRVYKDKPGGLVVDYLGIASDLKKALAFYSDAGGKGDPAVAQEQAVALMLEKIEVVSNMYYGFPYEDYFNADTSRKLSLILAAEDHILGLEDGKKRYIDQVTALSKAFAIAVPHEQAMDTKDEISFFQAVKARLAKFDATGSGKTDEEIETTIRQVIDQALVSDQVIDVFDAAGIKKPDISILSDEFLMEMKNMQHKNVALEVLKKLLNDEIKSRSKSNMVQSKKLLEMLEQSINRYHNKILTAAEVIDELIRLSKDIVEMDSEPKKLGLSEYEYAFYTAVSNNESAKELLQQEKLRELAVELTNIIKQNASIDWNIKESVRAKLRVAVKRLLRKYGYPPDMQLLATETILKQAEMLAKELSS", "MSSNNRIIGRVLSVDNFRVFIKIEEDLRGTYKSGVLDVYEIARINSYLIIPVGADRVVALITRVTMKEEVEFGMNSTSISLPTSARYISATMLGTISKNGNCEKFIQGVYNFPTLDNPVWYVTEKDLQHIFDDKIDKDKIEFDKDFYLPVGTSPAFSDYQVKISPDQFFVKHAAILGNTGSGKSCTLTSILRNLFKYDYNGSSLKNAHIVIFDTNGEYKDAFLPIKDNFDNLSTEIQEDLKLINANYYGGEDKVQVPYWLMNWSDFKALLNPSDATQAPLLNSAIGLAKNNEESLVASILPSHLKADIENILTCSTEELKKKTWNPGAGGWGWKADNEIISIGEAIRQFDVELADLVSNLGGQTNFNNAALQTPIREAIQVRLNILLTENIDIQITSSQNIDLPIYFSYQELCTKFLDAAIKNEGSGNARLNEFISTLRLRMNSFLNDKRMAIPLMLKNTEEINRNVLPQFLAFLLGDYNNIFSKETEEHFIKKYNDTSTIYDKTRTHQVNIIDVSQLPFEVLETVTALLGRIILEFVSYFVPADRGKYPIVIVLEEAQNYIAEHKYSVAKTVFERIAREGRKYGISLIVSSQRPSELSKTVLSQCNSFIIHRLQNPEDQKYIRGLVSSANADILDQLPVIPQQHAIITGDCVRAPIQVRIDDVYPTPNSHNPRYIENWLGDEIIPYQQTVNRWLGENENQGESENNIVP", "MSETLESIKLKIKKYLELENVSFLFGAGSSFHLGAPVIRTIPQPLKDQCLSEINHYFGEGSDPSYEDLFNCLQADRYLKEMKKEDVSSLNSSMVKMQKWLFDQCDTNKTTIHSIYTADVKLQKNRYHYHEVLVKKLLQRPVHLRRANLFTTNYDMAFDYALDNLGVHYINGFMGVHNRCFRPEVYDYDLYYPGQSAIGKVHRAEKVLRYYKMHGSLSWVSTPPNVSNTYGIKEIPLNDNFTIDENNEIMIYPCVSKKSFTLDLPYSELFRQFSQAINQPQSVLICVGYSFYDEHINDIIKQALSIPSFTLIIANFAPTADPESEIEKLKALGDRRIIILDQADSNQSTFVGFVDKIMPDLYEEDEIVYVAETMEKIYPNQKNNQDVEGNDCIIQDEVVVSDSNNVQDSEATDDLPF", "MMENNTWKEYRIKDLGDVITGKTPSKNNPNDWGENMPFVTPTDYKNYGKYAEKADRNLSKTGLDRLKNKILPTNSILVTCIGSDMGKVVINRIPVITNQQINSIIPNDEVNSDFLYYRLISMYETLRIYGGDGTAVPIVNKGDFENIETLIPPLPEQKAIASVLSSLDDKIDLLQQQNQTLEALAETLFRQWFIEEAKEDWERSTLGDIAEVKNGKSFKSSDFSDIEFNSYEVVKMGHIERGGGLKMNPKKDYISRDMDVSKFILSKGDIIMAMTDMKDNVVILGVPAMIDKDDHYVLNQRVARIYLKDQSKLISNYLLYVQLLNKDFIAELQTKANSGVQVNLSTETIKSSEIIIPPLDLQHKVGGQIIDIYNKIEINRIQIQTLTKLRDTLLPKLMSGEVRVKM", "MAKKQKEEVQETIEKTLWKAADKLRKNINAAEYKDVVLGLIFLKYISIAFEKMHAELTAQIDQGADPEDHLEYTAENVFFVPPSARWSFLQNSAKQPTIGKIVDDGMDAIEAENPQLKGILPKVYAKQNLDPTSLGELIDLIGNINFGETKERSADVLGHVFEYFLGQFALAEGQKGGQFYTPRSVVDLLVEMLEPYKGRVFDPCCGSGGMFVQSEKFVTERQGNINDISIYGQESNQTTWRLAKMNLAIRGIDASQVKWNAEGSFLNDAHKDLKADYIIANPPFNVSDWGGDLLRTDARWKYGVPPVGNANFGWLQHFIHHLAPTGQAGVVLAKGALTSKTSGEGEIRKALIEEGLIDCIVNLPAKLFLNTQIPAALWFMRRKKVPNPKYRDTHNEILFIDARNLGHLINRRNRELSKEDIDLIASTYHNWRNVDGQYEDVAGFCASVPVSKVAELDYVLTPGRYVGLPDEEDDFNFVERFTELKATLESQLAKEAELNQIIANNLSKIELPNDGE", "MNNNEQTKNPLELALEEFTKGKEEIIGARIQIEYLNEELSKKEDQRIDFSRIIEEGVTKIDKTFYDNFGKYESTNEKANQLFNEAITSFAKQFEFTIQHFQGAELSLKEKDRKSLADVSKQMLWQKKLQYFNFGILFFCITISILMGYFANRFYKTSILTKQEARKEVLLQIKDNGDIIVSKDQWSALNNERSMITTWSKSNPNDSKSYEVFRQGIVSAKSKVVLFKNLKDDDIIGSE", "MSFTAKIKSVRGSLASSFYKANIEKGAELLKSRNLTGETVEERYEEKRAVARMNPKVTKPFLEAVFSPHKSVSKNWTKKDWIEHVDEFCKRIGIPDDVQLEYYIHRNTPTHHVHISASRLNLIGKNLINDSFIGKKAEVVCDKMAKSRNLPTAKEIGKIEKSQIKLSIEKCTQRSISWDDFKDKMKEDGYHFELNYNSTGLNGARICSMEDLQHIPNSSSKNYKLDKSKGYKLSQIDRKLKVADLEKQFLSNKIQLDKLKTITAENDLTTKNRFRR", "MNQDFLKDLEKWNNQSQEKVVQKIEVFSEAISIEKENENPEFLTERLNLRLTKAEHKMLKEKSELSNIGMGKLIRIAVQKVQGFKIIIPNAEENKTLLEYRTNFSRIRNHFQSQMWSEDEREKYKSLLDEIIFKIDKYLQKK", "MKQFRFSLQKYNGQKTRFICPDCGRKELTRYIDNETRSYVDEQVGKCNRIEKCGYHYTPKQFFENSGNIVQHFTRKMPKIIEKPTWYADENILLESLHSKTQTSNLYQFLIQYFDRHRVAETFRKYLVGVSKKWQNSIIFWQIDLDYKIRAGKIMQYNSVTGRRDKYKFSWIKNSDENFEMKQVFFGLHLLNHYKNYTVGIVESEKTAMLCDLFFDEGIVWLSSGGLQGITERKMKDLKERRVILFPDLSTKNSKIKAYDEWKKKAKIIGENLKMNIKINTYLNLYSSIEEQNNQEDLGDFILRNSKQNV", "MDENKLKLLFNEEFNKTITNKLKNDVLMLKTAQEYVDLGSAIPFPKKLFGNLIEKGKLVVLFGDSGTNKSTLMVQICDGISKGKQQLGLECMKGKVVLLDGEIDTKEFSKRYSNHFFENDFLRIEIDFSKMLESDLPLDQLVFDSLRSIVGEHRPDLLVIDNLTYIVSGSKEQSKDIIDLLRSVFYFTKKFNTAVVLIGHVPKLEANRPLLKEHLSGSKVLSDLADAVIGISGSIKHKNIRYIKELKNRGHEITFDKDNVLVCETTSENGFLELKKVGFSSEREHLIERKSDELTFEKITELKLEGLSNVKIAKKFGVNDKTIGNILRRNSKTRSDSEV", "MENENLLEKPIWQMTGQEFLTLNEQTKNLPQNVQSAPTLENVLHKKYVYGIRGIANLFNCSIAKANRIKKKGIIDEAIIQEGRSIIVDVELALRLVKNSEQ", "MILFDDTKRQIIQNGEKWKQKNINIFYIDIQLFMIYSLKNKMEKVANRLYEVICNDFMECLSKI", "MKAAENLALLQKIANSLGYLEVIGNINSIDKELIDDLLLYSNVDENAVYQDLIEKQNFRILIKEFLTLICKIEESLLMVNTFFGKDSIDYAFDRIMAPYKFKWQLAYDEIRPYSEKRDDLNGRLESWVYSYADESSEIIKLRNDLEIAKNEYKIYQKKLNDAYDDERKAFNNNSYILSFKLSNFVEKLNVVKSNIARLSNSDAFSQELFRDEEAIELAYFIFVKSGYVKTISRPDFYNQISLNTPFSLEKNKNKDKYIAYSINKVKEFIVESKKEIWENEMIKGFEIQNYDKVKTVKKSERKTDEHTQIDSLITKYLEDSKFL", "MKEGKLISDNAPIFLRLTYNGNRINLFSGYRCDLKNWNLSLKEVKSGAVNLNGETAETINFKIAKYKADLNTFFLENQIKDTIPSIEETKNAYEIIRNGFKPEKEIKKKTAKKTVLKFYEVFDEFTSYNGKINNWTDDTYTKFATLKSHLQAFNPNLKFSDLTLEGLSEVLSFFTRELKLRNTTTKKYIENIKWFLRYAIKKNYTDNNAFEDFNPKLKIAKKKLIFLTEDEINQIRKLEIPETKQYLDRVRDVLIFLSYTGLRHSDAYKLRRSDIKDGKIEITTQKTIDSLTIELNNTAQEILDKYKDIPFKDDKALPVISNQNMNDYLKELGELAEINEPITETFFIGNQRHDETKPKYEYLGSHVGRRTFVCLCIAKGIGLQIIMKWTGHSDYKSMKPYIEVADSTKEVEMQKLNF", "MKKNYVDSIVRKQKNKYPFGYLFFQLLTNKNRMMINKYQILTVFFFFMESNK", "MKNLKITIAAFMLSGSLLITSCRSSDNAVDNSGENLITNGSALIKMNLLGSEYETETISTQASVKNTGISSVVKEQISIFMAGEQPVIATLTPVASSAIAPQASINPIADVIRNPLKGPNVKYRVIAYRTSTGVKVATNVYTIDANGVSTADTGDMMLDGDTEATNKYDFVVLSYNNSTAPGDVTGSISTATLPSISGDNDLMYFRTNNVRVSKGDNVLNILLTHKFSQITTIIDASAVAPGTPISSIGNGINFDKHRGAAVNSIKLSDGTVTFGAATTTKAVSFTAPTPASAIWTSTPTLLANPGGTAANMSTLTFPNNAAGTITVGSKTKGNFSVSNLVVTPGVKYNLKLKFACTTDATPTWPFSMSDSGLGSETRLTRTFNAPAADAGFVFDVYELDNSFNMTINGVDLATQEIQFQDQVVGYPRNIRFKSDGSRWGENGVPQIYTLGNSTNTTPIIRITIGPDGSVSMMGRRSLTSNLEPLELYGGTLFNTVTWKTTGSNTVVATMLVTGATVMRGLGVGKKIIACP", "MMNNLKKEEYIPPKIDMFLIEMENGIATGSAKVLPSNSDNQVQEEWTTDPDDNRTIEW", "MKGTLLFCSLLCWFICKSQAIGLSEIEVEKRFNQIALKNLENENFKEVNTLYQYSVSKNYKTGILKGLIAIQQYYLGKGNYTKALNYGEKAKEKALKLDDNNALSNIYMYDGTTFAMLDMHKKSNTALNIAVQYAEKIDNTIDKNIQLSRIYTTFAGLSEGEELNDSIVYYSKKSLEFIESIPKGKLNKLQESNYYNMLISQYLNMGSIYTHFIKPPNFEKAEFYYSKALNLSTTQPEYFKENALFAYFTIGHFYFQKKEYQKSIMYFEKTLVEEKMNTDLNRRLATYDNLKNIYDSIKDVSKQNKYLKLYSNLNDSLLRIKNKSIITHSDKQTHHLQSEVSNLRKDILWSCLAATIILFSIGAYFYKRNSTLRIKYNVLINKLENQEVTATKRIYGNSNEVYVNSNIPLHKEDNIIKKIEAFENSEKFLRKNITLPYISHLLNINPRYLSITINKVKNKNFNDYINELRIKYIIDKLYNNPLYREYKISYLAEECGYSSHQVFITAFRKETGMTPSYFIKQLSIKQRIKD", "MTTQQKIIKNKLGVLELAQHLGNVSKACKVMGYSRDSFYRFKELYEQGGELALQEISRRKPVLKNRVDESIEKAVIDIAIENPALGQLRVSNELRKNGFIVSPGGVRSIWLRHDLHTFKLRLKALEAKSAQEGIVLTESQLTALERAKEEKKAHGEIETYHPGYLGAQDTYYVGNIKGVGHIYQQTFIDTYSKVAFAKLYDRKNALIAADMLNDQVVPFFEQQELRLLR", "LTDRGTEYCGIREQHEYQLYLAIEDIDHTKTKAKSPQTNGICERFHRTIQDEFYAVAFRKKIYRSIEELQLDLSSWLLYYNEERTHTGKHCYGKTPMQTFFDSKPIAKEKLLETLAEEQKILTFGSKDNIG", "MSRNIKFIAQRDNMDCGPACLAMVSLYYKKKYSIEYLRSLSFITKEGVSFLGLKEAAKNIGLDSFSAKLSLAELIDKETHYPCVLHWNQKHFIVLYRIKRNVKSTIFYLADPEFGFVKLTQEKFEKSWISDNGQGLALSLLPSDKFYGQAPPDEEKITIKYLFNYLKSYQKQLLLMFFTLLIGSGLTLMFPFLTEALIDKGVNAGNLGFISFILLAQLSVFLGVLVVEIFRNWLMLFVGTHLSITIISDFLNKMLKLPIKFFDTKLMGDFQQRIQDNDRIEEFLTSQSLTTFFSIITFSVFFGVLWYYDYKILLAYLILTVISISWSFYWLKKRKMLDYFRFQYRSENQESVYEILNGVTEMKLNQFEDYKINQWQAIQRKLFKLNNRILKIDQIQSFGFEFLNQIKNILVTFLAATYVVKGSMTLGMLLSISYIIGQMNSPVSQLINFFRSLQDARLSLERLNEVQRHKPEEDESLQSLRLSGSSENFLKGINIKDMSFQYEGPKSPFVLKNVDLFIPYGKITAIVGASGSGKTTLMKLLLRFYNPIEGGIFYDKDNILSLSPKSIRENCGVVMQNGYIFSDTIERNIATNDIDVDPKKLANAVNIANIKSFIEELPLGFKTKIGAAGNGISGGQIQRILIARAVYKNPHYIFFDEATSALDAENEKIIHDNLQLFFKGKTVVIIAHRLSTVKNADQIIVLKNGAVVEQGNHNDLVHCKGEYFNLVKNQLELGG", "MKKIVFTLFTILLFAVCSAQSNIKIVKKQKVFSIERKKTDAICAKISTVFADYSCKEYTNKKYSKYSPDEEVNILLKKNKIRILYTSVKESGILSKKFDQLAKIVDE", "MEDFSIKFWKDFVKTNRDFTETCVIKNAIDSTMLDELNAGIMEVLRNRLVLKDINDGFRVYIEGIEQKEDYLNELCLTPPNKDDDITSYTSRIFDKKFGFIINSGERHSDYISKNILKAVTPLIGLKGLPPLGLEITIFIGNYGWTPLGIHQDHRGENVIHFHLGPGDKQMYIWDEDAYKELTDLKHNNTDIAPLLPHAKEYSFGTGDLYYMPWNKFHVGNTEELSIGITLWFNNPSKRKYLNKMIGTFYKYFVKGGDEIIGNKFNLLENSRDSTKDIIEIFELDSKVLNGSVVDFFRFLIGEFNHNLISNGGWQTPPLSQAQKNGFNVDENYNTISDQKIESDSNFPILYKIKGEELIVYVRGSKFQVKHFSDLVDLIDEINDQEVIDIKTYLSTKTIDIPQEAILYFLALIYDKRGFEIIN", "MKNTYNPKELLNVKILSTSEDIAIKGGNDRKKKDQEKRKIEIKDRVTIDDDELFPVELNY", "MEKKSFDAKSFLNFKEMSPREDLLVKGGGSDKKKEKQKDKKKSTEVQEK", "LKGKVINQTKQPIEFIQISLLNNGQQVVGQTVTDGLGFFSLSADAGRYLINGDLFKIELFRKEIILKQDTDIGVIQISDAVQLEGVTLSGKKKLIEKKIDRLIFNVENSTSITGGNALDALRITPRLKVQNDQISMIGKNGMMVMVNDRLLSLSGEDLANFLKTLNAEDLKRIEVITNPPAKYVAAGNSGIINIVTKSSKKEAWNASLRSTYQQASYATGNIGGSFNLQKNKFDLTSNISYSNGSNAPDAGSTIFYPNAIWDIQNKRRSYSDNLSARFGLTYKLNDKIKTGFSINHLRSNPLSKEKERTDIYNSGEPRQIDSIITTKGRNEYEKKMTSLNYHIVYDIDSVGKKLSMDVDLFNYRNITNRFFNSQSFFNNGIPKPNGNIQARNYGLQDIQNYSINLDIEHPTKWINLNYGARISKIKTNNLFEYFDIENDKEILDTSQSNAFEYQENIQAIYLSGQKKFNEKWEAKLGLRYEWAQTKGYSKTINQINDNNYRKLFPTLYLSYTLNENHVFNINYGRRIQRPSYNFLNPFRFVSNPFSYSEGNPFLQPSFTDNIELEYSFKDNLITNFYYSYIDDDFEQITFLDPNTNVQQIIPKNFIINRTVGLSQTAIFKPAKWWNVNLSANVYYSSTDSKIPQTLQFLSGWNGEFSASNDFTLNESKTILANINYYYTTKGVDNLDYNSSAHQLNASIKWLLLNKKMIISLNVNDIFSSNRFRYTTFSNNIKNSFTNYSDDRFFRLGIIYNFGKKIQTDNRESKNKEEQDRTN", "MKEELFPKKKCNDYLLPRLVKAMTLSAGLSLIFFMTSCRSADTETITGNAEVKINFKGSNYEGIAELGMQASSGGNLQYKSSNQHQEIKMDNDFIVVADLIPQNPTLELQATASNVNNLMAATEPGLLPNDICYKLLVYDNNGNYIAERDYIRGKESNTAITPALMLDGGQTYTFVAYCINTTTIASLPSVVNKNTLAGATLSDMAGTTDLMYFKSSPVTLRGGTNNYLDIVLKHMFSKITTTLDVSQTGYKIHTIDANIAPHYNKASIKLADGVITRNDLGSTPIQFTLPAGDVIDLYPNSVSNVSIIDNDMNNTTVFNIASIRLGVGNKVTGGASIVNKNIKIENLKVTPGVKYNLNINIVPVDTYLTYMGVPAARINGQIWMRYNMGVTNMDLVANNPDQDPQIAALYGSYYFWGLKDPYSATNNTQKSGPVGAWNSGTNYAPVKNQTYDPCPSGFRVPTTNEWLKLIEYTTSENIGKWDATPVDFGGSFGAGKVLRSKKNTSVKITLPIAGTFHAAAHVDYRGYVGNYWTSTESSNVQLIRFSVENPVPATSAVVTSISTTDKSFNQYSLRCIADVPN", "MRSSPRERILNTASILFHEQGYNNTGINQIIADSGVSKASFYDHFKSKDDLCLEFLNQRYKYWSSQWEAFIAKSSGQKEKILMSFDFLMYMNEKENFRGCSFLNISSEIPDDKIQIHKTIRQHKNELRNFFTKEIPDEILAAHIYMLFESSIVMGRLYGNNELTIKSKIIVNGLLKD", "MNKNKNKMKSVKQIVIGMLLLGSVNVAYAQTTDSTKQSQPTDQTKQQDNNALVKEAIAYQDKQDWNNALATWKKVSASIPEWAPAYYGQGYVYQSLKDKANAQASFEKFIATVKPEEVEANKQNLAYAHMYVAFALYETNKEEAKKHIAKALEYDPNNEDAKKLSKSINQ", "MNKITTLIGALAVTMVSAQSIKTNIDLVNIKDDKVAVTMEFPKMKSSDVKFHFPKTVPGTYSVDDYGRFIEGIKFYDNKGRELPYTKVDDNTYTLKNARDLTKVTYLVNDSFDEETDISKHKAVFSPSGTDIEENKVHLINTHGFIGYIDKMQDVPYRLIIQKPADFYGTTALVDQDKSDVTDTYVLANYAKVTDSPLMYTKPNYTSFNAGGMDLVLGVYSPTGKYKAADFKDNLEKMVVAQKKFLGDMNTNKKYAIMLYLSGGDGPGIKGYGALEHHESTSVVLPEAMSKEAIDKAITDVVSHEFFHTVNPLKTHSEEIHYFDYAAPKMSQHLWMYEGGTEYFANLFQIQEGLIDKNDFLTRINQKIAGSKRYKDTLPFTVMSKNILQDAYKDQYANVYEKGTLLTMCLDIELRELSKGEMGYRDMIRKLSQRFGENKPFKDDKLIDELVIVTGYPQVRDFYNKYIAGNEPTPYEKYLNKVGVEVVKTEKPIFWLTKNPNGTTSYNNKDNTFTFTPDAEVSPFGKSIGFKTTDEFLAVNGKTIDVSKMKDFINYMSSIKEGEDVTITVLRQNGDKKEKIDLKGKAIIDKLVNEKLQYKANPTPAEQKLQNQWLTGKK", "LRKIVHYSLILCILLVQVIIAVFFYNEFTNEKKLKFIESQLKDSKALGGLTENSRKNFTEAQNYLQKYMVSQDDKDLKLYFESLKKLKGNFDKIGEYESLSPGLKNSIAQQKKDTPKLLDLKVLMDSVYQSSIQHSSKTGDKYYEPEKYKSNFDNLDIQTQTHTTTTTTTSDTIKKKGFFGRLKDAITGKVDVQKEKEKESTIVTVTSNNKDKSKHKTIDISNIKTEMNNAIKSINKHYSDQIKKVQLSAAKSQRDNLRFYSNFNKLLVYSNGLIDVYENAIKGFKSELEKEYNEQSSKNNRTRTYLVLGLMVLMFIVSILIMYFTRIAFIYEMKLNEANELNKKNLGFKNRILGMLSHELRSPLKIINIFIDKITRTTKDETIKGYLNSIKFTNSSLLIQSNQILEYTKNQDAGQKLVNTAFNLKDEINAIATAIAPYIETRNNKFIVNDQIPADIVVYSDNIKINQLLMNILGNANKFTENGQIKLDLTTEMVGKDKIVLTTVISDTGSGISETDLKKIFEPYYQGMLSDEIDNLGAGLGLNLCKEIVELFNGNISVASELRKGTQVTFRINLNIDSNRTTNGE", "MENKEIVFLLADDHSIVRHGIEIVIHECVPNAIVHHTSALHQVEELIKTKGVEILVIDAHFPDGNSLHILPQLKDANPDLKVLIFSGLEENLHAIKFINAGANGYLSKLSEEVEVEEAIRSIVQKGEYLSEISRNLLVQYANNPSSVNPLSNLTKRELQIAEMYAEGYGNLEIANQLDIKQNTVSTIKKNIFDKLKIENLVELIDLIKTHHKI", "MIDLSRIDLRSDTLTLPTRGMNEAIANAVLGDDVYGEDPTVNELENKVAAMFGMEAALFCPTGTMTNQLGIKVHTQPGDEVICDKQAHIYLYEGGGIAVNSLCSVRPLEGKYGMITAEAVQGSINNREDIHQPITSLVALENTTNKGGGAYYDFKEIIKIKEVCVQNNIAFHLDGARLFNALTETEETPLDYGKIFDTISICLSKGLGCPVGSLLIGKKDKITKARRLRKLMGGGWRQAGGLAAAGIYALDNHINLLKDDHRRAKELEKLLSALPEIEYINPVATNIVMAKLPETIDSVTFVNKLKEQNIYCSSFGKDLVRFVTHLDFTDDQLNIFAERISNIKF", "MMKKSKKSECSFCKESYKEPLLTVESVVLEYSIAAGSAKVIPSDSSGSVKEEWETGADDNRTIEW", "MKINIEKHLIVLWLVHIPFSCNSYLKAEPCQMTEGVLLPKNKVRNNNAVSLLAKEPEKTKDNNMLIYTGIFVASVLGLGTFVYKRVSISESKRKILETETRMLKIRMYDKSFEEVIELAKKNDMVFFTKFTALYPDFVPALQKINPDLKRSELIFCAMLKLNFSSKEIASITGVLHTSVQKRKNKIRKRLHIPSQADLYFFFDQLG", "MLKKPFILISIICTLLLQLFYAQEQGQQKKEDQQKVNLLLNETTDGDYLLAIEKVGEVLQSAYINAEFTADVYLVFGDISQTDKNMDIILRSIKAANLNVRNQQMYYTVLQQIKKKLDDQSKFINKQDSIQNKIQERITALGKDKTILAFAKDSMRQKQFAKELLSLGEHYKKTTGLLSENAKLLNEKKRIILDNKTAIFYALQTVEERLQKSEIDLIKKEYPLLWKTETSVAKSNLPTNFTEKIEVEKDVTLYYLSQIIGGLVIISILMLMLALYINANLRYLRKAGYLKNLEKLNFSFLNQGAFVPVLVLGLHIIILYNLSAPAVLTTLLHLTLLVSVCFLFRQNWQKNSMHNWLFLIVLFSVFSFISLFVPVSFLERSIFILINIGAIYYIIKQLKNSDNNLFKLSFFRWAGFFFVCFCAFSIIFNIFGRVSLSHTLSLTATAALTQVIALSVLLKIIIEIILLQIYRTRVQRGVTTIFDHKSLSDNLKTPFIMITGYMWFVVTASNLNVWNGIREAITGMLSHPISIGSFTFTMGSVILFFALIWMAHLLQKYVAYFFGEIEDEDEENINKRQHSRLLIIRLILLIGGYLLAVLASGIPLDKISIIIGALGVGVGLGLQGIVSNFVSGVILIFDRAIRIGDIIELNSQRGRVKSMDLRTTKINAPNGSEIIIPNGSLLSQNITNWTYTNNLKQVEISFSLIGNTTPEDINKIIHKAMAAVPLVEHSRSCQIYYNSLSKDNFGILVKFWCSIYRTEEVISDTKQSLFTHFETDGIEATI", "MNTSLYRYMVVVFIPLITLSCRSTTDNPEKEGKVTVKINLLGVAETSDTSISSSRMIPVAYVAEVPYDKNFNLVATITPEVPAIKTNAQTAVNPSALTITPQPPTTSPIGVNVKYLVMVFDENGNRITAQEKVYDSSNQSNTADQIILNAGKDYTFVAISYNSAIAPVFNAAVTTISDVFNTVTVDHTSDYLYFNSGPINIIYGQQNYINVIFKHINSRVSLNVDATAEMGQITTIAANITGTGSVNLAANGATTSGIPAAYDKAFTFPVVNNQVVNSSSVLVSSAGNLHTVNITSVSLNNGVPRTDILPVTIPGGTFRKGVSYKIKLSFQATGILAGGLIWARGNLAYNWENRIYYNRYYPQETGSDYKDTDYWNYATEQGNPLVPKMIITSHSDTWNGGGNLYYFVDGINENSTSKIPLNDPCKLVAGGKWRMPSLEDFESLGVYMVHNGGDINGTTDGLPTTTLSGGTNHANGNITSNNFPYIYFEGIQEVTRTGTRLRFYKTGRYYGNVTEADRAAGYQNGGNSPYIANAAIYMAGNAYNYENFVLYRRPYMAVIYNGDRSNGANTFTTQRKALYHDWSADDRVPIRCVKSP", "MNAYHKRKTSRLYLQEYLPPVIKKTVNVKKRNLAKGIISNVSAEADTDVKKDTDKNTLRAYIPPTIDVTLVEMENGIAANSGFALPVKAGIQVDEIWDNADIKPDQPIYW", "MLRKYWNYIANSHVTKEMNKLEAIQARIINQVIFIKGAFFIIDAIRDWIFGLITNSYILFSMGCLLLSSFFFRKARFNPYIIFTIFLLIGLLVFYYASKDGFDNGITFYYFSLLVTVLLILNGKTGVRLIVVYYIIIFIFFCIGHAYDFYLIDSELLVHEELEDSVRIITFIQAFILLAVAGYFIVLKHNQLTGLYQQVLRSEFIISELNKKLNEDMNINISDVVKSAMDNDVSFIPLFKKAFPHFYDNLASVNLHITGDEFKFCALLKLGFRTKDIAEYCHFTVRTVQTKKNRLRKSFNIPSETDLYSWIDNF", "MKLKYYNKTALAVLILSFLMSSCRSVESGNSENIIDPPVSVGDASVVVNLLGPEFVESDGNNPQASTGKQLRNPQAKETYYTLTSPSTLLAAEVSEDTSVPLNTSAGINPVALVEGDPLVNGTKFRLIAYKMDGSYAGSKDFAVGTTSTSGLRLPKGVPYWMVVYSYGTNYLSGISPSETMSLGNAKHTYDNMVGQNGFLYQIQMFTPQEGDNTMSVVLRHKIAQVTTKINSSALSAPNNITSVTSATLIGNNKNAEFNLSNGSTANRSTSQNVETTFGQSPSTEWTSNAVFINADSPVNKTISFSANIAINNQTAKPVTITNGFSINPGYRTTYKINLKETKCGAVVKVGTVTGFRQFSCYNGGATENGSPFTPSADIHGAKYQWDGKKMVQNYDQTNIYANPGTGTDTDNTFGAGNITNENSMGLVCPPDYRVPSKDEWDSFIQANTLGLHTLSKISTGSTVYDSGIIVTYGGKITLFLPLAGYRSYISDPLNSNFGKFFKVITGGNGIYWSSTAVPNSFGTSVYNAYSLDFGDSSNSPGFTLNVGSNTPRRNGASLRCIKI", "MKKEFEKETSEKIPEKKEYIPPKIETIWIELEQGITNSSTYISTKSGDVRTQWEDEEEQSVDVNF", "MSNSLYPIFVKLETLSLLIIGGGKVALEKLDSVLNNAPQTSVKLVAKEIIPEVKALQEEYKNLVLEQRAYTYADFDAADLVIAAVNDLVVAEQIRNDAHVKGVLVNIADKPELCDFYLGSIVRKGELKIAISTNGKSPTIAKRLREILTETIPDEIDEVLDNMQNIRQQLKGDFEYKIQELNRLTTEYLSKENSKDKLGLEIENLTRITKIVQRRANIYLGIIGVMLLIGILGIIVYQFNLWGDIQVFLNQDGHIFYWMLFVGFLAEIVAGSMGMGYGVICTTVLLLLNVPPPVVSASIHSAESFTTAAGSISHYKLGNVNKKMVWILVPVAILGAIIGAFTLSHFGEHYAHIVKPIIACYTLYLGANILKNAFKKKGVTVKAKRKTNLRILGLAGGFIDSFAGGGWGPLVTGTLMKDGRTPRYVVGSSTVAKFLLTVTSAITFIFTIGIHHWNIVLGLLLGGIFTAPFSAMLTAKLPTKKMFIVVGTVVIVMSLTTIIKALL", "MKSTIERRFLQRIQLILFTFLFFNLFQAQQQLIELSGIIKDTDSHKGVTGAHIQVENTQDIASTDQDGNFSLRTRVKIPFRIIIKKEGFTSQTVEILSLSNKITVELNPQNTIINEVVISASRVPEKVLKSPIAIEKIDIRTIRESPAASFYETLENVKGLQLLTSSLTLKVPNSRGFNSPNNFRFMQLVDGVDVQSATLGVPLGNAIGPTELDIQSMEVTPGAASALYGMNAINGLASLQTKDPFTSQGLSFYFRGGLNHVDNVNHKISSLGESAIRFAKVINKNLAIKVNASYFSGVDWISDNRTDQNPNSLITANPKFPELNNKNPAEDLWNKYGDERNNRVAVKVDYNGKPTTFNVSRTGYYEKDLVSPEVKNIKLDAGLYYRFGDNWRASYVYRYGLLDGTFQRGNKIRLQNATVQNHKVELTGKELTVRAYVSIENTGDSYNLKPLADNLDLTNLSNNNWKNIFQSALQNSLNAGTNLNDAFALARREADKNRVVPGTSAFEQLKNTIIGINNWDSANGGVAGAPLTGGAKLEQKSHFYQGEATYDFSRFVKVFNLLVGADYRLYSITPDGNNFVDFSRPVDQRNVPLSDGSFGKDVTYQKYGAFAQITKLFWDEKLKLNVALRIDRNPEFKTKFNPRVSVVYSPVNQHNFRVSFQNGFRFPSLFEALSFVNNGNVRRVGGLPMVNEGLGYLDNSYTLSSIDRFTSAVNADTDAGKSQNQAALDNKNLLVVANLQKLQPERINSFEVGYKSLLFNNRLAIDWDFYYNIYDGFLGQVEVAVPKSGNVGSNNAVLDMLNRSKQDRYRVYTNSNTTYKSYGTSLGIRYNFLKNYNINANVSYNDLASSNNNSDLFITAFNTPKWSANVSFGNREIVKNVGFTVVARWQNKFLWESPLASGEIPAFYTVDAQITWKLPEISSSLKIGATNLLNRRYFQYAAGPEIGGLYYFAYTYDLKFK", "MSAKDNLSPVEKIKTSSNGLRGTLKESLLDNFTGAIREDDQNLIKFHGMYQQDDRDRREERVSKKLEWLYSFMIRLRLPGGFLTSEQWIGLNEIAGDHSTGTIKVTTRQTIQLHGILKSHIKPTIQAFSLQHLDSIAACGDVNRNVTCTSNPSESPLHNEAYELAGKISELCLPKTKAYYDIWIDDELVVDRKAEEDPLYQDRYLPRKLKIGIAVPPNNDVDVFINDIALIAIIENDQIVGYNIAAGGGLGATHGNEATYARLASVLGFVDTEEKVLKAVYEIITVQRDFGNRSDRKLSRLKYTIDKLGIEGYRAEVEKRCGFSFEPAREYKFEQRKDRYGWVQNHEGKWFYTVFVEHGRILDEPNKQGEQYPLKSGLLKIAKTGKVNFRFTCNQNLIVSDVREEDKAEIDQILKEYGISDYTEKVSALRKNSVACVAFNTCSLALAEGQRYLPTLVTKIEPLLEKYGLFEEDITIRMTGCPNGCGRSPNAEIGFVGTAYGKYNLHIGGDRLGTRLNTKYKDNIGEDEILKTLDELFGIYVQKKEAEETFGDFSYRYLQITK", "MLSETKLKVLKEISSGFSRDEAIWASGYLVGLAGTSAAVADLPPQLNTHTTAVKKITLVYGTETGNSKKLATELAGVAKKKGIQVKLGDLSQYKPKDLAKEEYLFVVISTQGEGEPPILAKKFYDYIHENELNLSNIKFGVLALGDSTYPQFCKTGEDVDTRFEVLGAERVIPLKRCDIDYEEDAHRWLDHIFEVVQNKEAGTSQATPAKASSGRKKYQGKVSAIINLNDITSDKETYHIEIETEEPIAYRPGDALGVIPFNPKSVVEEIIGLTGIDPGKQIQTTRVTASAEELLHQHLNISYLIKTTVAQYAQITGHDIPEIRLSLLDLLRIYPVKNAEEFEDIIKVLTVQAPRLYSVSSSPEAHGDSEIHITVAKSEFFINDKKQNGLCSGFLSEFEEDGTVEFYIQEAKHFKLPETAKDVIMIGPGTGIAPFRSFLWERDATGAEGRNWLFFGDRNFVSDFLYQSEFQDFLKTGALTNLDLAFSRDTAEKVYVQHRLQQKSSEVFQWLEGGASVYVCGAKEPMSKDVEETLLHIIQHEGKRNEDEAKNYLEELELSGRYAKDVY", "MKTTNKTPKVYLIGAGPGDPDLITVKAIRAIAKADVILCDRLVSPEIVDNYVGKETEIVYVGKECSKKASTPQSSINELMVEYALQNKTVARLKGGDVSIFSNILDELQVLKENKIAYEIIPGVTAALGAAAYAGMPLTARGYATSVRFLTYYKSEILTEDYWKEIAETNDTLVFYMSVGNLTNLVDKFKEYDVSSEKKIAVIEQATTPFQKVYTSSFEDFAQKLGHKLFASPSLVVIGKIVNLHDEFSWLQNTDSEGLYFKSITNGSLLPKTQNFFEYAV", "MKFQNILETIGNTPVVKINKLFNSDSEVWIKLEKSNPGGSIKDRIALSMIEDAEAKGLLNKDSIIIEPTSGNTGIGLSLVAAVKGYKLILVMPESMSVERRKIMEAYGAEFVLTPREKGMKGAIEKANELAEETLNSWIPRQFDNPANVKVHTETTAQEILKDFPDGLDYIITGVGTGGHITGIAQVVKQKYPNVKVIAVEPELSPVLSGGAPGPHPLQGLGAGFVPSILDTSILDGITQIGKDEAFTFAIDAAKKEGLFVGISTGAALAAVAKKLPEIPAGSKILTINYDTGERYLSIEGLF", "MNKVSDSFIEQIFQRKKNASYGFFDKNKAEAFVEELYQLLFLPQHINMEETLRTQFEELQNKLFELIKNTTDDKLFAERQVEVLFDALPEIYERLILDAESILEFDPATESLEEILLAYPGFFATYVYRVSHQLWKQKIKTLPRIISEYGHSKTGIDIHPGAVIGEHFFIDHGTGIVIGETSVIGNNVKIYQGVTLGALNVSKDKANKKRHPNIEDNVIIYSGATILGGDTTIGRDSIIGGNVWITQNVPSNSLVYNKSEIRIKDNNPLPESLTFVI", "MDILRFITAGSVDDGKSTLIGRLLYDSKSILQDQLEVLEKHSKNKNDDGVDLALLTDGLRAEREQGITIDVAYRYFSTARRKFIIADAPGHVQYTRNMITGASNSDLMVILIDARQGVIEQTRRHSIIASLLNLKKVAVAINKMDLVDYSEQVYENIKADYAKIAENLGLTQVTYFPISALKGDNIVTRSADMDWYNGVSLLEYLEEVEVNTDTDTNSRFQVQYVIRPQNMKYFADSYENNEQGERPFDELHDYRGYAGKILSGDFRKGDRIQILPTEISTKIDRIEINGSEVEEAFEGQSVVLHIEDDIDVSRGDFFVSAEQLPQVEKEVEVLLCWLDQKALQPGNKYILQHHSRLIKALVKDVEYKVNVNTLEHEKADGDIKLNEIVKVTLKTAQPLVFDSFRKNKATGSAILVDETSNSTVAACIIQ", "MSTIEQANYLEQLESESIYILREVAGQFERPALLFSGGKDSITLAHLAFKAFRPGKIPFTFVHVDTGHNFPEALDFRDALVKELGVDLVVRKVEDTIKKKNLTEPKGKFPSRNWLQTFTLLDTIEEFEFDACIGGARRDEEKARAKERIFSVRDEFGQWDPKLQRPELWNIFNGRIHKGENVRVFPISNWTELDVWNYIRKERIELPSIYFSHNREVVDLNGQWIANSEYASLDADDLVTTKRVRYRTVGDMTCTAAVESNAETIDQVIDEIIATRISERGETRIDDRVTEAAMEDRKKGGYF", "MENSLKIQLNKILEGITESSPDTDILQLLVDRFPKEVIFSTSFSYEDQVVTHLVKDLDVDIFTLDTGRLFEQTYETWSATKAFFKKDIKAFYPNAEVLGKFVTENGPDSFYRSVENRKNCCNIRKVQPLKKALQGYKVWITGLRAEHSVNRHNMSPLEWDADNQIIKYHPLLYWTTQEVKDYVKENRLPYNYLHEKGFVSIGCAPCTRAIQEGEDFRAGRWWWEDANKKECGLHIHQ", "MMSKRCKYALKAMVRLARNYKNGFLSTSVIAQEENIPKKFLEQILLELKRAKLVNSKQGIGGGYYLLKSPDEVSLADLYRIFEGPISLTPCISLNYYEACDDCIDEEACYLRHELINVREKTRKSMMEATLTAFMNRK", "MEKLDYIIVGDGYAAMFFAHQLLKSGKTFKLFSEGNKAASHISAGVCNPVVLKRYNKIWNDEAQMDYLPVIFNEIEEYLHKNYLIQENVVRVFHDEGEYKLWLKKASQDKFEGYLDSDIQKLSSVENPFGAGRVKNSCRLDVRNFFSDFFSFLEKKKVLVKERFDYSELNVDQNTYQNYNFNKIVFAEGTGIKDNPYFGEIPVKPNKGHRFSLHLEKDTEAFVIKKKHFLFRFSGDEYYYGGTYDRDSETHEIEEKAVEELKKGLEEVYKYDYKIDEVSTAFRATVADRRPIIGRHEIHDNLYIFNGLGARGVLNGSYFSKLLFDFIELGTLFHEEVNVKRFSQIGKLS", "MCGIVGYTGFRDAYDIVVNGLKRLEYRGYDSAGIVLENAQNKLELKKTKGKVSDLEEIAEGLKNTAHIGMGHTRWATHGVPSDRNSHPHLSNNGKIALIHNGIIENYDTIKTMLTQKGFVFHSETDTEVLANFIQYFMDESGKDFPEAVRTALSEVYGAYAVAVLHEDHPGQFVVGRLSSPLAIGLGDNEYFIASDASPFVEFTKEAIYLEDGHMALISLEGGVDIRVINDNTKVDAQIQELKLSLEQIEKGGYDHFMLKEIFEQPKSIHDTMRGRILLDEGIIKMAGIWDNLDRIKKAKRIIIIACGTSWHAGLIGEYLIEEFARIPVEVEYASEFRYRNPIIGPKDVVIAISQSGETADTMAAIKLAKEKGAFVYGICNVIDSSIARITDAGSYTHAGPEIGVASTKAFTAQLTILSLIALKLGKHNGNLNNTEFMKYLYELDALPKKVEEVLQTSHDTVKKIAKDFVESTNFLYLGRGYNFPGALEGALKLKEISYIHAEGYPAAEMKHGPIALIDENMPVAIIAPKQGHYDKIVSNVQEIKARKGKVIAIVNKGDTQVAKMADYVVEFPETSECFSPIVSAIPLQLLSYYIAVYRGANVDQPRNLAKSVTVE", "MKKIKIIANVCFVATIGLFTLTSCEADADNLGSQFLDGNAANGQELSYDVIAYNIDNKDSIRSDARNLTNAILGAFDEPVFGMHKAAYVTQLRPSAYGTDFGTNPKVDSVVLQIIPDYKKDSVTTTTTTINKVSTDQDSTKTVNTYPLVKYGKGKIGSTPVKFKVNVAEVSDFLYDNTKTYFSNQTVTATNILGSKTIDGNVRGVKITKVGDNSELFNRDPGIRIPLDKNFFQSKIIAFQGKNELSDAASFIRHFRGLRLSVDENDGFLFNLNPNTMSVTMYYSNDVTKDNTTTRQQNTYTFDLGASNVHFSQFTFNRPSAYTTAMGNINKTTGDTKLYLQGAGGNGAEFVIPTSTIQALKNVYTQNKAGILTAKIRLYSDANTWKSVFAKPSTFTVLQKDMKQFMDDMTALSGAGYVRVKATDLDKNPAYYDISITQTLKNIVEKDAENKPIVINVGDFTTNSQTGAYLGWNYNSRAYTPNRVVLVGNDPANTTQKAQLKIIYTKK", "MPNQKILYVTSELFPYQEDSNMATMVNKMALRMYNDGNDVRVFMPRFGMISERKFQLHEVIRLSGMNIIINDLDQPLLIKVASLPNERMQVYFIDNEEYFKRKQLYFDDEGVAFSDNDERAIFFARGVIETIKKLNWVPDVIHLNGWMASFIPLYLKTFYKNDDYFKDTKLVVSIYNEKDAAFENNIEEKLKFDNIEGLTALDKPSFRKFVGESLQLVDIVLKGDESLEDDLESMYTGATSDKKDFVSADAINQVY", "MEILRNRQSLSDYIAAVKKEGEKIGFAPTMGALHDGHMSLYKEARKDNDIVISSVFVNPTQFNNPDDLKKYPRTEENDIAMLEKAGVDAVYIPTIEDIYPAKAESKHYDFGGIENEMEGKFRPGHFDGVGTVVSELFRQVQPDNAYFGEKDYQQLAIIKKLVEIEKFPINIHGVPIYRAENGLALSSRNARLSEEERNGATLIYKTLVKVNEWFRVISIPEIKKRVEEIFEQSDYELEYFLIADEETLKETDFFYKDKNYRAFIVVFVGEVRLIDNMHMD", "MMIRLATLQDLESLTLIFEKYRDFYKKQGDYERAKSFLKERISNNESVIYIAEADGKTIGFTQLYPLFSSTRMKKLWLLNDLYVEEEYRQKGISIALIDKAKELCRETGASQLSLETSKTNMVGNNLYPKTDFQLDTEANFYYWVP", "MRKLFLLFTLFIGILHYGQSLNLTGKIVDQSGKPIEDATVYLLKQKDSSIINYTNSGKEGNFSLKFDKIHEPVLFKVNAEQQKEYSKTYDKLESSQKLDVIKLITEVSKNIAGVEIKGAPPVKVKKDTLEFNAASFKVKPDGKVEELIKSLPGFEISNDGKITANGKEVDQILVNGKPFFDKDGKIALKNLPADLIKKIQVTTSKTDEERVKGEKGKSNNMSVNLTIDEKKNKGFMARVYAGYGSDKRYEGSAILSYFKKNTKISLLASSNNINVSGFKSDEVYESMGYGRNANLIQGNTYVQEGNRIMISSGDNGGGILRSTMVGVNYSDELGKDASLNSLSLLYSKNNRETRSVSDRTTLLTDYALRTKSQNSGENDSSQYSVENSFRIKLDKNTNFYLSNSFLTNNGTSISTGNSSTFRDGTLLNENNSYNKNDSRNNSGQSRIYFSKKLSEKGRRISFSMAGNAGESVNDNLIKSETLFDQEPGKNDIRNQRSYSKSLNNRYDISADYAEPVSDSARVSLSMGYSTSYNRNSRDVNDFNPVTGDYSKFNVDLSNNMRQQNNSLVPGIGYEMNKKNLNLWASTNLNISQMDVNARYNGQDYQLNKNFVLPEFNFNVWGNKNGKNMSVYVRSSYDIPSAMQLTPYEDRSNPLISYKGNPDLKNTWRFYGNMYYSKYNQLKNTNLYFNVNFNYQDNDVTNNRHFNKETGGQEITYMNVSGNKSIYFGSGYTKTYKWKDNKLTFGPRISLNHRFTNGFVDGDKYTSAAYSVSPGINLTYEIKDKMTIKPSYSLNYNQTDYKNYVLDKSHTTSNIFSLQSINYFGKNQDFTVENNFTYTTNSNIAPGFKKDFYFWNASLGYTFFKKQMTAKVMVYDVLNQNQSVRRVITDTYIEDREDLILKRYVMFTLSYKFNKFGSKKG", "MSELQDKYASVITAAQGAGISNLQVQEQDGILYVSGSASNSAAKDAVWNALGVVDPNFTASDINVDVQVSGLPAGTNLTVNTESTNLNIRETPSTEGNIVGKAAKGELVTLVEQTNGEWWLVRTKDGEQGYAYSRYLQA", "MKKILTTAALAILVSTVAVSCKKKNNDADLQTKATAVVAADPQAKVEVKDGVAHLSGTFKDAAAKDQMIASLKAIEGIKDVMDMSTVEAPVQVETKVASAPENLQKVKDALKDFPKVKAEVVNGELTINGDVTKEDAKKIKQSIDALKVGKVKYNYIVK", "MRTYAGIPEENATLDNSKVMLVTVPYDGTSTWGKGADKGPELFLDASENMELYDIETGTEPYLNGVYMAGEISENSTPEAMTEAVYQKTKELLGHEDKLFTLFGGEHSVSIGSIRAVGEKYENLTVLQLDAHTDLRPDFHGSTSNHACAVFEASQKHNLVQVGIRSMDVEEMQYVPKGQCFWAHEIATNPNWIDDVLAKVSGNVYITIDLDAFDPSIAPSTGTPEPGGLAWYPTLELLKKVFEKCNVVAFDIVELMDSPMAKPTAFLSAKLYYKMLAYYFTAKK", "MKLPVVCPSCESTLIVSQMKCTNCDTTVNGNYELPLYLQLGREEQQFILDFFLSSGSIKEMSKQANLSYPTMRNKMDDLIEKISELKKTLP", "MKNIPVKIGWETIILIAVPLLFPLYFVFTDKDYIPLAILIPAIIFVSILIMGISYRIIGNELIIRNSIFGSTRIPISDIRSIKRTKNPISSPAPSIFGRIEIIYKNGSIIISPKDFDLFKNELLKINPNITF", "MNWKTLFNPFEKYSEYKLLLFGILFFILTPFVSYYTQNRMTSFMRFDRPEEVLTLKSSFLYCSISITSIILILYLIAVAFNRRSRFFRYYQYNFGF", "MKIKLSIMLLLLCQMVFSQDLEKTASDFVDNLFTKKYTESIKLEDDEVKDKMTEAVLEMVNGQLSSMFGDYKKVISTEKTVEDGIPMILVYTEFSKQNVNFKVPFSKNNKVLGIFKGPDKEETSKVNRPQTPKPPYSYKTEDVTITNPIDKNLLAGTLTTPQNYSKNIPIYVLITGSGQQDRDSEFYDHKPFAVIANYLGNNNIATLRMDDRGIGKSSSGKSTDTTENYATDIMAAVNFLAQKGFSNIGLIGHSEGGAIAPMVTAKNKNIKNIILLAGPGLPGNELLTLQNKRIDEVYGATPDQIEDDIKIKSFFFKFVREYKGNNFPKDAHEELKKFFAQNTTKIPAKAQVKLSETLINSMVPWMIAFLKSTPEQYLVQVRIPVLALNGSLDLQITPEENLQAIKNALIKAGNKDFEVLEIPGLNHLFQTAKTGSPKEYEEIEETFSPKALEIMKNWIQKHQK", "MPLKAVLFDMDGVIVDTEPLHRKAYFKMFDEFGINVSEELFTSFTGKTTQSVCQELISRFNLNYTPQELTDKKRINFKYLFDTDPDFDLIPGVRNLIENYHQHDVKMILASSASMNTINWVFERFDLEKYFAGKISGADLKQSKPHPEIFELAAGISGEEKNNCIVIEDSTNGIQAAYAADIFCVAYKSEHSKNQKYDKAQKVISDYSEILYETSTEWFK", "MKIKYSELIDQTLYFPQEEFQVDNHQLKFHGIPLMELVEKFGTPLKFNYLPKISENIQRAKKWFADAIETHEYKNKYRYCYCTKSSHFAFVLEEALKNDISIETSSAYDIDIVKRLYEKGKVKENVEVICNGFKTDDYLVKIADLINSGFENITPILDNYRELDKLTESIDTTFNIGIRIASEEEPKFEFYTSRLGIGYKDIIPYYSQKIAEHPNARLKMLHFFINTGIKDTSYYWNELFKCLRVYARLKKIAPEVDSLNIGGGFPIKTSLNFDYDYEYMVNEIVLQIKKFCEEEGVEEPNIYTEFGSFTVGESGGNLYQIISQKRQNDREKWNMIDSSFMTTLPDTWAISRHFIMLPLNRWDDTYERVFLGGLTCDSDDYYNSEQHTNAIYLPVFTDTKPLYIGFFNTGAYQETISGYGGVHHCLMPQPKHVLIDKDENGNYTYSVFREEQTPDDVLRLLGY", "MKALLFINGEPPKNIPETKDYDLIACTDGAFHYLREKNFPLDLLDFISGDFDSYEENEKIVSEKLIHTPDQNKTDFHKALEIILEKGFYEVDVYGGSGGEQDHYLGNLTVAYLFRNKMEIIFYDEYSKYFFIPKEFEAQDVLGKIVSLVPYPVAENVITKGLNWPLFGEELNMTGRIGTRNFAVEDTFTCSYSDGAILLFIGK", "MTFKIYTKTGDKGETALYGGTRVSKASARVEAYGTIDELNAFIGIAKSHIDDSDCLKQLAEIQYDLFTLGSEAATPIDKVYLANGKSRLPVTIKEEDISKLEVWMDKMDESLEPLQFFILPGGGKAATFLHAARTICRRAERGMVFLNETEEVRPELIKYLNRLSDYLFVTARYVSMLDNEQEEYWNPNAR", "METICAKYSDVKSAILAVKQLSEDLGYRRKGKTKLSDAYYLDYGIYELDWDFFLKEYEKRFNSTLEGLIYEDYFPEQNPLYEILKLPLRIIMKIFSLFSMRMKRIYINIFGIKCKRLEVGDLVLSVLNKRFASRPDYKLILTS", "MAKKFRRTLNLIHIYQQLRPFIKPYRLMIYGTLILTFLGALAAQVNPVVLKYTVDEVSKLTHLAHPMQEGIHVLVVISVILLGKELANIFIQFGQKFYGEKIRINVSSDLAQAAIDKILTYRIAYYNDENHESGKLQIRIDRGIESLTRLVQNFFIDILPLFSNAIIALIIMYMQNVYVGLVSTVIIPIYFYVSVLQAKKLQGVRRQLRTQREQKTNGLLNLINSIMVIKSFVREKFEGKKQFDLQMQLMDSQMYTRRTNFFYDGLKTFLEQIGVVLIILLTVYLVLDQKMTIGAIMLHIMLFNNVSAPIRQLHRIYDEMNDALIYAEGYFEILNADDETEPNGNIEGIKIKGTFDLQHVDFAYPNGTRALYDINMKIEAGKTTALVGLSGAGKSTVINLLCKFYLPNKGEILLDGKNLNDYENEFLRSHIGLVLQKNHIFKGTIEDNIRYGDMNASFREIEEAAKKAYLHDQILDLPEGYQHDATQLSGGQQQRIAIARLFLKDPPIIFLDEPTASLDAIATEQIKNSLDAIKENRTVIIISHSLSQILDSDNIYVMKKGRVVESGTHEQLYEQEGVYKEIFDASARSLNLDRLVKTYKE", "MILRGENLIKEYGPKKVVKGVSVEVRQGEIVGLLGPNGAGKTTSFYMIVGLVKPTSGKIWLDDHEITSDAMYRRAQKGIGYLAQEASIFRKLSVEDNIMGVLQLTKLSKQEQKKKCNELIEEFSLEHVRKNRGDLLSGGERRRTEIARCLATNPSFILLDEPFAGVDPIAVEDIQKIVRSLVDKNIGILITDHNVQQTLAITHKTYIMFEGKILKEGIPEDLANDPEVRQAYLGENFRFEKF", "MKGIILAGGSGTRLYPLTIAVSKQLMPVYDKPMIYYPLSTLLLAGIKDILIITTPHDQAGFVKLLGDGSQIGCNIEYVVQPSPDGLAQAFILGEQFIGNDAAALVLGDNIFYGNGMGRMLKHKTNPNGGVVFAYHVADPERYGVVEFDDNFKALSIEEKPSKPKSNYAVPGLYFYDNNVVEIAKNIKPSPRGELEITDVNNVYLQQGKLEVGVLDRGTAWLDTGTFDSLHEASEFVSVIEKRQGFKIGCIEEIAFHNGFIDEEKLLETAQKYGKSGYGAYLKNLIKK", "MKNIIITGGAGFIGSHVVREFVIKNPEITIINLDALTYAGNLENLKDIENFPNYVFEKADITKPEELRKVFEKYNPDAVVHLAAESHVDRSITDPNAFINTNVIGTANLLNLCREFWTLNPEHTHGRFPNEPRTNLFYHVSTDEVYGSLGETGFFLETTAYDPQSPYSASKAASDHLVRAYGNTYGMPFIVSNCSNNYGPNHFPEKLIPLCISNILNEKPLPIYGDGKYTRDWLYVIDHARAIHQIFNEAKTGETYNIGGFNEWQNIDLVKELIKQLDAKLGKPEGHSEKLITFVKDRPGHDKRYAIDATKLNKDLGWKPSVTFEEGLSKTIDWYLDNKEWLENVTSGDYQKYYENQYS", "MKLVKTPLKDCYIIEPTIFEDERGYFFEKYNENKFEELTGLNGHFVQDNISKSSYGVLRGLHLQKGEHAQAKLVSCLEGKVWDIAVDLRKDSLTFGKWYGVELTAENKIQFYVPRGFAHGFVVLSETAVFSYKCDNFYNKESEGSVKFNDSDLSIDWKIPEADMILSEKDQNAPAFKDKNY", "MKTQKIGITFSAFDLLHAGHIKMLEEAKTVCDYLIVGLQLDPTLDRPNKNKPTQSIVERYIQLKACRSVDEIIPYNTEEDLLDILKSFVIDVRIIGDDYRDTNFTGKDYCEQKGIEIYYNKRDHRFSSTALKKAVYEQELKKQEANK", "MSKLGNQKKYFFNEYFETNLKIKASLDISFNEKLYKL", "MQYLFIIIALFILELFYFKIADKFNIIDKPNERSSHTQVTLRGGGVIFYFGALLFFLISDFQYPYFILGLSLMALVSFLDDVFTLSNKIRLLVHLISVILMFVQIGLFSYAWFIPLIALVLTIGIINAYNFMDGINGITAFYSLVVLSLLAIVNLSINFVDKNLIYYSIIGSLVFGFFNFRQKAKCFAGDVGSVSIAFIIVFLLSQLILKTGNIIYLLFLTVYGLDAIWTIIRRLMKKENIFKAHRSHLYQYLANENKVNKLVVSAVYGIIQILIGIIVIWTASLPLKYQIILSGVIISLGSIIYIAVKKRIIRKYNL", "MRVIITGASGFVGKNLIHYLDQNNVESKALSLRNDSWKREFDKHADAIIHLAGKAHDTSNTSAAEEYFKINRDLTIQLFNEFLSSDIRDFFYFSSVKAVADTTDGILTEEIFPNAFTPYGKSKLEAEEFLLSQKLPESKRLFIIRPCMIHGPGNKGNLNLLYKIVEKGIPWPLASFHNERSFLSIDNLSYLLLKMLQSATIQNGIYNFADDEPLSTNELVTLISKVLGKEQKLWKISPKLIRSVVRIGDALPLPLNSERLKKLTESYVVSNQKIISALRIERLPISSKEGLEITIKSFKK", "MNILIVTQYFYPETFKSTDLAFELQNRGHNVTVLAGIPNYPEGKIFEGYGYFKNRKQVVNGVKIERALLLTRGKGGGIRLFLNYYSFAFFASLKARKLARKNKYDAIIVHEPSPITQFYPALEVKKKQGTPIYFWVMDLWPESLEIAGGVKNKTVLNYYKSLVQKFYTESKMILITSKGFKQSILEKGDFGNKIEYFPNWAEESISEGTNDFPIPDLPEGFKVMFAGNVGEAQDLESIMNAILLLKDKKEIKFIIVGDGRKMPFVKEFIITHQLQDNVFTVGRFPVEAMSTFFSKADLLLVSLKDDPIFNLTVPAKVQAYMSAGKPILAMLNGEGAETILEANCGFTVPASDAKKMAKTIEKASALDHKILQNMGENGRAYFKERFTLKSCIDNLERIIKEK", "MKKLKVMTVVGTRPEIIRLSRVLSALDASEAVEHIIVHTGQNYDYELNQIFFEDLGLRKPDYFLEAAGKTATETVGKILIKIDPLLEELTPDAFLVLGDTNSCLCAIPAKKRHIPIFHMEAGNRCFDQRVPEETNRKIVDHTADINLTYSDIAREYLLREGLPADRIIKTGSPMFEVLNHYLPEINASKVLEKLNLEKGKFFVVSSHREENINSEKNFRGLMTSLNSIAEKYQYPIIVSTHPRTKNMIDKMQIEMRPEIQFLKPLGFHDYNALQMHAYACLSDSGTISEESSILNFRALNIRQAHERPEAMEEASVMMVGLSPERILQGLTQVLQQKIGTERNFRPVSDYSMPNVSEKVVRIIISYTDYIKRTVWSEDI", "MRKIGITGQNGFVGQHLYNTLGLFPEEFERIDFQKEYFDNESQLDEFVAKCDVIVHLAAMNRHESEQFIYDTNVNLAQKLVDSLQRTHSNAHVMISSSTQEERNNLYGKSKREGREILVNWANNNGGKVTGLIIPNVFGAFGKPFYNSFIATFCYQLTNGEIPTIANDGEVKLIYVQELVTIIINEIREASSKSEFFVEPTAIKQVSEVLALLNNYKVKYLDGGEIPEINNSFEHNLFNTYRSYINYKEYYPIKFVQHTDPRGAFVEIIRLGIGGQCSFSTTVSGITRGNHYHTRKIERFAVIKGKALIQLRKIGSDEVLDFYLDGDEPAYVDMPIWYTHNIKNIGEDELYTIFWIDEPFNPEDSDTYFETV", "MLINGNKYVDERGTINFNNNFNASAIKRIYTIENTSIDFIRGWQGHAIEKRWFSCINGSFTISVIKIDNFENPSENLQPIVYELNAEGLNILAIEEGCVTAIKASVENSKLLVMADYALNEIKDEYRFPIDYFKIKP", "MHIQNKILLITGGTGSFGTAVLNRFLQTDHFKEIRIFSRDEKKQDDMRNLYKNDKIKYYIGDVRDYNSLEPAMRGVDYIFHAAALKQVPSCEFFPMQAVKTNVEGTQNVIRAAAANRIKKVICLSTDKAAYPINAMGISKAMMEKVAVAEARNLTETVVCLTRYGNVMASRGSVIPLFLNQIQKGEPITITDPNMSRFFMSLEDAVDLVLFAFENGNPGDLFINKAPAGSIGDLAKALIELTGKKVPVKIIGTRHGEKLYETLCTREEMIKAEDMGDFYRIPADNRDLNYAKYFSEGEEDISKVEDYHSHNTEQQGVEGLKKLVSGLPLIRKEVFGEDVMQYPY", "MKLIIDNSNLFAGGGLQVAASFLRDLKKMNLSDEFHIIQSLNAIKVIENEQFPDNFTFYNLGKSEEKSKSKRIRSVKRIESIVNPDCIFTLFGPSYHKSKYPKLVGFAIPYIIYQNSPFFKKISVKENIYYKLLSILKVYSFKKYSDALIFETENARKTFVEKTHYNKDTYTVGNTLNEIFFEPNEWRDYDNLPTSSFKILFLTANYPHKNMDVIPEVIKILKHKYKFNDFKFLITLQSEELNFPEYCEEYIEYLGKVDLKKIPSLYNQSQMVFIPTLLEVFSATYLEAMLMKKPIIASDLEFSRDICGESAYFCEPVNAESYADAIFRLANDENLRNSLVSKGTENLKRFGSSMERTVSYLNIIKTLIKKNAHSK", "MERIITDKINSLAEDYKYEVTLVTTDQMGKKIFFDLNNNIDVRHLDLDFNSTFNLPLLKKRLEIKKRSIIYKKKLEEIIEAKQIDICISTGGKELEFLNFLKGNCKKVFESHFSKDYRRQFLVSRSPGLKSEIIGRIRSQQLINQTKKLDKVIVLTQHDLKDWSTSHSNVAQIYNFCSFSSDKIPDYKIKRAIAIGRLDAQKGFDMLIDSWVINKDKLVEWRLDIYGQGEWEDMLKQKIIDNKLEQNITLKGVTNDIKTELQNSSLFLFSSRYEGFGLAIIEAMTVGLPVISFDCPQGPSEMVNTDNGFLIELGDLNGFSNAIVKVTSDNELRKKMGEISKINSNKFSKPEIMKSWDLVFKDIIRKEK", "MYDLTILNNCPSFYKINLYNEISLKKKIFVIFLGYSEHVVIDDEFDNHINFDYIVLNKFQLEKRSFFTTFFKILQILKKVRSKKIIYGGYIEKEFLLLSFINRKSKNILQSESAGESVVTGWKRYIKKILLSRYDKAIVSGKRHQKVLTDLNFKGNIFISKGVGILRKERNNERLSKTEIINNNSGLRFLFVGRIIKLKNVDLLIDVFNELGLSLTIVGDGIERKELESKSKSNIIFKGYCSNEEIHKYYKDADVFILPSYSEAWGLVVEEALYYNCALLLSEDVGSLSELLLDPKTGISFNPHSKESLKKSIMKVIENYNYYKANAIEFDIDNKDREQIQSYLNLLND", "MKIKTDFFSKVYAALIVVMLLIFSLQNSFLIKFSDIYTLLGYVSIVLLALLIFIKKKSFNLFIKNKVVVIIFFLAVLQLIIVLFLKGLGQTDNIPYVRDIFLILTFIYLGFNEEDNYFKYLFYFTVFLSLSALSIIIFVAGGLTISEQYLSIPKNQIAPIFVQGIISGIFYLNGIQPQKAKRYITFISLAILVVTLLILRGRTAMLALALCSILYIFLYIKSRKNKLFVLIAIISSIIYFLPTIYKSFFLNYDINEKDSFTAGRTSVYDEALVIISKNPLTGKLFQSSDVSSQIHNYLLSIYFELGIFAIPLLIIYAIMMYQTYKMIKLRKISGLLLLVLFITSLSEYTFPYAPGSATFFAFFLYGINFKSLR", "MDLKKLNISLLRKNIHVFLSYFTETLLIQITQLILTIFSFKYLSNELFYSIGIIQIIQSLGIIYYDSGVYTIVKSAEYKAPRLRNYWLSISLYRFLFFYSIIILFSALNFFLDLYHLNKYYYILIPYIGVTFWAGYITTIIESDGLLSKISYIRSVLTSVLSIISAVLIFYFRLDFFYILQLYMIQIASIICIMILFKLDFSLKKFKRSNLIFLNDKISFTRNNIWMSFLVENFFLIINYVTNQSTSSVATFFKLDKYLKVFFGFLLGFYQRTFFYIQLQQKITYSLKKGVVIFALISVVGLIPLWFVLLHLHHFDFLLYLKLATFYSAIYCLIFLSFMNTISRNKKTKLIINLSLFIILLTYLIITLIYYYK", "MKKASKYIVVHSGARDYYSLAKALYNRNKLQTLITDFALSIVGSNIARFRIPLPKKYFIHFPFYFFFTKIFRIDSDKFFIKKYLKTILKYSAQKNAVIVFSYYAKGIVNILKKNNYTTIVFQIHPETLYVKERLQYANECLKKFENINCDYIVDNELETLNDDENLRYDFSSVDNIICASSETKKSLLFAGYTGNIHVLPYYSKFQIKNKDDIYKKKIENFQTMSKINIIYVGTISIRKGILHLLDKLISTGFGNCTLHVCTRHNLNLSVLDEYIKDGRIVIHLNKNDEEVQDLIINSHYLILPSFVEGFGLSLIEAISLVTPIIATDNTSLTDISKIANVGFLCSDVYQIANLITSNDLSNFESYVDMLDNCLLVSNNMSEEEYVHSMDSILESIENK", "MKVIHVVCNPSTGVLSLITSLVAEQSKNKDVNFSLIVVYDKTINIKEVDSSFVNFDVEKIYSPIKINTIFYFLFFILSKFFTFFNQKDTFYHFHNAQMSAAFLNKKNKNNSLITIHGFPAYDSFMANKKSIVRRLHFLFFKRIVLKKLIVSSVDYVSLEKIKKCFGTDLTNSFVIPNCCNLNLPTVSSEEKRNTIKFVFIGAIDENKGISKIVDVFNKLIENYELHVFGSGEQLYSLIDNNVNNKKIFFYGNVQRSEVLKLLPLFDVYISFSHTEGFSMSFIEALASGLSVITTNWGDVRRYINNNGYVINRNEEDLEQHILRFFENDRTILNEMKDESIKIFQQNLSPLIVSDRYQIIYEKSK", "MSVYNVILSGGVGSRLWPLSRKQHPKQFLKLFSGKALFELTAERNQKVVDRIMVVGNTDHIEWSKELLKDITLPKSFITETVAKNTAAAIAFAALAVNADDILVITPSDHLIHNQEDYENAINEAVELAKNDFLVTFGVVPSKPETGYGYIEHNGEDVISFREKPNAETAQEFLERGTFLWNSGLFCFKAGIFLDELKKYQPEVFDKCNIAWEASNNNYLDPDTSKYIPSISIDYAVMERSKKIKVVSAHFTWNDLGSFESLYDYLCSVGHPIDENGNMVIGQGVFTAFVGLKNCILVYTSDAVLILQKEKSQDVKKVYNLLLDGFK", "MNSPSKENQYKNEEININEIIKPYLLKWPWFIICAILALVITFFALKFTTPVYNIQSTVLIKDAKNNNSGGTDMNVLQDLSGFGGMKTNSVDNEIEIFKSKKLMHDVVDRLNLQTSIFAKSEFREIELYKETSPVIIRVINEKKNAVFPNKPINLRVVNDKITLSSDELQKEIVSSFDKTIGLPYANIIISKNKSYDPLTAKNISDIGNLELYISSFEAKVNNLQNLLKAELASKETTVVRLSMNSAEIQKAEDILNALIVAYNNDAIQDKNTESTKTLNFIEERIKRLSGELGQVENEKESFKSRNQLTDIETEAKISLESSAAARAKQLELDGQLELTNTLINYMSHQGQYQILPSNVGLTNSEATTGIAAYNQLVLQRNRLLESATPENPAVIDVSKQLNSMKLSVMQSLQRNKTGLELAKNEYVGEQNKVSGKISRLPSIEKMFRGIERQQQIKENLYLLLLQKREETAISQSITADKARVIDKAYASEGPVSPKKTMILLGSLIAGLLLPVVVIYLSELFNNKIKSKHDLEKLSHVPVLGELPSVEKGEPDIVQMNDISPMAEAFRILITNMNFILPKIENGKIVFVTSTVKGEGKTFTSVNLSLTLATPSKKVIIIGSDIRNPQLQRYNTSRKGLKGLTEYLYSDQTKVEDIVHVSTFNPYLDVIYSGMIPPNPTELLTNGRYEQLLKELRLKYDYIIVDTAPLMLVTDTFLISDLADATIYVSRSKYTEKALIEFANSNIDQKKIKNVGFVLNDVNKNYFGYGNKYGYGYAAKEKNWLDKIKDRF", "MKIRSILLLLTAILTIASCRARNDINYLQDVDKAATETALRMENNTLQPGDQLVINIMAKDMDVVKPFNQNYSSGQMLQNPQMSGNTNPSMPTPSGPTYIIDQNGDIDFPVLGKLDTKGLTIDQFKEILYNKVTRYIKDPTISVKLSNFRVSVMGEVTRPGEYVIADGQTNLMKALALAGDLTMYGIRDNVLLIRTIDGKMEKVRVDITRSDFINSPYYNLKQGDVIYVSANRTKEKTSRLDPNMPIYISVASIVVTILALVFKK", "MNKIKNVQRRIYSGNNLVNLTDIRYLPRWIVLFIDVLILIFSLALSFVIVEALNVKPYPSISVFQKFLMVISVNVLFMYMFKTYSGIIRHSTFMDLFKLFTASVCTTIVVIVINYSYFTITGKHLILIPLLGFYFATSFAFLFLFRLVVKESFHMLREYKRSSLKKRILVLGIGEESIAMAGAILDNPSLPYYVEGFLTQRYDTTNAKILGKPIYTKDKLESLSIEELDVEGVLIIKENLTRDEMNMWVNLFLEKGLLILKAPSVQKMRENDLGTNIKSLQIEDLLNRKPIKLDNEEVKKRHFNKTVLVTGGAGSIGSEIVRQVAQFNPSLIVVLDQAETPLYEIELEMKEKFPHVQFRFVLADISNRHRLERLFQKYEFSMVYHAAAYKHVPLIEENPHEAILVNVLGSKNLACLSSKYKVNRFVMVSTDKAVNPTNVMGASKRTAELFVQALQHTEGNTTKFITTRFGNVLGSNGSVIPHFKKQIEKGGPITITHPDIVRYFMTIPEACELVLQAGTMGQGGEIFVFDMGEPVKILDLAKRMIKLSGFEPDTDIKIVFTGLRPGEKLYEELLSDNTRTLPTHNDKIMVSKDPNMKYIEIEALANQVTKAAVRRDKLEVVRQLKMIVPEFKSNNSIFEVLDKQ", "MQNEHKSFTFQEIKQKLVNYCVYQDRCHKEVEEKMRDFLLIPEAKDEILLYLIKENYLNEERFTRSYIRGKFYVKHWGRQKIKLQLKMKGISEKLIQNCMDEIYDDDYIKQIKIFAEKLLPTYKGLNDFQRKNKLIRFLVTKGYEYELIIENITG", "MSDIIFDLIEKERERQSHGLELIASENFVSENVMKAMGSVLTNKYAEGYPGKRYYGGCEVVDEVETLAIERAKELFGVEYVNVQPHSGSQANAAIYLAVLKPGDKIMGMDLSMGGHLTHGSSVNFSGIQYEVVSYGVEKETGLIDYNQMREVALRERPKMMIAGFSAYSRDLDYVKFREIADEIGATLWADIAHPAGLVAKGLLNNPFEHCHVVTTTTHKTLRGPRGGMIMMGKDFENTYGHKTPKGEIKMMSQVLDGAVFPGIQGGPLEHVIAGKAVAFGEALDNKFEVYAKQVKANAQALAKAMVDNGFDIVSGGTDNHLMLIDLRNKNVNGKETEKALVKADITCNKNMVPFDDKSPFTTSGIRLGTAAITTRGLKENDMETIAGLISEVVDNIKNDEVLDAVKGKVNKLMEGKALFNY", "MKFGQVEDPSLIDFTIPKDHISTSLVLERNKEGLKDIFVGCAKWNKTDLKGFYPKGTKDELTYYATQFNSIEMNATFYGMPTPDQVTVWKNKTPDSFKFFPKITNTISHFRRLKDVTEPITQFASAIMNFEEKLGMVFLQLHDNFKPKDFDRLKKFIKDWPVEVPLAIELRNTEWFTDENIFNETCELFEQHNITNIIVDTAGRRDMMHMRLTTPTAFVRYVGANADSDYTRLDEWIQRIIKWKEEGLRNLYFFVHQNIEKASPLLSAHFIENLNKAIEAELAIPKMADSQPTLF", "MNQYNKHSVQKENTINVLDIFKYLLFHWKWFALSILIFGGYFYYQYSKSPFIYNRSEVVMIKTPSNTPTTARITRSFGGGGVSVKDEILQLKSKELMRQVIDKVGADKSYKIHSGLRDNELYKKSPVQVKVEGKTHADSYGFTVTPVDTRYVLLKKWNETSDEELKIELNRWVVTPVGKVFITPTKFYSKDYYGKDIQVTKLPREAVMGYFIANLKIKQMDEDTSLLQVSMDDVSSDRADDMLTTLINVYNEVYLQDKNKIAINTADFIKERLAIIEGELGAVESNIENLKIANQGMDVATSGNMYLSESNQYKTEGTKIETDIRLAEMMHSYLTNKNKRNALIPNNTGLVDTGVESQIAEYNTALLKRNRLAEGGNSANPVVLDMDESLNAMRNNISRAVDNALKGLNIKLNNARQEGRTAQAKVLQMPQKERTMLSIERQHKVKEELYLFLLNKREENALNEAITEANLRIVDPPVGDAYPIYPNRLKKLFTGVAIGTVLPMIVLLSLLLLNTAVRGRQDLENVLSVPFLGEIPLARNRRQGNGDVLVSQSGRDPLTEAFRILRTNINFMTKDEHPPKVITFTSFSAGVGKTFSVLNLAATLSYLDKKVVVLDLDLRKGTLSSRTGLLHGKGTSHYLSNPSIKISEILHKSEVAEGVDFIPIGAIAPNPVELLLGKRLDELITELKGMYDYVIIDGVPTGVVADASIIDRVADLTLFIIRIGKMDRRQLPEIEKLYQEKKLSNLAIVLNGLKLNGYGYGYGGYGYGSYGYGEEKKKSVFDWFKR", "MNKFILGLTLFSIVLLNSCVSKKVVYLQDMQPDSEYPIAEAPALRLQKNDRISIQVSAKSLELAAPFNTLAGTYKVGVDGNVTTGVDQSANAQGYLIDGQGDVSFPVLGNLHVEGLTLEEVRDLVRNRLINDRLISEPIVKVEILNFKISVTGAISNRVFDVPDGRISVMEAITKAGGLTPNSSPDKITVIREENGVRRKIVTNIQSQEIFNSPAYYLKQNDIVYVEPRSAEVTPKEDRFWKVFGLGMGTVGVIISVLTLLRVSK", "MQNDKMKYHNDLKSVYFRIADHIMEVLLPVQIQIDRCLPSFVDFITQAPFETAKIRIQLGLDSAPKSIGETKLLSDVSIVWGDRFRFEESSENYITSVQSEQKSREWKMFSTKDFSESKIYVLEEELYTTSILSWLLMVAFGQAMLKYNTVLFHASVIEKDGKGYAFLGKSGTGKSTHSRLWLKYIPDTKLLNDDNPAVRIMDNNTIMIYGTPWSGKTPCYKNIGVLLEGLVRLRQAPENQWKKVSGKEALLSVLPSCTAIRWNRNLFDQMLNSLEKIITNVNVGQLYCLPDQNAAYLCSQELIKK", "LNTNLSYQLKWAWLLTKNSRGELLLYFILELAAIAFSLLFVFWSKKAVDFAVSSSTSDLQKALILSVCSVLIALFMRSYSGWLNEKTRMKMMISLQNSLVKSQMLSTWKVGKHWHTGDIQVRINNDCQEIVQMVGFSSISFVLTTIRLLASFGFLWLMDPMLAILIVAISPLFLFSKIYFKKLRKLNKDLKTAESKFGNVVQENLRFRMSIRALGVQYARWQKVENSQNDIFKLKLGLLNFSTVSQGIMKLTINAGFLLTFGWGVYRLHANEISFGTMTAFLQLVGRIQAPILLMMGFVPLFIRFRTAVDRVQELEQVEVEQEIEQEYITEPQNIEINQLSFRYDDKLVIKDLSAKFITGQPVAIIGSSGKGKTTLIRLLLALIKPDKGEIFINTSTEHLVLSNKYRINIAYVPQGDKLFSGSIKENLQLGEQEVSNSKLREVLYLACAEFVYDLPDGLDTIVGESGYGLSEGQAQRIAVARALMRECNIWLFDEVTSALDPDTGEKLIQRLLEAGKNKILVFVTHDMKLAGKCEQTIYI", "MMLEDKVAKAFFTLLRAGLWNKSIDAIDCFPMSKAEWEALFRISVQQTVEGIVFDGIQMLSSGLLPPRELHIKWLVRVEKIEQRNRWMNNILAEQVVFFSKENIQPILLKGQGLAICYVNPGRRVCGDIDWYFRTTDEFYKADKLLAKYGIVTEATAGYSSFYFWRDCEIDHHQKLFDIHNPFLSGYLQNLQKKEANFSIRTRIEKEEVILPSPLLQMLQVNAHILKHLLSFGIGIRQLCDAARLYKTYYGQVDGNTLKAVYTKLKIIKWINLLHVVLVKYTGLSEEYLPFPTEINKSADWMMEEIWKSGNFGFHDERYQKESSGKREGTKRRLWSSFIKYVPYAPMEALSFPLVHFYSGLVKK", "MKLREDLMLRHLGDEYVIIDPEQDMVDMSKVYTLNETAAFLWKELQGKEFNVETVTEVLLNYYNVEYAVAEKDAQRLVHDFEKQGLLTD", "MEKKILSKRIIPNDLFFEQVKERLNAGQKVKIPVAGKSMEPFLQNGDLVVLKKFEEKDLINGKIVLAYFNNAYVLHRVVKIKKGNVTLAGDGNIQQVEIISNKDILAVVVSAYRGEKELNINTLLGQIWYKLRIVRAVYNKIFGIK", "MKNNNQIKILQLGKFYPIRGGVEKVMYDLMLGLSEEKVYCDMLCTSTEDYPAGIININPYAKLIVEATKVKLAATMLAPSLIIRLRKIAKDYDIIHIHHPDPMASLALFLSGYKGKVILHWHSDILKQKTLLKLYKPLQDWLIKRANIIVGTTPVYVKESPFLNKVQHKIDYIPIGVEPLVADKGKAEKLKQKYKNKHIVFSLGRLVEYKGYEYLIKAAQHLDENYQIIIGGKGPLMESLTNLIAELGVQDKVILLGFVEDNDIPNYFEACDVFCLSSIWKTEAFAIVQIEAMSCGKPIVSAHIPGSGVAWVNQDEVSGLVVEAENEIVLADAIKRICTDANLKKEFSEGSRNRYKEYFTREKMTEKCLDIYRDVLK", "MKTIVVSAVNLNVGGTLTILRDCLSFLSEFSVKKNYRVVAIVYKKELANFPNIEYIEDQWPKKRWINRLWYEYVSMKKISRELAPVDLWLSLHDTTPNVLAEKQAVYCHNPFPFYEWKWRECLFAPKIVLLSLFSKFIYKKNINKNTFVIVQQQWLKDEFKKLFKLKSDKIIVALPDSPKNEDMNVSIKKDIDSVYEFIYAASPNSHKNFECLCHAAKILENDGINNFKVNITLSGNENKYAIWLYKRYGKIVKSIQWISFQNRKSLFQQYEKCDCLVFPSKVETWGLPISEFSEFNKPMLLADLSYAQETAAGSQRVAFFNPNDPKELAGQMKLLIQGDTSSLNIIPKKVIEEPVTHSWKELFQTLLSEA", "MKIVYSILGTFNSGGMERVLANKANYLADEGYDVTIITTDQQGRSPYFVMNPKIKHIDLGINYRDDLNKSVFKKIFSYISKQKKHKQKLKEVLHDLKADVVISMFDNDVNLIHNIKDGSKKVLEIHFSRFKRIQYDRDGIWGIIDKIRSEKDEKFVQQYDRFVVLTEEDKGYWGNFSNINVIPNANSFIPTKQSSLEYKRAIAVGRYDYQKGFDELIKIWRDVYLKNPEWRLDIFGHGPLKEDLQNLIDDLNLTEVIKLCEPVKNIEQEYLSSSILVMTSRYEGLPMTLLEAQACGLPMVAYACKCGPKDIIHDGINGYLIPERDSQLMTEKILKLIEDDDLRKKMGNINVELSDNFSEDKIMKRWVNLFEEIVKEK", "MLRFIPYFIVGIITSFYFFPVSFTFLPDNVNTKMILAVIGVALAGYNSIKEERITIPKGIIIPACIAVIFSIICLISIDINHSDDYSYASYIVSFFVWLGGAYSVCMAIKRVHGVVDFKLLTYYLAGVCLSQCILALMIDNIPILQRLVDSVVRQGQEFLQEVDRLYGIGASLDPAGVRFSLVLIMIAGLLGNDQETRRNNLTITLLLIAFFTIAVVGNMISRTTIIGLGCAVVYFVISSGLFRVLIKYDAIKLGVLFAILLFSAIVISVYLYNSSDAFYNYSRFAFEGFFNWAEKGEWRTSSTDKLNREMWVWPQDQRTWIIGSGLFDDFIYSTDVGYCRFILYCGLVGFSVFAFLFVYLGAFFAAKIPKYRIMFLIFIGLTFVVWLKVATDIFFIYALFFCLDQFITPTKEEISYEDSI", "LELIFINDCSQDNTLNILYQYEKKIVDNSKGLVIKIISHEENRGVAAARNTGLQNATGELIYYVDADDFIDERAIELLVEKQQENDADIVGCSWYLSFNQNKRRMNQPPFNDSLEAIQQMLNGKMRWNLWLFMVKRSLYEDYNIRFIPGMNMGEDLMVIMKLFVHANKVAFVNDALYHYGQSNEDSLTKTYSEKHRREVTVNLYEVEKYLHKSSFFKSIGDGISFLKLNIKIPLLISDNKENYECWINWFPEANKFIMKNKDLPLRTRILQWLAIRKQYWILKLYYNLVIRYIYGVLYK", "MKHAYLILAHNEYPILERLIKAIDDPRNDIYIHFDRKVKDLPKLETVYSDLCIVENRIDVRWGDVSVIEAEYLLFEEALKKGGYDYYHLLSGVDMPLKSQNRIHAFFDMHRGKEFIGFYQYPINEEIDRKMNRIHLFPKDFRPASGVLSFTKKLIRFGGLKIQYIIGYRRNKSITFKKGTQWVSLTEDFIKYVVERKTGVLNIYKNTFCSDEVFLQTLCWSSDFKNNLFNSENEGLGCMRMIGWRDGVLYDWENKDYESLMQSEFLFARKFNSKNLEVVDKILSTICQDETYK", "MKHAYLIIVHHEFEVLKLLLQALDDSCNDIYIHFDKKNVEKIPILNCKYSGLYILDKRIDVRWGHVSQIETEYELFEKAYKKDVYSRYHLISGTHMPLKSQKAIHEFFEEYNDREILSFIYTNNYEVTMKLGRYHFFLKNYKSSSTIKRTLSQFFWRASLKFESVFRIIKKPIPKASIKANNWVSLTPKAVKYIIEEKKRILNEFKWSFCGDEYFVPYLLENKTAEYKMMDDKRLLFNEFLDSSPRVLKDTDYDFLIRSEYLFARKFSQTDLKVVQRILQYIKTES", "MRKISQFPLLKQLWAFWREKKILDKHQQVAGYWDVVIDDYKHGKIAKYNIIAKQEFRNSKIIWQYWGQGTNSPELPEVVKICFDSVDQYKGDYIVIRLNDENFSEYIDLPEFVLEKKNGPVFNRTFFSDVLRLALLKTYGGVWLDATVMLTGSLPEQFSSLDYFVYQRDPNEQHKDYWENVYAYYWGWNKGFKVCMLNSIFFAKKGSVMVSALLDLMLYYWKTQNDILDYFFFQILYKQLTAREYINEVCPVVSDTIPHIIQTKLSGGCKYITYEEALKQSNIHKLTYKDINIEEFMTFVQDKININLVK", "MLLSEVPVVLAFTPNYFVPAATCLYSILKHSAETENFHVICLLTEELPQQMKDKLQRLGNGRIRYSYIDLNGKLQGIYVDDRYTIAASYRLLLPDLLPEYNKVMYIDCDVIVRNNLYKLYQETDLGNNYLAAVFEASLDFQIPYIMSIGCEPGRYINSGFLIMNLEKLRKENMVAKFLEAARVEGLQFPDQDVLNQLCKGYILGLPPYYNGIRTFFLPQYKEYFLKYYSEENWREVQQHGTIHYTGTKPWNSFTIGFNVWWEYYEHLPQEIKSEWVINRKIFVLHKLSNTVLGNLFITSLQSVYRKLKRNIQ", "MMVSFILPAYKARFLSQAIDSILKQSYSDLELVIVDDASPENILDVVSSFDDSRISYYRNEKNLGGDSLVKQWNHSIKYAKGEYLILAADDDLYHPDFLKNCVALANKYPQVDLIRSGAEQIDENNVLIGIDGILPEYCSKYQYVYYWLNSTAFTCIGNYMFKASVLEKKQFIDFPFAFGSDTASTIDMAENGIANTADMLFKFRISSIHLSSNKGRLKEKLEAITLLFTWLKNLNYSIPSNVIDKFCYDRIQWDSLYPKCKYDYYNLVIKYLPFSELSAIKKCELISKKDRFIMIFRYIKDKVMR", "MNLKSYIKLDRMDYYPKFSKGFLVDFLLKTEQYWLRRFVKTLRKEEYYTFHNPNKILKYYYQRRKNILGRQLGFFIPAGCFGPGLKIYHYGSIIVNPKSKIGKNCTIHGNCCIGSKGILPDDSPIIGDNVDIGQGAQILGGITIADGVRIGAGSIVTKSVFEENVTIVGVPAKIISKNK", "MKIKTIAFYLPQFHPIPENDAWWGKGFTEWTNVAKAKPFFKGHYQPHIPADLGFYDLRLPEARKAQADLAREYGITAFCYWHYWFGNGKRILERPFNEVLSSGEPDFPFCLAWANESWSGIWHGNPKTVLMEQVYPGLEDYIAHFDFLLHAFKDPRYFKVNGKPLFMVYKPMEIPDLKLFVSTFRRLAEENGLEGIHLVATNVDPDWPANKYGFDALTPAVHTKNSYLRSPNKFVDLYRRAKTSRLHKYYKKIFKKPTRIYYYKDAVQEFTEEHGENIYYPTVIPNWDNTPRSGLNGFVLHNSTPALFKKAMLNAKKLVEGYDEEYQIIFIKSWNEWAEGNHLEPDLKFGNQYLEVIQDVLNNK", "MKISVALCTYNGSKYLKYQLESILSQTLKVDEIVVCDDGSTDTTVACLEEYSKHYPNLFKIYKNDYSLRTVKNFEKAISLTTGDLVFLADQDDFWMPSKVAEIVHFFEKNTDCTMVFTDGELINEYGMLVGGTLFTKWNFNKDIQDIWEENSRAIVALINFDNKITGATACFKRSLISSFMPMNLPCGMWHDAWIGLHAARNDGLRFINKCLIQYRVHSNQQVGVQQGWNLGDEANDCSERGESYENYLKANFTNWYLYYNNRKRSFFERVKSKLKRKR", "MMENNPLISVIVPCYNQAEYLDECLHSILYQTYQNWECIIVNDGSPDNTEEIALKWVDKDIRFRYIKKKNGGLSSARNAGLQEIKGDWIQLLDCDDFIDEKKFQEVIETSKIGQVDLIITNFLIFKGGKYLQGYEMKREYFTFENILTRWDVGFTIPIHCPIIKSEKIKNIRFNEKVKVKEDWLFWIEVFKHNPKVKFVDTPLTYYRKHKRSMTSDLVYMYQNLKEVNNYIYQYEGISDVQKFEFFKAVQIRFIREISDMQGKIELRDRSFKILFVNFFKKIFGKRED", "MKLSVITINYNNTEGLLKTIQSVVKQESKDFEYIIIDGGSNDGSKSVILRYENDISYWVSEKDNGIYDAMNKGILVAKGDYLLFINSGDELYDNTSISKLLNKIDEGGDIIYSDLEIIDDKTSVIGHYPKILKFSYFTENTLAHPGCLIRRELFGTIGFYDTKLKICSDWKWFLLAIFKYNVKAVHSGITAAKFYLDGISSDENNKEIIKKERSITLDHEFSYFMNDYSEFTKYRDIVMNMKRSRLIKLLQKLRLINYLDDGK", "MKKALITGVTGQDGSYLAEFLLEKGYEVHGIKRRASSFNTQRIDHIFEDLHQKNVRFILHYGDLTDSTNLIRIIQEIQPDEIYNLGAMSHVKVSFDMPEYVGNVDALGTLRLLEAIRILGLKNKVRIYQASTSELYGMVQEVPQTEKTPFYPRSPYGVAKMYAYWITVNYREAYNMYACNGILFNHESPRRGETFVTRKITMAVANIVKKKQDCLYLGNLNAKRDWGHAKDYVEAMWLMLQQDRAEDFVIATGKTTPVRDFVQKAFAECGIELEFEGKEENEIAKVLKCNNPEYQLPKGKIVVKVDPNYYRPTEVDLLVGNPEKAFRKLGWKTKYSLDELIKEMVLSDLNLA", "MKIPLMRSAFLKEKDTKKALADFILSAERLSMDKECRNFEIKFSTFQECTESILFNSGGSANLAMLQALKNLGRLKDGDKIGFSALTWSTNTMPIIQLGMIPVALDCEPSTLNTSSRNLLDALTQTDIKALFLTNILGFTDDIDVIKTICEDRGIILLEDNCESLGTELPSGKTGNFGVAASFSFYVAHHMSTIEGGMVSTSDPELAEMLRIVRANGWDRNLTPEQQKEWRNKYKIESEFQAKYTFYDLGYNLRPTEITGFLGQYQMQFLQENINKREANYLRIEQIIKNNDDFIFLKRDHISKLSTFAFPLVCKTKELRDIYVQKFIDAGVEIRPMIAGNMQKQPFYKKYVSKIFDLPGADHMHDCGLYCGNYPELTKEDLGIIESVLKK", "MMIDKQKKLFITGHQGMVGSRVLKCFKEQGFENILTASSKDLDLRNQSAVETFFQQNKPNYVIHLAAKVGGIKANIDNPGSFLYDNLMIQANVINSSYNNKAEKFLFLGSSCIYPRECPQPMKEEYLLTGKLEPTNEGYAIGKITGIKLLEYYKKQYGFNSISLMPSNLYGPNDSFDLNHAHVLSSLVKRFTDAVDENKEEIILWGTGNARRELLYVDDIVEAIYYFFMNYNDSSFINIGTGVDISIKELAMIISQKVGYKGIIHWDSSKPDGMPRKCLDVSKMIEQGFKPKVSLITGIEEVIKGYKNLKQ", "MKDIALEVENLSKQYRLGQVGTGTLSHDLNRWWHKIRGKEDPYLKIGEVNDRTKSGDSDYVWALQDVNFKIEQGDAVGIIGRNGAGKSTLLKLLSKVTKPTTGNIKVKGRIASLLEVGTGFHPEMTGRENIFLNGAILGMTRREITSKFDEIVDFAGVERYVDTPVKRYSSGMYVRLAFAVAAHLESEILIVDEVLAVGDAEFQKKCLGKMGDVSKGQGRTVLFVSHNMASVQSLCNKGILMEQGTLKYNGDIEKCIENYLKSEKESDFIINDFSNYKYLDSEFFMPIEFSLLSEIHKPILGFVKNDENILVKIIFEAKALDPKLTFGIAVYNMTDELLFWSFNRDNAFAFWPKVQIGINEVFIEIPKRVLNEGDYKLRLMASIHYKYWIIEPTEKAPAIILSIKGGLSDSEFWIEKRPGLIAPVLNFLNKKNDD", "MDNLENNKENKWTEIIEPQSSLLSLNLKEVWRYRDLLLLLVKRDFVTYFKQTILGPIWFFVNPILTTLIYTLVFGNIAGISTNGAPKIAFYLSGVVMWNYFSTSLTQTSTVFTVNASIFGKVYFPRLIMPLSIVVSNLMQFGIQFLLFLVIVIYYTFQGQLYPNIFVLLTPVLVLLMAAFALGIGMIFSSMTTKYKDMAMLLTFGVQLFMYATPVIYPLSTIDEKYRYLVEMNPLTAIIENFRYGFLGVGSLHIPALGYSFVVISILLAIGTIIFNRVQKGFMDTI", "MKIAVIGGSGFVGTRLIDILVSTGQYNLLNIDKNISEKFPDISVIGNVMNKETLIFQLQGTDVVVLLAAEHRDDVAPVSLYYDVNVEGMRNTLEAMEANNVKRIVFTSSVAIYGLDKNNPDESFPADPFNHYGKSKWNAEQLLQEWYKKHEDWNINIIRPTVIFGEGNRGNVYNLLNQIANGKFMMIGKGNNQKSMSYIRNVIAFIKFLIEEKKSGYNIYNYVDKPDFTTNDLVHHTSEILNKNIPTTHIPYWIGMLGGYGFDILAWLSRKKLNISSVRVKKFCAVTQYDSTKAMTSGFKPPYTMEEGLKNMLNQEFGK", "MKLLIVFIPFLFAVFLSRVMIPYILLISYKKRLFDPIDSRKLHKRIVPRLGGVAFAPIQCCLLVITMVAVFKLNIVNLQVQSWAIIPSLMLLVCGLVILFIVGIGDDLIGVNYKAKFIAQIFVACLFPLSGLWINDLYGVGLIVSLSPWIGMPLTVFVVVLIINAINLMDGLDGLCSGLVGLGCIVLGGLFMFYDAWLHALFAFITAGVLIPFFYYNVFGTVRRRRQIFMGDTGSMTLGYSIAFLAISFAMNNHYIKPFSEGAIVVAFSTLIVPILDVARVMYVRWRSGKSMFSADRNHLHHKFLRSGMSHRTAMLAILALALFFCIFNIIMVEIISNNIVVVCDILLWVIFHYIFDKVFERKMKEYKKKIEVINLTEN", "MKLKLNSFSVFYCSCVLLFSCTKKEEQKTTQQQEIVGNEAESSTDKVTRSIADYWDHYNFADTNAIKDPAQAEQALVDFIALFPDANQKQISQSINAMFEKASVNKEVFSFFKDGYEKYLYDPNSPLHNDVYFLPVLEYLVNTKHLNDTEKIRYRMLLKLVNKNMPGSVATDFEFIDSSGKDQNLHQIKAPEKLLVFYDPECSHCAEAIKQMSQDVRINALINQEKLKVVAVSPVEDINKWKAYQANIPTKWINGFDKKGDLKQKELYDIKAFPTIYLLDEKNEVVLKDTSLEQVLSLLKI", "MKSISENFTKIIKGIALGSVVFIGVSSCSSRDDKSEDLNNSAKATLNFNIVGIENAGGVSASASVDKQGNALGGSSVITKENTVSLKDFDVLTSMEKVGGLGTIASSAGMNGAQAATQPMTSGVKYLIQVYVAGTTTSPVVNTVATAGVDPSITVPAGQSYDWYVFSTNDGTAPVINNGVISSSTIANKDVLYAKSAAPVTVQSGQNDLAVSFRRNTVRVDVNVDARGLFGKIDNTTTVELGTGTGGSFASVVKSGDLNIFTGAYTNIQAVPALVSGSNMVNTVGAQGDAGAIKTASFYTVDASTNSIPANLSVRLSTLGVNMDDNSLRTFTGASVAYTNTAITPVIGTQYLLNARMIESGVQVGSTVWARTNLFYAGYATDKYRFHPTNEYTIAEVLNLGVDPLLPLLQLGGQAFNVTNEYWNWRSLTPGGAPNSGDPCALVQPAGKWRMPLSSEFTSLGTNSNSNNTDAPLIGGARMASVWNLTSGTADLSYPMTSRNLFVPLFGYRTSDGQSILDSPGSLLTAVLANGSSYYWAGDANSGAPYFHYRAYTAAVTLPVLGSLVAVWGPAGVHTTSTTTLTQILNVGVPVLTKANPVTSSNVGLNIRCVRS", "MNNNTKREYIAPELNCVLIEIEQGIAAGSATLRIGAAGSEDTPQIDDWNNGGTWGSGDSDL", "MKKRIICAIALLSFGLAFSQETTSKILGRLKGSNSEATVRVTHLPTNSTFETKSNNKGIYSLDNLQPGGPYKIEITEGSNLIYSNSNLQLSLGNNDLPVVEINSSKEKTIDEVKLTANKKTAVKYGVGISQSQISGLPNINRGIQDVTKLIPQSANNSFNGTNFRYNNVTIDGSINNDAIGFSPSLGGQTGTSGMPGSSTRSNSISLDAIQDVQVYIAPYDVKLGNFLGGSINAVTRSGSNNIDGSIYFYGRNAAITGKNRVGDNSKMPSSFEDYIAGGRIGLPVVKDKVFLFTNFEYTKRTDPVFYNAGEPGSLVDNATAAKIARDVQNRYGFDIGSYNQYNNFSESGKLFTKLDWKLNDKHSLSIKNNTVFSQASNLERDGANFRFSSIDFTQRNTASTTTLELKSRFNSKWSNNLIVGYSSIHDYRDPSSQNKMFPQVEISYNGGTILFGNDREATVFNMKQKTFEITNNLTYKTGIHNFLLGTHNELYNIDYGFVNALNGRISYKNLDDFFASKPARIRGTYPFNGDNRETLFNNPYAHYKVNMLSLYLQDEINLGRLRLSPGIRVDYTDLPNKPQLSPQVNASPNDPNFGNTYTYTPLNQLTNKYLSKPTLSPRLGFNLDITENKSVVLRGGSGIFVGRIPFAWLGYAYYNDGVGFGSYDYNSPTPAQLTTNGDPLVSGNFAKWQNSSKVQVDLIDNNFKMPRVWRSSLALDYTLAGYKLTLEGIYTKVIYDLMFQQVNKTDKVTYYSYDTNHEMPVYTTNINSNFSNAYMLSNTKEGYRYNLTVQLAKSYNFGFNFFAAYTYGDAKDITNGIRNSMESNWQMNQSLTPNNPVLATSNFAIKNRIVANLGYGINLSETNRLSANVYFNAQSGNPFSWGFVNSTIANTGQAAGLAYIFKDAAEAAKYLVSYKDGAGNTVSVQQQVSDYENFINSNKYLSSRRGKFTERNGDFTPWNVQADFRIMDEIKLSNKNKNTIQISFSIMNITNLLNKDWGKVYFVPNTFNSTASVGLTKVGNISGNVPEAGDPTYNFKTPGTPYTIDQFASRFQGQLGIRYNF", "MEEQNIWWLNEESEQMLNRGYLLKGETVKGAIERITTAAAKRLYKPELQPAFEEMITKGWISFSSPVWANMGTQRGLPISCFNVHIPDSIEGITHKMGEVIMQTKIGGGTSGYFGELRHRGTAVTDNGKSSGAVSFMKLFDTAMDVVSQGGVRRGAFAAYLDIDHGDIEEFLSIKDIGSPIQNLFTGVCVPDYWMQDMIDGDIEKRKIWARVLESRQQKGLPYIFFSDNVNRNKPQVYKDLGLTVNASNLCSEIMLPSSMEESFICCLSSMNLELYDEWKDTDAVKLAIYFLDAVLSEFIDKTEGNYYLQGARNFAMRHRALGLGVLGYHSYLQKNMIPFESFEATQFNARAFRHIKEQAEQASRELANIYGEPELLKGYGVRNTTTMAIAPTTSSSAILGQTSPGIEPFASNYYKAGLAKGNFMRKNKYLAKLLEEKGIDNEETWRTIMLNHGSVQHLAELTEEEKAVFKTFKEISPMEIISQAAQRQQYIDQAQSLNLQIPSTMPVKDVNYLYIEAWKKGVKTLYYQRSSSVSKELMVNFVSCSSCEA", "MINVLVSYTVKPEYVEENITNIKNFLEDFKQLDQSKFEYKVYLKEDGITFLHYSNYESEDIQYEVLNVPSFKEFQKLRDESGLNGSHRVNFLQSI", "MGIFDKRISYKPFEYPEVLQFIEAINKSFWVHSEVDFTADVQDFHSQLEPHEKHAVKNALLAIAQIEVSVKTFWGNLYNHLPKPELNGLGATFAECEFRHSEAYSRLLEVLGYNDEFMNVVEIPAVKKRIDFLTNVLKHANSATPKEYVSSLLLFSILIENVSLFSQFAIILSFTRFKGYMKNVSNIIAWTSVDEQIHANAGIYLINKIREEQPELLTDSDIEDIYTLVDQSVELEAEILDWIFELGELSNFSKEDLLNFMKYRVDDSLKKINMATRYNVSPEQYRPMMWFEEEVFANSMDDFFAKRPVDYTKHDKSITANDLF", "MITIENLEKSYDTGKSKLHVLKGINLNIKEGEFVSIMGSSGSGKSTLLNIIGILDEADSGVYELAGVPIKNLNEVKAADYRSKFLGFIFQSFNLISYKTALENVALPLYYQNVSRKERNKKALEYLEKVGLAQWADHLPSELSGGQKQRVAIARALITNPKVILADEPTGALDSKTTYDIMKLLQEINNEGKTIVVVTHEPDVAAQTKRNVILKDGVIESDEFIQQLVLE", "MFDIDRWHEIFSSIRSNILRTILSGVTVALGLFIFIVLFGIGNGLQNSFQSEFNGDAANLITIFSTQTSKPYAGMQSNRKIIMRNNDYKEITDKNKAQIESAAPRYSANMMVKYGKESGNYQISGTNSDEIKIENRLVTDGRYINASDVTRQQNVAVIGRLVQKDLIKNGSPIGKRININGTSFTVVGVFSDNGGDWDERMITVPVSTLQMMKKSSDTLNTIFVTYDKKMSTQQAIDLGNDIKKDMKSKHRIDPTDDNGIIVRNNAENMKDTLQFMLVLTVIVGVIGGGTLIAGIIGISNIMVYIIKERTKEIGVRKAIGARPNSIVALILQESVVITVISGLVGVLFGLLTLNLLGNSLEPYFIKEPKVGTGMVIFAFLCLVIAGAIAGFVPAYRASKIKPIEALRTE", "VKLLFSLDTWQEIYYSLRNNKLRTFLTMIGVGWGMFLYVSLLGAAKGVENGFNKAFNGFATNSIFMWAQSTSIPYGGFPKGKLMQLHTNDIEMLKNKVPGIKYISPQNSRGARFGKPEPLVRNGKKGNYGITGDYPIGDAISKKKLTFGRYINDADISGNKNVVVIGNEVYESFFDAKKRENPIGKTITIKGIYFTVIGVFKVATNGPRMESNDMVFIPFTTYNKMFNNGDVAEMFAVVGKDNADLAQIEDNTKRILKEKYHVSPEDENAYGSFNLGKEFKKLTGFLTGMQFLTIIVGTLTIIAGVIAISNILLITVKERTKEIGIRRALGAKPSEVRNQILLESVVITLSSGLIGFFLGIFLLMGINALTENNDSFPFYNPSVNYSNVFSAMFVMVFLGLVIGLIPAQRAVRIKPIEALRTE", "MFLKLNHQNLEVYKSAKKLLNASYDILEKLPDSENFNLKSQLKRASTSVLLNTSEGSSRKSKTERNRFYEIARGSIVEIDTCCEVIIERNYIKPEELTELGNYIVSTFILLSNLLKS", "MKKKLNFKKIIYIILGIILLLVLFKGISYMISSNSAKEEAFLTRKPTIQTLEDKVLATGTIVPRREVEIKPNIPGIIKSIHVKQGDKVTAGQLIATINVVPSISEMNAAQQSIKDAELQIGNAKITLNNQQKQYDMQKRLFAQGVISKQEYYNAEQQYKSAAQSVSIAEQQLRTAEKRLQIARTGSTPELQSLATTQVRSKLNGTILEIPVKEGSQVIEANNFNAGTTICTVADLNSLIFKGTIDEAQAGRLKEGMNMNIIIGALQNKTFPGKLTLIAPKGKDETGSIKFPLEADVFNPNNEYIRAGFSANGEIIMNSQKNALLLDESLIQYEKQNNKDKAFVEVKQKDGKFKKVYITLGASDGINVQILSGITKDSEIKVWNPSEKDKEELKQKNAK", "MIKKIGFVLALMWVSFLFAQDFSLFKKFRFSQGEQTLPYRVLLPENFDSGKKYPLVIFLHGRGESGNDNEKQLTHGAKLFLNDSNRKDFPAIVVFPQCPEDSYWSNVQIVSDDTGKRTFYFTNNDAPTKAMLLLSGLFGNLQKQYNIKQDQIYIMGLSMGGMGTFELVNRKPGVFAGAIAICGGAEPSTASNLKATNWWVFHGGKDDVVPSGFSDAIVKAMKSNGVNVKYTFYPGANHNSWDSAFAEPDLLKWLFSQKNQRTITK", "MKKLSIIAGLILAPLFSAQLVHQPVQSFQTEAYTSKKKAFVDKLIAKMTLDEKIGQLNLPSSGDFTTGQAQSSDIGKKIEQGLVGGLFNIKGVGKIRDVQKVAVEKSRLKIPMIFGMDVIHGYETTFPIPLGLSASWDMDLIQRSAQIAAQEASADGINWTFSPMVDVSREPRWGRVSEGSGEDPYLGSQIAKAMVYGYQGKDLSLKNTILACVKHFALYGAPEGGRDYNTVDMSHIRMFNEYFPPYKAAVDAGVGSVMASFNEVDGIPATGNKWLMDDVLRKQWGFNGFIVTDYTGINEMIQHGMGDLQQVSALAMNAGIDMDMVGEGFLTTLKKSISEGKVTEQQITTAARRILEAKYDLGLFDDPYRYTDEKRSKAEVFNKANREEARNIAAQSMVLLKNDKQILPLKTSGTVAVIGPLANNNENMTGTWSVASRTKDAVSIMTGLKETIKGVNFIYAKGSNVFYDAKMEEKATMFGKVSNRDSRSKEALLKEAVETAKKADVVVLAIGETAELSGESSSRTNIEIPQAQKDLLTELKKTGKPIVMVLFTGRPLVLNDENKQADAIVNAWFAGSEAGYAIADVLYGKVNPSGKLPMTFPRSVGQVPIYYNAKNTGRPLSDDKSDKCEFEKFRSNYIDECNTPLFPFGFGLSYTSFGYSDVELSKTQLSGNDQLTASITLTNNGKYDGNEVVQLYIRDMVGSVTRPVKELKGFQKVFLKAGESKKVSFTITPEDLKFYNSELKYDWEAGEFDIMIGTNSHDVKHAKINWNK", "MMFKNILIFTLAIAGITCSSQSPVRENKKSDTKKLTDDQLLDKVQRQTFRYFWDFAEPHSGMARERYHPDGNYPDRDANIVTTGGSGFGLMSIISATNRGYIKRKEAVERLNKIADFLTKADRFHGAWSHWIDGETGKVKPFGTKDNGGDLVETSFLAQGFLVVREYFKNGTAEEKVLAEKYDKLWKGIEWNWYTKGGEDVLYWHWSPNYAWDMNFKLEGYNECLITYVMAASSPTHTISREAYDKGWARNGKIISDKVAYGFPLILKHNGAENYGGPLFWAHYSYLGLDPNGLKDQYADYQKLNYDHAMINYSYAVENPKAYKAYGKDFWGLTASYSRNENGSTGYNAHMPGNDVGVVSPTAAISSIVYTPKESIAFIRNLYENYPDSWGLAGFYDALSPHYNWTAKWYLAIDQGPEVVMLENYRSGLIWKLFMNAPEIQQGLKKLGFTTSKYPQKGRKVVK", "MKKIFLSIAVVSFLAGCKNDSFLDIPVEGQTEESAFFKTQDDALKATNAIYSFLRGWENSAFPYQFIFGVPADDVIKGSNPGDASFINAYDQFTYTASDGGVEGYWTGQWQAVSRSNQVITRVPEINMDATMKGRLIAEAKFLRAYFYFNLVRIYGGVPIFDGLQENYNKPRNTQDEVYNFIISDLLSASQALPDKYGASDLGRATKGAALGLLSKVYLYKKDWQKAYDTSNQVMAMGYSLDPDFNHLFRVKGEFGPESVFEVDCSCSAQYGGSQYAEVQGVRNQFGWGFFTPSQALEDAFEPGDIRKQFTILREGQTTPEGDLIKKGDPLSVDTYNYKAYVPKADQNPTCGQGSVQNIRVLRFAEILLINAEAANELGNTGAAQASLNKVRNRAKLGDTTASSQAGLRTAIWHERRVELAMEGDRFVDLVRTGQAATVLAPYGFKAGKNELFPIPFNAITQSQNVLTQNPGY", "MNKKVLQVSCLVAAFYFSADVHAQTKKSDTITKEKAIEEVVMIGYGSQKKENVTGSIGVVSAKDLADKPNPNPISSIQGKVAGVQIQNSGAPGGSPRVDIRGISSLSGKTVFIVDGMITDDISFLNPQDVESMSILKDPSSLAIFGARASNGAVIIKTKSGKNKTVFNFSSYIGVKTVTNVPKMANSDQYVELYNEKLRNEGVTDPTKFISRANYPANTNWFDEVLKTGFISSNDLSASGNIGKKLNYFASIGYLDDGGTLAAGRGVSSGNNFKRLNTRLNLTYKINDNISIGNNFTWSHINTNNANNPLLTAYSAPPVYYPINPAINNYDYFSLISAANPRAVLDLFRSKDKQDRILNNVWAEVKFLKDFSFKASYTIDNTNLYKYEYTAISDYNPNKPAIPSNLITRDTRGQDYVWDNILSWKKNFNKHHFEVLGGFSRSQRYYRGVYTKVLNVPYTGSDKDLVTTNGTDLVTFTSNKEVGMEPYKTRIESLFGRLNYDYAGKYLVNASVRRDGATGFSSNNRFKTFPAVSVGWVISKEGFMSNQNLFNLLKLRASWGKLGNPDITRGYDKLTTIINSGAYFGGIGNPAETVTKIVNPDIDWETTTGRDIGVEMALLNNKLKIEATYFDKDSKNVVYAINQPSISGASNWAEYITNAYSFNNRGFEASVNYDAKISENIRLGVYANITTIKNKITSVYLDSYNEPGAHLFGSTIIRLQTGQPVGSYYGYQVAGVFQNQSDINAAPQQTNAAVGGFRFADLDGNGVIDARDKTFLGSPIPKYTYGFGFNLSVYDFDFAMDFQGVQGNKIYNYNREQRYGNENWDLDFYKNRWQGAETSNSYPMTTNNQAIILPSSFFVEDGSFFRIRNIQLGYTLPKEFTKQLSVQKLRLYFSAQNPWTSFKYNGFSPEIMNSDRVQMGIDNNIYPISAIYTFGMNLTF", "MIYAITIILFIFLCLSLLLSTIRTGRLAISIKILRWIITIGGIAFFSWWFFKKSFPRLTDNSLSVQIINNLQQPIDFYTVRINKSPEAGDVVNHLGTIRSGYYRIEYFNVKNSDEYWLMGFIGKKKLVYFSQHAVVNKNEDQLVEVRNYINQSQRLSDLGVKKVNAYVNDTVTEAIWITLDFLLIFLNLVLLLRKRTLRVEH", "MSDLISKTIEFVKKKLEGAEAGHDWFHIERVWKLSKKIAETEKCNTEVVELGALLHDIADPKFHNGDENIGPDTATAFLESESVDVDTIQQVIFIIRNISFKNRNEAPKEKPIELQVVQDADRLDAIGAIGIARVFNFGGFKNNPIHIPGEEPRLNLSKEEYKKSSGTTINHFYEKLLLLEGMMNTNTGKEMAAIRHQYMENFLQQFYDEWNAVV", "VDFFFTNDMKEVILKTRDGVNIIAHLFQPDKSNGKLVLINSATGVKQQVYFAIAQYFAENSFTVITYDYRGIGLSKPLDMRNFNSDAVLWGKEDFGIITAYIKENFSDYQKYCLGHSVGALILGMNADSEIFEKFIFVGTQNAYVGNLKWKTRIEAYLGFGLVQPLITRLFGYFPAQWFGLGESLPKGNAFDWRKLILNKKSTNKLLERTESFAESLNHKVFVIRAEDDVWLTEKGVKSLLNDTYPNMKPTYRLIKVSESEKGEIGHINFFRSYNRKLWKIILNEIQ", "MKFSNHIPKIVVVGSSSIDLVLTTEHHPRINETVLATQTENFFGGKGANQAVGASRLGAKVHFVGCVGKDTNGKHVLENLVNEGVNVNFVEETSDYPTGTAYVTSAHGKISIIVAPAANNFITTADIDKAEAEIAEADIVLTQLEIPVEVVEYLFEICKRHHTKIGLYASPAKFIGEDIAEKADFIVIKSNDLSVVFGEEGRDKVLKKLPNKLFIRDDTNSTVYFNGEEMKYYRNAPDTIAYKMGMGDAFTSGFAIALCHGNEIDECVRFGNEVSLKASANKGSQKGLPYLKDFSF", "MNHIENIQKLFSKNFVESPLLESFDAGKLVITTGEIVASDPLITPDKEAFDQQFPTGEFAVNIHKERESNCVAYAEVVFQEAKITDWKLATTKDQNVKDLAEGEVFGYPVESGMGCFMDYETQKLLNALEQEMFQKKADDFEGIYAEFFHEHFYEQDGAVHQYALLKPYLDKDNNIFAFETGFGEGFYASYIAYDVNGIPVKLITEFIEIVVD", "MEISDKYNPQQAEQKWYQYWQENKFFHSEPDEREPYTVVIPPPNVTGILHMGHMLNNTLQDVLVRRARMRGYNACWVPGTDHASIATEAKVVAKLKAEGISKQDIGREKFLEHAWDWTHQYGGTILEQLKKLGCSCDWDRTRFTMEDDLSKAVIKVFVDLYNKGLVYRGYKMVNWDPEAKTNISDEEVIYKEQNGKLYFLKYQIVGSDEFLTVATTRPETIFGDTAVCINPNDERYTHLKGKKVIVPIVGREVPIIEDDYVDIEFGTGALKITPAHDINDYEIGQRHQLEIIDSMDDNAVLNENGKHYQGKDRFTVRKEIAKELEEKGLLLKSEDYLNKVGTSERTGAVIEPKISVQWFLKMSELAKPALDVVMDDEVKFYPEKFKNTYRHWMENVHDWNISRQLWWGHRIPAYYYASGENDFVVAETIEAALELAKQKTGNAELTTDNLRQDEDALDTWFSAWLWPMSVFDGITAPDNKDINYYYPTSDLVTGPDIIFFWVARMIMAGLEFKNQVPFKNVYFTGIVRDKQRRKMSKSLGNSPDPIELMDKYGSDGVRVGILLSSAAGNDLMFDEDLMLQGRNFATKIWNAFKLTQGWKKEDKEISEENAQAIEWFEAEFNKTVAAINDQFEKFRISDALHLLYKLVWDDFCSWYLEIVKPNYGEAISQQVYDKTIEFFGNLMKFLHPFMPFLTEEIWQAIAERQTSEALIITQQNKETAFDADILKNFEQTKEIVSGVRNYRQSKGISPREEVEVYTNVSQFENEAVIKKLANVSEIHYAQKTDKPSFTFLVGAVECSIPLSENLDLGEEKTKTEEELKYLKGFLISVEKKLSNEKFMSGAPQQVVDNELKKQKDAQDKITLLEEKLKTL", "MKKLFLGLFLTGAFAAVSAQSIAFDKTTLEYGDIAQNSNGERFFTITNTGDKPLILTNVKASCGCTTPQWSKDPILPGKSAKLKVGYDTKFTGTFKKLIEVYSNDPESQRSVIWIAGNVTSSGKK", "MKKILTLQDLQRITLESQGLAHSPMFGTGKNAVLNALEHLGYVQIDTLSVVERAHHHTLWSRIDDYKTHYLNDLLKERKVFEYWFHAASYLPMKDYRYALPQMLSFKHNESQYYNADPKVMQYVIDTIRTEGPRKAKDFENEGKTAGNWWNWKPAKLALEKLFMQGDLMVSGRDGMQKIYDISERVLPQTTNLTIPTSIELAEYLVKTHLRAYGLTSLKQITHLRSDPVLRKNVEQVLQSMVEDKVIQKTEIDGISPMYIQKDLIEKGAEFPNSEIKLLSPFDNSLIHRDRFKQLFNFDFRLECYLPKEKRQYGYFCLPILYGNIFIGRVDCKAHRSIKKLELVNMHIENTNIDIESWIGVFVEAIERFANFNGCNSITLTKVSPSKYTKLLKQALSGYKI", "MSKDFNDFIAEDKFSIKKTSTRYEGKMTKDEGIALLDEEKKKLHKLQEKLYADGSKSLLIIIQAMDAAGKDSLIEHVMSGINPQGCQVTSFKTPSSKEYTHDFLWRHYLALPEKGKIGIFNRSHYESVLICKVHPEYNLGEKVWKDVKDFDDKFWNNRYESIRNFEKHLSENGTKVIKFFLHVSKDEQKKRLLDRINEPEKNWKFSSGDLKERALWDKYQKAYEEAINETSTEYAPWHILPADNKWFTRLTACQIITQTLEKMDLKFPVLSDEEASELEASKTSLENEK", "MSQKEKDFSKLVKENQGLIIKVARMYTNTPDDQQDLFQEIVLQLWRSYDTFKGNSKISTWMYRVALNTAITLFRKTTRTVKTDELTDFHQPIDDENDDNQQQISLLYKVIKMLGDIDRAIVMMYLDDVPYKDIAENIGITEVNARVKMNRLKKTLKDLMTQHAE", "MQNDFDIDQLKNAWQKQETGPKYQDSEILEILNKKSRNYVKFIFWVSVAEFALFLILNISAWLRHENGDAYFTQLSQLGIQINENVKATFHNIYFVFKMISLLIILFYVWVFFRKYKKIDVESNLKSFILQIISFKKSVNRFILVNILFLLCFLLLITLFPVIYIQQQHIQISDSKYAGFIVGIIISCIVCVLLILLYYKLIYGILMKKLSRNLQQLKNIENEQ", "MLKQNLQIKLGQKMAPQQIQLMKLIQLHTLEFEEELQRELEENPALEKAVDEAKEDDYSDIDSSYDDEGTESIETDFDVNEYLFDDEPSYKTAASNYSPDDEEFDNQSLLTEGQSLYDYLIEQIRLTKIGEEDLKIAEYIIGNLDNDGYLRRDIKSLVNDMAFSLGIYTTPENVEDVLTNYVQKLDPPGVAARDLKECLLLQIEKKVSGNPAVSLAHNILLHQFDALSNKHYNKIMHKYDVEEDDLKDALDEISKLSPKVGGNFDTQTITINQEIIPDFVINVKDGKVTAALNSKNAPQLRVSDEYKEILTTYSHDKTSQEHKQAALFIKQKLDAAKWYIDAINQRQNTLMQTINAIIKLQKDYFITGDDKSIKPMILKDVADITGFDISTISRVVKSKYADTPNGIVYLKNLFSDSLTNDDGEEVSTKEIKNHLQDIIDKENKRKPYTDDALVGMLKDKGYNIARRTIAKYREQLNIPVARLRKEL", "MHKQTIKEVLENYKKFLHHDITVYGWVRAFRSNRFIALNDGSTINNLQIVVDFENFDENLIKNINTASSLKIVGEVVESQGAGQTVEIIAKKIIVLGDNFTEELQNTILQPKKHSLEKLREQAHLRFRTNLFGAVFRVRHAVSFAIHSFFNDRQFFYLNTPVITGADAEGAGEMFGVTNFDLDNIPRNEDGAIDYTQDFFGRKTNLTVSGQLEGETAAMGLGRIYTFGPTFRAENSNTTRHLAEFWMVEPEVAFNNLEDNIDLAEDFLKSVIQYVLDKCKDDLEFLDKRFAEEQKQKPEKERAKEGLIEKLENVVAKRFKRVSYTEAIDILLNSKENKKGKFVYPVEKWGADLQSEHERYLVEKHFECPVVLFDYPAEIKAFYMRLNEDNKTVAAMDVLFPGIGEIIGGSQREERLDVLKKKMDDMHVDQEELWWYLDTRKFGSVPHSGFGLGLERLVLFVTGMTNIRDVIPFPRTPKSAEF", "MKNIPYILIATRFILAPLILSLAYVKGDESRILLLLLMYFGLFTDIFDGIIARKTGISSEKIRRLDSQTDLIFWLCIGFAAYLLNPELIKEHWGGIALIFIMEALCYIISLVKFGKETCTHAFLSKLWGLSLLFAFTGLIGLQQAGFFFYLAIVLGFVSHVDVILIVLFLPKWQHDVPSSYHAWRIRKGKDIKKNIYLNG", "MKTNVIFWIVLAFSQLFQAQDSIRIENSKFKIFAFTPVKNNISKVNGMTAGLGLSNRAIFKDAENNQAIVNGLNLDLNPLGFIIFCFYDPDRDFTTKESVLLNGLNLSIAGHLRDVSQNGVNISAYNYAYQMSGVSFSLIGNSNHTFKGVSVAFMGNSANKGEGLAIASFNGFDNFKGVQIGIVNRSDNMKGLQIGLFNKNETGKNFQIGLWNKNEKRSFPIINWNFKKLKS", "MYQEYLLREIRKKIGEKSLNDEIANILNISYDAAHRRSSSKAKFSFEEALELAKHYQISLDQFLGAENQMVVKRTQPIKTTDDLLSYFENSLKILHVFQENDSSQVYYSAKDIPFFYTISDSVLSKFKFYVWMNLLNQDKFLSPFSEFSLQHHSGKNEALKEFYEQQNVTEIWNETTINSILQQVSFYYEIRMLNTTTVLKILDELSELIANLETKTLQNPNFQIYVNDLVILNNSILFKNGKQSSYFLPFNMFGYIMMNDPAVCAETLSYFEHQIKNSKSLNTSGNRDRKAFFNLMLEKIEKLKAVIKLK", "MRKEECYFLGRITRRHGLSGNVILKMDTDQPEFYSKMESMFIEINGLLVPFFVDKLSWSKGDSLNILFKNSNEALVDQIIGKEVYQPLSTLPKLSGKQFYYHEIVGYEIKDTEGKSYGLIRSVNDQTAQHYFILVLNDKEVVVPIIKDWIVALDREEKVMTMQLPEGLLDVFTTSSKKDE", "MSVKIRLQRHGKKGKPFFHIVVADSRARRDGRFIEKLGTYNPITNPATIDLNVDSAVKWLNNGAQPTDTARAILSYKGALYKKHLQGGVAKGAFDEAEAEKRFNAWLEAKETKVQGKVEGLSQAKADAKKAALEAEAKVNEARVAAAAQAEADAKAAEEAANAPVAEEVVAEETTEETPAADASEENAEA", "MKKTIYDKKDIRNYVKSVIADKIQTLEKFVHFTKEASKDIKKTPKYDSVREEAHEEIYQMQKQLAELHKLQNGMARVLNSEMSIIQLGSLVITNKARFYISVSLGEFFFEGDRMYAISEESPMAKMMIGKKVGDEFVLNRIHQRIEEIY", "MKKLSTGNIITRLFKIGMNFRGWFISAFIISVVLAIVGTYRPILTKDVVDNDIIKEKNFDLLMHDVYLLIGLVIAETILNFGLVYLSNYISQNVIRDIRERLYRKLIYFKTSFFDKTAIGNLVTRAVGDVETIATVYTDGFLMVFGDVLRVVLVLVAMFNVNVQLSFIALAILPIMLLVTRFFQKRLKKAFGDERTWTSTQNSFVQERLAGMSLIQVFNRQKAEFEKFDDINKQLKGALLRTVFFFSLFFPVVELISSVSIGLILFYAGYNALTNSDASPGDVIAFIQFISMLIRPLRQIADRFNNIQRGLVGAERVLGIMDEDNAMPNNGTVTKDKVEGKIEFQKVHFSYDEKQEVLKGISLKVEPGQSVAIVGATGAGKSTIITLITRFYDINSGKILLDDIDLRDYELHHLRSHIGVVLQDVFLFHGSIYENLTLGEDIPLERIKKAAQEIEVDEFIEKLPGGYDYVVSERGSSISLGQRQLLSFLRAYLTNPSILILDEATSSIDHESEKLIQKATEKITKNRTSIIIAHRLSTIVNADKIIVMDQGKIVEEGKHEELLQRDGYYATLYKAQLYRDADDEDVKLEA", "MLGVLFLIFIYRYYANLAKQYAKIKWHYGLLGVVIFMAVQMTVGGVYGIYLAIAEPGELEDESTIVGITPLNLIGWSIAGGTVWGVHKMLEHKLINERQTQPSIDIDLIGKKETE", "LRIENLDKNTNKLRYFIAFSYNGASFFGFQIQPNEISVQETLEKALSVLLREDIKITGAGRTDTGVHAKKMYAHFETSNEPGDQLVHKLNSFLPPSIAVQEIFQVSKDLHARFSALYRTYEYYISLKKNPFTENSAWQLWRKKLDLDAMNRACEVLFQYKDFTSFAKLHTDNKTNFCEMKKAVWEQRGEELVLTISADRFLRNMVRAIVGTMIDVGTGKIKPEDIHDIIEKKNRNAAGTSAPAHGLYLVDVGYNFDN", "MKKILLLSDTHSYMDDRILSYAAQADEIWHGGDFGNMEVIEALQKIKPLRGVYGNIDGTEIRKEFPEVLRFQCEGIEILIIHIGGYPGRYSPLAKAEINKHAPKIFISGHSHILKVMMDKERNILHLNPGACGKVGWHKVRTMLRFVIDGSEIKDLEVIELGAK", "MMTMKTGDKVSVLNDNLKGRIIKINKNLITIEDEYGFEHTYPAAEIVPAEADLYNSQPVIVKPEPKKNISKKNKIPALVLDLHFDQLVSNPSDYDSWERLFIQKQRLQETINFCRKNHIKKLDVIHGIGDGVLQSMVLEVLRGETGLEYEDGTFFKHQSGTITVILK", "MALIILGHPDWERSLANKEIVNGLVNSEVYIEVRHLQQLYPDFKIDIKKEQEALLRHKNIVFQFPFYWYTMPAILKQWFDLVLEYGFAYGSTGDKLKGKNFIPSFTVGSAENEYKTLGEHHFRIPEFCKNLEQTAYYTQMNYIEPLYFYGTSLNAGYTEEQVKNKAKEQTKRLAELLKTLE", "MKRECLGKYVKIGDKIYPCSVSLAMDLVGGKWKTVILYHLKDGEKRFSELRKELFSVTEMTLSLQLKQLEKDGLVSRKVYGKKPPIKVIYKLTDFGNTFIPVLDAITNWGNQIVDKKGEFVDDL", "MQKLSLLFTKDGLQWTIGRGSSYTEKAFFRDEETPEGYISDKLAEVLKQGNIKKIEVISALNHFSMLPFGFDQHQLGYQLISYNAPVDEANEELMLAVNKKFHVQFYYTMPKELYQKIKSSNIPAVFNFSGEKFLSQTLAKTSGEQIHINLYHNQAEFFAMKDGKILLYNNLDANSEVDFLYFIMFAVSKLNFDLKNVQFLVYGEIDENETFLGELQKFAHEVKIVEKSIKNKHNFILQ", "MYRIISGKWKGKKIAAPKNFEVRPTTDFAKEALFSIIEHRFDIEYLSVLDLFAGIGSISLEFASRDTKDITSVDLNPKHCGFINSTAKELGFDSQVNLSRGDVFDWLKKERNHGKLYNLVFADPPFDMDKTRYDELIDLVLNKNILAENGVFILEHQSRHKIEHPNLQETRKYGNVSFSFFAKKTD", "MKRLLLLLIIVFSYAVKSQQKNDSLNTALHNITKDTKFGLALSGGGAKGFAHLGILKMIDSLGIKIDYITGTSMGGILGGLYAMGYNADQLKETVYKVHWHRILSNKIPYNKINISEKDEYDKYILEFPVVKGFPTLPSSYIEGQYMGEVLNTLTFNAKHINDFSKLRIPVELTSSDIENGGLVMQKKGSLPLAIRSTLAIPAAFAPVYIDGKLLVDGGLDRNFPANEVREMGADFVVGGYTGFRLFTKKEIENPMKMIYQTHAIRSVEDFKHQKALSNIMVNFVKPLGDITTKDFAKYDEIIKIGEKEAKKHLPEFVALAEAQRRLGIKYEHKMIEEVKMPTTKFTYSEEDGTPITDTNEIENIRKQMKLKEGNYYDAKTINEAIDRVFGMRQYEKVYYTYTNTGDALTMNIFVKRAKKGAFKLALHYDNEQSVGIIVNYTYRNFVFSKSRLLVTVDAAERFKARIDYQKFLDNGHRWWLNLEGKMVYLRSNDLTFRLLDIDSDDENIRFPNYMYRNITGKIAVNYNIHPNAFISFGTEFSAERMNRFLDKIDQSKVNNYSKKLYSHSNFNTFLKLEQNNLNKRYYPTRGNHLQVSTRFYYGDQYDLYDLAKVQPELNLILDPKTPFYYKPQNLISFTLNENYAQPITKRLTAKVNVFLGASFGKEKDLFPYLFLNQKYNLGGSEYNYDIMNPEFNGFRQKELPITSVAKAGLSLQYRIMKKLYLTPSFSYSILSDELSPFKNNINMIGYGLNLGYESLLGPININVSKNDFLDIWRVYFSIGFKF", "MKIYLTAILKAKEEKRIELLPILQNMVENTLKEKACIKYELQQGIENENLFIFHEIWESSEGLEYHNQQDYIKAFSSKAEELLEEPAQIYLADLI", "MKKVLITGITGYIGGSVAKLLLAKNYEVYGLVRKAEDVSKVEQFGAKAVVGNINDYKLLCDICRDIDVIIHTAESADDAYAATNFLKILEGTGKTFIFTSGSAILGGKENGERSDFRFTEDIPLSPRLEMSLHVNINKAVLQAAQKNIRSIVIVPTMVYGEGIGLKKESIQVPALVKFSIEKGKGIYIEKGENIWSNLHIEDLAELYLLALEKAKPDALYYAENGASSIKEIANTISGKYKLEHAESISIYEAIQYFGEAGAYFGFASNSYCNADKARTELDWKPKFNNIHEYI", "MGNIFIINGGQTFAHSGGAFNKSITGWTKEVLEKEGFKVRISNVNDEFDAVQEAENFKWADVVVYHFPVWWFQVPNRLKFYIDEVFTAGHKNGIYESDGRSRTNPKINYGTGGLMHGKKYFVTSSWNAPDTAFTMEGEFFQQKSVDEGVLFGFHRMNAFTGMTHLGSFHFHDMEKNATVERVNQYETEYKEYIQSIFAKEKYILQN", "LNKLNIQGLSPNQPIGVFDSGVGGLTVAKEIKRLLPNEDLIYFGDTKHLPYGEKSKEAIVEYSTKITNFLLEQNCKAIVIACNTATANALKEVLELVAGKVPVIDVINPVAEKVAYEIHTNVGVIATKATVNSGLYRKSIRKHNKFIKVDELATPLLVPAIEEGFKNHPITHAIIYNYLSNNKLKNIETLILGCTHYPLLIDEIKQYYGNRVRVIDSPNIVANQLKIILDKYHLLNEQSHTPSYHFYLSDITKNFEKISRKFFGNKISLELKVL", "MKKIVHVALLMSAPFFLAQELKPYGAVPSERQLRWHEMETYALIHFTPTTFQNKEWGFGDASPEIFNPTSFDAEQIAKAAASAGLKGLIAVAKHHDGFCLWPTKTTSYSIASSLWKGGKGDMVKDFMLASKNAHLKFGVYLSAWDRNDVRYGTPAYADAYRVQLTELMTNYGPLFTSWHDGANGGDGYYGGRNEKRTIDRTTYYQWTEKTWPIVRKLQPGAVIFSDIGPDMRWVGNEHGYAAETSWATFTPIGLDGKKPVPGAAVYTNSGTGDRNGKNWIPAECDVPLRPGWFYHKDQDAKVKTPDQLFDIYIKSVGRGADMNLGLSPMPSGILHDNDVKSLQAFGVKIAETFKTNFAERASIKASDVRGKKIKKFGPQYIVDKDRYSYWATNDEVTNAQLDIKLPKQSTFDIIRLRENIKLGQRIDSVKVEGLVDGKWQVLGKATSIGANRLIKLDKPVTTTDLRVNIYAPVAITLSDFGLYKEYNEAFAFDHTTEAKKIKIPTGMARIDQVILNENSNTFVAIPKNESLIFNTEGRNITGLGYLPRQDGKTEGIITKYAVYTSDGNSRWKLLKEGEFSNIKANPVWTRINFDKPVVSRFIKLVPKELTDGGQYTVAGVEFYEE", "MKDDMMKAIHKEIDFRSHELSDKHIKTLYLGGGTPSLLSVDEIKALLDKSAQYYDFDSEIEITLEANPDDLNAAFLKELSKSGVNRLSIGTQSFFEDDLKLMNRAHTSGEAEDSIKRAQDFGLENISIDLIYGSSSMSIWKENLRKAIALQIPHISSYALTVEPKTALAKWIKDRVVKAPNEEVQNEEFYYMSEFLKEQGFIHYEISNFAKEGFYSRHNSAYWKGQPYLGFGPSAHSFNGGNVRSWNIANNALYIKGLAENKRNFEEELLSPKDQLNELVMIGLRTIWGVDIAKIESTFSNDLLEEFYHLLKFKKDEGLVIEDTGHLKIPEEHWFLADGIASDLFLV", "MTEEENEDLFDDVNDIEISDETGGLYEHLNLVVDKKQEPLRIDKYLLLFRQNSTRNKISQTCRAGNVVVNGKPVKQNYRVKPGDEISVLLAHPPRENVIIPENIPVNIIYEDDDLVVVDKEPGMVVHPGFGNWSGTLVNALAYHFEQNGDKSDLDRVGLVHRIDKDTSGLLVIAKNEYALSFLAKQFFDRTTKRLYWAFVWGNVEEDEGTIRGHIGRHLKNRMQMAVYEDGSHGKHAVTHYKVLERFRYMTWVQCKLETGRTHQIRAHFKHVGHTLFNDERYEGHTILRGINLPKYKQFVQNIFDVLPRHALHAHTLGFIHPTTKKEMFFESPMPEDMSTAVDKWRNYLSNNG", "MLKSLFNWKVLLNILLAIVVFVGLVWLTFRWLDFHTNHGKEIEVPNVINMSAQKAIEVLDNAGVAYQLDSLKFDPKFKPSQVLKVDPLPGSRVKDGRPIKVYINPKTWAKVAIPEVIDTYKYRAFDKLSLVGLKVGDTLYEPSIAKDAVIRLMYNGAQVKPGDLVPRFSVIDVVVGQGPKRNVPVPNIVGRTLQEAKEIIKQNYFENGLFYDEYDQSVSDPSMIVFYQNPAAGSISDQGVQVDLWASKKTPAEMHAKISELDNIYRHNLIPQVDPGETNFDDFDTPPPPRPVKPKPVEKPKTDAPKTVVPEKKEATDKKTVTKTEQKPKSEEHKPADKPKEKKKVIIE", "MAKKNVAVVMGGYSDEYVVSMKSGQLIFEELDRDLYNVYKVHILKDGWFLITDNNEKFPINKSDFSVDLNGEQLKFDVCFNIIHGTPGENGILQAYWDAVGQKYTGCNFYQSALTFNKKDTLAVLSKYGIPSAKSIYIRKGEDINHNEIISTLGLPLFVKPNQSGSSLGISKVKEASDLQNAIEIAFKEDNEILIESFLNGTEVSVGVLDYKGETIVLGITEIVPKNEFFDYEAKYNGASEEITPARLDDATRLKVEEISKRAYDSLGMSGFSRSEYIIMDGIPYMLEMNTNPGFSPASILPQQAKIYGISIKDLCGNEVEKAFEKK", "MKIAVFPGSFDPITLGHYDIIERAAPLFDKLIIAIGQNSQKKYMFSPEKRMEFIQNSVAEFPNVEVDFFEGLTVDYCFEKNAQYIIRGLRNPADFEFEKAIAHTNRTLAHKKLETVFLLTSSGKSFISSSIVREIITHGGEYELLVPNAVRV", "MHEQFNFIIEILGTISFAMSGSFAAMQRRFDPFGVVIIAFITSVGGGTVRDLLLDVPVFWMHDLTMCSVIFVTAIFSMIFKSIEKNFQVTLFIFDSFGLGLFTIIGLQKGLNANLHPVICIVLGTITGCFGGIIRDILLNKIPLIFRKEIYATACIIGGSVFLLLAKFTTLTYSFVQILTILLIVAIRTLAVKYHWEMPKFYVGKENSEM", "MNKYIKFLIAGLIIAAGIYLMFNRNAMWGVIVIVLSAIPILLFFKNEYILLAFWQLRKQNFAKAAQWLSNIKNYQSQLHKSQYGYFHYLTGLTTAQDNPAKLEPYMKKALEYGLNMKHDRALATLNLAAAAMQKGRKQEAKILMDEAKRLDTSDMMGDQIKMMREQLKMPNMQKHMHNPHMRQRGKYF", "MITIVVAVGKNNEIGKGNQLLWHLPKDLKHFKEITNGHPVIMGRKTYDSIGKALPNRTNIVVTRKTDWFEEGILIVNTLKEALKHAKKIDEKVFVIGGGDIYKQCMEVADSIELTRVDGTFDADVFFPEINEKQWRIVQEECTEKDDKNAFDFCFQTFERIKKEEKGTQVNASE", "MKNKGCMSAGVILIVLIVIVAIIGFWGVGKYNSLVTKDQNVQSKWSNIETVYQKRANLIPNLERTVKSYSKFEQETLTKVVEARSKATSVTIDPTNMTEADIAKFQAAQGELSGALSRLMAVVESYPNLKADQQYINFQAEYTAIENSIRMETVNYNDAAKEYNTYRNQFPTNVVANFTNFKEKPYFKADAGASKAPDVFKGE", "MTTDFLTNTEMASLVEAIQKAEEHSSGEIRVHIDTHSEKDLAKEAIDAFHKLEMQKTQHRNAVLFYISFEQKYLTIIGDKGIHEKVQQHFWDQLHDEITQKFAQKLYFQGLRDALLKTGMELKKHFPVDQENKNELPNEISFS", "MRSASLKLFLAYIFIGFYSLVSAQNIPPKPDILYPVYDKVGLLTQQEKDALNNKLIKFADSSSTEIEVIILPNTGGEDVNYLAARYGEKWGIGQKGVNNGVVFLIATEDRTMSIQQGRAIEQYITASTAKQILDYLVTPSFKQGQWYNGIDRGTSAIMEAVQGKFKPQKKAPEQKRSNSSTFLILIFIIIIVIIVMNQGGKGGGGRYDDDDVTLSRRGRSIFPGGIFFPGGFGGGGGSFGGSSSGGGGFGGFGGGGSFGGGGASGGW", "LNKTLVIFAHPYFEYSKANIRLIEAYQNIENVTFRDLYEEYPDFNIAAFKERKRLMVYDTIIFHFPMIWFGMPPLLKLWIDEVFDMKWLSGLDTSPVKGKKAYIVLTAGGSQNAYTHNGIYGNPAEDYIKTLTQSLKINHVSVEDIIIIYNSDQLSDYELDYQCKNIRKLALST", "MSEQIFTNTLIFLGAAIIMVPLVRKLGFSSVIGYILGGIIIGPFVLKLTGNADDVMHATEFGVVMLLFIVGLELEPKKFWSMRRTILGLGGMQMLATTAFLFLIFFWTGWYYKIAITMALCFALSSTAIVLQTLKEKNLFNTASGEASFSILLLQDIAVIPILAFLPWIANPDIDQVNHSALLINMLPNWMKPFSVLIGVGILIILGRYIFVPFLRYVSSSGMNELLTATSLFLVVGVSHLMTLIGLSPALGAFLAGVMLANSEFRHELESNINPFRGLLLAVFFVSVGSTINFNVIKDDPLFIFSVVAIILVVKFAVLFCIGKIFKMSMEQNLLMSLGLSQVGEFALVLLSFSTKLYLINEELNSQLMAVVAITMCITPILLLINEKLIDPYFNVKVSDDPHQNHGMFGENKIIIVGYGHFGSTVGRLLKVNGFQATILDHDSDRVSMLRDNGLKVFYGDATRTELLRVAGAEKAEILVLCLDSPEDNYTIIQIVRKQFPHLKIFVRARNRLDNYDFLNKGVENIYRETLGTAVNMGVDILQAAGMRRYAARRIGHRFEIIDKLSTRRLAKERLKESPTFTIKEALEREAELLKDDNISFDDQHWVDIES", "MKKMSILAIALVMFQSCIKVKSGEGGVINFTDVKGTGPVTDKTYSGDFNSIEVSSGLDAEVIKSGTEKVIISAPSDLQDLILVENNGGDLHIHYKSGFRINLSTKNVKVKIFAKDFSSIKANSSADVIVKDKFTQDKMSIATSSSGSLEGDFEANNLDIKSNSSGSYKGNIWAVNAILGASSSGDIIVKGKVKDFSASASSSATINAKDVQAEKASLEASSSGDVLVSVTKEANASASSSGDVVIYKNGNPSINKSESSSGSVTIR", "MRKVTSLALISLGLFATGQSKQQKTMNQSHHTSKITNNSVSSQNPLLRKSTLQYQAPEFDKIKDEHFKPAFDYGIKEQLKEVDAIANSTEAPTFKNTILALETSGRDLVRAVLIFSNLNSANTNTTLQKLDEEYAPIFAAHTDRIYLNSKLYNRVKKVYDQRNNLNLDPESLRLVEVYEQKFEIAGANLSDAKKQELKQINGQLATLSSAFNNKLLAARKAGALIVSDVKELDGLSQDEIAAAAQDAKNAGQDGKYLLALQNTTQQPLLQNLKNRATREKLFKAAWTRAEKGDENDTRATLEKIAALRLKKAQLLGKKSFSEWALQDQMAKTPEAAMDLLAKLAAPAVKKAKEEASEIQQLIDKQNGGFKLEPWDWNFYAEQVRKAKYDLDENQIKPYFEVTTVLEKGVFYAAEKLYGLKFKERKDLPVYHPDVVAYEVFDRDGKSIAIYYLDFYTRDNKNGGAWMSNFVEQSHVLGQKPVIVNVFNYQKPAPGKSSLISYDDVTTMFHEFGHSIHGMFADQKYTTLSGTNVPRDFVEFPSQINEHWALEPSVLKNYAVHYQTKQPIPQELVDKLKKSKTFNEGYAVTELLAAATLDMAWHSITSESQLKPANDFETEALKKYGLLVNEVPTRYHSPYFLHIWSNGYASGYYAYMWSEMLDFNAYDWIEKHGGLTRENGDRFRKYILSVGNSVDLNKTFKEFNEKAPTIDPLLEGRGLK", "MKKNLFLLACGIVSMFVISCNREGTNEVSPGYSNVKAGRFDNKPFSWDVSSGNGKNVFIGDGSIATPLGALASDGTTSSIRIDNTIVYPGAVYPFESIKNSTFDSEVKYAKRPYDLIFSFSNPYIIEDIDSEKGFTTYNRKLSEAVNSSNFNNYINANVKKLVDYSAVECFTYSDVQKAFSFNAGLGTLFTTKMSRKSRDIKYKSIYLARLIGTSFDVVFEPNVNGFFKSDIYNEDAKKFKGDPSGGRRDGSTVGQYFTSTPYYTKQITYGKYAYLAIESEYEYSRVKKAIEATFNAWKISGGGKYEEETIDILSKSVVTVLTTGDRSSEPFWGTSLDNLYSVFNVKYDSNFYGFPVYAQMRTVGTDEILKFMIDGPNSDSRGGNGFDNGGSGTGTGTGGTGGGRR", "MKTYISALLLAGATLTTVSCDRNNDTQIVNDQDTIGQEYKMSGDFKYDANFGYYISGSFDKAIPASDRVLVYIWNGTNNGADVWSPVPNTTFVDASTVPNGRKIYYSYAFSVKDVYFYAKGNYDISTTPEYLKNQRFSILVVPANSMKASASASVNEANYQEVIRKYNLDSSRIINISTNK", "MNLKHLNLSGAELLNRDELKKILGGKICGSNWESIGGGSTCSLTFLRINSWELAMYLERSCNNGVCDTTAPPGHVLADQSPCFSNDVGRPCH", "MKKNKCIPLYIINLKERKDRLIHILNEFKDKNEFFVEVIQSTRNEKGNVGLWNNVRRCVKLAIERGEDFIILCEDDHVFTKDYSYDFLYKCITEAYEKKCDILLGGVSWFEAGIQISNDLFWIEKFTGLQFTVIYNTLFNKILATDFGDLDAADQKITFLSSDKILMYPFISTQKEFGYSDVTNENDKTNRIEKLFDETQQKLEILNKVRNYYLQLTDHNF", "MNENIVIPVYIINLEERTDRLQHVLNEFKDKNEFIIEIVQSRKNKKGNVDLWNNIRKCIKLAIERDEDFIIICEDDHVFTNYYNKDILINEIYESYKQGIEILLCGISGVIGSVVPISNRKFWISHFWGLQFTVIYSSLFLKILNYDFKETDTADDLLSVMTSNKAVLFPFFSIQKYFGYSDVSLRNDIEKNNVDNLFNTAANKMKVYKEIYEKYIDGK", "MNEPNRLLVSCICVTRNKSALLNRAIDCFLYQTQKEIELLILYEDDDQDTISFIENYPLHPNIILIKETAIPKKTLGELRNIAIERSSGLYFCQWDDDDWYHPYRIESQLYFIRQMNSDVVILTKWILYDSQTEKAYLSNLRMWEGSILCKKEVTKNVAYREVSKGEDTEFVHYLANNFELIGINNMPYLYIYTYNGLNTWNYSHFRQIINASMKLSEKYSELIKQVINEKPDYKMISKITTYIEELL", "MPNENTLLKLEVLYGGGNPLTMPRRMALPSPSKDNLNYNNQQAALEEMQKDPGFGQQPDNIQGRIWWDKQ", "MKKNTIKILLCSVAIGFFVSSCNDFEDINKDPNAATSEQVMPEYFLNNALVNAQMNPDTAERAFVLYWKTAGRQQYTTGIAGGTYNDDWTTNYWNDLSSWLQSATLAITVGGEKKANGTATNYNDNVIQISRIWRAYLMSELTDNFGSAPIDAFKGVNPEFNSQKDVYYFMLAELKDAAAKIDPSITGMTDKTKNVDIAYGMDWNKWIKYANSMRMRLAMRLSEVDAAKAKSEFEDAAKDSKFIATSADNFAVAEKDGWDGLTGVMSRSWNAQIMSETLNNLMLGLGGVKSQDQLPSYLHASVKPDNYIGLSFPDSFPTKTNDPSVGYYLDGLPNKIDPRAYKNFYIPGDKQSAAFEPWFETSDDNMKRTMTYADGSTVTLDTKYTWSTYPIGDWGAALSRNGARGNASFTPAIAKQYRHSTNKRVFFGSWETYFLLAEAALRGWSVPMGDQVAYERGVKESFDYNNVGQFYASYISSTEYSRVGTSVSYSHTTEPGATHAMDYKDGKTGVAGTAQIKYPSNTIYKGGSVNNDKLTKIITQKFIANTPWLPLETWNDQRRLGLPFFENPAVENPLPNLPNLNSSNYMTNSVKNFPQRLPYPSGFRNNDPKGYGQAVSLLGGADAVLTPLWWAKKQ", "MKKVVIKLGLLQMPLLLASVMSYAQKKDSLKTSNIDEVVVTAYGIKKEKKALGYVYQDIKGSDVVEAREVNVTDAMVGKVSGLQLVKSSTGPSGSSKIILRGFNSLTNDNQPLIVVDGVPMSNFAGSKNNDFWNAEPDMGNGLSDLNSEDIENITVLKGGAASALYGSRAGNGVIMITTKKGKRNKGAGITYSNTLSIETMFVYPKVQRDFSQGNDGKYVADSGLSWGEKIIGQTVKNWDGKQETLKSYDNLNAFFRPGMTETNSITFQQAVGDNTSIYSSLSYLNSSAMIPNSKYNRLNFMSRVSTKFGENKKWSSDIKIQYMNTVGENRPTGGHDSGYYGGVLSLPTTIDLGSFKEGMDVLGAKARWYVPNTGANPYWSVYNQLNKDARNRFMINASLKYEFTDWMNAEVKVGSDFYNTKTEGKTYSGGPRDNFYSTGQDKFMENNYIASLNFKKDNLFGKWSGAFSVFGQIMTSSFNSNRMSGKLDVPDYFSVKNFLAYNADRVNEDISKKQINSVFATLDIDYDGFWFINATARNDWSSTMIRANRSYFYPSVSTSLVITDMFKKLWNNSTPFGKVITFAKIRGSYAITGNSLDSRQLYNAYRIGHDPLGNLNASPISKTLYNPYVVSELLKTYEFGANFRFFNRVDLDVNYYNTHATRQLIDLPLNALSGYKDRKINAGDIQNKGIEVTLSADILRKQDFKWNMNVNFSKNTNKIIDIAEGVTAYPLIGFDNLAVTANPGQRYGVLYGTRYKRVQDVNSPFYGKKILDANGLPLADDGQYVLGDQTPRALLGFTNRFSYKNLSLSFQIDGRFGGQFYSGTMNTLKANGLAQETVVNGARDKFIVDGVVADGNGYKQNTTAVTPQNYWYGISTKGNLGINEENIYDATNVRLRNVQLTYSLPKSLFKDLPLQSAKVSFSVNNVWMIYSKVKGVDPEASYAISSNATGFEYLSFPSTRSYVFNLTLGF" ]
[ "GTAAATACTTTTTAATTTGAAAACTAAAAGTATTAATATTTTTCAATTACATAAAATTTACTTCAAAACAATATAGTTAAAATAAAGTTAATTGTAATAAAATTAAAAAAACCGCAGGTAAACCGCGGTTTTTTTAA", "AAATATTAGTTTTTGTAAAAAACCCTACGAAGTTAGGGAGTTGAATTTAAAAAGAAAAAAATATGGCATACTATTTTATCACAGTACGCCATAATTATTGATGTTGTTTTTCTGT", "AGAATTTATATTTGCGGCCTTAAAGACAAGAACCGTGCTAAAATTCTAATTTGGATTATTTTTTTTTCGAAAAAGGACAAAAAA", "GTTTACTTTTTTTAGATGGTCAAAGATAATGAAATTGAAAGGAAAGC", "ATTAAATTATCTTTTCAGCACTTGTATTTACTTGCTACAGGCTAAAATATAAAAACTAATAATATCTTTGCAATACTTTATTCCAATCCATT", "TACATCAATACCAAAACACGAATTATATTTAAACTCTTTTGGTTTTAAGGCACAAATTGCTTCGCAATACTTATAAATCTTTAATTTTTCGTGTCCTAATATTTCGCGCATTGATATAATAACCAGTGTCTTTGTGTTTGTAAATATTCTTAATATGTTTTGTATAAGTATAATAAAAAAGAGCACTAAAGTGCTCTTTTATT", "GTTTTTATATTCTGTA", "TATTTACTTTATTAGATTTATTTTTATTTCGAGCCTTCAGCAAGTAAAGACCACTATT", "TGCAATAAAGAGCTTGTTAACTCCAGCTTTCACAGCTTCAGTTTCTGAGTTTTGAGAAAAAAACAAATTAAAAACTAATCCCAATATAAGTATGAAGCCTTTTCGCATGGTA", "AGCTCTTTCTTTGCAAAAATAGTGAAATATTATGCTTCCCTGAAAA", "TTTAAAATTTTTATGATTTATTCTGTTTATTTACGGGAATCATATCCTACATAGGTACTACAAATTCAACAAATAATTACATTAATTAAAAAATTAACATTGATTCAGATTCAATATTACGATTAATTTATAATTTTACATAAAACACTTGTAAATCTATATCAAAATTCCCAAACGTGGGAATAAATATTATGACACCCGTCAAAATATAAAAAACCAAATTAACATAAAATATATCACT", "ACAGCTATACAAAGTCTAATATAAAATGAGTAAACCTGTCTGAAACGATGAGATGTTTTTTGGTGTCATCTTATAAGTCT", "TGAACCAAATCTTAATTTACGTAAGAGTCTCCTTTCCGTTATAGCTTCTTTCCATCCTTTTTTATCTGGTAGCGGAGGATAGTTATAGATGTTATTAAAA", "AC", "AGCAACATGTT", "AATTACTACATT", "TCG", "C", "TATTATTCTAAATTATATAATACTTTGTAGCAATAACAAATCGGCGGACAGTGTTCGCCAAATTCTATTTATACTTAAATAGTATTATAATTAGCATT", "GTTCTTTATTATTGTATATAATTTACTTTA", "GTAGCGGGATGTAAATTTCGTTTAGTACACAAAATTAACAATTTATTCTTCACTTTCTTTAAATTCCTTACATTTAAACCAGATATTTACAAT", "ACAAACCAAATTACATTAT", "GAATACAA", "TCTCAATCAAGGGTTTAAAAGAACCAATTGCTGAATTTTGTTGAAAAATAAGAA", "ATACTTATCAGTGAAAACGTTTTCGCTATAGTTCTCGTTAAAAATGAGTATCAATTTCCTTTTTAATTATTTTTACTTTAAACTAATTAATC", "ATAAGTGAAAAACTTATTACTCAGGTATTAAAAAACCTCATAGGTCTATAAGACCTATGAGGTTTATGTATTAAAGAAATTGTATGATCCTAAAAACATGCGCATCCTTTAAGTAAAAGATGCGCATGTTTCATCTAATTCTTGCGCAACTCTTGGCTGATTCTTGCGCACGTTCGCAACAAAAGATGCGCATGAGTTCTCAACACTCTGCATAGAAATCTAAAAAACCTTGTAGACTTGTATAACATAGAAATTCATATCTACTTAAAACTAAAGTATTACAGTAATACATTTTTGTCCTAAATTTGTCCTA", "CTTTTAGAGCAAGTTTAAATTCAAGTTCAAAAATATTAAGTTTTGATAATTAGTCAGGCTCATTACAGCCTCTGGCTAAGCCTTACGCCTCTAAAATGAAAATATTTTGGATAAGTGATGTCACTCTGAGTTAAGTCTATAGTGAACTTGAAGAACTATCGAAGAGTATTAACTATAAAATATAAAGACGCTCTTATTCTTGGCAAACGGTGTAAATATCTTGACAAAAATATGCAGTAAAAAACCTCATAGGTCTTATAGACCTATGAGGTTTATATATAAGTATAGAAAACTGACGATAA", "TTTTAT", "ATTGAGGTTTTCGGTTAATTAGTTTGAAGCAAGTTAGTGAAATTTCTTTTATCATTGATTATCTTTGCATT", "TTCGGATTTCCGAAATTACATACAATTTATATAAATCCCTTAACAGCTTTGTTAGGGGATTTTTTATTATTTGAGCTAAATCCCTATTTTTACCAAAATACCAATT", "CTGGACATATTCGGGCGGGTTTTTCATTTTAAAAAAAATATTTAACTTGCTATTGTACAATCATGTACTAAACTTTCACAATATTTTTTTAACCAAAATCACTGAAAA", "AAATAATAACAATAATATTAAAAAACAGAAAGCCCTTTTACGGGCTTTTTTTGTTTGTTCAGCTTTCTTTGTTCAATAACTTTTCAAAAAATATAAAAATTCTGCCTATTTTTCAGCCTTGAAAATAGTTTT", "TAGAAAAATCTTTCAGGATTGATGATAAGTCTAATATTAATCCTGAAAGTTTTTATTTT", "AATTTTTATTATTAATTGATGAGACAAAATTGATATGTAAAAAAACTAAAAGCATCTACATATGTAAATGCTT", "TATTCGATAATTAAGAA", "CTATCAAAGGTACTAAACTTATTTAGTACCTTTAGATGACTGCCTGTTAAATTACAGGAATATTTATAGTA", "AATTTTTTTTATCAAATTTAATATTATTTTAAACTTAAACGTATTATTACTACAATTTCATATAGTAAAGTCGATTAAATTTCACATTTATTTTTAACCAAGCTTTATTATTTAGCATCATTTGAAATGCAAACTCAGAACTAAACATAAAGATTCCGCAAATTCAGATACAAATTCTATATATAGAGAGAAAAATATTATTGTTAGAAGATAGTATTTCTCCGGAATACTTATTTTCGGATATACTTGTTTTTACACAGATTACAATGATGAAAATTCAGAGCTTTACGAATGAACGTTACCTTGATCAGGGAAGTAAAAATCATTCGGATAAAAGATCAAAAACTTTAGATCATCAATTCTAACAGACATGAATAAATTCACTTAAGTTTAAATATTTTTTTCAATACAAAAATGCTGGGATCAGCAAATTAATTTTAAACCAGAATTCAAATAATTTTAGTTAAAAATGCTTTATCTAAAATAATCCCAAAAATACAGTTGAAATTTTTATCATTGATTTACAGTTACTTACACTTAGTATTGAAATTATTTTACTACTTTGTATTGCATAATACCATTTAGTTTATATATCTTTGTAATGTAAAATCGGAATAGGCTTAAAATCAGCTGAGAGTTTATTCTGAATATTAATTTAAAATCAAACTATTACACAG", "TCTAAATTATTATAAAAAAATTAACACCACTATTTGGGTAATTTTCAAAAAAAATTCTAAATTTGTGGTGTTAGTTTTAAAGAAATTTAACACCAAAATCCACAGTCATGATCCAGTTTGTTTTCGAAATAGTCCTCAAAATTATGGACTTTGTTTCATCCTTATTTTAAAAGTATAAGCATAATCTTTATATTTGTAAAACGATTGCAGCTATTGCAATCGTTTTAATTATTTATTCAAACCGGA", "G", "TAGTACAAGAAGGTCGTAACAAATATACTTGTTCGGATTCTGTCTTGTAATAAGTATATCATTTATATCCTTTTTTACTAATTATACCTTTTCATTCTTTACTAACATAACATATAAAAA", "AAAATAAAAAT", "ACAGAAAATTAATAGTGCAAAATTATAAAAAATAAAATTGT", "TTTAATACGCTACAAAATTAGCCTT", "CAATT", "TG", "TTTACAAAATAA", "AGACTTAAATTCAATTTTAGTGGGTAAATTTCTGCAAAAACATTGCAAAAACCACCATAATTAAACGTTATTTATCGTTAAAGTTTGTTAAAGTTAAGCGTTTAAAATTAGGCAATTTGCACGATTTAGATTACTTTTGGAGCAAATTAATCTGAATAAATA", "CATAAAAAATGTTTTAATCACGTAGGCACATAAATTGTGTTGATAAAAAACAAAAAATAACCTCCTTTAGTTGGGAGTATAATATTTAAAGAATATTGA", "AAACTACAAAGAA", "GCAAAAAGCA", "TCTATGTTCTATAAATTATTTATGTTCCAAAGAGAATCAGTGGTAAAGCCATAAACAAAAATATACGA", "AACACTTTAAGGAATAATTTATTTATTGATTAACCACATAGATATACAGTCAGTTAAAAGATAAATGAAAGTTTACATAGAAAATGAATTTTACACATGAGCTATGTAGTTGATATTAGTGTCTAGGACACCTTCATTTACATTATAAAAACTATGTTTCTATGTGGTTAATAAATTCAGACTCTGAGCATATACTTTCTATTTTGCCACTTATGCGGTTAAAATT", "TACAATTGCCTGGAATTTAAGGGGAAAAATTCAAAAAATTAAAATAGACAGACTTGTCTGTTCATCATATTTGAACTAAATTTGTTATTTAAAATGATAAGAT", "AATATACACA", "CTTCTTACTAAAAACATACACCCCGCAGATGAAAATCTGCGGGGTGTTTTTCAAGTACCCGAAAAGTAATTGATTCCTTACCAAGGCGGTTTTATCTATAAATGAATATATGCTGTTTTTTAGTTTGTAACTATCGGAGCACTAATAGGTGTA", "TCCCGGGCACCAACCTGCTCTGTCGGGGGC", "GCTTTTATTAGTATATTTTTATCTTAAAATATCAATTAAAAAGAAATACAAAACCATATGTCAAAATATTATTTTATATTTTTACTTTAAACAATTCGAAAATATAAAACAATTTAAATTTTAGAAATA", "AAAATTATCAATACCCTTATTCGATTGTTAATCTAAAAGTGTGAAGTTTACAAGAAAATATAAACTCTATTTTGCAAAATTTCATTCCTAAAACATAGTCTCTAAAATTATTTGTATTAAAACATGGAAAGCCTCTATTCCCGGATTTTCTGTTCAAAATTTCAAAGCCCATCATAATTCCGGATTTGATAATATTCCACAGGAAGATCAATCTGTCAGGGAATTTAATGCATTTGTAAAAAACTATAAAAACCTGCTGATTTTCTCTCCGGATAAAAAGTACTTTATTGAT", "TCTCATTCCTAAAAAACCATATAATTTCCTTATTTTTGCTCGGAAATAAATTTAATT", "TTCTTCAAAACAAAAAA", "TCAAAATCTTATAAATACTAAAAGCCGGATAAAATATCCGGCTTTTTTGTTAGTAAAATTCAAAAAGATGATTATCTATTTCTTACGGGCTTCCTGAATTAAGATAAATTAAAAGTTAATATTAAGTCTCAGATTATATTACAGAAGTAAAA", "TATAT", "GATATTT", "TTCTTATTTATTATATCCAATTAGT", "TCC", "ACTTGTATAGTTATTTTAGATGTTGGAATATTTTTGC", "AATAAAATTGATTAAAGGTTAATAAAATATATTGTTTTGTGTTTTTACAGCGTAGGGTCTTTTTAAATTAAAATCTAAACAAGTACTTAGCTATCACAAAATTGTGCAATCGCAATAGTAAAATCAATTTTACAACTACTACAGAACAGCTACCATAAAAAAGCATACGACTACAGAATGACTACAACTTTTGTAATAAACTGAATGACAACAAATTGAAAAACACATTTAATTGTGATATAATTGGGCAGACTGTAGATTTTTGTTACTTTTATTAAAATTATAATCAAACCGAAGACTTCTATAAAAAAATATACAGAATCTTAACACATTACTAATAAAGCATTTGTTTA", "GATAAAAAATTAATAAAAAAAATAAATTTATTCATTTAATATAAATTTATTAACTTTATAAGATAAAATAAATTAAAAATATCAATT", "TTCCGACTTATTTTGATTAGATACAACAAAAAAATTCTTGTATCTAATAAATTCATCTTAAAGACAAAATGCCAATGTGGTAAATCATACTGGCATTTTTATTTTATTTTTCATAAATA", "ATAAAATAATAATAAAAAAGCTTTTGAAAATTCAAAAGCTTTTGTACTGTAAATTTATTAAATAAAGTT", "AATTTTTATTTTAATTTAAAAAAAAATATTATTCTTTATTTATAATGCAAATTTAAGAAAATATACTTTAAACAAAAAACTTTAACGATTTTTAATATATATGCACTATAAAAAATCAATTACGATAAAAACAATAAAATAGAAGCG", "CT", "TTTTTTTGTATTTCTGAATTTTTGTTATTTTGAAAA", "CAAAAAACGAAAAAACAGATAAGCCCAGC", "CCATATAGTTATTACATATAGAAAATGGTCAGAATGTATTTCTGACCATTTTTTTTATATTCTAGCTTTATTTAATC", "GCCCCAAAA", "AGGTGCGTTTCTAATGTTTTATAGAATAAAATAAATCATTTTTCAGATTCAAAACTCTTATTTAACGATAATTTAATGTAATTTTGAACAC", "TTTGTGAAAACCAAACATAAGTTATATTTTTGCAACCGCAATTAGAGAGGTGGCCGAGTGGTCGAAGGCGCACGCCTGGAAAGTGTGTATACTCCAAAAGGGTATCGAGGGTTCGAATCCCTTCCTCTCTGCAATATCGACTGTAAATCAAACAATTATAATATTTACAGCCAAAAATACAGCCAGAATTCATAGAATTCTGGCTTTTTGTTTTAAATGATATTATAAGATATTATTTAATACTATTCCCTTGTTCTTTTATTAATCGATTAGGTAAGTGGTCTACTTTTTTGACAGTTTCATCAATATTCTGATATATAGATTCACCAAGACCATAATAATCAATTTCTATTTTTTCTGAATAGAAACTATATTAATTATACATTCTGTATATGCGATTCCTTTCAAGATTTTTTAAAAATTTGGTGCCGCAATTATTTAATGGCCGAACTGCTAATAATTTGAAATCCTTCACTGTCTAATAAAATTTTTCTTTTGTGTTAATTACGATAATTAACTTTTTATA", "AAAATTCTATTTTTACAAA", "AATTTGTTGATTGGTTTTTGTTTGGTTAATAGCTTTTAAGGAACCCAACCAACGTTAAGCTCCCTTACATTT", "TTTTTAATAATAAATTTTAATCAATAAATATACAAACTGCAAACGAAAAAAAAGAGTAAACCGTAATGATCTCTAAATGATCTTTAAATAATCGCGCAATCTATTTTTTTTAATGCAACATTTTGTGGCACTTATGATGCACCATAACCGTTCTCTTACTGCAACCAACTTGAAAATTCGGAAAAGTGGTTTTACATAATCTACAATCTTGAATACCTTTCAATTTGTATAATTGGCAACTATGGTCATAATCGACATGATGTAAGGTTGAATGTTCAAAGATTTCCTCGTCACAGACAGGACATATATAATTCTGCTCATCTAATTTTTGTGCTCTAAAATCTAGATTAAATTGAATCTGCGTGGCAAATAATTGCCAGGATTGTTTATTATTATATTTCGTTCTCATTCTTTCCAATCTATTTTTAGCTGAAAATCTTAGTAATTTTTGATTTAAATTTTTCATAACATTTTTGGCAAACCACAAATCATAAAGAGTCAAAGTCAAGAATGGAGCAATCCATTTACATGTTCTTGATTTTTAACGATGTTGATTTAACTTTGCTAAAAACTGGAATGAACGATTGTCGTTTGGTTATCTAAAGAACACAAGTATTCATTGATTATCGATAGGCCACCAAAAATAAAAAGAGTTTGACTTTGTCAAGGGTGGCAGAATTCTATTCTGACATTTATATACCCTTTACAATTTCAAACTCTTTGGATTAAATTTGCCTTTCGGTGATTGATGATTGA", "ATTCTGTGTTTTTCTAATCAAAAATTCGATAGAATTTTTCCGTTTGCGACGAAGGAGCAAACCAAGTAGAGGGTGTAAGGAAATCATTTTTGCAAAAAATGGTGCCGGCACCCACTACTTGACCATCTTATCCTC", "TTTGAAGTGATTCTCGGAACTTATCCGAGGTATTTTTAAATTAAAAAAAATATTAAAAAACTAATAATCAACACATTAAATCAATTGTTTCGTTTTAACTCGGACTCGGAAATACACAACCCCTTCTCACAATTCCGAATCCGAGAAATCATCTAACAAACAAATAATTCATTAGCTTACAGCTATTTAAGAATAAT", "TATTTAATTGATTTATCAGAAATACGGACTATATTTGCTCCATATTTCTTCTGTTAGAAATAAACTTTCATAAGCATAGCCCTGCTCGGTTATGCTTTT", "TTTTAAATTTGTTTTTGTTGTTAAGTTGATTT", "CATTTCATGAGAT", "AAT", "TCGTTTTTCAATTTCGACAGAAATTTTGTATTTGAAATAAATCATGCTGTAAGTTTACAGCCAAATTTACAGCCATTTTTTATGATACGCAATAGAATTCAGAAAATATCACTAAGTATAAGTAACTGTAAATACAATGATTAAAAGGGTAAAAATACATTATCTGATATTTTATGATAGCGTAGATTAGAATCCCTTCCTCTCTGCTAACAACAAAAGAAACCTACTATTACTAGTAGGTTTTTGTTTTTATATCAATTTCTTTCCCCACCACTTCCCCACATTGAGTTTTCTAATTTTATATGAATATGACAAGACGAGGGTACCTCCAAAACTGAAATAACAATACAAAAATAGCATTATATCAAAATTAACCTATACTTTACATAACCACTACTTATTAAGGTAACATCTAGGCGTGGATCCAATTTGTACTAATGATAGTAGATTATGTATAATAGCAATTTTTCTGTAATATAGAATGATGAGAACCATTTCTTACAATATTTTATTATTAGTTATTTTATCTATATAGCTGTAGTCAGAAAATTCTCGCCCTATATTTAGAGCAAAAACCTATTATGGATTATAGTCAAAATTACTATA", "TTGAATATATTA", "TGTTTGTGAGGTAATAGATTTATTTCATATTTGTTTGTTTATTTCAATCTGA", "TTAAATACTTATTTAATTATTGTTTGTTTATTTATTAGTAAGTGGAAATAGCTGCTGTAAAACGGAAAAAATTTATTTACTTTTCACTGTTACGCAATGCAACAATAGAAGGAATTGATTATTACATGCAAGCATTATATTTAATAAATAAATAATAATTGAAGGTTATTTCAAACAAAAAAAAAAAAATAATATTTAAATATTATTTTTTTTTACAATTAAATATAATTGAAAATCAAATACTTAAACAAATCAATATTGACATATTGATTTAAATTAATATGTTATTATTAATATGTTATTAATTCGAAATTATAATTAATAAATGAGTCATACTATCTTCGCTAAAATTTTATTCTAAT", "CATTTGTCATAATGAACTCACCTCAAAAATTATGATAAAAATTCAAGTTTCTTTTAGCAGGTTTTTATTTGATTATACTTTAAAATTACAATGTAAGTTAAACTAAATTAGTCAATACTTAATCTGACAGTTATAATCAAATTAAATAACTTTAAAACAGATTAAGTATT", "AGTT", "CTGACAATTATTTTTAACCCCTAACTGTCAGATCAAGTCGTGGCTATTACATTTTATCCCATCCAAAAACGTCAAAATAGGTATTAAGTGTTCGATTGTAATATCTTTTAGCCCGCAAAATGAAAAACCTGCTAAATTAGCAGGTTTTTGTTTGGCAGTATCCAAAGAATATTTAATTCAATTAATTTA", "TAGAAATTATAT", "AATGAGTAAAGTTTGTAGCCA", "AATATTTTATATTTTTATAGTAAAAAATACAGAGGGGGAAAAGTTCCCCTCTGTAAAGAAGAAATTATCGAACCT", "TTTTTTTAATTTAATATAAATAATTGTGTCATATTTTAGGGTCATTAACACCTCTTTCGGACCAATTCTTGCAAGAATATTT", "AATATATTGTTGCCCATATATTATGCCTACAAATAAATAAAAGATTATAGTTGATATCAGATAATGAGAAAACTTTTTCATAAACGCCTATATAACATATCAATTAGAATAATAACCACAATACCTCCGTATTGTAGAAAAAATCATAATTTGTTATTAGGCTGTGGCTTATAAGTTTACATATCACCTACGCTGTTAAAAGCGGTGTTTCAGTAAGTGAGAAAAATATAAAGGGAATTTAAACCCCTATTATTTAGTAACCTACACAAATGTATAAATTAAAAATTAAATTCACAAATTACATCTTATTAAATATTAATAAATTACATAAAATATTAATTATTTTTTTTGAAATTTATCAAAATAACCAAACATAATCAGATAAAAAACCCTCTGAAATTAAAAAAAATTCAGAGGGTTAAAATTTTAAATACAGACTATGTTAATAT", "ACGTTTATTATTTATAGTTTAATTATTATCATTTATAATAGCTGCCCTTGTTTTAGCAAGAGACAACAGTTCTTCTATCTGTACTATATAATACAGATAACTTATATGTGATGTGGTTGTGGATTTAGGTGATT", "ATGCTATTTCGCAAAAATAACAAAAAAACAAACAGACCTGTCTGTTTATTCTATTATTTGCATAAAATAATGCATTATCAATTATTAAATAATAACAAACACCCTATTTAAGGGCATTTTTAGCAACAAAAAATATTTTATTTCTAAACTCTATTTAAAACCGAATTGATTTCTATGCTTTTTCAAAAGAAACAACAGTTTATTTTTACTCCATAATATTATTTATTATTCCATTTTTACACTCGGAATAAAATCAAATTTCACATAAAAAGCACAACCCTCTCTCTTTAAGCTAATCTTAAGTTTTCATAAAAAGCAGGTTTATACTGTTAATATTTAGACAAAAAGACTAATGTTTCAAAAAGTTTTCCGGCACACGGAACCATAATTGCTGCACTTTAATCACAATAAATATTAATTACTAAAACGAGAAAA", "GGACAATATTATAAACACATTTGATAAAATTTTCAAAATCCTCAGCCTAAAACCTGGGGATTTTTTTATGTAATACCCACAGTTTTTTTCATCCCATTTGTAACAAATAATTATATCCGAACAACTCATTATTCAAAATTCAATATCA", "TATTTAATCAGATATAATTCAGATCTGTAAAACAGAGAAAGCCTTGTTCGTTGAACAAGGCTTTTTTACTTCATATATTACGGTATTTTGGGCTCCGTAATTATATTCAACAACACTTCTTAATATAAAATCCATAAATTTAAGGTTATATAATGCAAAGCCTGCATTTTATCAGATATTTACTAATACACAAAAACAGAAATTACATTAACTTTGCCCATTGAATGATATGCACCAATTACGATATTTCCGGGAAAAATATTATATTGTTTAAAAACAAATTCTAAACGGATTTAAGTAATCAGACAATTAATTTAGTTGATAAACAGATATGAAATATAAATTCTTAAATTTTAAA", "GATTTCGATTTTTCCTACTCACTTATATAATACTTCGATAAATAATCGATATATATCTACGTTTTTATCGATATATATCGATGCCTTTTATTAGGGATTTTACTTCTGGATATCGTTTCTTTGTAACAGCAAAATTTACCAATTAAAACACAAAATTAAAAATGCGGTAGGAGGCTTTTTAATTTCCGGTAAATATTTTTATGGCTATGCAAATACTAAACTATAGTTATAAAAAGCACAAATGATGAAATTTATTACAGAAGTGTCCGAATGACCTTCGACCGATTTTCTCGAAACAACTGATTTATAAAAACACAAACACAATATATAAACAGAGAGATTGGTCTTTTGGGGTTATTTATTAAGAAGAAGGAGATTTCTACAAAAAATGAGACTGCCTAAAAAGGCAGTCTTTTTTTTACCCATATTCAGTAAACAATCGATATATATCTATGGCTCTATCGATATCTATCGATGTCTTTTATTAGGAATTTTACTTCTGGATATCGTTTCTTTGTAACAGCAAAATTTACCAATTAAAACACAAAATTAAAAATGCGGTAGGAGGCTTTTTAATTTCCGGTAAATATTTTTATGGCTATGCAAATACTAAACTATAGTTATAAAAAGCACAAATGATGAAATTTATTACAGAAGTGTCCGGATGACCTTCGACCGATTTTCTCGAAACAACTGATTTATAAAAACACAAACACAATATATAAACAGAGAGATTGGTCTTTTGAGGTTATTTATTAAGAAGAAGGAGATTTCTACAAAAAATTGAGACTGCCTAAAAAGGCAGTCTCTTTTTTATTTATATACTTTATACACAATCAGTTTTTTTTACAGACATAGATCAATCATCATATGTTAAAAATTTCAGCAATTAAGACCATATCAAAATACTGTTTAAACACTGAGCCATTTTACTTTAAAGTTAAAGTTTTACTGTAATACATTCTAAATAACCCGTTAAACGGTAGAAAGGCCTTGGATTTAATAATATAATACTATAAATTGTAGCCTTACAATAAAAAACATATGTGAA", "TAAATATCTTGGTATCATAGGCATTAAAGCCTATGATACTATTTTAATTGATCTATAATTACAAAAAAACAGATGCCCCGAAGGGCACCTGTCTCTGCAAATTGAATTTGCCTACTAATGAATAATATTTCTGAAGTGTGTTTTTTATATTATTCTAAAAACCAGATATTACTGTGGGTTACATTTTCATCTTTTGTAATTTTGAGATTCTA", "TTGTGTTTTATTATTTGCTTGTAATTTTTGTGTAGACAAAAGTCAAAATATCTGCTGAATTAAGCCTTATATTATTCTATCCTTTGGGTTATACTCCAATTTTTATTTTCTATCTCCACCCATTACTTACCTATTACTTTTTAAAATACATTACTGATAAACAATAAATAACAGATAGTTTCAAGACAAAAACC", "ACAATCAGAATAAAGATTATAATCTAAATACATACTACTTAAAAGGAGGGTGCTATAAGAAATAGTCTGCACACTGAAAACCATAAAACAAATTCGTGTGTATTCTTTTATTATATGCGAAGTGCAATTATTTCATGGATCAATTCTATTTATCCATAATAATCATAAATTAAGATTCCGATTTTTGTTTTCCGGTTGTTGTAAATAAAAAAGAATCTGCCTTAGGGCATGTTTATTTCTATGTGGAAAACTTTCTGTAACACCCTGCCCGCTTAAAGTAATGTTAAAGCAGCAATAGTGAGAGTTAGTATCAGTAAAAGATTCGCTGCAGAAAATGAGCACTTGTTTATTAGTCTGTTTTTTTTCATCATCATTTATTTGTTTATTATTTGTTGTACAAAATAAGCTTAGAATTTTATATAAACAAAAATCAAGATATCTACCGGAATAATACTTATATAGATATATCCTTTACATATGACTTTTATCTAAATTTAATAACACTATGCATCCCTTACGTATTACCCTTAACACAACTATCTGAATAACAACATTGAAAAGAATAAATACAGAGTTTTATAACAAATCAATCATCAGATATGAATGCACTTAGATAAAAAATAGCAGAAATATGTCATTTTATTATCAGGACTAATTTTCTAATTTGTGCAAATTATAAACAATATATTTTTCGTATAAACTTTATTGTATCCGTATAAATACAGACTATCATTTTGTCTGTCAAATTTGTAATGCAATATCAATAGTCCTGTTTGCAAAAATTTTTATACTTTTCAATTATCTTTATTCACAAACATTATCATTACTTAAC", "TTACCCGATACCTTTGTAACTCCTACAATAAATATTTATTAAACACAAAATTACTAACCCGAAATCATATTCGATTAAGTAATAAGGCTTCAATCTGTAATATCCCAAATATTACTAATTACTAAAGGTTATAAACTTAAAATCGGGCAGTGAAAAATTCTACTGCCCGGAAAAGCTGTTAATACACAGAAAAATAATGAAAATCTGCGCTAGAAAACGTATAGATAAATAAACAACAACAGCTTTTGAAAAACAAAATGATGAATTTATTCTTTAT", "AAATCGAAGTGAGGATCAATAA", "GATTTGATGATTAAAAATCTTTAACAATAGTAGGCAGATTTATAAAAACCTACTATTAAAAGGCTACTATACAAATACATCAAATATTACAACATGAATACAACAGCAGTTACAACACAGCTACATCAAAAAATAAAATTGG", "ATTCTTTGTTTTAATTCATTCACAATTTGTTTATTTAATTCCGGATTCCGGTGATTTATATTCTTTATTATATATTATCCTATTAAAAATACTTCCTGTTTTTCCGCTTACACAGATTATATTTTTCTTTAAAAAATCTCATTTATCATTAGAAACAAAATATAAAATATGCAAAGCATTATTATAATTTACTACCTTTAAACATTTATTATAAAAATAAATAGTATTAAACACTATTTTAAATTATTTATTTAAACATCAAAAATAAAAAAAAATTCAATATTCATATTAAAAAATATAAACTCTTTCAAACAAAAACAATTAATATACAGACAATTAGCACATAGAAAAACAGGAGATTTTAATAAAAATCTCCCGTAAACAAACATTATTATGAAAATAAAAAAATGATAAAATCTAAAGTACATCCCACCATTTAGTCAACTATCATTTATCCGAAACCACTTGTAA", "TTTTATAATAGTG", "TTCGTTTTTATGTTTTAATATTCTTCTTTACAGTAGTATTATATTTTAATTTTCAAAAATGTTGTTAGTCTTTTGTTATTAAAAATTTTAACAACTAAAGACGATATATAAAAAATTTTTAAAATCAAGTGATATATTCAAGATAGAAAATACGTTTAACCAACAGTATTGAAATCGTGTTATTATTGCATACAGCAAAACTACTCTTTACCATCTCCCTATTATCAAAAACCCACCTTCCGATTACTTACGAAAGTCATTCTATTTTATTACTCTATGCATAAGGAATTAGAATCTCAAGAAATTGAAAGATTATTTTTTAGAAAAAAAGAAACTTTATATTCAGAGTAAAAATCTAAAAGTATAAAACTATATAAGTGAAATATTAATGTGGATTTAATATATTTCATTTACTTACGTTTAATTACTATTTTTCCTAGACGCCTTACAAATAGACAATTAGCAATGAACAAAAGACAAAGAATAACCGAAATCTCTTCTTCGAATTATCATAATGTATATGAAAAAAGCCGGAAGAAATTTCCGGCTCAACTTAACAATACACATATTAACTCTATTTCAAATGGACTTTGGAAAATATTAAAAAGTAATCAACACTAGACATATTCAAACCTTATAGGTTTAAAAGTTCTCTGTACAAAATTATTCTTCACTTCAAATAATACAATTAGAAAAAATAAAAATATTTCTACCATTTAGGCATTAAGAAAAATTAGTTGACTACAAAGCTCAATACTCTAAATTTCTTAATAATTTAGGATTATTTACCAAACTTATAGGTTTTTGAAACCTATAAGGTCTAAGATCAACAGTATAATTGGAGAAAAAACTTGCACTTAAACTTTATTAAGTTTTGGGCAATCATTCTGTTTATTTTA", "T", "TATAATCGTTATTTAGGTTACGGTGTATGTTTATGGTTTGGTGATGGATGTGAATAGGTGAATCATAATGAATGAGTCAATTGACGTATTCGCTTATTGACATATTAACTATTCATCCATTCACTTATCCACATCCTTGCCTGTTGATGTTAACAACACATACACATTCGTATCCCTAAAAGATGATGGTAATGTTTCTGAGATTTTTTCTGTCGGTAAAGAATATCTATCATATTT", "GATTGTTGTTTTATTTTTAGCAATAGGATTTCATCCTATCATACATTAATATGCCTCTTCAAGACTAATTTTAGATTATATCTTACACCTTATAGGTTTCAGAAATCTATAAGGTTTGGAATGATACCTTTTTTAACCATTTAGAAATTTAGAGTATTGAGATTTTAAAAGCCTCTTAATTTCTTAATCTTTATTTTAAACGCAAGGAACGCAAAGTTTTTACCTTATTATACTTTTTAAGAACGAACGCCGCTAACGCTTATCAACATTGACAGAGTTCTGTTTCTTAGACCTTATAAAGGTTTGGAATAACCAGTTTAACGATTTTGCAATCAGGCTGTT", "TTAAAGTCTGGTGTATAGACCGGTT", "AATGTTTTTCTTTAGTTGTTGGTTTACAGTTTATGGTTGTTAGTTTCTCAGATCTGAGTTTCATCTCTCAAACTCAAAAGATTTCGTAATTCTCATTTCGTAATTCGTACT", "AT", "ATCTGCTTAATTATAATTGATGATTGATAAATCAATTATTGCTAATCAATCATTATTTATG", "TTGTTTTCTTTT", "TTAATTTTCAAAATTGATTTTGCAAATATATATTAATTCTATTAAATCTACCAAATTAGTAGTATTTAAATTTAAAAAAAA", "AATCTGTGCAAT", "ACAAAAAAACCTGAGCAAAGATACTCAGGTTTTCGATTATACTCAATATGATAT", "TCGTTTGTGTTTTTTTAAATT", "TATTTATATCAAAAATTGGCTACTTGGT", "AATAATCTTTCTCTAGTAGTAATTAAGATGGTTAATTTTACAAAAATACAACTTTTTCCAGTAATACATTTTAATTAACATTTTTTAATAATTTTGCTCAAATTTCAGAAT", "TT", "GACTAACCATAAAAGCAACAAAAGTTTTTTTAGTAAAGCTCTTGTTTCTTTTAAGATGTATAAAACATAAAAAAGGTCAGAAATAATCTGACCTTTTTGTAATTTTCTTATTTTATTT", "ATTCAGATGTTAATTAGTTTTGGCGAAAATAGAATAAAAAAAAACTAACTCCCAAACAAGGGAGTTAGTTTTAATACTTTTTAACTATTTTTATAGTGAT", "CTTTTG", "GTGTTGGATTAATTTAATAGTTTATAATAAAAAACCTTGTGAACGTAAATTTATTAAATAAAATTATGCTCACAAGATTTTATTCTGTTAAAAAAAATTAAAA", "ATTTTTATTAATAATTGAAATATTATTCCGCAAAGATAATTAGAAATAAACCCTGAAAAAAATCTGACAATTACATTTTGATAATTTAAAATAATTTTTAATTTTGTTAAAAACATATTTAACTTTATTAAAATAAAAAGAGATAAA", "GTTTGTAATAATCTGCAAATCTATATACAATCAAATCGACTGACAACAGTCTCAAAAAACAAAATATTAACCT", "ACT", "CGCGATAAATATTCCCATTCTTCTGCTAACCCATCTTATCGATGTGAACAAAGCTTTCTCTTCTGATGGTGTAAATGAGAATTTCTACCAATACATCATAAATCTATTATTTATAATTGTAATTACAGCTATTGTTATTTCTCTGGTAATTTATTCTATTGTATTATTTTTTAATGGCTTCAAAACAGCAACCAACATAAAAAAGTTACCACAAATTATACTTTTTGTCTTTATTTTCTTTGTTTCCTTAATGATCTGCCAAATACTTATACCACAACTCAAATTTTAAAATGAT", "ATTTAACATTTAGGTTACTTCATTACTATAATGATCTCTTAAATAATATATAGAGGTCCATTTACAGAAAGTCTTAACTTTGCAAAAAAACTTTT", "AAAAAGCTGCCAATTGGCAGCTTTCTTATT", "TCTTTACAATGGGGGTTTAAATAACATAAAATAAAATCGATTTTTCGAGCGACAAAATTGCTAAAAATTAATGGATTGTGAAAGCAGTTTTTTTGAAGAGGGAGTTAAAGGTTTAGAAGTATGAAAAAAATAAAAAAGAGAAGATGTAAATTCTTCTCTTATAATCAGGATTCATTCTGTAATGTA", "TTACAAATAAAAATTATCTAAACTTAATTTTAATTATACTTTTTCAGTTCCGA", "ATTGGTGTTTATTT", "TCCCTTTACCTTAAGATAACAATAATAACAAATTTACAAATTCACTTTAATAAT", "AAAATCTTCTAAGCCACTCGAAGATACGGA", "TTGCAATAATTAATTAGACAAAGATAGAGAAAATTGTGATGTTGTCTGTTTGTGAATTTGAGTAATCCTGATTTTATGTTTATTATAAATGATTTTTATAAAAATGGGATAAAAAAGCAGACTAAAAAGTCTGCTTTATATCTCTAT", "CTGATTTTGAGTTTGTAGATTCATTTATCCTTTAAATA", "AGTATGT", "AA", "TTTTATCTATTATTTTGTAAAATGTAATGAGCAAAAGTACATATTAAATTAAGAAAAGCAAATCGATTTTTTTCTCCTTTTACTCCCGATTATTCTATTTATTCTCCTTAATTACGGTTTCCCGTAATTGTAATTAAAAAAAATGATATACTTTTGGAGTAACATCGAA", "TTTTTCTGTATTACAATATCTCCTCTTATACATTCATTGGGGGCGTATTCATATAAAATATTTATTGACTATAATTTATTGCAACAATTAACTACGACAGATTTT", "GT", "TAA", "TGCTATATTTTACCG", "TTCTGTTTTTATTTATATTTTCTAACTG", "ACCACCTGGATTATATAGTGATGGAATACAATATAACACC", "AAATAT", "ACACAAA", "ATCTAGTTATAAAGTTTAAATAAATAGT", "ATATAATGTTTACTTTTATATTGATTAGAATTGTTTGACTTTAATACTAAGGATATCATTAATTAACTTAATACTAAATACCCTAATAATTTTATT", "GCTTAAAAA", "ATTTTTCTTTAAAATCGAATATTTGCAAATATACAGTTTTTGAC", "TAATTTGGTTAGTGTTTGTGTCTGTGTGTTAACGTTAAATTATCAAATATATTTTCTGATATTAAAAATTTTATTTAACAATTTTTACGCCAAAAAAATATTATTCTCAATATAATTTACATTCTTTTTATAAGTATCTAATACTTTGGTTTATTAGCGTGTAAAGATACATATAATTTGGTGTTTTTGT", "TTTTTAAATCTTAATCTCAGTCAAGAAAAATAAAAGAACAGCCGCAAAGCTATTCTTTTATTTCCAGATCATATATTAAGCTTTA", "AATTTAATTTAGGTATTTCAAGTTTTAATATTTCAAAATTACTGATTATTTTT", "TTTTTTGATCTTAAAATTTAAAGTTTAAAGGTAATAATTTTGTCAGAGATCATACCAATGATAGACTACTATATAATAAAAAAATAGCAATCTTATAGATTACTATTTTTTATAAATTTATTTAAGA", "CACGTCTAAA", "CACGTTATAATTTA", "TTTTTCTCA", "TAAATT", "TA", "AATT", "ACTTACTATATATTAAAAACTGAATCATTTGTTGATCAAATATACAATTTAATATAAATCGATAAAAGCCATCACTATTCAGCAACTAAAAAACAAAACTTTCCTAAAATTAAAAAAATAATTCAATAATAATTTATATTTATTACATTTAATATAATTATTATATTTTGTAAATATTTATCGATATATAAGCGC", "A", "ATAATTATAATTTTCTTT", "ATATGGATAACTTTGGTTTATCAATGAATCTATTGACTTGTATAATGTTTTTTCTGCATTGTACACAGGTATAATAACAGATACTATATCTGGTCTCATAAAACTATATAATTAACTTATTATCAATTAAATTAAAAAAAACAACCAATACAATCAATGGAAATCTCAAAACATCAATAGCCTTAAGCTGTTCTTTATTCTTTATA", "TT", "GGTATTTATGGTTTTGACA", "TGAAACCAAAAAATTATATATAATATCTTATAATGGCGAA", "TACTTAG", "GCCAAATAATTATTAATGTTTATTTTAAAACCTCAATTT", "AAAAATTAGTTAAATAGTAATTAAAATTTTCAATAAAACCAAATATTATAAATA", "AATTATATAA", "GTTT", "A", "TTATTTCCAAAATCA", "TATTAAATAATA", "AATC", "ATCCATTAAAGATAAACTACTAACAAAAAAGTGCTACATATCACTCTTTACATTATTTCCTGCATTTTTCAGAGCATTAAAATGTTAGTATAGTTACTTAACTGAAGAAGTAACAGAAATTTATAAAATTAAATTCAATAATTAAAAAAAATAATCGTTTATTATAAAATCTTCA", "TATTTTTTTTCATATTTTCTTTCATCATATTTTTGTTTGGCGCAAAACTACATATTATTTCCCTGACTAGAAAAAATATTATCATTTTTTAACCTTTTTCCTCTCATTATTTACCATTTATTCAAAACACTTTTTCCAATTAATAACAAACGGAGTAATTGGCAATCCCAAATTACTCCGTTTATGGTATATATATTGTGAAGTGATTGTAAATAAATTA", "TTTAATTCATTTTTAATTAATAAAATTTAGTTTCTAAAACT", "TTCTTTCATTTATTTATTTGTAAAAAGGTGTTGAAAATTATTATATGCAATAAATAAAATACTTACACAAGGAGCTATTCCACATCTTATACTCTCATCTACCCATCAATCAGTAATAACATCCTATATAATATTTTTTTTAAAACAATTAATAAAACAGCATAGGATAAACCTCTAAAAAACCGACCTGATAAATACCAAGCTCCGAAACATAAAAACACACCACGGAAACTCAATCATCAGCGTAAAAAATCCAACTAGAAACAAAACATACACGAAACGCATGTCATTTTTTTCCTTAACTCTATAAGTTTGACAGAAATAATATGAAATTGCCAAACAGTTTTCAGAAATTTTAAAATATAGTATCCCCCATAAGCAGTCTAAATTACGATGTTTCTACAATGAAACATTATTAAACATATATTCTTATTTATAAATATTATTAATTAATAAAGTACTTACAATGAATTCTCAATAAAATTAATAAAAAAAACAATACACAAAATATTTATTTTAAATATTTAATAATTAATTATAGATATATTTACAAATAAATACACATATAAACAAATATTATTTAATTATATATAATAAAAAAACCTCTACAGAAGGCACTTTTCAAGATAAAAAAAGAAAATAAAAGAACTTAAAAAGGTGTATTAAATATTTAAACAAAATAATTAAAACTTCAAATAAACCATTATAAATTATAGACATAAGCAAAATAAAAATTAAACACAATTCATAAAATCAAATAGAAAATCTATTAACCAATTTGTAAAATTAAATTACGAAATCAATAAATAATAAATAACTATTTATATACTTATAATATGAACTGAATCAAAGACATTCTTCATTCATCTACTTTCTCAATCATTAAGTTTTTTTTAAGAAAAAGTTAAATTAACATTTACACAATGTTAATTTTCAGTTTCCTGATTCCTTAATACAGCCATTTATTTTTGCGCTAGAATTTGAACTATTCAAT", "GTTTCAGAATAATTTTTCATTTTATTTTACAGAGAAAATCCCTACAACAAATAGTTGTAGGGATTTTTAGTTCTAAAATACTAAAGGCTCCCAATGGGAGCCTTTAGTACAGCAATCCTGTAAAGGACGCTCTAACTTGATATGAAAAACTAATTAACGCTGCAAATTTATCTAAAGCTGATGTAACTAAAAATGTGATTATTATCACTTCATAAAAATTGCAAATCAATTTAATTAACCTTCACAACATATTACAATGAAAATAACATATTTTTAATTCAAAAATTTAATAATGCAGTAACACAATAAGCGAAAAGTATATATAATTAATAAAAATAACCTTTTAAAATAAAATAAAGCAAAGTCCTGCCAACAAGCATTTTAAACACAAATATGGAGTTATCCAATATCGGACATGATATAATTCATTATACTAAATCATAGTTTTATAAGTCATTTTAAAAGGATAAAAACAGAAAATTCACAAATCTATTTCCGCATCAAATAAAAAAGCACGGAAATAATTTCCGTGCTTTATATAGTATAATTAAACTAAACGCTTAT", "AATATTTTTATTTTTACGAATTCCGGTTAATCGCAACCCCGCCGAAATTCTGTTTTTG", "CGTTTCCCGGATTAA", "AATGATTTGTGTATTTTAACTGAGTTCAACCAAAAACTTTTCTTTAACTGTGAAGTTAGATTACAAATTTATCAAAAGGTCGCTACAATAAAAAGTGGAAAAAGCCGTCATCCCCGTCAAAGCCTTATTTCTCGTAAAAAAGTTATCCACATTTATATCTAAGTACCTATGTTTACGACAGTTAAGAAGTGGATATTGTCAGAAAATGGCTAATTATGCACGATATATTAAACACTTATTTTTTTTTGCCTGAATTAAAGGACTTATCGGTTAAACATTTTAAAATTATTGTCAAAAATATTTTTCGTGTAACAAACTGGCTTTCTATACTACCAATTAGTAAAACTCGTGAA", "TGAATATATAAATGTGGATAAGTGAATCTGATAAGAAAATGATTACTGTCTACTTTTAACTAATTAGCTTTATTCACTATTAACATACAAAATATAACAAAAT", "AAACCTGACAAA", "AACAGTGGATAGGTGAATGGGGCAATAAGTGAATTAAGGTGAATATATCAATAAAATAGTATGTT", "AAATTAATTATTCACAATTCACTCATTGACACATTCACAAACGACTGATTCATTACCCATTAATTTCTATTAATAAAAAAATAATAATTAT", "GTTTAAATTAGATATTGTTAAAAGAAGCGCCGCGGACTTTGTCCGCGGCGCTTCTGAATTATACAATCAGACAA", "ATTTCAAAAATATAAAAAAGCAGCTTTTCAGCTGCTTTTGTTA", "ATTATTTTCAGTTTATTTATTGTCTCTAATAAAGACATTAAAAATTAAGACTAATATACAAAATT", "GATCTTTAA", "ACTAATACTTCTTTACTTTAACTTACATTCAATTGTCTCCAAAAAAGACTATATCAAATATATATTAATTTCTCTTAACATTACAACAACTTTTTTTAACATTTTATTATAAAAAAATAAAAACCCCTTTTTTAAGGGGTTTTATATTGTTCTGTAAGCCAAATAA", "AATTTTCAACAAAAATAAGATTTTCTGTGGAATAGCT", "GAATTAAATTTTATTGTTTGATTATCAATTACCGGAAATAGAAATTTTGTTGTTAAAAACAGATTAAAAGCCAAAGACCTGCTTCTAAAATCAGAAGAATTAAAATTCATTTTCCGACCACAACAAATATACATTGTAACATTATAAAAACAGAATATTAATCCTAGAAAAATTATCTGAT", "TTAAAGGTGGATTTTTATTCTCAATATAATATATTTTATTCAGACTTTCTGTATGCAGTAAATAGC", "TATAATACTATCAAAAAAAATTCAAGGA", "TACGATTCAATAATAATTAAAGATAAAATAAAAAAGACCTAAAAAACGAATGTTCTCAAGTCTTTTTTATTTTTTATGAAAGATAACTTA", "TAGTTTGATAATTAATGTTTTTATGAGATAAGTTGCAAAAATACGGGAAAGGAGATGAAAATAAAAACTCAGTACCAAATAATTGACTAATTTTGTTATTTAAACTAACCTTATAAAAATTCATTCGAA", "AAAAGATAAGAACAGATATATTAAAGCGCCTTAAATAAGGTGCTTTTTTATTTTAGTAATAAAATATTCTAATTCCCATTTTTTCTGCTGACATATTGTTGTCACAATAGTATTTTAGCTTTGCTAAACAGCAGATAAAAGAAAAC", "GTGTTACTACTTCTCAAAAAATATTATTTTCATTACAAAGACTCTATTCACTCATAAAAAAAGAATATCCAATATCACCTCCAAACCTCTAATTTCAAAGTATTTCTTGAAAAATATTTTTATCTTTATATAAATACAAAAATAT", "AAAGACAAGCGCTATAAGCCGGATTCTGTATCCCGCTCACAAGGGAGCGGGATGCCTGTTATTTATCTGCCCGTACCGTTGCCGATACGATGTAGCTGCTTACCCCCCGACATGGACGAGCCGCCCTTAATTGCCGGTATACATAGCATTGCACCGCATAGAGTTTACCTGGTTTCACTACAGCCGAACTGTACTTGCTTTCTGTTGCACTTGTCCTACCCTCACGGATGACGGCCATTAACCGCTATGCTGCCCTATGGTGTCCGGACTTTCCTACCCTTACGGATCAACAGGCCGCGCTTGTCGGCTGCAAAGATATAGTTTTCCTCTCAATTAAACAGTCGGGACAACGAATTACAAAATCTAAAGATTGTAATTGTATTCATTATTTCACAAAAACTATAAAGTATCAGTCAGAAACTGGCAAGTAATTATGTCTTTTTTTCTATCTTCGTGAAAAAATTTCACCATTCATCACTTTCTGAAAAAAATAA", "AAAAAGCGCTGAATTCAGCGCTTTTTATTT", "AGGTATTTCTCCTTAACTACATTGTAGATTAACTCTACGAAATTAAACATTTTTATTTTAAAAAAAATAAAATTGCACGATTTTTGCTATTGAAATTTGTTTTCATAAAAAAGCCCTTAGAGAAATCTCTAAAGGCTTTTTATATATTATTTT", "GATGTAAAAATTAACGTTTGCAAAGTTAAGAAAATTATATAGTTCTTTGGGCT", "TTCAGTAAAATTTTAAATTATATTCCAAAGCTAAATGTGAAAACCACGATACTAAATGTGGAAAAGCATTAATAAAAATTATACATTTGTGAAAAACGCAAATT", "TCCCGGATCAGTAATAAAATCCGGAGAAACATGACTATATTAATTTTGAGACTAGTATTCCACTAAAATAGCATACCTTCGGAATATACTCATTTCTGATATGTTTATTTTACATTTAAAGTTAACCTATCGATATATACTCAGCTTTTCACATTAGTGGATAAAAATCAAACGCTATAGGTTTCTGAAAACTACGACGTTTTAATATTTTGCATTCATTATTTCCTTTTCGGAACCTTTGCTACAACTAAGAAAACCTTGTCCGAGTTATTATTGAATCTGACAAGGTTTAATACTGCATTTATAATTGAAGACACAGTAAAAAAAATGATCGAAAATTTATTTCGATCATTATCTTATCA", "CAGGCAAATTTTGTA", "AAATAATTAATTTTGAGGGAACTCGTCCCGGTTAATTTTAAGCTGCAAAGATAACAAAAATATTCAAGATGCAAGACAAAAAGATAAAGAAACGGATGTTTAAATGTGAAAATAAAGAGGTGTATTCAGAAATCCTAAACT", "AGGTCCAATTCTTTCTTAAAGATAACAATTTCCTAAAACGATCCCTATA", "ATTTCTTACCTCAACAAAGGCTATA", "ATATTCCTCCGG", "AAATACGAACTCTATCGCAACTGAAAGTTCTCTTT", "AAAAAG", "ATTCTTCAATATGATTATCACAAAGCATTAAGCCCTGATAAATT", "TGTTTCTTATTTAGATTTTATACTATAAAAATTATAGTTGCAAAATTATCTTTAGCCCATTAAATTTGCAATAACTATCAATTCTGATAGGCTTTAAATTATCAGAT", "AGATTTACAGAATATAATTTTCGTGGTTGAGGGTGATAATTTTCAACTGCCAATTAAAATACCTAAATTTGCGCATTAGGTTGAAAAAC", "GA", "TAATAATTATTTATATTGCTCCATTCGGGATTTTCGGATGGAGCTTTTTTATAAATTTGTGAAACACAAACAAATAAACTACAAT", "TAATCACTTAATACAAAAAATGAGGTTGCAGATTAGATACACTGCAACCTCTTTTTTTATATACTGTTTT", "ATTTTTT", "TCTTAAAGTTTC", "TTTTAAACTGTTTTTTCTTGGTACAAATTTCAGACAGAAAAATCAATTATAAAAATAATTTAATTTATAACTTTGTATCATAATTATAATACAATAACAATTTTAATCCCAGCCCGTTTTTAAAATCGGATATAGTGTGGATAAAAATAAAAAGCCAAAAGAATAATCTTCCGGCTTCTATTTTAATTAATTTTATAGAGTTCAGT", "AGTTTTCATCTAAAATTGGGCAAGCAAGTTACAAAAATTACAAGGTTTAATTAGGATTTCAGACCAA", "GGTAAAGTTTTTAGTTCTTGCAGCCTTTGGCAGCAAAGGTTAGTCTCCCAAAAATAAGGAACATTTTTGAATGTCAGAATAATACATTCAGAAACCTGAA", "ATTTAATGAAGTTGAAAAATGAAAGTTACAATAACTGCATTTTTGTTTACAAAAAGGAATATGGAAATAAAGCATAGCCTGTATATATCA", "AAGGCAATTCTTAAAAATAGTAACGCAAATATATAAATTATTCAGCTAAACTTTATCGCAATATTTTTTTAGCTAAATTTGCCCTAATACATATCTTTTTATTTAATCGA", "AATC", "AGATAAGCAATAAATAATATCGTAACCTACTCATAATTATAGATTTTAATCAGATTTTTAGAGACAGAACAAAAAAGTTTGCAATCTCTGTTATTCAGTCTTTTTCATCATTATCTTATTCCGATGATGTTTTGGTTATCAGGAAATAGTTAATTCGGTTATCCACATCTGCTGCGGCAAATTACAGAGCTGTCGGAAGAGCGAGATCAGAAAGAGAGCGATTTGCAAAAAACTTGTATTGTTGTAGAAGAAATAGATGAAACACTATTCTGGCTTGAAATTATTGAAGAGCTTGAATATTTAAATCCCGAAATAATATTACAATTAAATTCAGAATGTGAAGAACTGGTCAAAGTAATGACAAAATATAAATATAAACTATCCGATTCCTAAATTATCGCTCATTACCCAATTACTGATTACCTATCATAGATT", "AATTAAATCAATAAAAAAGCCACTTTTCAGTGGCTTTATATTT", "CTTTAAATTTTGAAGTGCAAAGATAAGAATTTTAAACAGTAAAT", "TATTGAGGTTTGTTTTACAAATTTAGCACAAAAATTGTATTTTTGATTGAAACAAATTTTAAACATTAAAAAGATAAACT", "TT", "AGCCACAATTACCTAAAAGCCCATTGCATTTCCAGCTGATTTTCAGTAAATTTACCAGAAATGTACAACA", "ATCATACAGATTTAGGTTAACTTAAAAAATACGTAACTTTCGCTACATATATAATTAGACAACCGAACT", "AAAAAGGCTTCCAAATTGGAAGCCTTTTGTTTTATTTTAGTATTCTTAATCAATA", "TTTTTGAAATTTTAGGTTTTCAAAAATATACATAAAAAGCGAAAGCGAATTACGGTTTCCCGTAATTCGCTTTAATAAAAATGATGTTTAATATTGTTATATAATCTAAAAATAGAATT", "ATTTAATATGTTTAGGATTGGATGTAAATAAACAAGTTGCATGCCATTTTTTACTATAAAATTTTCATTTACAACAAAAACAACAAACTGAACCTATTTTTCGGGTAATTATAACCGATAAAACCTCCAGCCTTTTACTTCTTTAAAGGCAGACATAAATCCCCCAAAAAACACTTAAAAAGCAATAAAACAAAGTTATTTAAGCGTAAAATATAACATTAAAACACGTTAATTCATTATTTTTTTTACATAAAAATTCTCATATCCAATAATTTATTATTTAAATAAAAAACGCAATAATAAAAACAGATATAAGTATAATAATAAAAAATATCGAAAAATAAATATTCAAAATACATTGAATAAAAATATTTAATAAAAAATAAACATTTATTTTATTTTTTTTATTAATATTAATAATTAGAAATTATTTTTTTAATCAATATATATTATTCAAATAAAGCCTAAGATAGTTGGGCAGAGTGTAACTATCAATACTTAGATCAATTATACTATCATGAAAAAA", "CAATCAAGAACCTGTCTCCAAAGTGAGACAGGCCTTTTTTTTCTTAGAAAGCACATCTTTATATTTTAAGAATGTGCTAAAAATAGTCT", "CTACATTAAAAATTGTGAT", "TTTCTTACTAAAATA", "ATATTAAACACTATTTAATAAAGATACAATAAATATTATTAAATACAGCATATATTTCAAATAAAAAACATATAAAATATTTATATATAGTAATTTATTAACTATTTCAAACAACCTAATGAGGCATGGGCTCTAATAAAAAGAACCGGC", "TAAATATTTAGCATAATTATATAAACTAAAAAACGACCTGAAATCAGGTCGTTTTTTTTAATTTGTAGTATAATATTAAAA", "TTTTTTAATTTT" ]
[ false, false, false, false, true, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, false, false, false, true, true, true, true, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, true, true, true, true, false, false, false, false, false, false, true, true, false, false, false, true, true, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, true, false, false, true, false, false, true, true, true, false, false, false, false, false, false, false, false, true, true, true, true, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, true, false, false, false, false, false, false, true, true, false, true, true, true, true, false, false, false, false, false, false, false, false, true, true, true, false, false, true, true, true, true, true, false, true, false, false, true, false, false, false, true, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 127, 129, 131, 132, 134, 136, 138, 140, 142, 143, 145, 147, 149, 150, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 171, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 191, 193, 195, 197, 198, 200, 201, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, 240, 242, 244, 246, 248, 250, 252, 254, 256, 258, 260, 262, 263, 265, 267, 269, 271, 273, 275, 276, 278, 280, 282, 284, 286 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 128, 130, 133, 135, 137, 139, 141, 144, 146, 148, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 173, 175, 177, 179, 181, 183, 185, 187, 189, 192, 194, 196, 199, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259, 261, 264, 266, 268, 270, 272, 274, 277, 279, 281, 283, 285 ]
[ "2841813427|Ga0349638_01|CDS|2841813722|-|313255:314496", "2841813427|Ga0349638_01|CDS|2841813723|-|314630:315682", "2841813427|Ga0349638_01|CDS|2841813724|-|315726:316313", "2841813427|Ga0349638_01|CDS|2841813725|-|316355:318109", "2841813427|Ga0349638_01|CDS|2841813726|-|318135:319814", "2841813427|Ga0349638_01|CDS|2841813727|-|320380:320535", "2841813427|Ga0349638_01|CDS|2841813728|-|320768:321115", "2841813427|Ga0349638_01|CDS|2841813729|-|321141:321518", "2841813427|Ga0349638_01|CDS|2841813730|-|321646:322233", "2841813427|Ga0349638_01|CDS|2841813731|-|322329:324614", "2841813427|Ga0349638_01|CDS|2841813732|-|324629:325498", "2841813427|Ga0349638_01|CDS|2841813733|-|325532:325795", "2841813427|Ga0349638_01|CDS|2841813734|+|325919:326377", "2841813427|Ga0349638_01|CDS|2841813735|+|326389:327114", "2841813427|Ga0349638_01|CDS|2841813736|+|327157:328599", "2841813427|Ga0349638_01|CDS|2841813737|+|328568:329647", "2841813427|Ga0349638_01|CDS|2841813740|-|330139:331419", "2841813427|Ga0349638_01|CDS|2841813741|-|331492:332682", "2841813427|Ga0349638_01|CDS|2841813742|-|332728:333117", "2841813427|Ga0349638_01|CDS|2841813743|-|333129:333599", "2841813427|Ga0349638_01|CDS|2841813744|+|333834:334283", "2841813427|Ga0349638_01|CDS|2841813745|-|334395:334877", "2841813427|Ga0349638_01|CDS|2841813746|-|334879:336255", "2841813427|Ga0349638_01|CDS|2841813747|-|336267:336752", "2841813427|Ga0349638_01|CDS|2841813748|-|336768:337061", "2841813427|Ga0349638_01|CDS|2841813749|-|337073:337912", "2841813427|Ga0349638_01|CDS|2841813750|-|337934:338221", "2841813427|Ga0349638_01|CDS|2841813751|-|338294:338515", "2841813427|Ga0349638_01|CDS|2841813752|-|338777:339382", "2841813427|Ga0349638_01|CDS|2841813753|+|339507:341108", "2841813427|Ga0349638_01|CDS|2841813754|-|341150:341914", "2841813427|Ga0349638_01|CDS|2841813755|-|341968:342597", "2841813427|Ga0349638_01|CDS|2841813756|-|342612:343298", "2841813427|Ga0349638_01|CDS|2841813757|-|343395:345890", "2841813427|Ga0349638_01|CDS|2841813758|-|345976:346548", "2841813427|Ga0349638_01|CDS|2841813759|-|346636:347229", "2841813427|Ga0349638_01|CDS|2841813760|-|347364:348569", "2841813427|Ga0349638_01|CDS|2841813761|-|348728:349141", "2841813427|Ga0349638_01|CDS|2841813762|-|349221:350351", "2841813427|Ga0349638_01|CDS|2841813763|-|350474:351277", "2841813427|Ga0349638_01|CDS|2841813764|-|351459:351923", "2841813427|Ga0349638_01|CDS|2841813765|-|351928:352734", "2841813427|Ga0349638_01|CDS|2841813766|-|352741:353490", "2841813427|Ga0349638_01|CDS|2841813767|-|353562:353843", "2841813427|Ga0349638_01|CDS|2841813768|-|353978:354913", "2841813427|Ga0349638_01|CDS|2841813769|-|355022:355279", "2841813427|Ga0349638_01|CDS|2841813770|-|355395:356480", "2841813427|Ga0349638_01|CDS|2841813771|-|356477:357796", "2841813427|Ga0349638_01|CDS|2841813772|-|357868:358446", "2841813427|Ga0349638_01|CDS|2841813773|-|358479:359879", "2841813427|Ga0349638_01|CDS|2841813774|-|359885:361027", "2841813427|Ga0349638_01|CDS|2841813775|+|361331:363925", "2841813427|Ga0349638_01|CDS|2841813776|+|364102:364284", "2841813427|Ga0349638_01|CDS|2841813777|+|364302:364478", "2841813427|Ga0349638_01|CDS|2841813778|+|364653:364856", "2841813427|Ga0349638_01|CDS|2841813779|-|364904:365737", "2841813427|Ga0349638_01|CDS|2841813780|-|365783:367720", "2841813427|Ga0349638_01|CDS|2841813781|-|367810:369948", "2841813427|Ga0349638_01|CDS|2841813782|+|370497:371528", "2841813427|Ga0349638_01|CDS|2841813783|-|371602:372483", "2841813427|Ga0349638_01|CDS|2841813784|+|372613:374907", "2841813427|Ga0349638_01|CDS|2841813785|-|375101:376435", "2841813427|Ga0349638_01|CDS|2841813786|+|376615:378066", "2841813427|Ga0349638_01|CDS|2841813787|+|378069:378509", "2841813427|Ga0349638_01|CDS|2841813788|+|378585:379388", "2841813427|Ga0349638_01|CDS|2841813789|+|379385:380938", "2841813427|Ga0349638_01|CDS|2841813790|+|380977:381171", "2841813427|Ga0349638_01|CDS|2841813791|+|381175:381792", "2841813427|Ga0349638_01|CDS|2841813792|+|381785:382255", "2841813427|Ga0349638_01|CDS|2841813793|+|382262:382855", "2841813427|Ga0349638_01|CDS|2841813794|+|382908:384596", "2841813427|Ga0349638_01|CDS|2841813795|+|384614:385744", "2841813427|Ga0349638_01|CDS|2841813796|+|385760:386689", "2841813427|Ga0349638_01|CDS|2841813797|+|386800:388056", "2841813427|Ga0349638_01|CDS|2841813798|+|388046:388267", "2841813427|Ga0349638_01|CDS|2841813799|-|388378:388674", "2841813427|Ga0349638_01|CDS|2841813800|-|388752:389546", "2841813427|Ga0349638_01|CDS|2841813801|-|389869:390057", "2841813427|Ga0349638_01|CDS|2841813802|-|390057:390239", "2841813427|Ga0349638_01|CDS|2841813803|-|390178:391326", "2841813427|Ga0349638_01|CDS|2841813804|-|391363:391743", "2841813427|Ga0349638_01|CDS|2841813805|-|391871:392407", "2841813427|Ga0349638_01|CDS|2841813806|-|392554:393009", "2841813427|Ga0349638_01|CDS|2841813807|-|393015:395033", "2841813427|Ga0349638_01|CDS|2841813808|-|395101:398721", "2841813427|Ga0349638_01|CDS|2841813809|-|398904:400652", "2841813427|Ga0349638_01|CDS|2841813810|-|400768:400944", "2841813427|Ga0349638_01|CDS|2841813811|+|401290:401658", "2841813427|Ga0349638_01|CDS|2841813812|+|401911:402216", "2841813427|Ga0349638_01|CDS|2841813813|+|402348:403175", "2841813427|Ga0349638_01|CDS|2841813814|+|403159:404244", "2841813427|Ga0349638_01|CDS|2841813815|+|404258:405214", "2841813427|Ga0349638_01|CDS|2841813816|-|405236:405361", "2841813427|Ga0349638_01|CDS|2841813817|+|405374:405940", "2841813427|Ga0349638_01|CDS|2841813818|+|406232:407527", "2841813427|Ga0349638_01|CDS|2841813819|+|407804:408073", "2841813427|Ga0349638_01|CDS|2841813820|+|408406:409356", "2841813427|Ga0349638_01|CDS|2841813821|+|409484:410854", "2841813427|Ga0349638_01|CDS|2841813822|+|410970:412202", "2841813427|Ga0349638_01|CDS|2841813823|+|412205:414073", "2841813427|Ga0349638_01|CDS|2841813824|+|414066:415211", "2841813427|Ga0349638_01|CDS|2841813825|-|415306:415803", "2841813427|Ga0349638_01|CDS|2841813826|-|416210:416725", "2841813427|Ga0349638_01|CDS|2841813827|-|416759:416938", "2841813427|Ga0349638_01|CDS|2841813828|-|416938:417222", "2841813427|Ga0349638_01|CDS|2841813830|+|418818:419549", "2841813427|Ga0349638_01|CDS|2841813831|+|419546:420322", "2841813427|Ga0349638_01|CDS|2841813832|+|420319:421044", "2841813427|Ga0349638_01|CDS|2841813833|+|421046:421816", "2841813427|Ga0349638_01|CDS|2841813834|+|421852:422583", "2841813427|Ga0349638_01|CDS|2841813835|+|422884:423075", "2841813427|Ga0349638_01|CDS|2841813836|+|423097:424887", "2841813427|Ga0349638_01|CDS|2841813837|+|425886:427469", "2841813427|Ga0349638_01|CDS|2841813838|-|427652:428626", "2841813427|Ga0349638_01|CDS|2841813839|-|428979:430142", "2841813427|Ga0349638_01|CDS|2841813840|+|430339:431238", "2841813427|Ga0349638_01|CDS|2841813841|-|431265:431885", "2841813427|Ga0349638_01|CDS|2841813842|-|431951:432544", "2841813427|Ga0349638_01|CDS|2841813843|-|432886:433830", "2841813427|Ga0349638_01|CDS|2841813844|-|433898:434473", "2841813427|Ga0349638_01|CDS|2841813845|-|434525:435943", "2841813427|Ga0349638_01|CDS|2841813846|+|436045:436512", "2841813427|Ga0349638_01|CDS|2841813847|+|436534:437445", "2841813427|Ga0349638_01|CDS|2841813848|+|437593:437787", "2841813427|Ga0349638_01|CDS|2841813849|-|437845:440172", "2841813427|Ga0349638_01|CDS|2841813850|+|440479:442833", "2841813427|Ga0349638_01|CDS|2841813851|+|442906:445146", "2841813427|Ga0349638_01|CDS|2841813852|-|445176:445397", "2841813427|Ga0349638_01|CDS|2841813853|-|445430:445669", "2841813427|Ga0349638_01|CDS|2841813854|-|445716:445880", "2841813427|Ga0349638_01|CDS|2841813855|-|445899:446345", "2841813427|Ga0349638_01|CDS|2841813856|+|446515:446850", "2841813427|Ga0349638_01|CDS|2841813857|-|446853:450266", "2841813427|Ga0349638_01|CDS|2841813858|+|450606:453638", "2841813427|Ga0349638_01|CDS|2841813859|+|453657:455255", "2841813427|Ga0349638_01|CDS|2841813860|+|455312:456307", "2841813427|Ga0349638_01|CDS|2841813861|+|456326:457450", "2841813427|Ga0349638_01|CDS|2841813862|+|457463:458881", "2841813427|Ga0349638_01|CDS|2841813863|-|458818:458961", "2841813427|Ga0349638_01|CDS|2841813864|+|459329:463153", "2841813427|Ga0349638_01|CDS|2841813865|+|463268:467530", "2841813427|Ga0349638_01|CDS|2841813866|+|467622:467927", "2841813427|Ga0349638_01|CDS|2841813867|+|468052:469326", "2841813427|Ga0349638_01|CDS|2841813868|-|469646:472402", "2841813427|Ga0349638_01|CDS|2841813869|-|472444:473469", "2841813427|Ga0349638_01|CDS|2841813870|-|473462:474265", "2841813427|Ga0349638_01|CDS|2841813871|-|474334:474882", "2841813427|Ga0349638_01|CDS|2841813872|-|475137:475988", "2841813427|Ga0349638_01|CDS|2841813873|-|476168:477643", "2841813427|Ga0349638_01|CDS|2841813874|-|477726:478817", "2841813427|Ga0349638_01|CDS|2841813875|-|478864:479322" ]
[ "2841813427|Ga0349638_01|IG|IG_000256|+|314497:314629", "2841813427|Ga0349638_01|IG|IG_000257|+|315683:315725", "2841813427|Ga0349638_01|IG|IG_000258|+|316314:316354", "2841813427|Ga0349638_01|IG|IG_000259|+|318110:318134", "2841813427|Ga0349638_01|IG|IG_000260|+|319815:320379", "2841813427|Ga0349638_01|IG|IG_000261|+|320536:320767", "2841813427|Ga0349638_01|IG|IG_000262|+|321116:321140", "2841813427|Ga0349638_01|IG|IG_000263|+|321519:321645", "2841813427|Ga0349638_01|IG|IG_000264|+|322234:322328", "2841813427|Ga0349638_01|IG|IG_000265|+|324615:324628", "2841813427|Ga0349638_01|IG|IG_000266|+|325499:325531", "2841813427|Ga0349638_01|IG|IG_000267|+|325796:325918", "2841813427|Ga0349638_01|IG|IG_000268|+|326378:326388", "2841813427|Ga0349638_01|IG|IG_000269|+|327115:327156", "2841813427|Ga0349638_01|IG|IG_000270|+|329648:330138", "2841813427|Ga0349638_01|IG|IG_000271|+|331420:331491", "2841813427|Ga0349638_01|IG|IG_000272|+|332683:332727", "2841813427|Ga0349638_01|IG|IG_000273|+|333118:333128", "2841813427|Ga0349638_01|IG|IG_000274|+|333600:333833", "2841813427|Ga0349638_01|IG|IG_000275|+|334284:334394", "2841813427|Ga0349638_01|IG|IG_000276|+|334878:334878", "2841813427|Ga0349638_01|IG|IG_000277|+|336256:336266", "2841813427|Ga0349638_01|IG|IG_000278|+|336753:336767", "2841813427|Ga0349638_01|IG|IG_000279|+|337062:337072", "2841813427|Ga0349638_01|IG|IG_000280|+|337913:337933", "2841813427|Ga0349638_01|IG|IG_000281|+|338222:338293", "2841813427|Ga0349638_01|IG|IG_000282|+|338516:338776", "2841813427|Ga0349638_01|IG|IG_000283|+|339383:339506", "2841813427|Ga0349638_01|IG|IG_000284|+|341109:341149", "2841813427|Ga0349638_01|IG|IG_000285|+|341915:341967", "2841813427|Ga0349638_01|IG|IG_000286|+|342598:342611", "2841813427|Ga0349638_01|IG|IG_000287|+|343299:343394", "2841813427|Ga0349638_01|IG|IG_000288|+|345891:345975", "2841813427|Ga0349638_01|IG|IG_000289|+|346549:346635", "2841813427|Ga0349638_01|IG|IG_000290|+|347230:347363", "2841813427|Ga0349638_01|IG|IG_000291|+|348570:348727", "2841813427|Ga0349638_01|IG|IG_000292|+|349142:349220", "2841813427|Ga0349638_01|IG|IG_000293|+|350352:350473", "2841813427|Ga0349638_01|IG|IG_000294|+|351278:351458", "2841813427|Ga0349638_01|IG|IG_000295|+|351924:351927", "2841813427|Ga0349638_01|IG|IG_000296|+|352735:352740", "2841813427|Ga0349638_01|IG|IG_000297|+|353491:353561", "2841813427|Ga0349638_01|IG|IG_000298|+|353844:353977", "2841813427|Ga0349638_01|IG|IG_000299|+|354914:355021", "2841813427|Ga0349638_01|IG|IG_000300|+|355280:355394", "2841813427|Ga0349638_01|IG|IG_000301|+|357797:357867", "2841813427|Ga0349638_01|IG|IG_000302|+|358447:358478", "2841813427|Ga0349638_01|IG|IG_000303|+|359880:359884", "2841813427|Ga0349638_01|IG|IG_000304|+|361028:361330", "2841813427|Ga0349638_01|IG|IG_000305|+|363926:364101", "2841813427|Ga0349638_01|IG|IG_000306|+|364285:364301", "2841813427|Ga0349638_01|IG|IG_000307|+|364479:364652", "2841813427|Ga0349638_01|IG|IG_000308|+|364857:364903", "2841813427|Ga0349638_01|IG|IG_000309|+|365738:365782", "2841813427|Ga0349638_01|IG|IG_000310|+|367721:367809", "2841813427|Ga0349638_01|IG|IG_000311|+|369949:370496", "2841813427|Ga0349638_01|IG|IG_000312|+|371529:371601", "2841813427|Ga0349638_01|IG|IG_000313|+|372484:372612", "2841813427|Ga0349638_01|IG|IG_000314|+|374908:375100", "2841813427|Ga0349638_01|IG|IG_000315|+|376436:376614", "2841813427|Ga0349638_01|IG|IG_000316|+|378067:378068", "2841813427|Ga0349638_01|IG|IG_000317|+|378510:378584", "2841813427|Ga0349638_01|IG|IG_000318|+|380939:380976", "2841813427|Ga0349638_01|IG|IG_000319|+|381172:381174", "2841813427|Ga0349638_01|IG|IG_000320|+|382256:382261", "2841813427|Ga0349638_01|IG|IG_000321|+|382856:382907", "2841813427|Ga0349638_01|IG|IG_000322|+|384597:384613", "2841813427|Ga0349638_01|IG|IG_000323|+|385745:385759", "2841813427|Ga0349638_01|IG|IG_000324|+|386690:386799", "2841813427|Ga0349638_01|IG|IG_000325|+|388268:388377", "2841813427|Ga0349638_01|IG|IG_000326|+|388675:388751", "2841813427|Ga0349638_01|IG|IG_000327|+|389547:389868", "2841813427|Ga0349638_01|IG|IG_000328|+|391327:391362", "2841813427|Ga0349638_01|IG|IG_000329|+|391744:391870", "2841813427|Ga0349638_01|IG|IG_000330|+|392408:392553", "2841813427|Ga0349638_01|IG|IG_000331|+|393010:393014", "2841813427|Ga0349638_01|IG|IG_000332|+|395034:395100", "2841813427|Ga0349638_01|IG|IG_000333|+|398722:398903", "2841813427|Ga0349638_01|IG|IG_000334|+|400653:400767", "2841813427|Ga0349638_01|IG|IG_000335|+|400945:401289", "2841813427|Ga0349638_01|IG|IG_000336|+|401659:401910", "2841813427|Ga0349638_01|IG|IG_000337|+|402217:402347", "2841813427|Ga0349638_01|IG|IG_000338|+|404245:404257", "2841813427|Ga0349638_01|IG|IG_000339|+|405215:405235", "2841813427|Ga0349638_01|IG|IG_000340|+|405362:405373", "2841813427|Ga0349638_01|IG|IG_000341|+|405941:406231", "2841813427|Ga0349638_01|IG|IG_000342|+|407528:407803", "2841813427|Ga0349638_01|IG|IG_000343|+|408074:408405", "2841813427|Ga0349638_01|IG|IG_000344|+|409357:409483", "2841813427|Ga0349638_01|IG|IG_000345|+|410855:410969", "2841813427|Ga0349638_01|IG|IG_000346|+|412203:412204", "2841813427|Ga0349638_01|IG|IG_000347|+|415212:415305", "2841813427|Ga0349638_01|IG|IG_000348|+|415804:416209", "2841813427|Ga0349638_01|IG|IG_000349|+|416726:416758", "2841813427|Ga0349638_01|IG|IG_000350|+|417223:418817", "2841813427|Ga0349638_01|IG|IG_000351|+|421045:421045", "2841813427|Ga0349638_01|IG|IG_000352|+|421817:421851", "2841813427|Ga0349638_01|IG|IG_000353|+|422584:422883", "2841813427|Ga0349638_01|IG|IG_000354|+|423076:423096", "2841813427|Ga0349638_01|IG|IG_000355|+|424888:425885", "2841813427|Ga0349638_01|IG|IG_000356|+|427470:427651", "2841813427|Ga0349638_01|IG|IG_000357|+|428627:428978", "2841813427|Ga0349638_01|IG|IG_000358|+|430143:430338", "2841813427|Ga0349638_01|IG|IG_000359|+|431239:431264", "2841813427|Ga0349638_01|IG|IG_000360|+|431886:431950", "2841813427|Ga0349638_01|IG|IG_000361|+|432545:432885", "2841813427|Ga0349638_01|IG|IG_000362|+|433831:433897", "2841813427|Ga0349638_01|IG|IG_000363|+|434474:434524", "2841813427|Ga0349638_01|IG|IG_000364|+|435944:436044", "2841813427|Ga0349638_01|IG|IG_000365|+|436513:436533", "2841813427|Ga0349638_01|IG|IG_000366|+|437446:437592", "2841813427|Ga0349638_01|IG|IG_000367|+|437788:437844", "2841813427|Ga0349638_01|IG|IG_000368|+|440173:440478", "2841813427|Ga0349638_01|IG|IG_000369|+|442834:442905", "2841813427|Ga0349638_01|IG|IG_000370|+|445147:445175", "2841813427|Ga0349638_01|IG|IG_000371|+|445398:445429", "2841813427|Ga0349638_01|IG|IG_000372|+|445670:445715", "2841813427|Ga0349638_01|IG|IG_000373|+|445881:445898", "2841813427|Ga0349638_01|IG|IG_000374|+|446346:446514", "2841813427|Ga0349638_01|IG|IG_000375|+|446851:446852", "2841813427|Ga0349638_01|IG|IG_000376|+|450267:450605", "2841813427|Ga0349638_01|IG|IG_000377|+|453639:453656", "2841813427|Ga0349638_01|IG|IG_000378|+|455256:455311", "2841813427|Ga0349638_01|IG|IG_000379|+|456308:456325", "2841813427|Ga0349638_01|IG|IG_000380|+|457451:457462", "2841813427|Ga0349638_01|IG|IG_000381|+|458962:459328", "2841813427|Ga0349638_01|IG|IG_000382|+|463154:463267", "2841813427|Ga0349638_01|IG|IG_000383|+|467531:467621", "2841813427|Ga0349638_01|IG|IG_000384|+|467928:468051", "2841813427|Ga0349638_01|IG|IG_000385|+|469327:469645", "2841813427|Ga0349638_01|IG|IG_000386|+|472403:472443", "2841813427|Ga0349638_01|IG|IG_000387|+|474266:474333", "2841813427|Ga0349638_01|IG|IG_000388|+|474883:475136", "2841813427|Ga0349638_01|IG|IG_000389|+|475989:476167", "2841813427|Ga0349638_01|IG|IG_000390|+|477644:477725", "2841813427|Ga0349638_01|IG|IG_000391|+|478818:478863" ]
[ "MMMNLNFSEAAQRVKDVVIHTPLQLNLNLSRKYDCNVYLKREDLQVVRSYKLRGAYNMMKSLSAEELKKGVVCASAGNHAQGVAYSCNKMGVKGVIFMPSITPKQKIDQTRMFGDSNIEIILTGDTFDDCAAAAKIYTEEKGMVFIPPFDHERIIEGQGTVGVELYEDLKNIDYIIVPNGGGGLCAGISTFVKSVSPETKVVGVEPEGAPSMTLALKERQPREVPSIDRFVDGAAVKKVGELTYNICSQNLDNMLLVPEGKVCTTILQLYNKDAIVVEPAGALSVAVLDQLKEEIKGKNVVCIISGSNNDIDRMQEIKERSLLYEGLKHYFVLEFPQRPGALKEFVTNIMGENNDITYFQFSKKNNKESGPAVIGIEVETPEDFENLRKRMDQNMLKYTYLNNDQLLFNNLIG", "MATINFGGVEEKVVTREEFPLEKARQVLSNETVAVIGYGVQGPGQALNQKDNGVNVIVGQRKNSKSWDKAVADGFVPGETLFEIEEALEKGTIICYLLSDAAQIELWPTVQKNLTPGKALYFSHGFGITFNEQTGIVPPKDVDVFLVAPKGSGTSLRRMFLQGRGLNSSYAIYQDATGKAKDRVVALGIAVGSGYLFETDFRKEVFSDLTGERGTLMGAIQGIFAAQYEVLRANGHSPSEAFNETVEELTQSLMPLVAENGMDWMYANCSTTAQRGALDWWKKFRDASKPVFEELYESVATGKESQRSIDSNSQTDYREKLNQELTELQQSEMWQAGKAVRSLRPENQNV", "MKQQYTITVYAEDQIGLLARITIIFSRRKINIDSLNTSASEIPGIHRFTILIDETEEVVRKLCRQIEKQVEVLKAYYDTDQEIVWQEMALFKVPTKQVTEKMVVERLLREYGARVLAIREDFTVFETTGHKEETEKLIKVFENYGLIEFVRSARIAIIKKSEGFHKKIKEFEAKEPGEEVQENEFLNENEKVFTM", "METTQLQDKTIQTESKNLFKEGDTISGSQALLDALVAEGVHTIFGYPGGAIMPIYDALYDFQDTLKHILVRHEQGGIHAAQGYARTSGEVGVAFATSGPGATNLVTGLADAQIDSTPLVCITGQVFAHLLGTDAFQETDVINVTMPVTKWNYQVTDATQLPAVLAKAFFIARSGRPGPVLIDITKNAQLQQFEYPGYTKCDHLRSYRPKPIIRNEYIEKAAEIINEAKKPFVIFGQGVILGKAEREFLDFIEKGNFPSAWTIMGESAIPTTHPLGVGMLGMHGNYGPNVLTNECDVLIAVGMRFDDRVTGRLDKYAKQTQVIHLDIDPAEVDKNVKTTVPVWGDCKETLPLLTKLIKSNDHSGWLAEFRKYEAEEEKQCIQPEKNPEGEVLSMAEVLEALNELSNGDAVIVTDVGQHQMVACRYAKFNQTRSNITSGGLGTMGFGLPAAIGAKYGAPDRTVVAIAGDGGFQMTLQELGTIMQYDIDVKILILNNEFLGMVRQWQELFNDRRYSSVNITSPNFVALAGAYGIQGNSVKVRADLKTALRTMLEHKGPYLLEVMVGKENNVFPMVPQGCSVAEIRLK", "MELNKYSKTITQDPTQPAAQAQLYALGLTDDDLRKAQVGIVSMGYDGNPCNMHLNGLSQHVKYGVWENDLVGLIFNTIGVSDGMSNGTDGMRYSLVSRDVIADSIETVCGAQYYDALITVPGCDKNMPGSIIAMGRLNRPSIMVYGGTIAPGHYKGQDLNIVSAFEALGQKIAGQLDEEDFKGIVKNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSNPALSDEKKKECEAVGKYIKILMERDIKPSDIMTRKAFENAITVIMVLGGSTNAVLHFLAMAKSVGVPLTQDDFQKISDRVPVLADFKPSGKYLMEDLHKKGGVPAVMKYLLKEGLIDGSCLTVTGKTIAENLEDVPNLNFEEQDIIYPLENPLKKTGHLQILYGNLAEKGSVAKISGKEGEKFEGPARVFDGEKKLIEGISSGKVKSGDVVVIKNEGPKGAPGMPEMLKPTSAIIGAGLGKSVALITDGRFSGGTHGFVVGHVTPEAFDGGLIGLVEDNDIIEINAVNNTLTLKISDEEIAQRRANWQQPKLKVTSGVLYKYAKLVKDASQGCVTDED", "MRNRKDAPEEPDLCKKQIYQKVSIPEESYFVTLIFYLSPSGFVPDSDKYRL", "LPIYWAFLTYLLLKPGSEEGEHYFLFPHFDKVGHAGVFFGLGFLLIAAFPKLKFITYIQIMLCFGFLTEILQDEMHLGRAMEGLDVVADTVGALIGYLVYQFLFRKLQNLHNIKK", "MNIPSELKYTKDHEWVKIDGNVAVIGITDFAQGELGDIVFVDVDSVDDDLASGEVFGSVEAVKTVSDLYLPVAGKVIEFNAELEDAPELVNQDPYGKGWIIKVEIAADADTSELLSAEDYQNIIG", "MINFLRGKVHELTPTFAILDINGIGYYVGISLQTSQKLSQGNEAFLYTQQIFREDAQLLFGFSTLTEKEVFNLLISVNGVGAVSALILLSSLEIPDIASAVLNNQSVVLQKVKGIGAKTAERIIVDLRDKMLKYSDVNESSLNNVTDNKVKEEALSALEVLGISRKMSEKIADKIIKTNPEINVETLVKHILKSI", "MNQRDQERLKQAALDYHKAEPKGKIEVIPSKPHASQRDLSLAYSPGVAEPCMEIHHDISKAYDYTGKGNLVAVISNGTAVLGLGDIGAEASKPVMEGKGLLFKIFADINVFDIEINEKDPEKFIQVVKAIAPTFGGINLEDIKAPEAFEIERRLKEELNIPLMHDDQHGTAIISAAALLNALEIADKKIGDVKVVVNGAGAAAIACTKLYIEMGLKKENVLMCDSKGVINHKRTNLTPEKLDFIVETDIETLEDAVKDSDVFIGLSKGNVMSPEMLLSMKENPIVFALANPTPEIDYNLAQETRKDVIMATGRSDFPNQVNNVLGFPYIFRGALDVQATAINEAMKIAAVYAIAEIAKKTVPEMVVLAYNAKSLSFGREYFIPKPFDNRLLTDVSIAVAKAAMESGVAAKPIEDFEAYENSLIDRMNKDEKLLRMMQNRAKSNPKRVTLGNAEEYNVLKAAQILAEEGIAKPILLGDKTLIEEAKKKFGIDIDLPIVDPNADDQEENRKKYRETLWNLRNRKGINEYKAKRFVRNRDYFGPLMLRHGDTDALVVGFSKNYSTVLKPVLEVIDKDHGVSKVSAMMMILANKKQYFFADTSIIQDPTSEDLVNIARMLDHTVRSLAIEPRIAMLSFENFSGYSETSKKVAKAVQILHEKYPKMVVDGEIQPDFAMNSDHLSDYPFSKLGETPANCFVFPNLESANLSYKIIRGMKVSQTIGPILMGLNKPVHIVQMRSSVDEIVNLATIAVLDAQIKEKRESK", "MIAIVDGGSTKCDWVILKASGEEVLRTTTKGFNPNNTAAHLIPVEINKNEDLERIKEDIKYVFFYGSGCGVEENCIIVQEQLQVVFTKADILVKEDLLGAAYAVYRGKPTMVCILGTGSNSCYFDGKDIKVELPSLGFLLGDDGAGSSMGKRIVKNFFMKKLPPSLEREFIKEYPELNIELLLQKMYHENTMVNAYFAEFNKFVAKWKEHPFIQNLIYEEFKNYVEFQLLPYGEVKDAEISFIGSIAYVYGDILKTVTAQYNLNFGIIVQRPIVNLVQYHVDHIFPTLK", "MKTSVNSDKFMKYQDKIRELEDQSPRFKKVFAEYEIFSRELWNLETSDDGEPVPDDFLDALHLQMSYLEDEIKDWLQYREDSDTEVV", "MVAFIIKQKQILFFIIAGGLSAIVEIGLMKVFSYYIPEYIPAETKWHGISYPLSNILSTTCAIIFNYFLSIWFVFERGKHSKKKEFTYFMVVSFISTILSLIVFNLLFNNIFHDPIDLGIYVLSPIIVSKALAIVIISVLNFSIKKRVIFNG", "MTKLLNYLWRIWFLIIVIIATILCGLLVYPLSFSPKTFRACYFFMRIWCIILFYSMGFRYELTSPTGKIIDPEKKYVFISNHTSIMDIMLMCILHPKHPICFVGKKELVKIPIFGTIYKRVCVMVDRKSMKSRTDVYRRCAERMNDGQNIVIYPEGGVPDDTSIVLDEFKDGAFTLSSKHHFPIAVYTFIGLKEMFPFDSGKGYPGKVKVILNDILQPNESKEEMKKNAFELMYKSICINK", "MFDYRNKTNWGQFASLIVVFFFWGFVAASNDILIPVFKKAFDLTNFQSQLVASAYYIAYTVGTLLYLAISKILKYDVLNKMGYKNGLILGLLISAAGSLIFIPAANAHSFPLMITALFVVGLGFSLQQTVANPLAIAIGPAQTGSQRLTMAGGINNFGTTIGPLVVAFAIFGSAAAANENADIESVKIPYLVLGAAFVLAAVFLKFSSLPSVTETHEVEEANEIQQPRSSVLKYPQLVLGMVAIFLYVGVEVATASNLPAYMEKELGFHTKDVAPFISLYWASMMIGRWSGAVGAFNLQGMTLKIAKFIAPYLAFGVFLTVNAIAQHDLTPFKIYPAIIFVMIIADILSKGNPARMLMIFSFMGITALVIGMLSSGMVSVYAYTSVGLFCSTLWPCIFALAIAGLGKHTNEGSNFLIMMIMGGGLIAPLQGYLADSIGIHLSYIVDVVCFLYLIFYAIKVKGILKSQGIDLEKTAAGGGH", "LKKQLQVVDTKNKLIYKKRFWAGLWLAQFFIFFLLSKTEKGVLFFEKLFSATQKIRVGIIKHIPFSAGDAFYLFLSIFLIALAVLSIRKKSRKRALLILLISINILYFVYQSLWGMLYFQKPLLSRDKIQTIDTEKLKPLAEYYLQQCITLRKAHPSESFQIESQTALEAEIRAQQQTIAHKYGKGRSIDISLKPSLYKSVMSYTGILGYYNPFSSESQYNPELPPTYTPFTLSHETAHQIGFAREEEANFIAFIIGENSSNYELKYSAYWYAMKTILFNISKKDLKYSENFLKNLPKELMNDYKIEKKFYKDHEGKTQDFFSFTNNLFLKSNRQDGSITYNYFIYLLLDHHKEKGLHQ", "MKKNFLTIALLGLFSMTSAQFTVQVGVPSDFKADNAFLYSYNGSKEVIAASGTKSANGWSFKVDTPYSGLMKVYFPDANTAFMLISENGNVSAKTAVTNNKISNVEFSDNANKLFADYQGIAKKKEQILPVLLQMQNFYEPNSEFGMAMKKEISKLSAYKDGDMGQYPFLKYYTQNLQKYVDGNQALKQEDYIKFINNSPEYLESSAQIRQVLYNYLSYSKKETVNSDVEKLLKAVDIESPRGQMVLSELIDFFDIYGMEELKNKYLTEAKALKCTISDRLSATIKSNENVMLGKVMPDYKFTNPLNTTAKSIHDVKAKKKLILFWSSTCSHCEAELPKIIENYKALQANGVQVIGLSLDSDPISYNNRVKSLPWINDSELKGWSSSVSELYNVHATPTYFLLDAGNKIIAKPNNFSEALSLIKVK", "MNPNQCSFCGRKREEVEILVSGNSGYICNNCIEQAHAMIAGSGKNSLSPTDYDIDDLKKPREIKEFLDDYVIGQDQAKKQLSIAVYNHYKRLMHAGNADREVELEKSNILMVGETGTGKTLLAKTIAKELNVPFCIVDATILTEAGYVGEDVESILSRLLMVADYDVERAERGIVFVDEIDKIARKSDNPSITRDVSGEGVQQGLLKLLEGSIVNVPPQGGRKHPDQKYIQVNTQNILFIAGGAFDGIKEIIERRLNKQAIGFSSEKINKKDDEEYILENINAIDLRSFGLIPELLGRFPIITHLDKLTKDTMIRIMKEPKNSIVNQFIELFRMDNVKLEFTDEAIEAIVEATMEKGLGARGLRGTTEKVLEDYMYNIDQTSEVILTKDDIEGKLK", "MSSIFTKIVNGEIPAYKIMEDEKHLAFLDVMPLVEGHTLVIPKKEIDLIFDLDSEEFKELFSFAQKVAKKVGAAIPCKRVGVAVIGLEVPHAHIHLVPLQHLHDIDFSRERLKLSPEEYQKIQEKIANA", "MSYVTKEGLEKMKAELEQLETIERPKITQQIAEARDKGDLSENAEYDAAKEAQGMLEMRISKLKDTIATSKVIDESQLDTSKVSILCTVKLKNNGTKQTQTFTLVPDNESDLKSGRISVNTPIAKGLLGKVVGETAEITLPNGNKLSFEVLEISLN", "MKVVIQRVSESEVVVENQSVGKIGKGFMLLIGIDENDEKQDADWLVQKILNLRVFGDEEGKMNLSIVDIKGDLLCISQFTLIADYKKGNRPSFIKAAKPDKAIPLFEYFKEEITKSGLKTESGIFGADMKVSLINDGPVTIVMDSKTKL", "MKKIVVSFLPFVLMMVACSKNPNKITIQKKDSSVVSTKKTDTIKQNHSQDRQIKGNTITREIDAKTFPVSFEDEFTNEEQKLVIHLKNVGKMKITGKITSESGNQNIRFNNVELNNQSIDGPFGQDMEYNLNQKGDYSLVIGKSLMADGSQKGKFSVQLK", "MKTHHLILLTTFLFVTLFYKENMGINMGLFGIVCAVLTCINTPQRNKTRLFFVLFITTILSSAAFVWYGDFSSFLALVSSLILLSFRSKSRKLKILFILPVFIANFFTFLCRFFNFDKWIPKRNSSGTGQKLLAIILIPAFFVFIFFVVYTYGSDQFANLFTNINWDINFWQLFCLSVLGFFLAFNYWNFVVERLIYKQHSVLDNDFNNAKQIPKPTYSFLTIDAERMSGVVTFLLLNVLLVFFIASYNYEQFYEIPKTPVQLSEETHERVGAVILSIIMVILVIMFYFKSAFNFDPKAKLMQLLAKIWLGLNTVLLFSAMMKNTEYIVNYGYTYKRLGVYAFLILATIGLGITFIKIHKKKTNAFLFNTMFWFFYATILMISFVNWGGIVTRENIKRKDFSVEYHQDSVNFNDCYLLKYAEDSHNNLLRSYVLDKVNKEQKKTFLSKLLYYETINTK", "MKKIRVQFLLFVYHHTQKLYRKYFKKKKRQWQFTEEQLLLFEKDSLGRKLGEFYQQYGFTMIPKMENHDVHHLITDCGTNFEDEIAMQYLLLGNGKLNAHLMAAIFLGTLFLPEYFKVYLHAYQKGKRMKAFFYWDFESLLWQNFEHLKDFIYQKQTPVFY", "MENRNIIVIGKFVFGVSFLSGNICLFGYLFTKDYLFASSGYLLLIYASILNLLIVLSLLIYGLFNETKRKACLKSILILSINIPIAAFYALIGINLI", "MNRKTFIKRLLQVSAIGALPVLYSWQIEPFWVEFVRRKLPIKNLPDHLTGKILMQISDMHVGNRFDWNFLIEVFQEAKVFNPDFVVYTGDYVSWENEIQYTQLHEVMKHAVLGNIATFGILGNHDYGFNWSEADVAMNICDIMKNSGIQMLNNAQTEISGLNFIGFEDLWSPNFDPLRVMKDYDETKANLVLCHNPDVCDLDVWNGYKGWILSGHTHGGQCRIPGVITPVLPVRNKKYISGEIDLQDGRILYINRAIGHSRQVRFMVRPEITVFTLTQA", "MTPKQRSFAIYSVVALLLIVPLIGMQFSKEIKWSLLDFIISGFLLFTTAFSIDSIMRRVKTKAYQFISIAVVLITLFLIWAELAVGIFGTPLAGD", "MVNDWVDFTEMKGLLDVTDGNLASHSTALEKAGYIEIKKEFVGKKPKTSYRVTNSGRQAFSEHLNTLEKLLGR", "MDQNYHKDLSHIRHMMERSTRFLSLSGMSGIVTGVIALLGAAYAYNYLGTSGFDYEGRSLHTVEDKVTHLIIVALIVLVCAIFFGGLFTWRNIKKSGQPFWTMATKKLLFNFCIPLALGGLFCIGLIIHQYYILLAPMMLFVYGAALFSAEKYTLKLVRFFGISEMLLGLVALFFPGHGLLFWALGFGVLHIVYGILMYKK", "MNKEILRSSIFRHLDGIVTAPVAVSLNKKGIIDHILEKERIKLSDLTEQFKANEGYLNVALHTLGSQGFIIYNIDNSKNTVSVTANGNTLLLKKFSSLYSKIIPFLKKSTDIKNQILETSFIEEFSLLAAAMKSNFDLNISDNPEEKGIQEQILKHIEGCIIGPVIVYLGMTGMFHKYFMETSFQAAEFHKKAENFEVILDFLTHLGWFRKNGNNYKFTETGVYYAKRAASYGVTVSYLPMLNRLDELLFGDAHKIREIAEGDDEIHVDRAMNVWGSGGAHSNYFKVANDFIIQVFNQPIHLQPKGILDMGCGNGAFIQHIFETIERQTLRGKMLEEHPLFLVGADYNPAALKATRANLINNDIWAKVIWGDIGNPEKLAEDLRESYEIELSDLLNIRTFLDHNRVWKTPENKTPERVSTSTGAFAFRGERLSNNIVEESLKEHLKLWLPYIKKNGLLIIELHSLNPELTKNNMGKTAATAYETTHGFSDQYILEVDVFRKVCTETGLKISPEFSRKFPDSDLATVSINLLKA", "MNELVISEIKNNIAEITFGTPKSNSLPGAILEKLAQTILEEGVKKEVKAILIKSAGEKAFCAGASFDELLAIDELDTSKKFFGGFAKVLNAMRNCGKIVVVRVQGKTTGGGVGIACGADYCFATKDAALALTEINLGIGPFVIGPYVERKIGKSQFSAMAIDAEFRSAEWAEQHNIYHSVSENIAEMDAKLDDFLQKLSTRSDDALALIKKVSWEGTDYFNMLMPDRIHMSASLILEDSAKKNIEAIKERLRAK", "MTDIRTLPIEPDKFYHIYNRGVNGNVIFKTESNYHFFLKKISENLLTVCDIYAYTLLPNHFHLLVKIKSEERLKSLVKVPNLDKADLVEKKGLHSPQNIFSKQFSKVFNSYSQAFNKMYSRHGALIESPFKRKYIDSDDYLIRTILYIHQNPQNHAIVDDFKNYKYSSYLKFLDETETFLSRNETLDLFNGKENFVFCHKKESDLEDFD", "VKKTFADKVIDFNRNLKYSGKLPEGFSVLNPYLDNPETMEVMQKFYHKYYNDSDQRRFIIGINPSRHGAGVTGVPFTDTKRLKSECGIEMKSVHTHEVSSVFMYDMINAFGGVEKFYKEFYINSPFPLAIVRNTKNGWLNANYYDDKQLFEDVKSFMIASLKKHISLGLDTSEVFVLGKKNADFIHKLNKEEKLFDKITVLEHPRYIQQYKSKEKEIYIDKYIVALNS", "MEKLKNYICGQWTEGTGNGIPLYNAVTGEQVAISDTEGLNFEQALDYGRTIGYKSLSSMTFYDRGEMLKKVALYLLERKKKYYELSYKTGATHVDSWVDIEGGFGTFFTYSGLAKRMLPNTPFWVDGDTQKISANGTHLGTHILTPSEGVSVQINAYNFPVWGMLEKLSTSLLAGVPSIVKPATPGSYLTNVVFRDMIESGLLPEGAVQLVCGEPGNILDYVQDGDSVLFTGSANTGRKLKSLPSVAGNAVRFNMEADSLNCSILGLDAKPGTPEFDLFIKEVRNEMTTKAGQKCTAIRRIIVPEHLIGDVQSALSKALDQTKIGNPLNRETRMGSLVGKQQYDEVLRKVNLLKAETELVYDGKHELVDADYEHGAFMSPKLFLNNKPFEKNISHDVEAFGPVSTLMPYKDAEEAAALAKRGKGSLVGSIVSYDDRFVAETSWKMASQHGRIYVLNRDNAKESTGHGSPLPTLMHGGPGRAGGGEEMGGLSGLHFFLQKTAIQGSPDILTAITRIYQQGAEKKYSDKHPFRKYFEEVEVGDSLETAGRTVTEADIVNFSNVSWDHFYAHTDATSLNGTIFDKTVAHGYFILSAAAGLFVSGKKGPVIANYGLENCAFFKPVYAGDTITVYLTAKEKINRGVKGRNIPSGVVKWLVEVVNQREEVVCVATILTLVAKKSPFIDLNVRDIRKILNGLTESSLPQWGKMSPQEMLEHLETTVNHGMGKPEAEKYYTPDEHLEKYQDSLYNHRKMPKDFPAPFLPQDGSLPELKHKNLEAAKEAFLNAVQEFLIYYRENPQAEHIHFVFGKINKEMWELMHRKHFTHHFEQFGLI", "MKNLESFDYGYIYHVYSHANGKDLLFKEESNYHYFLEKLSTYIIPIADIYVYCLLPNHFHLLLRFKDKKGSSKDAHQSLMKPFSNMLNAYAKAYNKKYNRRGSLFLDFLKRKRVDSESYLLKLVHYIHNNPVNHGLTDLIENWNFSSYRSYLDLSKSSKLKRNDILQYFESTDDFVDFHKSIVEYDFLEM", "MNIYSYHGIRPIIKPSAYVHPQAVIIGNVEIGEEVYIGPNAVIRGDWGKIIIKDGANVQENCTLHVFPNIETILEESAHIGHGAIIHSGHIGKNCLVGMNAVVMDKAVIGDECIIGALAFVPANFKCEPRKLIVGSPAKIIRDVSDEMIHWKTEGTKLYQELAREGKDAIVPCEPFTEFTEQVPTKVVDYSIWADLK", "MNNVYIIDYIRTPVSKLQGGLSEVRADDLAAIVIKEIVERNPEVPVDEIEDVIFGCANQAGEDNRNVARMALLLAGLPYKIGGETVNRLCASGMSAVANAFRAIAAGEGEIYIAGGVEHMTRSPYVMSKPSAAYGRDSQMYDTTFGWRFVNPKMKEMYGVDGMGETAENLADLHHISREDQDQFALWSQQKATKAQESGRLAEEIVKVEIPQRKGDPVVFDKDEFIKPTTTIEVLAKLRPAFRKEGSVTAGNASGMNDGAAALILASEEAVKKYGLKPKAKIIGSAVAGVEPRIMGIGPVEATQKLLKRLNLSLEDIDIIELNEAFAAQALAVTRSLGLKDNDPRVNPNGGAIAIGHPLGVSGARIIGSAALELQKQNKKYALCTLCIGVGQGYAMVIEKV", "MKPEQIAEYMLNQDEFSKWMGIKLIAVKENYCLIEMPVKKEMLNGLKTVHGGVTFAFADSALAFSSNNSGDAAVALNCVINFTTAGKEGDIFRAESKLVNETRKTAVYDINITNQEQKLVAKFTGTVYKIGKKVTEL", "MNIGVIGSGTMGIGIAQVASANGCNVFLFDANSSQTEKALQNLKQTLTKLAEKQKISVEESEQIFSRVKFCTTLQELKDSDLVIEAIIENKEIKTKVFSELEDYVSDICIIGSNTSSISITSLSSELKRPERFIGIHFFNPAPLMPLVEIIPGLLTNEQLPQKVYDLMKSWKKVPVIAKDIPGFIVNRIARPYYGEALRIVEENIATPQQVDDAMTSLGNFRMGPFELMDLIGIDVNFAVTTTVYKDYFYDPKYKPSLLQQRMAEAKLLGRKTNRGFYDYREGAVKPVVHKDDTLYEKIFIRIISMLVNEAVEAKRLGIANDEDIELAMQKGVNYPKGLLNWGKEIGYKTISETLQNLYNEYQEERYRQSPLLDKI", "MEYTQIDIESKLEGKLKIAYLNQPESYNSLNKKMLSEIRHFMEECDKDEKVRCIAISGRGKAFCSGQNLKEALALGKDAEEERIIQRMVIDYYNPMVKSIVKNSKPVIALVNGPAVGAGAMLGLICDFTLATENSYFSQAFVNIGLIPDTAGTYYLPKLLGRQLASYLAFTGKKLSSAEAKQLGLIADVFKDEEFEAKAGEVLAQISNLPTKAIGLTKKAFNNSYTNTLSEQLDLEGIYQQDAAETEDFKEGVRAFLEKRQPDYKGK", "MNELLDILKTIPDPEIPVIDIVELGIVREARVLDENICEVTITPTYSACPAMFTIEEDITKVMKENGWDAKVVTKMFPIWTTDWLTDEAREKLRAYGITPPERGADEHHIGKPKKCPRCGSMHTKQISRFGSTLCKASYQCLDCLEPFDYFKCH", "MKVLLKIIVFFLIVYLVLCTAVYFYQEKIIFHPEKLPDNYSYNFGSNTEEITIITKDNKRLNSVLFKVPDSKGVIFYLHGNGGSIKGWSEVAKLYNSLNYDVYILDYRGYGKSQGSIESKDQLFADVESAYKEIKKRYPENKIIILGYSVGTGLASKLASVNNAELLILQAPYYSLRDEMKQKFSFLPGFLLKYNFETYQYLKTVKSPVIIFHGNADEVINYNASLRLKNNFKKGDSLIILNNQGHNGMTDNIDYQKAMEKILDFDKK", "MNPLYNYLLKLADDSFIMGQRLAEWCGKGPYLEEDIALTNIALDELGQANNFYQYASRLSDDGKSEDDIAFLRYEHEYVNAHWTELPNEDYAQTILKVYVFSVYQKLMYEALSTSVDEELSAIAQKSLKEVKYHYTHTSSWMRIFAQGTEESRERLINAIENIWEYTKGLFAHVEGEDDLIALNIAPNTDELYQQFIVITKNDFQDFGLEYPENPFMQLKSRTGYHTEYFGYILCELQYMQRAYPGCTW", "MANLDMWEVFIQTKPGLSHKHAGTVQAPTAEMALQNARDVYTRRKEGTCVWVVPSKYIVSSEGMDQEAFFDPADDKLYRHPTFYEIPNDVKNM", "MDLEKFVQYVHEENKVEPKDIMPDDYRKLLVRQISQHAHSEIVGMLPEANWISRAPSLRRKMALLAKVQDEAGHGLYLYAATETLGNGTIRSDRDATYDDMLSGKAKYSSIFNYPTLSWADIGAIGWLVDGAAIMNQVMLMGNSYGPYSRAMVRICKEESFHQRQGYEILMALCRGTKQQKEMAQAALNRFWWPALMMFGPNDEHSPNSKLSMNYRVKRESNDSLRQRFIDVTVPQAEFLGLTIPDKDLKWNEEKGHYDFGELPWDEFMEVLKGNGPCNKKRLQTKVKAQQENLWVKEAAIAYADRNVTIK", "MTNWKFAKALDENEEYKIDGLNIWSFYWNCVNKKVEVKGPYEGHVYYFKEYVIEDKGRKVNFVAGEFSNSKVGIYLKDDLSDGRL", "MNSFYKLKTVKVQKDTQDAVNVAVEIPEELKDKFRFRQGQYLNFRMMIDGNEERRSYSICNAPSEKSNVLEVLVKLLEGGKVSGYFNEHLHMDEMLDVMPPMGGFNTTYHPSNSKTYIGLAAGSGISPVLSNLKESLYQEPNSNAYLFYSNRSMAHVMKKAELDKLEKDFGGRLKVIYLVSREKHEDPVFEGRISAEKLEQLFERYSDIDVPEATYFICGPADMIKGISDYLKKEKKVPAIQVLYEYFTAPDEENSEEMSEEFKAIANLESMVTVIIDDDEYSFHLNSKKESILDKALKEQLPVPFACKGGVCCTCKAQVLEGEVFMEKNFALTEDEVEKGFVLTCQCHPTTNVLMLNYDV", "MYFSVEHLELDQLRKLQSERLKNLVAYLDERSEFYKRKFSESGLFPQDIMSIEDISKLPITYKQDLRDSYPFGLFTVPKSQLQRIHCSSGTTGKPTVVGYTKEDVELFSEVVARSLNAAGARRGMQLHNAYGYGIFTGGLGLHYGAEKLGMSVLPISGGMTVRQVDLIIDFKPEVICCSPSYALTIADELANRGIPAEDISLKYAVLGSEPWTEIIRGHIEKRLGLHATNIYGLSEIIGPGVSMEDWEEKGGSYIWEDHFYPEILDPVTKQPVPYGEEGVLVITTLTKKAMPLLRYWTNDITSLYYDTNAKRTMVKMKPVVGRADDMLIVRGVNVYPSQIEDAFSGIKGVIPNYYLTPIEKEQMCVALEVDVEIEDELVRAQQFEINSNDYTNFIHNFAESVEGEIKRRVGITTKVKIHAQDSLPKCEGGKINRILKRK", "MQLKKKQIEILEVAIELFKEKGYVGASMRDLAASLNIKAASLYAHIRSKDELLEWICFSIAGRFFEGLNEVKNADLPAKERLNLFIEKHLSIVLENPDVTHIYSNEWKHLEERLSEFVELRKQYQREVEQLISEIYQEENWELKSPAFTTKFILHTLNNSYFWFKRNIESTSEITDEIRDKILYGLLGNLKT", "MLDKIQTKLLLKYPLLWNTRLIPMLIFGIGINAIYFLIGYLTGTVDFTEIYHYSDDVTFFTFSIIISILALILWLVFYFRHNGFKAFYPKGNNSLFYEWTHIFIIVLSLSSFYFFFQWGKVTHQRSYYSYQDIVAKGNLITQMDYFIDAPFAEGELDSLQMGLQKDGTRIKENGYFYKDSVNILGKKYHKNALINREVQDRYYDKFTSINPEPNDAIMKKLLADRNEAELKKKFQNYFELVKSVKLKTNLTPERLLALNYHPESGYMEYQLINPMYPRDEEVAVRNATGEKSYSNFYVEQGLLRSNFETLKEAHNRPIIETEFAIFIFYFSLMLSFLIFAFRTTSGRSWLIALVALGILNIVFGLFNAITGGETYMIMMLLTFGVIVAYLCSVFVNSKVKAHSGIFVCMFLFTFIWFVPVFCLLADQKDWFYINKTEMFGYNFILVVISFFFLSKYIKRWRALPEQ", "MMKTQNLVFEEIRELILFKDLDRAVKRIIDITLDTEELRFYNETNSFLDWLDSKPSEEIIIEKLTALLHKLHEELSGKPLTEPQTVISVKGLTKKYGAGFTLGPIDLEVKSGEIIGLVGENGNGKTTLLRSLCGDLKPTSGSIKYNFPCKDDYDLRTKLVYIPQRTDTWRGSMYENLVFTAANYGYKPEENKAVVDLIITRLGLRPFRKHSWNSLSSGYKMRFELARMLLRKPKILLIDEPLANLDILAQQTILDDFRNIAGSPYRPIAIVLSSQQLYEVEKTSQQVVFLKKGSQRNLKSQDAEEVQFIVEFETDDTLSNLKEKLSSISLVSLEQNGGTFVAHFPMHTTVDIFLETVLKEKIQLVYFRNITHSTRRFFIK", "MNLNQYTVKSQEAIQAAQQTALEFGNQSIEPQHLLEGIFQVDENISPFLLKKSEADAALVRERNRESIESLPKVQGGNIYLSQSANKVLLDAPNIAKKMGDEFVTIEHLWLALIETNSPVSQMLKDMGVTRKLLEGAINELRKGSKATSASSEETYQSLNKYAKNFNELAAEGKLDPVIGRDEEIRRVLQILSRRTKNNPILIGEPGVGKTAIAEGIAHRIISGDVPENLMDKTLYSLDMGALIAGAKYKGEFEERLKSVVNEVIKSDGQIILFIDEIHTLVGAGGGEGAMDAANILKPALARGELRAIGATTLSEYQKYFEKDKALERRFQKVMVEEPDTESAISILRGIKDKYEAHHKVRIKDEAIIAAVEMSQRYISDRFLPDKAIDLIDEASAKLRMEINSKPEELDVLDRKIMQMEIELAAISREGNQIKIDHLKEDLARINEERNTINAKWLKEKQKSEDLTQIKKDIESLKLEAERASRAGDYAKVAEIQYGKLREKEEELSKVELEMQNHQNELIKEEVTAENISEVIAKWTGIPVTKLIQSEREKLLHLEDELHKRVVGQEEAIQAVADAIRRNRAGLSDEKKPIGSFLFLGTTGVGKTELAKALAEFLFDDENNMTRIDMSEYQERHSVSRLVGAPPGYVGYDEGGQLTEAVRRRPYSVVLLDEIEKAHPDVFNTLLQVLDDGRLTDNKGRVVNFKNSIIIMTSNLGSHLIQENFENLTDENHEEVIEKTKTEVFDLLKQSLRPEFLNRIDEVVLFQPLSKKEIGRIVQYQLRGFNEMLAKRNIIMTATQDAVDYLMNKGYDPVFGARPLKRVIQQEVLNKLSREILAGNVNDGDRITLDYFEESGLVFRPTDQ", "MKKLKRNSLKAISGGKLDCLCRRVIYPDGSTSGNCATEFCTQISFSCGQRDCWPTPIEIE", "MKKLVRNSLKTILGGKLDCRCVRIIYPDGSTSGNCATETCTQISFSCAQSECWPTPIE", "MKDKLLNPLKRGVLKEIIGGKSGLIPGYPVCMLIADDDGNILDDKVCPARTCCNSNNECVPLRLNQC", "MKNIFLGLALGLSLSAFGQELKVMSFNIRMSTDSDKDNSWKNRKEEALHLMDYYHPAILGVQEALPEQMKDIKNGLAGYDYIGVGRDDGKDKGEFSAIFYDTKKLKKLQGNTFWLSETPDKPSKGWDAALNRICTYALFQDLKTQKKFWAFNLHFDHIGNEARKQSSRLILKKMKELNKENYPVVLSGDFNLTEETEPLKIIAGEMKDSFYNSEKKQYGPKGTFQDFNINVPAKDRIDYIFVKGFRVLSQRHINDRRENLLYPSDHFPVLAELKFEK", "MKINIVKIFTISAYFLAVSNLSAQQASNYDYKEAFKEPFYTYNGNEFRSASGQPGTKYWQNHANYVLNITLDDTQNLFTGSAEVQYTNNSPDELKFIWFQLDQNLFKKESRGSAMIPLSNSRYGSSNSDFEGGYTIKSVKVDGKEVKYTITDTRMQVDLPKELKSNGGSVKVKIDYAFVSPEDGADRMGYLKTKNGKIYTMAQWYPRVEVYDDIKGWNTVPYLGPSEFYLEYGDFDVTITAPNNFYVVASGELQNPKDVYSTQQLKKWDEAKNSEKAVVIRSASEVGENTAKSGNKTWKFKMKQSRDFAFAASPAFILDAARINLPSGKKSLAISAYPVESDGQGAWSRSTEYTKASIEHYSKQWFEYPYPNAVNVAGITNGMEYPGIVFCKYTSKGAGLWGVTDHEFGHTWFPMIVGSNERKHAWMDEGFNTFINGISTEAFNNGEYYRKGSARGMTGYLFSDKLEPVDTPPDAMRESSIGALAYYKPGTALKILRNNVIGKERFDKAFREYINRWAYKHPVPDDFFRTMENVAGEDLGWFWRSWIQNNWKLDQAISGVKYVDNNYTKGAVITIQNLEKMPMPVDLRIQFKDGSHQDMSLPVEIWRRNTEWTFKVPTTKEIQTVIIDPDGNFPDINPSNNVWKG", "MKFLLTALVFVLNICQLCLAQDFKSVNNLAQRQFPWLAGKLVLKSVPKEKDADVFTLETRNNQLYISASSASAASRGLDWYVKHYAHQSISHFGNNTRNINKLPVVSPLLKKTSLVPYRYALNYCTINYSFSFYTWEDWEKELDWMALNGVNIMLAPVGTELVWYNTLLKLGYTDTEAKAFIPGPAFTAWWLMGNLEGWGGPVSMDMMKQQAELQKKILKRMKELGIEPILQGFYGMVPHDLKNKISEAKVIEQGKWAGGFQRPGILDPTTKLFSKIADTYYTEMKNLYGEDIHYFGGEPFHEGGKTNGLDLKNVAESIQTSMQKSYPNSTWVLQGWQQNPSDGLLAGLKKENTLIIELFGENTANWEKRNGYGGTSFIWSNVSNFGEKNGLYGKLQRFIDEVFRAKESIYGANLKGIGIIPEGIFNNPVAYDLMLDIAWYSEKPVLDQWLTEYTKYRYGKENQDVIQAWKEFAQTIYSSPDVYQEGPSESIYCARPSLNVNPVSSWGTRKRNYDQSRFKEAVKVFVKADTDFKDSETYQTDKTDFLRQVWANKGDVVYDELIKAIHEKKTTEIQKSGHQFLEMISTQNMLLGNNRYFTLNRWLKEAERFGKKLPDAQNVMFNAKSQLTYWGPDNNPKTDLRDYAHKEWNGLLSSLYYNRWKVFIEQAQSGIITAPEVFYNMEVEWSKGKNMYTPDKINASQMKLLQGKILK", "MKKLFLGMTALVMLASCKNDPETEMPANSQNQTTLNASTDATARLCPSEEIRQNLLQTDASALRRYNSIEAMSANFEKQASTSRQLGGMAVLPDGTVEIPVVFNVIYKTTADNLSDAILQEQIDILNKDYSATNSDITKIPSEFLSVAAGDVKVKFKLAAVNRKQSSKNSWSYTNDAMKKASTGGIDATNPSKNLNFWIVNTMPSPQGEILGYATFPESAGLWNDGVVLGRRYVGKTGASAPFNLGRTATHEIGHYLNLRHIWGDGSGCATDYCDDTPVQPSASTGDPVYPKYGTCGGVKRSLMFMNYMDYSNDASLYMFSANQKARMQATTASNGPRAGLRS", "MSTYTQSMLKDDALKDKVIIVTGGGSGLGKAMTKYFLQLGAKVVITSRNLEKLQNTAKELEEETGGTVFCVSCDVRNWDEVEAMKEATLKEFGKIDVLLNNAAGNFISPTERLTHSAFDSVLDIVLKGTKNCTLSVGKHWIDSKTPGTVLNIVTTYSWTGSAYVVPSACAKAGVLAMTRSLAVEWAKYGIRFNAIAPGPFPTKGAWERLLPGDLVEKFDMTKKVPLRRVGDHQELANLAAYLVSDFSAYINGEVVTIDGGEWLQGAGEFNMLEAIPQEMWDQLEAMIKAKKSG", "VRKTKFTFLLSFLTYLCISAQQLQKLTPYVRPLIGTEKMGHTFPGATVPFGAVQLSPETDTISYELNGKYNGDVYKYCAGYRYEDKTITGFSHTHFSGTGHSDLGDFLIMPTTGQLKLNPGTASNPESGYRSRFSHNDEISEAGYYKVKLQDYNILAELTATTRVGVHRYTFPKSDQAHIILDLMAGIYNYNDKNVWTYVRVNDDKTITGYRQTNGWARTRTVYFAMKFSKPFKSYGQKNYDGKRVYNGFWRKFDQNKNFPEIAGKQIRMYFDFDTQENEAIEVQLAISPVSQANAMENLEKETGSLSFQQVKAKAQEDWNKELNKIVIKGTEDQKVNFYTAMYHTFINPTIYMDANGEYKGLDQNIHKAEGFTNYTTFSLWDTYRALHPYFNIIQPKRNADMVKSMMAHYEQSSLKMLPIWSHYANENWCMSGYHSVSVIADAIIKGNYTGDAKAALMACVATANKRNYEGIGDYIDKGYIPAEKNGTSVSNTLEYAYDDWAIAQLAKHLGETEIYNKFIKRSENWRNNFDKTTGFMRPRMADGSFKKDFDLLSTHGQGFIEGNSWNYSFFVPHNPEGLIQQMGGKQKFASKLDKLFSMHLPDEFFADTEDITREGIIGGYVHGNEPAHHVAYFYNWSGQPWKTQKQVRHILEMQYKSTPDGLGGNDDTGQMSAWYILSSLGFYPVAPGSEDYAIGSPAVNNAVLTLENGKNFEIEAVNQSPENVYVQKILLNGKEIKNFTLKHSDIMNGGKIVFYMNNKPKK", "MKVLIKNAKIVNENQIIESDLLIENDIISKISANIPETEADHTIDAEGKYLLPGIIDDQVHFREPGLTHKGNIESESRAAVAGGVTSFIDQPNTVPNAVTQELLEDKYKIAAEKAYANYGFMMGGTNDNLEELLKTNPRNVPGIKLFLGSSTGNMLVDNPETLENIFSNTQMLIAVHCEDEATIKANTQKYVDEYGDDIPMKFHHLIRSEEACYKSSSKAIELAKKTGARLHIFHLSTAKETELFRNDIPLKDKKITAEVCVHHLTFTNDDYETKGSLIKWNPAVKTQKDKDGLWEALLDDRIDVIATDHAPHTFEEKQNVYTKCPSGGPLVQHSLVVMLENYHNGKISLEKIVEKMCHNPAILFKVEKRGYVKEGYKADLVLVDLDESWTVAKDNLLYQCGWSPLEGANFHSKVTHTFVNGQLAFENGKVTEGKFGERLLFEA", "LYKKLLGQTAIYGFGTIIIRLFPFIISPFLTRAFGPQALAPFVDFYSVAGIIVVLLSHGMETTFFRFAEKEDDTQKLITTSTFSVAGASLLFMLLCYIFRYPIADAFKTPDQVNYLTMMLFILGIDGLSTMPFVILRKTGRPKKFAVIKILNGVINFVLLILFIVVLPKLGDKGLFGFTYNKEFGIGYVFVANLIASIATFLMLFRELKEVKFSKFSFPLWKKMMAYSWPITIAGLAGVVNETLDRQFLKYLLPEGESTQQMSIYGTVCRLVTFMTLFRQAYLMGIEPFFFSHAKKDNSGQAYSKLMTFFVVANCLMLLGLCANLEWIAHEYIRNKEYYSGIPIVPIVLVASVFLGIYLNLSIWYKLTDKTIFGAYISIIGAAVTIGINYFFIPEYGYWASAWATFASYFVMMVISFFLGQYYYLIPYNMKKLLVYLVLSILFSYLSYYTFSGNLILGNGLFLIFLGLVLFLEKDTIKNFRKS", "MKIKVINKSKHDLPKYQTAQSAGMDLYANIEAPVTLQSLERRIIPTGLFIELPVGYEAQVRPRSGLAFKNGVTCLNSPGTIDADYRGEVGVILANLSKDEFTINDGDRIAQLVIAKHETAEWIPVESLEETERGAGGFGSSGVAKN", "MKNPILYILVAASLASCASRNIAKETAPKNTHESIKDNASFFSHIQQPSAFDAVKISSKINIENGKFIPQLNAVFYIENNKKVWANITALLGLTGARGVATPEGIKGYEKINRTYIDSDFTYLNNLLGVNFINYQALQNLLTGRTFVPVNDKDFTLTQNAQGYNLKSVKPQEVTVDGKVSSYNVSIDYDSGFRLNKVQINDAKSDNQLEINYSDWVSANNENFPKNVKIIIKNKKTDQILIENTTFDFSRMDTPYSVPSNYTKKEIK", "MTQKKLYFLLFSIISLGAFGQQKEKLQQQNADLKKQIATLNADLAKSQKESRLSVAYLQNLNQKIGLREKLYTNTQKEKRFIEDDIYRRQLEINKYNRELAVLRKNYADILVKAYKNKGVQNKVTFILSSKNLGEALRRIQYLKQYSDFQDKKAAEITDKTNQVKSTVALREKSKKDKEILMLNQQKELKTINVEREQKEVLLAEFKQNEAKIAAEIRQKQVESKKLEGEIRRIINEEIRIAKAKAEAERKAEEERRRLARIAAEKEKARIEAENRAKAEALERERKAAEAEARRAAELAAKKAADEKRRADEAAKAEANEKATAKKLAAEKESREAAARAKAAEDRAAAARDAEARLAKSNNDAKKAAEDKVMKDYGATTTSGSNFADNRGRMPFPVRGQITHRFGRQPHPVFKNIQEENSGIKISVPAGSVAKSVFPGVVSKILYVGGSKTVMVRHGSYFTIYSNLSSVSVSQNQQVSTGTPIGQVGLDLDGTYTLEFQIWNGNSPVDPLGWISN", "MNNLAIILQLSITHVLIVLVILLLLFGGKKIPELMRGMGSGIKEFKDAVKEEDKKPENQDNKQA", "MSLTKTAWDIFNQSIIQYHIQDHVDAPEQNPFSEGSLERLLYSKNWIDTVQWHLEDIIRDENISPDEALLIKRRIDASNQKRTDLVEYIDSYFFEKYKDVPAKADAKLNTETPAWAVDRLSILALKIYHMEQEANRETASVEHREKCAAKLAVLKEQHIDLSSAIEQLLTDISSGSVKMKTYKQMKMYNDESLNPILYQKKQQNG", "MAKKNIGLFILIGLLSVSCSVETLNYVSRSNKDQMPSSLVSNFDNHYVESKAASTEISIEKVKYVSDLSNLITVFPKFKNSAVNAEVKKLKAAVQSYIYGTTEGNSKQKRLAYRDYATSYKTLQTLKKYMNRDDIELIDRYLTRIKANINSLEYLK", "MIKIQAESNVPTEYGQFRMIAFAENENDWMPHMAIVANNTDLSQTVNVRFHSECITGEVFHSRKCECGQQLDAAMRYTHEHGGVIIYLRQEGRNIGIINKLKAYALQEKGLDTVQANLQLGLPADDRDFSVAIEILDLLGIKSINLITNNPLKIKAVEESDIKLVDRIPLQMEATQESKDYLNVKKTYFGHYLDEQK", "MNKFFFYTFLTISVFLSPEYSAQYQPKNINKQELEKARQWVDKTYNSLSQDEKLGQLFITALYTNKDQNHINFVRQLVNKEKIGGIILMQDNAAQEIELVNEFQESSRVPLLIGMDAEWGLYQRIAAAHKFPWAITLGAIQDDKLVYEMASKIASDAKRMGVNWDFAPVVDVNTNPSNPIIGNRSFGSDVQNVIRKGLAYSNGLQDNGVLAAIKHFPGHGDTDKDSHMDLPVVKHNIDRLNNTELAPFKALMDKNVGGVMVAHLYVPALETKSGIPASVSYSIITDLLKKKFGYKGLIITDALNMGAVASRYKAGELDKKAFAAGNDIMLFSQGVSEGKKLIQQAIDSGEIPQSRIEESVKKILLTKYYLGLPNFKKISTNNINSDLNNESHAQLSEKLYANALTLLKNDQQLLPLQKNETVYYVPLEEAPYKTFASELGNNINLIVKKANEISSIPSGAKVIVGFHKDNSTAYKPYKISAASKAVLSKLSGNTKVILDVFGSPYALIDIDIQNIPAVLVSYENNEYSQKAAAKAFTGQTKINGRLPVLINNQLKYGDGQDL", "MKIGILCYPTYGGSGIVATELGMLLADKGYEVHFMSSSMPARLDMTKPNIYFHKVNVEIYPLFKYQPYDIALSSTIYHVVKIYKLDLIHAHYAIPYAYAAYMAKQMLKDDGIETPLITTLHGTDITLVGQHPSYKKAVEFSINKSDVVTSVSESLMKDTYINFDIKKEIQVIPNFIDNEIFTTFNNCCRSQFAEDNERIMIHVSNLREVKRIQDVLETFKRVQEEIPTRLIIIGEGPEMERINTFLENNPELISKIRLMGKVNDLYQILAYADVFMLPSQQESFGLAALEAMAAGTPVISSNAGGIPEVNKHGVTGFIADVGDVDAMVEYTKKLFSDESLLAKMKINAKENALKFDIANILPLYEELYKEALIKVT", "MKHILSVLCLLAVSFWLQLYNAQTPDAYVEVLGVAQDGGFPHMGCNKEGCNLAWEHPELRRNVSSLALVDPVQKKWWLFDATPDIRQQLHDFSQRHNREYPYLPEGVFITHAHIGHYTGLMEFGKEVMNTKQVKVYVLPKLKNFLENNGPWSQLVGLKNIEIIPLTAEQEVSIGNSLTVKAFTVPHRDEYSETAGFRMLTPKKKFLFIPDIDKWSKWNKNITEEVKNVDYAFLDATFYDQNEMGNRPVSEVPHPLVTETEDLFQKESAAVKKKIYFIHFNHSNRILWDKAIQGKVRDKGFNIAEEGKQY", "MNEKNLQHIWYYKKFSNTNFHTSEGESIEILDFGEWNMNAGPDFLFAKIKIGTTILAGNIEIHVKSSDWYFHQHSGDLAYNNVILHVVYTDDMDIGELRDKNVPTLILKNYIDESSLDSYSEKSFIPCEHLFTPSKIPAKHEEQVLLQKLEAKTIIYKRILERNKNNFEALLFQQLAYVFGLKVNAEIFHQMAYAIDYGTINKIRQNKVQLEALFYGMCGWLEEPVDSTMELWNREFRFLQSKFTLLDVRFSPKFSRLRPANFPTIRLSQLANLYAEQQNLFSIMIQNPDYQNIRTLLSALSASDYWTDHFSFGKMAQISFVKKLSPEFINLLFINCILPLQYFFQQLNSESKVGHIIDSYRNIPPEKNHIIKHWENLGIEFQNSLQTQAFLYQYKTFCKAKKCLNCAVGFQILKNAE", "MLSNIRHKMERQWFGVLTRMGAKLGIPVSKLRVFFIYSTFATAGVFFLIYLGLAFTLWIKDMFITRRPSVFDL", "MKKVFILGAVIIASVSFAKSTLPTKVNVLKENLKEVKVKPETKAKVEVKTYVIDVPNKCGTISRVLFNADAEAFVGQVAITPFVAAVVDAMQFGYENC", "MKKNILILILYFICNSLFSQSIKAVIEVNYETKITKDSLNKLSTDVFNYTLLANKNSSYYFNRDEKEYFDILSGKALLNPSNSIKTNMGTYPKPPITKGSVYNDGTTIYTSMPLSKYYFVYPQPHLKWEILDKTKKISTYSCKLAKTTTENGDVFYAWFTDDIPISDGPFRFKGLTGMILEVYNAHKTIDISMISIKMSNEEIQPISYLKTVKLKDKKEYITARNNYIEDPAIYNGNMKLLDSQGNDKTYTIKEKLKRINVFLD", "MKDKIFGILIIIVGMFMIYSALSKRRIEREDHQNDSYSNGQNIRAIIFGFFIIFLGIFKLIF", "MIIMQWGKLLGAQALSIGGAKGAAILGRGFEGIGKQIIKQNTEVKVILIDRSIDWANSRK", "MARKIPCRFYYKGVYLKDFTQSRGYTSHEHFEDIGIIHMNGRLYDPLLRSFLNADENIQDSNNTQNYNKYGYVMNNPLLYNDPSGEFFIVDDIIISAIIIGAIIGAGTYIIQAAITGNWDWGGFIKSIFFGAISGAVTAGIGNIFTTTVQGVQVATKFAETALGVISQAAAHGVAQGALSLMQGGKFEQALISGMLGSLGAKLWISEAGKFASSTFGMVTFGAIAGGIGSELTGGNFWQGVVIGGMVAGLNDALHKIDGPGDGNKGKKNKGKITLSSSKSKYSRLAQGNSTLNPKDEFKYRGAMTLNTVGGVLSDVGDGLVITGYGVSLTGVGAPLGAGLSTVGGSVALVGNGLQFTYAYMHDDYYAMGKIIRSTGFKHRRC", "MKVLYYLFYKINVFFKSISNDGWSEWKSLVVIGSAQVFVLIELIIWWTIITKSKVDIPKYYFIVFGLLITSMNYYIFKHNSNKYNDLFKSYSKRKNIIGGWFVFVLLLGIFGSLIYSFYRLSLVFN", "MKTLIIFFIVLVGIFCKSQEINYMKIDIMIKSLSEEISLLDNNFFEISNTSDSNYLINRLGFRNIKSTVFENGEEYAPYTFINSHPTQWGINECKNYILLIPKHSNVKTNLLLDIVPNSVYKFNDQNKYSIFYESEHTARAPYRYGCKQYVDSLVAKGYKIYEGVIKDEKPLITEYSK", "MEIKYLKKMKDTPKIGQWVNRGISEQEIEKLEQEFNISFPQAYKEFLYLGGDFQNCVEWDTNYVHIDWTQDNVKDSMANVNLQLKPFFAFGEYGCDQCLFFFLNDGENPPIYVYAEDKVHQNEKGEFVYYVKQDNFFSEYIDRCIDEALKK", "MSTIEKLQVGRISALGLKNTGIKSSTYKDFLNKKGEYYSVKQKFPNLNVEDISYQEVTTDGNKESLCSEERLKVIQTVFYSDINTIFLNAGTEIIKNIGNNAFWFLEGEDSNLILFHFFSNQQDKEVKRVVCNLGSDIFDCIKLNTRVFATGGYVIESNGKKIINPELDKFKERIITWVLKQYNSPEFSHHRVSASQISKAMDLEFYAEATYQKAVDWIFDKIGVTTTFKYLVGILKDNAKFIGSYKFQEYNYLPYLSNFDPFINDSFLKAFGINPTVLIERYSVSKEQLEYYNIKEKEVNLVYAFNAEFCGFWNALIDSIEGLYLTFPGIYEIFTDRANLKKFIRMIINIFENFTELKDIIVRYDHENSQGSIYKFCYQQAYEITMLLSLLLPLPKAPQGLKSSSVFEFFSNALAKISAKSELLLLAYKLGLRVERTADEWVLISDKVVLFRGTKEKVLKRIEHIAEVAEKNPKFVMRLLSPRRLEALIDVKKGEGAFLKELSKESKLIVQKRLKGANIATAKFRVSYKGRVKTIELKAYSNSNVSQLNQFEFCKAPNLRSGEKITDFIDYTVADSRGKNRFKDTESKIFREFEDVHLKEIMNQFEAKSANDLRIDVELQTILDPCPICQKQMRTFEAKYNTKIDIFSSGANDGEKLNELYPKLKIREPKK", "MNTNSSNATQTLFRFASLRNPQLAEAKNNDNFIIRSKESKGYFDSLIQEWYAPPVKDTSKINYLIEKMNENSEIISIKKTEKALIQVLGYFYEAGKILAQKGIWDKLSDLEPLMLDIQAKVNISGSVESQLLDPSSERVKALWDSLIYQMLTQKDFYVKEIIIQSLQAINCFIKYQKLTTEPEKEKLELLRKTATAKVVIPDYLFIDSAKPDDENNIFTVNESRIAESYIYTNKSRQKDVVSPASQINYTAKNTRMELAIEAYKKTKLEQLQKELEKEQKNYKREYNKAYNIAFEKYQQEISILPQDSKSTISADQTEEEISETSSDIQVPKVPEFIFEHNENMNVISQKLSVSSRNTLIQLLEQSGYSGTTEVSSSREMQKSMVSVSSDESGFSFNEIFEKIDENLSEIQDNIKNLSNIQREQYVKMGNALIPVNQTTEEAYAFSFMGKAASYSAGVQNWSFYLTLNLPDDSWNITSINYRIQTNEGSIQDGSYYGATRNKGQIFLADFFYNYFTAAETDYPINFEFDIIFSNGESAKVIIDQRIVSDLLYAGVFKLEESESGLENNVFIPNGFGIKRLGIADYMKVEQTLHAYVPGEVSNIENVMASELRHKSSSKLLRTEDTVTTATSTEVEHISDTTTTSRNEMQTEVSKILQQDKNIESHTSLSGGVGTKFEIGGSFANRTSKEDATRQAVAKSQEITTRAMDRVVSKVSEERVSKIIQEFTENNVHEFDNRNGSKHITGVYRWVDKKMKNQIFNYGKRMMLEFMIPQPAKLHDLATDSIIKNSPVYEKPIDPRKDAFVPMKGAGSASEQTIRYWADAFGVEVRDLPRKKLVHHVPNVFYEIKEDQRQRNQTLLLPDHYSAKSVTVYWGFEDGRMRVSDFMGGMIDLFNNGDGNYTVNNLDIVNNFEFKFQGIGVDSFNVSFDFSCELSDEYMLSWKQEHFTKIIDAYKKALQEWEDKVAALKEEEKEEKEKSDNYPFYRQIEEVVLKHNCIAYLLQSYSKLGTKLYDVTAGEMKSFKMRLGKNLDEYTALAKFMEQAFEWEIMSYNFYPYYWGNKDDWKDMYLSESMDSLFRSFLQAGMGRVIVTIKPGFEDAVNFYLATGKIWNGGEVPVIGDPLYVSIVDELKEPQGEKYGKAWITRVPTTLTILQAKTVGLEVEDALPRTIEIPEEFEVPADVVTESDFQLKDNLKLEGSDKPSTLK", "MTSKTELKSYFENGDIPNQEQFWAWMDSYWHKEEAIEPNAVQYTNTQPTIYKVGGVPTGTTFENMPIKQVLDYIFYGKVDLSSTEIKFKIRTTQANERVPVAMLRTAAEVAQAKVNYGDGKEEMVVVPTYNGSESWTDGDGNTHYIDTGNTFYHVYETAGDYEITINAEANVSYARFCEGLNKTTQGYFEPMLNNYIVELSKFKSDSLTSLDYTFAGLSQANVTADFKLETPKVETMDVAFYGFGEGREFEAFPADMLSQITKPTGLRGTFFRAGLKKLLPGFLDSFFNLETVFECFKNSKLGKGFYQGVYPWDYRDKHSIDSAYDFIPVSLFWKNPKLKDVSHCFNYIGEGWFGNLTSDYNAYNIVRRELFWNGKSLGNTKGTIENAFYMFAKNNRILCEANILKYAPEMKHIGGMFTQTNLVSHAISWGGMIPIAKNETTSIRSYDGGQPVEVEGNGLTYDLNVMFPEASYPNILTLNGAFTVAATASTYGFNHQIDYNGSANPVIIDQSFNGAAFLAKFPNAKAGSVDAYAKMMLGQSGGSEADKADGRNGVFFMLDQDNRITDKATIPALVFNNAIPY", "MKPEPLTTKEELSQNISQILSEQEKAELDFEFYLNELEEFFMNDFKETEIPEDDHPIY", "LKFILPAFIILMLTIRPVLPLIDYAVNYDYISEKLCENKNKPQLLCNGKCYLVKELAKTSENAPKQNNLKISSGFTDVFLTDQIFTFDFPTKYFTEKIKQSSSYSSFYHFLACSDIFHPPLI", "VLDYVVNYNYISEELCVNKNNPVLHCNGKCYLEKELAKNAQDDAQSSKTKNQGQKITDLCLPVEISEIQTGYHILIIRDKNIYKENYHYLFLKHIFRPPVF", "MKIYKLLSLLFIGITLFTLSSCRNSDSTETEDTTPGNLQIKFENGFNNLGDIVLGQTAQTSSGGQKHNFTTLKYIVSNIVLIDEGGKEFKYNYNNPDKGAFIVDQAEAKAGIVYIDLADIPRNNYKKIKFGLGISQSAYLLGQDGQGIFWQKAKAAGMAWSWAAGYIFTKLEGNYGSATADTKFMNHCGNMGNTSANNTADLYREITLNLPMTARVTKNIKPSIHILADLNQYLSGQASLSLNKDNEMAMGSNPHLVNVTNNLTKMFRVDHVHND", "MSITINLLQQFGRGSFPSLRILLFLVLWTFMSCKNEYEGFSVNKDEAYQLNVPEGFPKMTFDVLGNPITVNGVALGKKLFYEGKLSRNNTISCGFCHIQEYAFTHHGHTVSHGIDDRLGIRNAPPIQNMVFLRNYTWDGVSHNLDERSLVPITTDFEMDSSMPEVVGKLSADANYKKMFRAAFGDDNITGERVLKALSQFMATMISADSKYDQYRKGQVSFTQEESKGFSLFQQKCASCHSGELFTDESYRNTGMYYNAQFDDKGRYRVTLNIADYMKFRVPSLRNIEYTAPYMHDGRFYSLEAVLNFYSDNVEDQPNLDPLLKQNGHIGIPMTNDEKQYIIAFLKTLSDKNFITNPKFSE", "MNKIILIFIGLVGITNVYAESGKDSIAMVTNPRYEHFVLMDDDCDACGCAAGNGSSGFESLLNPQFVGLKYFSQHYLAKENLFTDKLTQDQYFNTIQLWGKIPVTQKLSIYGSLPYHFHNKKTMQGDIRISGIGDANVMGIYQILMSKDSYHQLNGGIGIKIPLGKFDDKGITGVNPSFQLGTGSWDYQMALSYKYQKSLFALLINTDYTIKTENKKHYQFGNQWNYAVTGFYRLWRNENSILSGKLGLLGEVYDRNKQFGENMPNTAGSALYGKLGFEVSYQKWSLGSELMLPAYTRLAGRDIEAKSRFSIFVNFGL", "MQRSEILNFPAMVQAISNAILIWCFIKEKTQVPNPSLNIKR", "LKKILSIIVLAILSNTAYAQEYKNQIKGNALFAPIGMINIGYEHAFSQHWTGQADLFISPWKSFAGRHLQIYMGHLETRYYFSKAMEKWYIGANMGMAVYDMQKWNYWNTSLYQKGFNVMLGASVGYQLKINDHWNLDLYLGGGNSQGFYHGWDSSKSPRERYDDADNWNKSGEWIPYRGGIMVAYKF", "MASVSFYVRGTNNDKESTVWTRFRDKELDIKLPIPYLRCKPKDWKDGKCKSSSLKMADSDLDTINVRLTKLEANILSKYKDDSPEIDVKGWLELVIDPQKAKVQSDNYPEDVISFIDVYISLKKDSVAESTIKKAKVVKNLLSRYIADRRIKNKTFKILKFKDLDTSFRSDFEKYCKNEQYKISTTYRNLKFLKMICKVAGENNIEVHKHVEGWKFEIEKATRNVPRSIYLTFEELDKIEREVMPHDYLENARDWLLIACYTGQRVSDYLRFTSSMIVEDSDGQKYIEFTQKKTNTKMQIPLLKKVQEVLDKRGGEFPRQISDVKLNVYIKEVCKIAEINDIIYNGKVSAIEKEDKTRVTRKVFAEYPKHELVSSHIGRKSFASNFYEKIPTAYLMNFTGHKTEKQLLNYINKTEVEKMKSTAKVFTSLGY", "MNTLQFVQVPKEELMKEIESLFLKLIENFKMTDPPKEEKALYTREEVSKLLQVSYPTLHNWNRDNILKSKKIGKRVYYSKDDVLARLKA", "MLDNIRLQFKDKSLVEKWFRENEEKFPPYQMKRIFTTEGEFNTYPIVADIENLKLRICDKIAYLEGSIHKLYNYLSYNDLEEREMGDYDHLKDNNYNDFYYVQCIQAMESLKEFFDGLDLNDASISELEFGFNLLTEREPSEYKDMNFLLYEYKAPQNNYSKAGNYFNKFEHSKYAFKVYSKKDQKRLRTNIMRVEIVLKSNHLKDIGIEKYDDLMMVENIESLYNFFCEKFENFILIDDRQEKPGISRNVLNEMGNYLEPSYWRGRKNRANTNRIKQHFQKLLRDYDMLTTKEYFKGLINNKFNQLCHGVINEDG", "VNKIDIFDLYYLVNNATKEEIKLNNYVIDFMDSPQFDIDLSKVSLYFNECVFIGVRVDFHTLKKEEKRDVFLTFEDCIINCDLFIKEAKIRNLKFNNCQINSKHFYISNCDIEDLCIINRIGGTETINNLLISESRITSFLDIRLTHFLDTINLLENEIERLQINKNTFQRLNFRDCVFGENFSFKNNNFLNNALIEQSTFGNFNCQNTNFGNNVQLNECEFNGNCSFERIDGNRTSVKLNKCNFNRYVYFDDANVYSIITDSSFFKEIASFQNASCHIIKFNRTHFDKMAFFTNVKIESKQELDINTIRIIKAQLLKAENKIDYLEFKKYEFELFRKSLGKKKNGSKFILWLNKITSDYNTDWVRGFISTSVYGFIFCIALYVAVFGLREWSVVLGENRFWLGYFKYMIIPNFNSPFKEAELEAWWQYLIFILGKIFVTYGLYETIQSFRKYGKT", "MSSKQAEKDYSNPLEERFDNFKNIIQDCNISFLIGSGLSVPFMETLGGIETWLTDLDNNKDLNEELRQYIKASLYKSYFEVAMKGNIDIEKFNCDDEGQRDTIPRYSQLQNTYSAYKDFFKTINQILYERKSNTVNKQVNIFTTNIDIFCEKVIEDLDLHFNDGFNGIFKKQFHLSNFKKSFYQKSLHYDNMAEIPVFNLLKIHGSITWKLSGEIIEFAGLDLLKNVISKITSTELLDVLKMSKEKEENGDTLTITHIIEEVTNNPPTSSIDEFINAYEQLQVINPTKDKFRDTTFNKTYYEMLRLYANELEKENSVLFIMGFSMADEHIRDITFRAIKSNPTLKVFICSYSIGASGIIENLKQDRIDLSNHHNVELLNPIDKFGLQKVNELLFIPLLENIQLKKTNLKN", "MRRALQKDSIFKVGRVISVEGRIIKIEVDKTKNSSHLLYNGELLRNISVNGYIKITKGFTKIIGKVEGEFIVEDKQVSEKNYKNEKFKIKRILSVSLLGFFSKKGFERGIKELPLINNECYLLEKEEFNDVHDFVKKGDSPLTLGTLTHENEQKISIGVNDLFASHIGIFGNTGSGKSYTLAKIYRELFLKFIHYQSFVNNTQFIFIDFNGEYIDDNSIIEKDVKNLYCLNTRTSVDRFPIPEDYIRDHNFWALVLDATEKTQKPFLHRAIENEWLEERINNKDQLKAFLKQSIAKIIDKEDDSLRTNIIIDLLTQVDDCVINSNINEVLGILREKLQFHNKSKTFYFIDSDGTLHYDNKAISFVEDILDSISFDEIESDYLIKVRLRIILQYYHEIINGFSNIEHLSPLLKRIPKKIGDLKKVIVIEDKKWKNNITVISLRDVNIEMRKMLPLLICKQLYEVKKNDKEQQKKSGVETYLNIIVDEAHNILSSVSERESETWKDYRLETFEEIIKEGRKFGVFLTIASQRPSDISPTIISQLHNFFLHRLINNNDIKAVEKTVSYLDKLSFESLPILPTGTCILAGLSAQVPVMIDIGKIDEKFEPNNKTMVLIDNWIDKND", "MTKSEEWAYSLCRKSFFSLFSFVNPVGKKDKELCDVIVICGNDIVLISVKEININLTGNYEVDYNRWNNRAIEDSLKQLNGAERFLKRVSSFRLKDTKEEIELPDEKNFYKIALAIGAKGKFPISESIRNNGYVNIMDEISFHSIISELDTITDFILYLREKQAKLLNVHFTGNGEEDLLAFYLRNELNFPDKSFLYFDKGIYESLIVSADYQQEKKNREESYKWDFIINHFTQNYFDKNGYNSITLNNMRRACEIMAKETRFERIKLIDNLNIVINSNVRARIYFSPTFNHIIYVFVSGKFENEKQRLKETELRSLVAAFLFNKSAIVIAIAWEVNPNFDVYDIVYHNYKDLWSNNLDKLALSTINELGYFKNHYDQLNK", "MMKKNYYILPLTLALIISCNNSNTNTAVKLSDSLVSAKNDSMYPTVEKIDSLALNEPQIEKVTDTASTSYLIPIPKVYYCIAVFHLELQENYLKAGYENKHKVMVSDVFEIMDISEDSKYRKLDELEAKASVEYRHSWNLINREIKIYDSYSGASKARQNLYLIK", "MKNRTFAFLIMIASVISCQKQNDTNCFPEVDKHYSDQEYKNLAETPLLESTKYFITESTKDGRGNSQFDIDRGGHIVFYKMGKEVYMADISGKCDQQTYGKIDQMVNTSPKSAKFSTSTFRWKYQNTYDNKTGIAMVKFHKYHESGEMKFTMQILSSDSNTIIYKGFVSIY", "MWTVIIILAIIAVIALLFLNSNEDGNQALGAYLLGNLLPALVKLAIMVGVIVLLVKACS", "MKKIVQISTLSLVLLSCGAINRNLFGATESDLIKTVAIDQGCPAENIKILESQKGAYGATYSLDVCGKKMIYKQWGTAFVEASKAEEAMKNMKK", "LLFFKIVHAQQRISGYIYDETGKNLSGIRVYNITTGNEIISGEEGYFSIIASSGDQLRFISVHFERAFRIISQEDFGKNLTIKLTSVVREIEKVELGLKPTGDFLKDINRLPQNKKKERMEEHIKESIALGENKMITKPVAKIPESFDYTGIVKGGFPVGDIRDRRDKYHLMNWIRESLGEDYFTSMQIPQSRVDRFISYSLVGFDISKLLKYGIYTDQDLTNIKVQMEEKKDDFIKAIKKNK", "MKQNNKTTEKYMKKLLLFLSVFLFVWGKSQMTFSGKTINDEGQNQGGIQVYNMRTGISVVSNSEGDFSIDARPGDEVRLVSTHFVRTNMILTEESFKNKQTIQLAPFVKEIAGVQLDKVSMKERVAIMQNNIGLPPPPKKPREVPPPTAKQVGSLRYALSNLNLNNLYKNLSGDARRMRSLYRYEDAEENLTWVTESLGNDYFEENKIPKDRQKEFVQFVMGKENLLTPIKARNIAAVEFALSRYAPDFIKLIDQKGP", "VKQKLLFILFFISYSFITAQTFVSGKILNEEESPLPGVQIFNMQNKKAAYTDTEGRFRIEASIGDEIRILTNQYNRREIIVSESTLKQEQNIKMNPSVREIAGVNIISKSQIENMKNKIGIPGPPEKSREKAPTLKNSVKIPVIPIGIAVNLNNLYKIVSGDARRMKALYKYEDQQEYLTKLAMLPGDDFWEQSGIPLEKRTEFIQYLIGKKIISGKLSKEQLELAALPFTEEFRKPKSNP", "MRSILFFIFIAIPWSTNAQSLLIGKVVDENGNAIPNAFVINMKTNQNLLADDYGVFSINARQGEEIRVLANRFERQSKVLTADDFKGKFMISLLPRIQTIEEVKIGFKPTGHLETDLGKLYRDKELEDLKKKLTMALNMDTDPNEIRPTLRIPSAFNNQIPLSGASIVIDLFGKRSKPKKVKNDPALRMINNVGTIYSAIGTQFFESLGIPKARITDFIVFVLKKNSLKEQLEGQNYDSIRKIMRENAETFLITLK", "MKNYITVGIAALGLIIAAAFLGNAIKNRNKSQNTISVTGLGAKKFTSDLITWSASFSKSGYQLQEAYNNLATDRQMILDYLKSKGVKSEEIVFSAVDIQKQYAQVTDANGSYRQGEFAGYNLTQSVSIESKEVGKIENLSRTVTEIINKGIELTSSQPMYFYTKLADVKQQMIADATKDARERAKKIAENAGSSLGSLKKASMGVIQITAPNSGEDYSWGGAFNTSSKEKEASITIKLEYQVD", "MENNDESKRKYISPELEVMIMEMEYGIAAGSTVQPGGGSGVEVDPWEDGGSAGNGEPGNEWWN", "MRNKIANFKALNILPIAAFLFITNSCRSHDTDNNLNKETLANGISFNLINDDFNNEENGIKSVASLNGTNANKANILNQNFTSGPFDITTELSLKTSALGTFAQANTKFNTVADKLGGPTNTNAIKYVVVVYKPDGTYLGQEVGDASTPNQKMFGNLNLIGNQPYTFVTYSLGSTTPPPSAPTTNLNMAELNINGLTGSETDSDLMFAINENVILSGSNTPLDVHLKHKFTKITISIDNSDATGTVKGGYPLASESSTGATGIISDFYTDCSIRFKDGMKIGAVTGTSSLGTSTANGIKTTGQSFIINTGAMSNYTGTITLPANSIVIGKDSNAQPLVININGSGTGLMPGYAYTLKLKFNSDRYVDASGVTKTQGESDALYAVIGGYKWDRYNLGVVNRNPTLNNPDQIPSIQDLHGGYYQFGNKQPIADAASTTNYKNINWNGNPAANNSWDANSPNNPCFPGYKVPTEAELKILLNNTSQKIIGNTTSNTFGQMNNYSAAGVYTSKKNQNVRLSIPISGYADLTVGSNSAPFQPGDIRGRANSMHLGSSTFNSTTSKISILQYSAISYFGGGSGQGSGGAQKVIGRSIRCIAE", "MKYMYFLIISFLFCQIIKKNDNTLYLYKESKRIIYKYKLCSLYIIYDQYFTSNNIELAEINNLLKKSDDNIHKDYPKSLIYAEQACAIAEKIKNSEKKAEAYYYIARCLIFIGKYKESKIFLDKGMDEKFVKKSTRLTILFKQLKSIYYSKMYILPEGLKENIEIKKLVSSESSIELRILNAMNEMYIGDYYTETANYKLAHIFTQNSINLYERIPVSEYSKTKRIYRYKAYAYFYKSWIYLKQNDPEPALHYIQKAYNQSIIDNLEYISPFLEAYGNYYYETKNYKKAISFYLKAIENKKKFGHHAADINMKISKLYGIIEDHDKEKLYLKMSSEQRITDENKSRIDIQEALNGIVKEQHKENKKVLNTNNLKIASIIIATLLLTSYIHSYQKKKDAKVLQEKTKLLHHKRMKIKEKEEEIEKLQNQMNESFTEVINLAKENSPEFSSRFKEVYPIVYEKILKVNPKIKTSELTFFAYLYFGFSTKDIATYTCVTVHAVEVRKNRFRKKYNISSDVDLNNWIEKLE", "MGIFDKKVSYKPFDYPEVLQFIEAINKSYWVHSEVDFTADIQDFHSQLEPHEKNAVKNALLAIAQIEVSVKTFWGNLYNHLPKPELNGLGATFAECEFRHSEAYSRLLEVLGYNDSFLDLVKVPVIKKRIDFLSSVLKHANSTTPKEYVSSLLLFSILIENVSLFSQFAIILSFTRFRGHLKNVSNIIAWTSVDEQVHANAGIFLINKIREEQPDLLTDSDIEDIYSLVDHSVMLEGDILDWIFEQGELQAFTKKDLLNFMKYRVDDSLKKINMAPRYNITSEQYKPMMWFEEEVFANAMDDFFAKRPVDYTKHDKSITAGDLF", "MNVTELSRVKMPASCLLLFFAHGLVFSSWASRIPIVKNMLDIGEAELGTLLLLMPIGQISTMILVGKLTSRYGSSRIIKNCFMLYPFILLLIGFADSYWQLMIILFFFGVSGNLCNIAMNTQAIEVEKLTGRILMASYHGAWCFAGLVGALMGLLMVNIGLSTFYHFTLVFMIVGGLWWYCKRHLSNIPTAAGQPKQSVYKQANPTLIGLGIIGFLSMAIEGAMIDWSGVYLQTIVKAPEHQIMLGYVSFVFMMTLGRFTGNYVLEKYGKRSILRLSGLLMSGGLFLSIIFPELWICIIAFMIIGLGSSLSVPSVYSTVGTLNLTSPGIALSFVSSIAFLGFLAGPPLIGFIAEALDLRYSYGLFACFGILLTFLTGQMKVFRSVQS", "VNSPISKMDIKPKFEELHIENYKAGNLADFSKKASKINKLLLCFVLEGELNLYITSKPYLIEKNSIIMIPPDTDVAHISESENISLSVFFISLDFISTYSFLEVLLTSEEIKFNPVIKTEIPSKNLIWSTVKLIRNYHTQTKEICTPESVQYLLYALLELVSKLYMPVIKSKSLLQTRNQDIIKNFFNLLEKYGHLERSALFYSDQLHLSAQYLSNIIKKETGKPIKQWISYMVIKHAKELLKTTPLSIKEVSNQLKFVDSSLFCRYFRRCTGITASSYRENYIIKSHNSNRSELQSPN", "MTIKHMAIALLALPLFQTKAQQLAYTPDIVLGHRSYTYLHNISYQFNDKLKVNNLTLFDTEYTKDKENIFFIRNTISYSLTKKISFHAGFGMKNPGAFFTAFAQYRIVKPVYSFSYSVGATYQKGFTLEQSISAEYAPYIADDLQAYFNVLAIANLNFDGYQRGLQFFRLGLKQKKLSYGIAINLDQWNNAKKTLENAGVFTKYSF", "MDKYTAQLIAHFKEIVPLDETDINAIIPKLEIKELDKKDYLIQPGQVSRHMRFIAKGSLHSYYTDDAGREHTMQLGIENWWINDLYSYLSESASRMYIQAVEDTTLVQIHKNNLELLYKEVPKISEFWRLKMQGAYLILQERTFENMRFDAYTRYKTFITNYPDIEQRFPQYMIASYLGITIEYLSALRKKHIADRS", "MSKKNIVVIGLGGVGGYFGFKMNQENEATKQYNISFVARETTYEIVKERGLTLLSPEHENAITRPDDIVKSIADIKNPDLIFICVKEYDLENVSQQLVKVITPGTVLFPMMNGADIYDRIRKIIPDHMVLPSCVYVASHIKEKGIVEHKGKPGTLIFGRDSQNKSADIDWVVRLLEESNIKFIFKDNPLTDIWEKFIFIASFGLVTAKHNSSISSVCTDEEQNQEAVEIMEEIKLIAKAKNIYLPEDIVAKTMEKAAGFPPGTPTSLQLDIHSDKGSNELELFGGAVIKYGKELNINTPSTQRIYEEIKAGITK", "MSKSVINDYFHSLFTIKKEVVEKITETFNHFELDKNLILLDKDSISTKTYFLEKGYVRSYILNEDNEEVTTNIYEAPCFVNDFLSFFRQQPTKEVYQTITSCTFWATGLENVQSNFHNIPEFREFSRLLFVINYYAINDRLIAMSSQKAKIRYMSLLQQKPNIFQHVPLKIIASYLGIKDSSLSRIRRERV", "MLRPWKLEIQLDHQSDKAIYLQIADAIIEDIQSGRLKQGTALPGSRKLAQDLKINRNTVVEALNVLLNEEWLVSKERRGTFVSDILPALSKTVRPKHDSVIEKIEKSIFRINFDDGHPDSKIAPVAELARAYRQIFSRKGKWQMMGYGDEFGDVEFRKAIVQMANHQRGMQASEHEVCITRGSQMAMYLTAHSLFEKEDYVIVEEPGYKPAWKAFESAGARILPVNVDKDGLITDEVLIHLRSGKKIRAIYTTPHHQYPTTVTLSLQRRLELIHLSNKYGFSIIEDDYDNEFHFGYRPVLPLSSYTELKNYVYIGTMSKVVAPALRIGYLISNNKELLERVGTLRKIIDVQGDTIMEQAVLQLINDGTIKRHLKKATHFYKAKRDFAAELLGKHLKSKADYHIPEGGLAFWIMPKKQVDWDTVSEVLKKKGIRIVALDHYQKELPDRGIRLSYGAVSEEQLEEGIKELAKLL", "MLKSEETKQFSSKDFHQTFARPVYAKPTHLIHKNVEKAGVHDQFSTERKHPVFFVDLPSKNVSMTIGGLLPGQLTNRHRHTYETVLYVIEGKGWTEVEDERVEWEAGDAVYIPSWAWHRHQNTSDTESAKYIACENAPQLQNLGVALREEEGRDL", "MKNTLFKGVIAYPITPFDENEKVNIPLFRRLTERLVTSGSHAIAPLGSTGVLPYLSDEEKEAVTEATIQQVAGRTPTLVGVSNLTTEKTVYHAQFAEKAGATAVMIIPMSYWKLTDDEIVEHYDAVASKISIPIMAYNNPATSGVDMSPNLLKRLLEIPNVTMIKESTGDVQRMHYLRKELGEEVAFYNGSNPLALAAFSAGANGWCTAAPNLIPELNVELYNAIQNNDLETARQLFYQQLDLLKFIVAKGLPRAIKAGLHILGEDGGTLRSPLKPLTEAETEELRLILSHIHTPVTTAEKAL", "MKNTLMALSLVLGLGYATAQQTTPAQKKSEPAKKEAKGHDHAKKEEKKAEKTAPVKQEKTPVKK", "MRKPPSDSGGRILLSATTEKSIFLFNTLFFIFFIVPNIKAQSLPQHRLEFTKLASRWDEGIPLGNGMLGSLAWEKNGKLRLSLDRADLWDERKALDISKLNFKWVEQQVLKNDYKPVQKIGDWPYDNMSYPTKLPAAALQFDIKCLGTVISNQLEIATALHSVKFSSGVVFQSYIHATQQAGYFSFDHIPDENLIKDLLPKLDVHNYNSGAATESDNSHAGEGLGKLGYAKGNIKEEEHTIRYHQPTYNGRFFEVLVKWKKIGKDKLTGSWTISDNQSAALSLPQQLITNSDEWKNHVKWWKDFWGKSSVKLPDELIEKQYYLELYKLGSVSRKGAPAITLQAVWTADNGSLPPWKGDFHNDLNTQLSYWPAYTGNHLQEAVSFTDWLWKIRSVSLQYTKQYFGVDGLNVPGVVTLNGDPMGGWIQYSLSPTVSAWCAQYFYWQWKYSMDDRFLQQKAYPYVHDAAVYLENITRLKDGVRKLPLSSSPEYNDNSVNAWFKDWTNYDLSLARFLFSAASEIAKVSGKEDEAIHWKKILGELPDYNVNETGLTVAPGQSMESSHRHFSPYMAVYPLALLDVNQPKDKEIVDKSIQHIEKLGTRAWVGYSFTWMSTLYARAYQAEKAVKQLQIFASNFCSPNSFHLNGDQKGGQYSGFTYRPFTLEGNFAFAQGVHELLLQSRQGYIEVFPAIPKDWRNVSFVNLRAEGAVLVSGKIENEKLISVKVFSEKGGVVNVKLPKGKIQLTDNRNVQVKTLNTDKTIINFKPGGWVSLQIYR", "MKNTLTLLLFCLLGILFPHAQTKVYVSYLGNDNNPGTLAKPFKTPERALQEIEKAKGKSLIIYLRKGIYYLQKPIVLDNKNLKTKSLLISSYPGEQAFISAGQLLKTDWKPYKNGIYVTTIPQDINFERLYADEKLQVLARYPDYDANAKIFNGTSADAIAPERVQQWKNPAGGYVHGLHSGEWGGFHYRITGVDGKGNLKLEGGWQNNRPSPLHKQFRFVENIFEELNVPGEWFADKEKGLLYYYPPKGTNLSQTKITISQLKNSIEIKGTENSPLSGVEIKSIGFLHNERSFMDTKEPLLRSDWTIYRGGVILMENTRNIKVTDCQFTDVGGNAIMLSGYNKNNTISGNHISGAGASGIAFVGETDAVRSPSFRYEDYIPYDKLDKTPGPKSNHYPQQCIAENNLIHDIGRIEKQATGIQIDIAANITVRHNSIYNTPRAGINIGDGAFGGHILEFNDVFNTVLETGDHGAFNSWGRDRFWSPDRKYMDSITTAHPELILLDAQQTTIIRNNRFRCDHGWDIDLDDGSSNYHIYNNVMLNGGLKFREGFKRKAENNIMVNNSFHPHAWFKNSDDYFTRNIVMAPYAPYEINDWGKNIDFNLFPDTKTLQASQKRGTDLNSIYGSPDFINPQTGDYRVRNNSPALHIGFKNFPMDQFGVQIPRLKQIAAKPEFPTLINNTGNNKNTTTIDFLNATIKSVEGLGERSTFGLPDENGGIIVKIEANSPLKKAGLQEKDVIRTMDGTEIKKADDLLNIYQLSKWKGQVKVEVMRNQQTLQVNLLLK", "MKSIKLILAIAVCTNLLYAQKQKFPFPKSAISDSVVLEKTLTDIASKIIPTYKNSNKVDSLDKLSKLEILAGNYSKASSTINQYREAYAGTNNAVVKLMAYDVYAAAKNLEKDQKIIFPHALELVFNKKFKDLPLKYSFRIADSFDEDIQTHKDKFNKLLSQQKEADSISYDSAVSLGIAYINYKTFMNVKSESLKLLSARENEIYDIESFDLKTSHGGNITLTVTRKKGITSPLPVIYTNNIYAGTYDLALGKRAAEYGYVGVVANARGKRTSIDNIEPFEHEADDSYDIIDWISKQKWSDGKVGMIGGSYLGFGQWAATKKLHPALKTIVPQVAVGIGIDYPMNNNVFMSYMLQWARYVTNNKFTDEAEFKNYEKWIALYKAWYKSGESFRKLDSISGKPNEIFQRWLNHPSYDEYWKKMIPYKQEFAKIKIPVLTTTGFYDADQLGALYYFREHYKHNENPYHYLVIGPYDHAGGQSFAANTLYNGYNVDHAAKINISDLAYSWFDYILKNKPKPEFLKDKVNIQIMDTNEWYHSSNLEKSHNAVLKFYLKKDSKSNLVLEKEKSTNTDFTTQTIDFKKRDEKSIYFKSKKDSINIDNQLAFQTSVLDKDIIINGAFTAQLKASINKKDVDVKIDLIQLKPDGKFFYLSDYLGRASYAKNREKRQLLIPGKIETIPASNSMFVGKKILKGSRLIVLLGINKSPYYQINYGSGKDVSDETIADAKIPLKVKWYNDSYIEIPVLE", "MKNLKKLSRTNLKEIQGGTFTGGCNAHLVTVDEAPGEGMPYDCVCSTLIWCESLSACIQRGQFSSSKCKTKEL", "MKNLKKLSRTNLKEIHGGAIIGGGGSGIGGCEAHWVPGDQQPEPGMPYDCGCRGLKWCPGMGACVHTNQIPRSQCETGL", "MKNLKKIKRTELKTIIGGGEPLPDGWGVCFVNGEPVSTPCDQLCPDRTQPFCAW", "MEKAVSLFLLFVANICFAQNAKKQSEMNVKNKMEARQPQDLSVPPPPVNSFPAQYPKGNRAFLQLVEKNINKEALKGQPKKLETKVIIKIDDDGTVLNISTYGINEVFNKEVEVAAKKVSITKWTAGKNRQGENVIDLVKLPFTIANQ", "MKLNTKLRKLRNLKKLSQAELADSLQISQTAYNKWESGTTKPSLDNILKLSRFYNIYIEELIDESPLRTSDISEKEIRITEGAISAIIKNQNELIGLIRQQNELLILLSKT", "MRHYFFSRELLAGVLTLVTVIIQAQELPYWKNTSIVKVNKEYPRTLFMTYDSKSEALNTKFENSKYYKSLNGTWKFHFADAYKQLPENVTDSATSTSGWKDIKVPGNWEVQGFGTAIYVNHPYEFVERDPKTRLPKQAPPYMPEENPVGVYRRDIDIPAEWLKDRTIFLNIGGAKSGTYVYINGKEVGYSEDSKNPAEFRINEYVKPGVNKLAIKIFRWSTGSYLEAQDFWRMSGIERDVYLWSQPNVSLRDFRVKSTLDDTYKNGVFQLEMSVANYGNGDLVEKANYSPIKPASPILGYELLDAKGKVVASASATISVKGRGENDYKFPEIKIPGVSTWTSESPNLYKLVMTVQNQGSTQTEVVPFTVGFRKFEIKEVESNGRKDRLFLVNGQPIKFKGVNIHEHNPATGHYVTEDIMLKDFTLMKQNNLNSVRLAHYPQSRKFYELCDELGLYVYDEANIESHGMYYGKESLAKHPEWQNAHLDRTVNMFERNKNHPSVSFWSLGNEAGNGVNFDVTYRWLKEREKDFMNRPVNYERAIWGYNSDMYVPQYPSAAWLEKTGKEGSDRPVIPSEYSHAMGNSSGNLDLQWQAIYKYPNLQGGYIWDWVDQGIAQKDKNGKMFWAYGGDFGKDMASDGNFLINGIVSPDRTPHPAMQEVKYVHQDFGFEVKDLSKGLFSVKNRFYFTNTQDYVLKYNVIENGKIVAEKVVPMNLGAQQSMDVQIPVSLLKKGKEYFVNFDVYTAKTKMLVPNNFNIAHGQFRLTSEVVKESYKPAIVSPYIKTDQKGNITIVTAGKAVLTFDKAKGIVSSYKVNGKEYFQDGFGIQPNFWRGPNDNDYGSSMPKRLQIWKQSSKDFKVTEVNAVKENDHALLNATYLLPAGNLYKIQYKIYPDGVMKVNAEFTSTSMEANNVEASEATQMATFTPEMKKARENSSKLEVPRIGVRFRLPQFMNKVQYYGNGPVENYADRQSGARIGIYNTTAEDMYFPYVRPQENGHRTFNRWFSLTDVKNTGLLIIADDTLGFNALRNSVEDFDSEEAKNRPYQFNNFSSEERTANSDEKAKDLRPRQTHINDIVPRNFVEVCVDMKQMGVAGYNSWGAKPLPEYSIPSDKNYKWGFTIVPVKNTQEIAEKAYLKY", "MKRLFFILLFSLIGSLVYAQRTVTGTVTNEDNLPLSGVIITEKGTANKSTSGSEGKFSITISEENAILSASLKGFRSQEIPTEGKTVVNITLFSTTPEKAQQINEVVITALGIKKESRALTYNVQKVSGAEIVDGGQGNILNSLSGKIAGVDIKSSASGVGAESRVVLRGTTSITQNNNALYVVDGIPMPNLSFAAPQASGFYNGRGAAFGGIAMLNPEDVENISVLTGAASAALYGSSAANGVILITTKKGHSGKPRVSFSNTLSVMDPFILPRFQKTYGASTGGSMYSWGDKLTAPSTYNPEDFFRTGANYTTTFGISGGSENNTFYVSGSRQDAEGIIPNNTFNRYNFTASNSASLFNNKVALDLSFNYIRQNDQNMTTQGLYFNPIVPIYLMPTTTDIGKYKIYERYNPERNFETQYWDYGDLGMALQNPYWTANRNIFTNKVNRYIITGALKVNIANGINITGRLNYDNAAMNSETKLYASTYGLFAGPLGAYTRNPQNNQQIYGDIIANMDRRFGKFGINANLGTAIIDNQYETYTIGGNLSQLANLFTLKNTVSTTPPAESKSHDQTQSVFASTTVDYDKLVYLDLTGRYDWPSRLYGSTKSFYFYPSIGISAIVTDIFKIKSDLLSYLKVRGSYSEVGNSPPAGTTVLTFPFVGSSIGTTGTRPSNTIQPERTRSSEVGINARFLRNKLELTATAYTSSTFNQLFRPTYSGTSLFSSIYVNAGQVDNKGIEASLAWESPIFSRELKWRSQATFSLNKNKVVSLLRDYYDPYSGSTITLDKLNLGGTGSYRSILTEGGTMGDIYVNTLKKDFQGNVYVDANSGQIEADPDNFIKAGTSLAKYRLGWRNEFKYKDFTFAFLINARVGGVGVSQTQSVMDFFGVSESSALARENGGVLINDSKVDAQKFYQVVGSPQAGVGAYYVYSMTNVRLAEASLSYRLRTPWIKFINNVIINVSGRNLFMFYNKAPFDPENTASIGTYYQGIDYFMQPSLRSISCSLKLEF", "MRASIKYLIGICTAFTLSTCTNRYADFNTDPTQPTENMLQRDYYQLGTFFIQMQKNVIPAGSNGTDEVNQYQLTDNLQGDIYSGYMGVSNNWNGGQNNSTYGLIPGWYGEMFKRAYLGTLAGWAQIRDRASTPETAALADIIKVAGLHRTTDTYGPLPYLNIKAGVINTKYDSQQAIYDSFFKELDNAINILTTFSQNFPNSKILASYDLVYQGDVRKWIQFANSLKLRLAMRIVYADPAKAKLYAESAVNHPIGVIKTTDASASLKSSVTNPIRNPIAYICFQYDDIRMGANMESFLKGYKDPRISSYFNQVTIGASTGYFGIRNGIRITNKSLYTPFSTIKMEENSPLPWLSAAESYFTRAEGALRGWNMGGTAKELYETGIRTSFQQAGASGTDNYLNDNTSTAAAYRDPASPSNNVTAGSPLLSTITIKWNEADSFEKKLERIITQKWIAVFPNGQEAWSEFRRTGYPKIFPVVVNNSGGTIDASKQIRRMPFPISEYQDNPQGVASGTAVLGGADNGGTRLWWDKKN", "MAVLISCALIIFGCTAENRPEPQNIDLPPQNSEAYYANLRAYKKSPHQVAFGWYGSSGLSNLEPSMERRWMGLPDSLDIISMWSGFPEKDSPGYKEMRFVQEVKGTKIVRVSFTDDIFFNNEIKDFKATYFDNQNKEKLKEGFDKIAKIIYDDITSKGLDGVDFDHEPNYCGCNTWQITKNRENFGLFIEALGKYFGRIAKTGKILLIDGEIDQVPTNAGRHVDYAVTQSYGSRSSSILQSRYDDISSWATPDRYIITENFESFWKDGGVNFNDPVNGVIPSLYGMAYWNPTQGPKGGTGSYHIEYDYGNYPGYKYTRKMIQIMNPAPKPK", "MKNIFKHISAVLLFLFCYACTNDDNIPASENAVYLEGDSGKNTIGANIEDSEISVPISVRAAKPVDNQVQTSIRTDESAVEEYNKSNGTQYTPLPAEYYTLQDSKYTIEKGKYISNTGILKIKSLTGLPIDKKYIIPVSITSTTNIPLLQASKTLYVIANRTITTRATSLTGNAFKVDFFKNNQGLNAMKTITYEARVFVNNFQKADPRISTIMGVEENFLLRFGDIDQLQVAGGNSHIATGPFSTGVWYHFAAVYDGSQLKLYSNGELLLTKNVVRTIDLTSTWAGGFYIGYSAGGRYLDGAISEARIWSRALSRNELINGVCGVNPKSEGLIAYWKFDKSDNGRVIKDLTGNGRDAVATSNIKWVEGVKCSN", "MKKYTVNIYSIVILFLWSLLLFSCREDETTSNSSKDALQIYLQANNNKDQAIINAPVSILQGKFVADNSDLFFAVATREVSKNTQLTVIPDSDPALIERYKKQYGKTLPLLPQGSYSLPETINIPAGKSISEKMLTITWKDPSVLKDKNATYLLPVSIKSMDNKDATLTSNRNTIFVEVKFAEVSYSLKTKAGKTSEDIFLKKAGNTVITKDTNPILIASLNTGVNTDVPIKVNIDNSLVSTYNTTNGTQFQILPENTYKLSTTTLNIPKNNISSNELEIQFTDAMSQLDITKQYLLPVKSTSQINLPTTNDVVYLKISISVNNINSNIPATGTIIDRNNWSVQANSEYDIENTASMMLDGDNRTGWLAGSGENATVILDMGQSNMLKGFSIIPTYFYGSYPLFPSSIVVYTSNDGINWARQGIYENDRAAGGNPQNPYTGWITFIEPVNARYVKFDEIESFAGIGELNAIK", "MIYIKCAGAIFALSPAQPLYKNEKSVFYFIALSSPMPAKLSISSNFT", "MSKTQTPKTRENQRINFSSSKGKVITPDFLDIQIQSFKEFFQLDTLPEARLDETLYKTFQENFPITDSRNQFVLEFLDYLVDAPRYSIDECVERGLTYSVPLKARLKLYCTDPEHEDFQTVVQDVYLGPVPYMTPSGSFIINGAERVIVTQLHRSPGVFFGQTYHANGTKLYYSRIIPFKGSWMEFTTDINSVMYAYIDRKKKLPLTTLLRAIGYESDKDILQIFDLAEEVKVSKAALKKVEGRTLAARVLNTWFEDFVDEDTGEVVSIERNEIILDRETVLEKEHLDLILESGVKTILIHKENGNEFSIIQNTLQKDPTNSEKEAVEYIYRQLRNADPPDEETARGIIEKLFFSEQRYSLGEVGRYRLNKKLGLNIPIDTEVLTKEDIIAIVRHLIELVNSKAEVDDIDHLSNRRIKTVGEQLAGQFGVGLSRIARTIKERMNVRDNEIFTPIDLVNAKTLTSVINSFFGTNQLSQFMDQTNPLSEITHKRRLSALGPGGLSRERAGFEVRDVHHTHYGRICPIETPEGPNIGLISSLGIYAKINNLGFIETPYRKVENGKVDLNADPIYLNAEDEEAKVIAQANVELSDSGDFETDRIIARLDGDYPVVEPGQVDLIDVAPNQISGISASLIPFLEHDDANRALMGSNMMRQAVPLLRPQAPIVGTGLEKQVATDSRILINAEGTGVVEYVDADKITIKYERSEDEDLVNFESATKSYKLTKFRKTNQSTTITLKPIVRVGDTVAKGQVLCEGYATEKGELALGRNLVVAFMPWKGYNFEDAIVINEKVVREDWFTSIHVDEYSLEVRDTKLGMEELTADIPNVSEEATKDLDENGMIRIGAEVKPGDILIGKITPKGESDPTPEEKLLRAIFGDKAGDVKDASLKADSSLRGVVINKKLFSRNIKDKKKRTEEKLKLEEVENRYKEKFDDLRNTLLEKLNILVSGKTSQGVKNDLDEELIGKGVKFTQKLLSSVEDYVNVSGSDWTVDADKNELIKQLIHNYKIKYNDLQGVKNREKFAISIGDELPAGIMKLAKVYIAKKRKLNVGDKMAGRHGNKGIVSRIVREEDMPFLEDGTAVDIVLNPLGVPSRMNIGQIYETVLGWAGKKLGLKFATPIFDGAELDQITEYTEKAELPAYGNTYLYDGGTGERFTQPATVGVIYMLKLGHMVDDKMHARSIGPYSLITQQPLGGKAQFGGQRFGEMEVWALEAFGASNILREILTVKSDDVIGRAKTYEAIAKGEAMPEPGIPESFNVLLHELQGLGLDVRLEE", "MSKNKTSRFSKITIGLASPESILQESRGEVLKPETINYRTHKPERDGLFCEKIFGPVKDYECACGKYKRIRYKGIVCDRCGVEVTEKKVRRERIGHISLVVPVAHIWYFRSLPNKIGYLLGLPSKKLDMIIYYERYVVIQQGIARKADGSDFDEMEFLTEEEYLDTLDTLPQENQYLDDSDPNKFVAKMGAEAIEEMLRRIDLDALSYDLRHRAHNESSKQRRTEALKRLSVVEALRGANTRMINRPEWMVMRVLPVIPPELRPLVPLDGGRFATSDLNDLYRRVIIRNNRLKRLLEIKAPEVILRNEKRMLQEAVDSLFDNTRKSSAVKSESNRPLKSLSDSLKGKQGRFRQNLLGKRVDYSARSVIVVGPSLQLHECGLPKDMAAELYKPFIIRKLIERGIVKTVKSAKRIIDRKEPVVYDILENVMKGHPVLLNRAPTLHRLGIQAFQPKMIEGKAIQLHPLVTTAFNADFDGDQMAVHLPLGPEAILEAQLLMLGSQNILNPANGSPITVPSQDMVLGLYFMTKEAHSTDTHKVKGEGLAFYSPEEVEIAYAEGQVSLNAKVKCRLPVKENGELVTRLTPTTVGRILFNQIVPKQVGYIDELLTKKSLRNVIGRILDETDFPTTVKFLDDMKDLGYSNAFKGGLSFSLGDIVVPAEKKTMIANAVENVDEIKANYNMGLITDTERYNQVIDVWTNTNAKLTEMIMDRMKSDQGGFNSVFMMLDSGARGSKEQIRQLSGMRGLMAKPQKAGSVGAEIIENPIVANFKEGLSILEYFISTHGARKGLADTALKTADAGYLTRRLVDVAQDVIVTVDDCGTLRGVEITPLKKNDEIVEKISERVLGRVSLHNIYDPETDELLVEADQLIDEKLAKKIEAAGIESVEARSPLTCETKRGICAKCYGRNLATGKMIHMGEAVGVIAAQSIGEPGTQLTLRTFHQGGTAGNISENPSIVAKRDGIVEMDEVRTIKSEGEDGNTTDIVVSRSTEFRLVMDNETRTVIMNANVPYGAELAVKPGDKVKKGDLICKWDPYNAVIIAETSGKVEYEDIIQGVSFQLEIDEQTGFEEKVISDSRNKKAVPTLRVVDAKGVEQKSYNLPVGAHLMVNDGEKIKAGKVLIKIPRKSAKAGDITGGLPRVTELFEARNPSNPAVVTEIDGVVSYGKIKRGNRELVVEAKTGEIKKYLVKLSNQILVQENDFVRAGSPLSDGSVTPDDILSIKGPTAVQEYLVNEIQEVYRLQGVKIDDKHFEIIVRQMMTKVEIVDGGDTQFLEGSLEHKNDFIEENERVFGLKVVINPGDSKEFKAGQMITARELRDENSKLKREDQELVEVREALPATAQPVLQGITRAALQTKSFMSAASFQETTKVLNEAAVSGKVDDLNGLKENVIVGHRIPAGTGLKQYQNIIVGSTKEFEDIN", "MDNNQNPQDGNINIELNEMVAAGVYANLALVNHSPSEFILDFIQLMPGVQQAKVRSRVILAPLHAKRVLNALQQNIASYEQQFGEIKEVEPFVLGQNTPQA", "MKKFLVIGSLILAVLGYSQKPVVDTTQVVISGRANAPETLQKPYVILISADGFRYDYMQKFNTKNLLNLAENGIWAKNGMYPSYPSITFPNHYSIVTGLYPSHHGLVDNIFYDPNRKEMYKIGSKTVTDGSWYGGLPLWGLAEKQGMIAASLFWVGSESDAGGTRPSYYYPYHEKFSDDDKVKIIKNWLQLPEEKRPHFITLYFPEVDHEGHYYGPDAKQTEDAVHYIDGAIQKLADGLKPLNLPINFVFVSDHGMIKVDPKDYITVPSIIDRNKFVVVNSNTFVRITAKDEADILPLYKALRKEKHEGYKIYLAKNFPGRLHYSKSDDKNRRIGDIILVPNGTKAMVDPGRNPPVGKHGYNPYKVPEMKATYFAWGPAFKQHQQIRPFVNVNIYPIIAEILNLKITSPIDGNIKVLGKTLNRK", "MVGITMCYAQKSAVSIETDQKLSVFNEDMVSILLKISNNTNIERNIDVDIQTVKGLRVINNISSIHLKANEKAFLPVKIFVEKNQPAGITTIKYAITESSLALATAETSITVQSKRGLKIVANEPQVLMYKVGDSIKISTQVYNSGNREENVEIIASFPQADGRDLSLSKTVKLEPFVNKEVSFSKIVDKDLLKMELFTVNVAGLNAEKEFFGNAIVIVQNALGNRKYVDPNFINFYRPAYQVNHISLSSRNAFLNYDSSYNLDLHTEFYAGGIKGIVNVNGTYWSNNNTKPLFTNTWVRLEGKGVGVQLGNLNASDLEVNLVGRGAEVSVNPFKDKRSGIRVGAVEKSYNLIDPLDLNNSLRGYSSYAKSSVSLNEKVSLDNSIILDNDPYQRSFILMNEYHYNNNKQTFYDVRLGYGYTSSKGEVSNTASSIAAGLNLNTSFGKYTLSSNNYYSSSYYPGIRKGNTVFEERLFRNFTKFNLWLGYSLNNYNPKSIDPQYEYNTVSNRSKVEMGTSFTIARNTKLSIIPQWSTERSNVFINSSFKSENVDFNSAFINTAFNYTSPDYKSSVSLVLSQGYSRYIGYTPSSFIYRLQANWYYKNFMLSANYQKGNFMLYEGNFNNTLSNNTERISAIANYKISLLNKRLNLVLGSIFNSDRFIGKSFSVNSNLDYRILSGTKLFASYNFNKYLNGIYRSANNYYQIGIMQDLPSFGEEKGNSKTGNIELFFYYDFNNNGQYDSTIDQPASDLKTKINNTLFITPKNGNIKYRKVPYGTYMIKALEDKWYVDDLRINVDRKDVFLTIPLQKTSMVKGKVQYQEATKTQYDVIEVLSGLPVVFQNSQFKNFVFYTNERGEYSAYLPVGHYKVFVDGNALQKNVYVEASQSVDIAEGNTSMLKPFILKVKERKVEVKRFGIK", "MNRFFIYIFFLFYGVQLSAQKLWITPYNTGYAPVRSYNGATISNLVQIQIHANSSQGIQMQIWSMSYRVVGAISNGGSKNFPVERLKFRFNSVLNSGVNDQGNTANAGNLGLNTNPIPFQYTNSYFVNNSPYNLQIVNRYFMMTLGYDVMVDGGAYLGEYSSWNNYSVNLIIEIRNSKGEIIDSEPINFQMQIHPDDSPPKPVDEYAIMLEPSAKNVLLEFKTPGDYANGVSRTYNKALSVISTTGYTVQVNSLNNDLTSTSNQSLPVNAIGLSVKDSQSQAVMGNVKLSSSKQSIITSLMPAKTEKYFDLTYSTQAGDIRFFNQAQEQYSGALIFSLIPQ", "MKRILISLSILFIFLFGIIAKGQAGITVSPPRNYFTSNAGESSTKKVLVTNPSKTTTLELTVSLNDWTYDEKGNNVISEPGTLENSAVNWVSIKPQSYFSLNPGETKELEITVTPPAIRKDALPVHTCLMYITQTNPVDAINEKGALVKVSVRTGIKIYHRYSSQREPNMDFTDYKYEKDSKSLVLEIINQGNVWTDGTIHTEMINQQNGAKYNLEDEIIYTLPSDKRIVTIPLPKGLDKGKYIVTSTFTYGDDNVIKMAELTFINE", "MRKFYYLLGLTFGLTSINVAAQKTGDATVNVRLYPIQTIVVNGSQKNVNLDYRTSDDYKKGVALDEADHLTVYSTGAFAVNVQSKDAQLSSVNTSITEKINAADIKLTPTAGTTNPLSGSKLSTVTLSTTPTELISSNIGGVDKTFNVKYSAAGADSYLNKYFNVENPTTYTTVVTYTIVAK", "MNREMQKDWGIYRLKDADDLDVSIHPLAYSILYTATDHLNIIIKDKTISIDPETFYFLPPKSSFSVIEKYKKAVLIWFKPEVFMDRLKFLYYITNCFFFQNPIGVAAKNSFIPYKFIVKNYARPLQTPGANPLIKRNLLANFIEFILIRAQLDFDPGFERGTKNVYDQEIATKFSELLDQQVTFNLVVSYYADKLNITKRRLDKATQIIYGCSAKSLITGKALDKAKSMLRATSIPVKNISLELGFSQESNFNNFFKLHIGMTPMQYRINANAIVFGENAALS", "MNGTMLQSFHWYTNGDSFLWKNIQETADYLKDIGITAVWLPPAYKCASGAYSVGYDPYDLFDLGEFNQKGSVPTKYGSKDDYLIAIKCLKENAIQVIVDVVLNHKAGGDELEEFQVVEVNTENRNEVLSEPFNIQSYTKFNFPERNRQYSEFIWDFTCFSGVEYAEGVDGEHIYRVLNEHSDDWDKLISDEKGNYDFLMSNDINFRNPNVVGELDYWGRWYYEQIGYNGVRLDAVKHITPSFFKDWLYKLREATEENIFAVGEYWAPNDLPILEKYIEVTEGCMSLFDAPLQHQFYLASNQGADYDLSKIFDGTLVQSQPDKAVTLVDNHDTQPLQQLEAPVENWFKPIAYALILLRSEGYPCIFYPDLFGAHYVDKDKEGNDTEIFLEQVKELEVFLKIRQNNVYGLQRNYLDDPDCIGWTLEGNDISQGCAVIISNNGPREKIMEIGARYAGKSFYDILKGVNEHIEIDKNGCGKFSVSENNISVWVML", "MDFPFPLRKIIHVDMDAFYASVEQHDNPELKGKPIAVGGVHRGVVAAASYEARQYGVRSAMPSKTAQQKCPNLIFVRPRFPRYKEISQQIRQIFHEYTDLVEPLSLDEAYLDVTENKKGIESANQIALEIRKKIFEKTGLTASAGISVNKFLAKVASDINKPNGQKTIHPQNVLSFLEELPIEKFYGIGKVTANKMNTLSIYKGKDLKNKSLEELVLLFGKSGKHYYNVVRGIQYSEVKPHRIAKSVAVEETFWEDLLDEDTVFEKIDEITDELSRRLERSGIKGKTLTLKIKYKDFTLFTRSKTESQYLENKFSLSALAKQLWQSRPFDKPIRLLGLSLSNLNTEEKKQVSVQLKIPFPEFL", "MIILRYATKEDLPVLLEFEQGVVTAERPYNPTLIEGEIHYYDLNSLINSENAALIVAESGNEIIASGYALIRNVEKDYYKFSRYAYLGFMYVKPDHRGKGVNKLILDELTNWSRDQGVSEIRLEVYADNESAVKAYEKTDFEPLLLLMRKKI" ]
[ "TATATATACCTAAACTTAAGTTTCATTTTTGTTATACATATATATAAGGTATACCTTATATATATGTATAAAGTTTCATTATAAAGTAATCCCTCTCCCATTACAGGAGAGGGATCTTATTATTTATCGATAA", "TTTTATTAATTTTTAATGTTTTATATTATTTATAGTTGTTTAA", "TTTTTTGATCTTTATTGTTAAACTCTCATTGAGAACAAAGG", "AATTGTATTTTTGGATTGTTCGTGA", "TACTTACTTGTTATTTAATTTTTTGTTCGCTTTTGTTATTCGATTTTATCCATAAAAAAAGCGGCTTCCTCTTGAATCGAGAAAGCCGCTTTTGCTTTTATAAGTTTATGCCTACTTACTTAAACACATATCGCCCCGATCCAAAACTTTTGTTCTGAATAATAATGACAATAATATTAATGACTAATCTCGCCACGAGTGTTTAATTATTTAAGTAAGATTTATTTTTAGGTTTATGTTGGGACAAAGGTAGGAGGTTTTTTGGAATAACCAAATATTTTTTTAGAAATTTTGAATTTTATTTTTCGCTAACACTTGTTAGAATTAGTAAATCATTAATAAAAAACGAATCAACACAATGCAAAATATTTAACAATTTATTAAAACTAATGAAATATACACATTTAAATTAAAAGTGAATCACTCCTATAATTCAGATTACTAATATCCTGAATATCAGTGAACAAATTGATATAAAAACGGATATGAAATAAGGATATAATAAATAGAGTACATAATATTTCCTAAAGCTTTTTATTAAAGATTCATTAAATCTGATAAATCG", "ATTATAGTAGTTCGTCATAACTAATGATAAGCTACTTTAGGAGCTTTATCTCTATAGATTATAATTATAAATATTAACACCGTTCCTTCGGAAAGCCATCTTGATTAGGGAAGTGAATATCATTCAAATGAAAAATCAATATCTCAAACACGCAAAGAACTGAAAGCAGATTGTTTTCAGTTGTAATATCTGGGATAAAAAAAGATGTTAAAAACTTAACCGGATGTTAAGC", "AATCTTACGTGATATTTTAGATATC", "TTTATTTTTTTATTATTTGTCAAATTTAATTCAAAAAATGCATGTTGCAAAATTTACAACAGCATAATCTGCTAAATTTTAAAGGAGTTATAATAGCAGATTACAGATGTAAAACATTTACAATGTA", "CTTTGTTTTAAAATTTTTATAAATATAAAGTTATTATCCTGAAAACAAAAAGCATCTTTCCAATGGAAAGATGCTTTTATACAAATATGTTAAGC", "TAATTTTTATTTTA", "GTATAAAATTTTTAAGACGCTAAATTAAAAAAA", "CTGTTTGTTATTTTGGGTTGCGTATAGTTAAATGTAAAAATATTTTTCATTCGCCAATCAATTTTAACATACACTTATTACATTTTAACATTAAACCTTACATTTGCACAATTTCATTTTTTA", "AAATAATAGTA", "TCTATATTTGTGTTAACTAAATTTTAATAAAAACTAATAAAT", "ATGCAATCCCAAAAACACAAATGATGAAAAAAAATTTATTGCTTCGTGGGCAATAGGATACCCGATTAAAGCGATGTAAAAGTACAAAAATTTCAGATATAAACAAGTATTTTAAAATAAAAAAACATTTTTATTCTTATAAAAGCTATAAATAAAATCGATTAGACAGCTATATAGGCATGTTTATATCTATAAAGTACCCATAATCGCAAATAGATCTACTTTTCGGACATAAAAAAAGAGAATCAGAATTATCTGATTCTCCTTTTAGTAGCGGGGACACGACTCGAACGTGCGACCTTCGGGTTATGAGCCCGACGAGCTACCTACTGCTCCACCCCGCGATGTATCTTTTGTCTTCCGAAGACTTTGTAGCGAAGACGGGACTTGAACCCGTGACCTTTGGGTTATGAATCCAACGCTCTAACCACCTGAGCTACCTCGCCGTTTTGGTGGTGCAAATATAGAAAATATTTACAACTCACCAAAAT", "GACCTAAAGTTATAAAAAAAACCTACATTTCTGTAGGTTTTAGAACGTATTAAGTTTTTTTTCAGATATAAC", "CTAAAATTTATTTTGATTTTATGATGCAAAAATGCTTAGAAAAAT", "ATTTCTACTAT", "AATACAATATTTTAGGTTAGTATAAATAAAATATCCGACCTTTGCCGGATACTAGTGTCTTGATTAATTTTAGCAAATATATAATTTATTTTCAATAAAAAGAAATATATAGCATTTAATTACAAAACTCTAATTATCAATTAATTAAAAACGTTCATTTAATTTTTTCCCTTAAAAAATTAAACTCCTCTCCACTAAACCTGAAAAAACACTAATATTTTCTATATTTATGCC", "ATCAGATTAATTTGACCGCAAAAGAGACAAAAGCGAGTGCTTAACATTTTATTTCTTTTGACGTATATAAATAGCATAAAATGGCTGGGTGTTTCCCAGCCATTCTATATT", "A", "AATCAGGTGGT", "AATCTATAGTTTTAA", "AATATTTCAGT", "TTATGAATTGTAGTTATAGCT", "AATCATTATTTTTAATAAATTAAATTATAAAGTACTTTGTATTTCAAAGTAAATTTTAAAAAAAATATAGCT", "GAGAACGGACATTACCCCCAATCTCACACGACTTTCAAATTCTTTATTGAGTTGATTTACTTTAATCATTTTAATTATATTCTGTTAATTCCAAAATAGCAGCAGCAAGTATTATTTCTTGATTACTTTTTTAGTTTTGTATTTTCTTCAGTTATCGTATATCTGTAAGAGCATTAGCTAACTGCTTTTACAGAGAATGGCCGCAAAAATAAGGATAAAAAAATTCCGCTCTTTTACATTTTACTTTTTATTACAGAAATT", "ATAAAGAACTTTGAATTACAAAGTTATAATTTATTTTTAATCATCAAAATAATTTTTAAAATTTCTTTCATTCCGGCAAAGAGATTTCCCAAATCATTAACTTTATGTAGCTAAACAACACAGA", "CAATATAAAAGAGCGATTATGTTTTATAATCGCTCTTTTAT", "TGTTTTTTATTTTGAGTCATGTCAAAGTTTGAAACTTTAATATGACTGATTAG", "CAAAGCATTTTTGT", "TCTCCAAATATATTAATTTACAAATGTACCAATCTAGCAGTGTAACAGTTTATCGATAAATAACTTATCATAATGATATGTATTAATACAGATATT", "ATTAAAGAATACTTTACTATTTGATGTTTATTTTTTTAAGCTTTAAACCTCATAGGTTTTAGAAACCTATGAGGTTTTTTTATTT", "TCTCTTAATCTTATGTATTATTCCAAACCTCATAGGTTTTAGAAACCTATGAGGTTTAAGTTTACCATAAGGTCTAAATTTACTACT", "AAAATTTTTATTAAAAATTTAATTTAGCAATGTATCAGTTTATCAATGTAACAATAAATATCCTGCCTTATTGAAAGAGTATATATTCTATTGGTAAGCTGTTACATTGTTATATTGTTACATTATTGAAAATA", "AATAACTATTTTAATGTACCAGTGTATCAGTGTATCAGTGTATCAATGTAATAATCTAATAATCTAATAATGTAACAGTGTGAAAATTTACCAATTAAATAATAAACCATACAGCAGCTATTGATACATTGGTAAACTACCAAATTGCTAGATTGACC", "TTTATTTAATTAAAAAATTTACCAATTTAACAGTCTATCAATGTATCAATTATTGTTATACTGTTACATTAGTACATTG", "AATTAAAAATAATGTAGCAATGTATCAGTTTACCAATGTAACAATTTTATAAAAAATTAAGTTTTGCCGAAGCGTTTATTTCTATTGGTAAACTGTTATACTGACAGATTGTTATATTAATT", "AATTTTTTTTTTAGTGTACCAATATAACAATCTAAATTAATGTAACAATCTAATAGTACAGCAATCTGACAACAACATTGTTATGCTCTGCATATCCGTAAATTCTATTAGTAAACTGATTCATTGTTACGTTTCTATATTGATAAACTGTTACATTGCCACATTGTTATATTAATTTTTA", "TTAT", "CGTAGT", "TGTATAGAATTTAGAAATTAGAGGTTAGAAATTAGACTAAATTCTATAATCTAACTTCTCACTTCTATAAT", "AGTCAAATTAATTTAACAATGTATCAATGTACCAATTTGCCAATGATATTCTTCATGAACTACATTCGTAAACCTTCAGTTTATGCTTAGAATGACAATTGATTGTTACATTTTTACATTGGTATATTGTTACA", "TTTTTTCAATTTACCAGTTTGTCAATGTAACAATGTACCAATTATATGCTTCGACTATGCTCAGCATGAAAGAGGATTGCTACACTGATATATTGTTATATTGCTGCA", "GATTTTTAAATTTAGCGGTTATACTTCTTTACCAATTTAACAATAAACCACTGGTTTTCAAGTATAATTAACAATTTTTAACCGCCTAAAATCATTATTTATAATCAATATAAAT", "AATCTAACTAACATTCGTTAGTTGTAAAAATAAAAAGAATTTTTATTCTGGCAAATTTTTTATGACTTCCA", "TGATTAGTTTTTTTTGCAAAAATACTAACTAA", "GGCTG", "CTTATTTCTGGAGCGTAAATAACAAATTTTATGGCATATAATAAAATTATTTTCCTAATCAATTCGGAAAATTTGGCTTAAAATTAACATTTTCGGAATTTAGAACCGGAAAATTTGTCCTAATTTTATAAATAAATCAAAATAGAACACATTTTGAGTACAAAACACCAGAATTAAATGATTAAACCGAAATCTGAGATTTGGTATAATACGCATCAAAACTCAACTACCTGTTGAGACGATCCGGGAGAGCCAAATCTCAAGTTTCAAACCCAAAAGAAAAAATTACAAAAAATATCAAAT", "AAAACATTTTTTCATATATCATATTTTATTTATCAGATTACGCCGCAGGCAATGCCTGCGGCGTAATCTCTTATTTATTAAACACTTAACGATAAAAATCTTCAATACATAAACATGACAAATGTCATATTTTTACTATATTAGCATTTCATCAAATAACAACCAAATATTTAATT", "CTTAAAATTAATCTATC", "TCAAAAACAAACCCATCATGAAAAAATTAGTAAGAAAAAGTTTAAAGCAAATTAGTGGCGGAGCTGCTATTACAGAATGCCAAGAAGATATGTCTTGTCCTAGAGGACTATGCTGTAGTACAGGCAACATTTGCAGAGATCCAAGAAGATATATGTGCATTTAAAATTTCGGAT", "ACACAAATTCTATTTTATAAAAAACGCTGCCAATGGCAGCGTTTATT", "TTATCTCTCTTTCGTAAATCTTTAATTCTGTTGATTCTTATTGGC", "ATATTAATTTTCCTCTAAAATTATGGAAGTTATTCTGTTACAACAAATTTTAGAAAAGAATTAAGTAAAATATAAAAACTGCTAATTCC", "ATTGGTTATTAAGTTTTTATGGTTATCAAAAATAAACAAACTTGTTCAAAATAAACTTACTAACACTTTTAGAGCATATTTAAATTTTTATCAAAAAAATTAGACTTCGATAGTTCATCAGGCTCACTATAAACTCAACTCAGCCTGACATCTCTAGAAAAAAAGATATTTCGAATGAGTAATGTCACTCTGAGCCTGTCGAAGAGTATCAACTATAAGAAATTTAATCAGACCCTTAACTACATGTAAAATGCCTGTGTATTGCTATTTATTCATAACCGATATTTTTTAGCTATTTAGAAATGTAAAAGCGATTGAAAAAAAATATCAGATAATTTATCTACTTTTTTTCTGTTAAATTTTAGATAATTTATGTTCAAAATTATTTTTTACAAGAAATACAATAATACCATAAAAATAAAGAATAGTATAAATAATCTACACCTATACATTGATATTTCAAAACAATTATACAGTAAATAACATTTTTTATGACACTGTATTGAATTTTCTATATATTCGCTAGACTAAATCAATCAAATTTTATT", "AAAAATATAACACGGCTTCATAAAAAAATCGGGATTTTACAATCCCGATTTTATTTTTTCTAACCTGAAGAGA", "GTTCTTTTCTTTTTGGTTAAAAATACTAAATTATTCAAAGGGAAAATATACCCGGATTATTTTAACACCATGTTATAAATAATACTTCGGGAGTTTTATTTACTTTTATGTATTGAAAATCATTAACCA", "CTTTAAGCAGAAAAAAAGGTTCGATAGTTTGGTTCGTCAGGCTCACCATAGACTAAGCTAACGATAAAAGATTTAATAAAGCTCAAGCAGGTTCTTAGTTTAGTTCTAAAAGCTTTTTCACTTTTGTGGTTAGGAAAACAAAAAAATGGCCGGAAATTTCTTCCGGCCATGCTTATTTATTAATCAATATTTT", "CTGGTTGTAATTTATTTAATTATCAGATAAAACTTACCAACACTTCAGTCTTCCTGCATAGAAAACTACCGCAGTGGCTTGTTTTATTTTTACTTTTTTTAGATCACAACAAATGTAAGGCTTCATCCTAAAAATAAGAAATCAAAATCTATTTTTATCTTTGCGGAAATTTTTGAGCT", "AC", "TTTTCTGGTTTAGCATATTTTAACATTCCCTATTTTTTTGGTCTGATTTTCGCCGGTAACTATAAAACCTTCAAC", "ATTAATTGTATCTTTGCAGAAATAAATAATCCAAAAAA", "GAT", "TTCAAG", "TCCGTTTTTTTTCGTATAAAATATTGTAAATTTGTTCATATACTTTCGTTAT", "AAAACGAGAATAGAAAA", "AAAATACGAAGCATA", "TAACAAGATGTATACATTGTCGGAAATATAAAATAATCTGCGGAGTACTTCTATTAAATTAAACCTAATTATTTTATTTTACGTATATTCATTTTCATAAAATACTGAAA", "TTCATAAAAAAACACGGATTACAAATCCGCGCTATCGGGTTTGCTTTCTAATATTAAAAATAAAAAAAGCTGTCATTTACTGACAACTGTAATTCTATAAAAGTATTCTT", "GACTTTATGTATTAAATTATAATTTGATTAGATCAAATATAACATTTTTTCAACACATTTGCATAATAATTATATTT", "TATATAAATTGTTTATACGGCATTAAAGATGTAAATATGCAATAATCAATTTAAATATACAGAATTACATAAAGCAAATTTATATTAATTCAAGATACAACTATTTATTATTAATAAATTTTATAAGTTTTTAATATTTCATATAACTTCAAAATTAAAAACCCCGATTTTAAGCTGAAATGCTAAATTTCGGGGTTTGTTTTATATAAGTATACGAATTAATTTACTGATATACAGTAATTTGAATATTTTACGAATGTTCACAAATAAAGAAAGCTAGCAACTCATAACATAGTTCTAAAAATTTATGCTAGTAATAAAT", "TTATATTGAATAAATATTCCTACTGAAAATCAAACA", "TTTCTAAAATTTCAGACCATTTAATAGACCTGTACCGGTCATTTTTCTATGTTGTATTTCAGTATTTCCTACAGCTTCCATTGCTCCAGAAAATCCTCTTGGTATTTCTCCGTGCTATATAAAAAGA", "AGCCCTAATATATGATAAGGGTTTAATTTATCTTCTAGTTTAAATAAAAATATAACACGGATTACAAATCCGCGTTATCGGGTAAATGATTGTGAAAATTTTACTTTCTGTGTATAAAAAAGGTTGCCCGTGAGCAACCTCTAAAA", "TGTGT", "TTTGTAAATTTTATGGGTTAGTAAGAAGAAATGGCGGCAGATACACCGCCATTCCTGTTGTTAATAT", "AATATTAATATTTATTGATTGTTTTTTTTAATTTTTAGACATAGTTCTCCCTGTTGACCTTTTTCAGATCAATTTTTAAGGAAGCTTTAAAATTTTATTGATTAGTGCTATTCTATAAGCAATGAGTAGTGTGGAATAAGTAATAGATAATTCACCTATTGACTCATTGACTTATTTATTCA", "AATTGTAAATTTTTAATTGGGTTGTTAAAATTGATTTTTTGATGTTTTAATAAGCTGAAGTTCTTTTCAGCGGGGGGATCTTTCATAATTGTGTTTTTATGGGGTTATACATGTT", "AATTTGTAATTGGTGGTTTTCTATTCTCCGACAAAGATCAGTGCCCGTGGCGCCAAAACTCGTCGTATTATATTTTCATTTATTTTTTTTTGTGTTTTTATGTTATCAACCCTTGTGTTATGTTGATGAGGCAAATGTAAATGGGCGTGGCGTCAAAACTTGACGCATTAAAATTTATCTGAAAATATTTTTTGTTTTTTATTAAAGAATCGGAATGTTTGATCTCATTATTCATACATAACAACCGTTGCAGTGGTAAACCTCAGCTTTTCTAAAAAATATGACATTTCACAGTTTGTGTATTGATAAATGTTATTTGCCTATTTTTGCAGCAAAATAGTTTCA", "TTTCACACATATTTTTATTCTTTCTCTTCGGAAGAGAATCTATCTCAATTTGTATTTATAACACAATAGATATGGAACCCTCAGAAACTCCGTACTCTGCAGAATAGTTATATACAATCTTAGAATTTATTAATCAAATCTTCTAAAAATCTGTTCTGCCTGGCCGGAACAGTAAATCTTATTATAGAATAGATGAAGCTTATTCTCAACATATTTTTTATTTTTTATCTCGTCTTTAAGCCCATAATACCG", "TGTTTGCTTTTAAATATTAAAGAGAAATTCCAACAGAAACTTCTCTGACAATATATTCCGGATAAAAGACCGGAATACATCTCATATGGCTTTATATAGCATTAATTGAAAACTTTAAAAATCGAAAACAA", "ATGAAATCTCAAA", "AATCTTTTGAACAAAATCAGA", "AAAAATTTAAAA", "GATTCGGATGATTATTAAAATTTGCTCAGAATTAGAAATTAACAAAAATATATTTGAAAAGTTTGGGTATAATTTGGGATGTAAAAGAAAAAAAGAGAATCATTGTGATTCTCTTTTTTTGTGGAGCTGGAGGGACTATTCCTATTGGTTTAGATATATTTTACTTTACCTACTTTTACTTTGTAAATATATGATTTATACAGTTTTACTAAAATTGAATTTTATTTGATTAAATTTAGTTTAGAATTTTCGGATACAAATTCGGATACAATTTTTGAAAAAGACACTTTT", "TGAATTATTTTTGAAAAATATTTTGTTTTGGCACGTTATTTGTATATAATACACACAAGAAACCTAAAAGTATCTGTAAAAAAGATATTGTTAGGTTTTTCTGTTTTAGGGAAAAAAGTACTCTTTTTTGAGAGGACATGGGAGAATTACATCTAAAAAGCAAAAGAATAACATATAGAGAAAAACAAAAATATAATATAACCAATATAAATAAAAGACCGAAATCCTTGCGATGGTCTTTTTTTATACACTAAATTTTAACATAAAATCAACAAT", "TCTACACATAATCATATTAGAATTTACAAATAAAATCCGATCAAATTTTTCAGTAAGATTGGATAAAACTATTCTTAAATAATTTTATAGTCATACACATGGCTATTACTCAACATTTCAAAGTCTGAACATTGGATCCTAACAGGCTTTAAATACCAATTTTTTTTCAAATAAACGTATCATCATGCAATGGAAAATCCTTTGTAAGGGCTTGCTATTGCCAAAAAATAAGAAAATCAATGTTCAGAAAGAAGTATATAATAATTCCGACAAATTTAAACTATCAAAAAATAGTGTTCTCAGCTCTTTGTATAACTTTAAATACTCAACCA", "TTAAGGCTTTGATTAGTTTTTCGACAATGTATATATTGAAAAATGAATCAATAAGTTGTTTTTTAAAGTTATTTTTTAAATAAATAACTTATTTTAGTTACGTATATAAACTTAATCATTAGCGATC", "TTTAAATAATTCATTATAATTTACCTTTATAAAATAAATTTCATTTTTTTGTAATGGTGAATATTTTACAATCATAAATTTATATCTCTAACTCTTCATAAAATCTAAATAAAAA", "TT", "TTTGAATTAGATATACAAAACCAATTTAGTTTTTCCTATGAATGATTATCACTTATATTATATAAAACAGTAGTATCTATCAAAAACATTATTT", "ATTTAATGTTGGTATAAGGGTATTTTAAACAAAGAATCTTCTTATTATTGATATTATGATGCATGTAATCACTAAAGCACCAATTATTTTCAAGACAGATCGGTAAAAGCGTTGCAATGGATGCACTGTCTTAACTTTTGATGATTTTGTATGATCCTCTAAGAATCGAGTACCACAGTCTATACAAGCCCACTCAGGGCTTTTATCTGGAGAAACAAAGCGACCGCCCAAAATGATCTTTCCCTCTATAGCTTCCTGTACTATTTCGGGAGGTACTGATCCATACGTAATGGTAACAATTCTACCCTTACAAAATGGACAGGAAGAGGGTTTATGATTAACTATATGACAATTCTTTTTCATTGGCGTACATTTTTATTGGGTAACGGATTCAATAAAAGTCAAC", "TTATCCGATGATTAAAAGACTGTAAGCCTATTC", "AATATTTTATTTAAAAGTTTCGATTTATGCCAACAAAAAAAGCAAGTGGGTCTCACATGCTTTTAGTTCTGAAGGCTCGGCAAAGCCTATGTTACATAAAAACAGGATAACCACTTGCCATATGACAAGTGTGCTAAACCGTTTGCGTTTTGTAACATTTTAGAATTTTGCCGATTCACAGAACAAAAGCAAAAGTTTTACACTTTTATTTTCTCGTTGATGTCTTCTAAATGAACCTAAAGTCATTTAGATATTTATTTATAATTTATCTTTTATATCAATAATTAAACCGCTTTAAAGTTTTATTGCCTGCAAAGTTCTGGAAAAAATCAATATACTGGCTCTTATTTTCAAAAATTCTTTACAAATGTATTGGCATAGTAGGCATCTACACAAAACTACCCTACCCAAAAAGGAATTTTCCTCTATACCCCCTCCTCTGGATATGCCTTCTATTATTTTTTTGATAGTGTTAAGGTGCATGCATTTTTATTGATATTTTCCTGAGAAAGATTCAGTATTTAAAAAGGTAATTTTTTAAGATTATTTATTATAAACCCACCTATTAAAGGAGATGTGGCACGATATCTGGAAGTTTATATTTCAGAAATTGACATCCGTTACAATACGAGGGTACAGCACTTCTAAAAAGAAAGACATTAATTATCCATATAAGAAAAAGATCATAAAAAGGAGTAAAAACCTACTACAAGAAAATCTCCCTCTTTTTAAATTCTATATGTATATTGTTGTATCTTTGCAATACATGTATAATATTGAAAATTAGGTGTGTAAATAACTAGATCAATTTAAATACAATTAGGTAAAGCAAGACGTTATTTTATATTTCGGACACAATTTCGGACACAAAAACAAAAAAGAGAATATGTAATTGATTCATTATTAGAATTTTACAACATTCTCTTTTATTAAAAAGTGGAGCTGGAGGGATTCGAACCCTCGTCCAAACAGGCAATACATAAGCCTTCTACATGCTTAGTTTTCTATTAATTGTCGGGAAAAAGCAGTGAGAAAACACACAACTTTTACCTTATCTTCTGAGATTTTCGTGTACAAGCCGAAGCTTCCTGTACCTTATTTCTGCATTACTATATCCCGGGATCCAACGTCGCAGAACGAGACGATGGCGAGACATCTTGCTTCCTTACTATCTTCGGGAAACGCTTGAATCTACTAAACTTCGATTATTAAGCTGCAAGAGCGAACTCTTCGTTGCCAGTTAAAATTCTGTAGCAAGGGATTAAAGAGATCGCGCTACGGTTCTCTGCATGCTTACTTACCCATTGGTCCTGCTGTCGAAACCAGTCAGCCCCGTGATAAGGATTGCAAAGATAAGAAAAATACTCCATAATACAGAGTTTACCCTCATTATCCTGTTCTGTTATTTAGTTTATCAACTCTAAATTTTACCAGTCCTATTTCCTACATTCTTTTCCTGTTATGCTTACAGCTTTCTTAATTTCTGTCAAACTCTGTTAATTCGGGTTAAAATACTTTTAGAATTCCTTTTTTAGAATAGTTTTGGATAAAACTTATTGCGGGTGAGGCTAATTTTATTCTTTATCTTTATTTTT", "T", "AAATCTGTAACTTAGACAGATATAACACCATACCG", "ATTATCTAAAATCTGAATTTCACTTAATCTTTACCCTGTAACAATCATCCTACTATAATATCAGGCCCGGATTTAATATCCGGGCCTGATACTTTTATAAACTATTTATTCTGACTACTATTTAGAGTAAATTACCCACTTAGTGTTATACTCACTTTTTACAAAACTAAAAACAACAGTTTAAAAATCAATAAATTACACATACGTGGTAGTTTTGTGGGGGATCAGTTTTTGTCCAAATGTATTTTAAAATATCACTTTTGCCGGGACAAATAAAACAATTAAAATACAAGTGAGTTT", "TACACAAAAAAACAAAAAAAG", "AGTTTAAAAATAATATTCTGTCTGAAAATAAGAATGTGTTAAAAACATTTTTAATCACTATCATCATTTGTTTGTTTAAAAAACCTGTTCATGGTTTAAGCGGATAATATTATTGTCCGCTTTTTAACAAACAAATTAGTTATGACTCTCTTCTTGGAAACTAACACCTTTGTCATAACATGAATACAAATATCCATTGAATATAAGATATTCAAGAAGTAAATATAAAAGCAGACTGCTAAGTCTTCTTTTATCATACTTTATTTTGTAGAAATGTTTAAATCGATGAAACCATAACAATACAATTATGTGGTAGTTTTGTGAGGGGAGTAAAACTTGTTATTCCCCCCCTAATTTATAAACATTTGCACCATAGAAGTCTGGGTATTAAATTGATGCCGATACTGACAAGCATAAACTACTCCAAAACACATTCTGTTATAAAAATTATTTATCGATTTTTTAGAACTATTATATAGTTCTAAACGGATAGCTATTGCTGATCTATTAAAGAATGATAACAAATAATTATATGATGAAATTTTTTACTATTCTTAAACAGGATTTCATGTCCTCTACATTAATATTTCCAACTAATGAAACGGAATCTGATATATTGTTAAATCTAACTCAGATAATTCCGATACATTCTTCAGTATTAAGTCTATAAAATCATATAGATACAATTGCATTCCAAAAAATCAACAACAAAAAAAAGTCACGAAGAAATACCAACATTTCTTCTTGCATGAAAATACAAGTACAGATTTAAACCCTATACAATATTTTTATTTTTTTTGATAATATTTTTGTAATATTATTAACAAATATCGCGTCATATTCACAAATAAGAATAAAGTATACACTATTTCACTCTCGTATTGAAAGCATAATAAATTAGCTCTTTCAAATTTACATACTCGAGTATATACACTAAAAATAATTATGATTCAAAATGCAGAAGCAAATGTTGCACCTCTTGAACCAAATGGCATTAT", "ACATCAAAATCTTCAGTAGTACAAAACTCATAAAGCCAGCCTCAAATTACCTATTATAACATTCTTTATCCAGATTATTTTTAAATGCATTACTATTGTATATAAATTAGGATAATTAAATAATAACCAGACCCGGACTTAAGATCCGGGTTTGTCTTATATGCTGATTATATTACAAAAAA", "ATAAAAATATTTAAAAGATGAATAAATTATGCTTTGGTAATAGAAGCTGTTACAATTGCACTAACAGAATAAATAGAGTTATAATGCAGCCTTCTGTGTCATTGAGTCTCACAAAATTCAGAAAATTAACATTGGCTAAAAAGGAACTTTTCACAGTTGACTTTATATAGTTATCCACAAACATACAGGACCGGGCTGAAAACATAATTTCGGTACAGCTTTGATTCATTATATTAGTAAAGACACGGTAGCACAATTAAACAGATACATATAAAATACTGTCAACAAATTTGTTAAACCATAAACAAGCTGTTATACTGAAGTCTTATGACCTCATTCCACCAGATTCCAG", "GATTAAAGTAATATTATATTTTATAAAACTTTTCTGCCAGCGAAATTAGCGACTCCTCTCAGATAAAATATATTCCATTTTTGGTATTCTATATTCCATTTTAAGCTATGTTCCTAAAAAGAAAAATTTACTACTTAAATTTGTCTGCAACAGACCTGAATGACATAAGTATAATTTCTATAAACAAATCTAATCA", "TAATCCCTTCTTAATAAAGAAATTTT", "TATTTGCTCTCTTTATTTACAGGAGCAAAACTAGACTGAACAAAAACGATAAAAATTAAGATATC", "TTAGAAGGCTCACAAAATTAAAAGGGTAAATTTAAGTAAATATTGCAGTCTACAGGCAGCAAAAGTATTCAACAATCCATCTTTACTATGCTTCAGAACAATTTACAAAAAAATAACAGGTTCTTTTTTACAGGCTTACGACCCCTTACATTCACTTACGAAAGTTTACGTTTTACAATACTTTGTTCGGGAGTTCTTCGAGGACTCTTCGGGAATCCTTCGGAAAACATAGCATTTTCCCGAAGGATTCCCGAACAAAGGTCGAACAGTCCCCGAACAAACTACCTTCAAACATACATTAATACGAGAAAAATTATATATCCCCCAATACCTTAACAATA", "ATTTTTTTTGATAAATATCTGTATTAAGTCCCATTCTATTACTTGACAAATGCAAAGAAATTACTTA", "AAATTTCTAATAAATAAAACTAGTTTAAATAATATGCTTTTCGGGAATATA", "CTGGACTATCTTATTTTATAAAAACTGTATTATTTAAATAGTCCAAATCTACAATATTTTTGTTAAGCAATAATGCAAAAACACAATTAATATTATCAATC", "TACACATTAAAAAAAAACAAA", "AAAGCACTTTTCACCTTTTATCATTTTTTAAGAAGCGCTAAACTATTCTTAAAAGTGTTAATTTACAGTTAAACAGTGAGTTAAGCGCATACAAGTGTTTGAAATTCTTTGTACGTTCGCAGTGCTAACATTAAAAACAATAGTACA", "GAACAGTTTAATTAATGATAAGTCCCGGAATATCCGGGACTTATTTCTTTATGGATA", "GGTTAATAATGGTATAAAAGTTTTTACTAAACTATGAAATTATTTTTAGAGCCTGTTTAAATTTTAATTCAAAAACGTATTAGGCTTCTACAGGCTCAGCCTGACACCTCTACAAAGTAAGTAATTGACACAAGCAATGTCACTCTGAGCTAAGCCTGTAATGAGCTTGACGAATTATCGAAGAATATTAGCAATAAAATTTAAACAGGCTCTTAGCAAGTCAGTATTAAAATATCAAATAAAAAACACAAAAGCCTGTAAATTAATTTTTTAAACTATATTTACAAAAAAACAATACAACCAACC", "GGAATATATTCAATGTAAAGAAAAATTGGATTATCTTCGAGTCTTAAAAATTATATCACCAAATAGTGCTAA", "TAAAAATCCCCTAAATAGGGGATTTCTTA", "AATGTTTTAATTTGGTGTTAAAAATTATTTTA", "AATGTTTTATTTTGTTTGGTATTTTATTGATTATTTGTTCCCGGGT", "AATGTTTTATTTGGTATT", "TTATACACACAAATATTATTATAGCTTTAAATCAAGATATAATATTTTCCCAAATATGTGAATTATTCCTGAGATAAAAAATGTACATTAGTTTTATTTTTAATTAACTAATGTTTTACATTATAGACTGAAGAAATGATTAATACAAGCTTTTTAACCTATAAATAAG", "TA", "TACATGGTTATTTGAAAGGCTAAAGTATTAAATTTTAAATTAATACAATTTGTCTTAATGCTGATACAGAAAGTATTTTGCATGAATCTGAAATAGCAATTTCGCAACAAAAATAAAAATTATTTAAATATATGTTAAACTAATTAAAATAATTTATAAATTAGCTTAGCACAAAACAAATAAATCTTTAAAGATTAATAACAAATAATGGTGTTTTTAATTAAATATCATTCATTTCTATTGAGCATATTTTAAAACTGAAAGCAATATTCAGATTAAAATATAAGATTCAGTAAGCAAATGAAACAGATAAATATATTAACCAAAAAAACACTAGCC", "CCATAAAAACAACACAAA", "ACTAACCTGAAAAACTTAAAACGATGAAAGATGTACGTATAATACCCCTCATAATT", "AAATTAAAAATAAATCTT", "ATATAACATATC", "TCAATTTGAACATGTTTATTTTTTTATTTATTAAAAATATTGTATATTTGCATTTCGTTTTGGGCTTATTTTATTTTTTATAAATCAGATTTTTGATACTCTTGCGCTTTCGCGTGAGGGATTTCTGCGTTTATATACAATATCCTAATTCCTCAAAACTCAAAAAAGTATTTTGCATTACGCTGTGAAAAGATATACCAGCGTTGCAGTTAGAAAAATAGACATTCGGAACAAGAAAAAAGATCGACAGGCTATTCTGATGAGCCCAAAAAATCAAAGTCTTTCATCTTTAACCTTCTCCATTTGTCTTTCTTTCTGATATTTTTTACAAATCAAAATATTTTTTAACCCTTTTCTAAAACGTTTT", "ATTAAAAGATTTAATTATTTAAAAAATTAAGTAACTCCAAATTTGAGAGCGAAAGCTATTTCAAAACTAATTTTTGAATCTTTCAATCTTTTAATCTTTAAATCAAAAAAAACA", "AATTAATAATTTGAAATTTGAGATTTGAATTTATTTTTATACTTTCACAATCTCAAATTTTAAATTTTAAACAAAAATAATTAACAACAAA", "TTAAAGTAACCACTTTAATATATAGATCCCTGTCATTTGACGGGGATTTTTATTTGATGAAAATTTAATCCTTTAGCCTTTGGCGGAGTTTTTATATGTTTTACCTTTGAAAAAATTTTTGTTA", "CAAAACCGGCAAAAGCCGGTTTTTCGTTTATAAGTTTTTTCAGACTAAACGGAGTCAAAGCTTTTTGTAATAGTTGTATAGGTAATTTATACATCTTAATATATGGGGACACGAACAGAATCCTCATGCCAACCAGTATTAATGCCCATAAGCACTATCCAGCTTTTTGTAAAAATACCTTGCTGGTTCGGGCTTCCCACTCAGATCTCAATTATAAATATTTTAAATGTTGATAAGTTTTAAAATAACACATGCCTTGGTCAAGATTCTTACACCAACATTGTTATAGTAAAACATTCGCAATGGTTAAAGCAGATCA", "TAAGATGGTTGATATAATTTTTTTGAAGAAGTTCAAAATTT", "ATCGTGTAAAAAGGCAGCTTAAGACAAATATCTTAAGCGCCTCAAATTTTGTTACAAAAAAAATAATG", "ACTTTTAATAAAATCTTCTTCTTTTTAAAAAAAATGCATTTTATTCAAACACATTCATACTACCTTAGATAGCGGGTGCAAAATTAGAGTGGAAATCCTATCTATTAGTTATGAAAAACAACAAAAAAACTATGTAAAACGACAAAAATTAATTTTTATTAACATCTCCTACATACCTACTTATGAAGTAGTTATAGTGTATTTTCTAATTCTAGAGGCGATTATAAAAAGTATATATACTGAAAATATAACAC", "AAAAGCCAAATACAGATACAAATATAACCGTATTTATTTATTTCATATTATAATTACATATATATGATTAATTTTTAACAATTTTTGAAGATTATTTATTTTTTTTAGAAGAAAATACACTAAGACAATTAGGCAAAAAAGCGCCTGAAATACGCTATATATTTAATATTTAATACCTT", "ATCTGTTTTTTTTCGAATAATAAATGTACAGTAAAAAGAACTGAATATCTTATAATTACAAAAAATCGATATAGTAATACTG", "ACTGCAAAGATATGGATTAGTTTATTTTCAGGAAGATCAAAACAAT" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, false, true, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, true, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, true, true, true, true, true, true, true, true, false, false, false, false, true, true, true, true, true, true, true, true, false, false, true, false, false, false, false, false, true, true, true, false, true, true, false, false, false, false, true, false, true, true, true, true, true, false, true, true, true, true, false, false, false, false, false, false, false, false ]
[ 0, 2, 4, 6, 7, 9, 10, 12, 14, 16, 17, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 37, 39, 41, 43, 45, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 85, 86, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 158, 160, 162, 164, 166, 168, 170, 172, 174, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221, 222, 224, 226, 228, 229, 230, 232, 234, 236, 238, 240, 242, 244, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 294, 296, 298, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317, 319, 321, 323, 325, 327, 329, 331, 333, 335, 337, 339, 341, 343, 345, 347, 349, 350, 352, 354, 356, 358, 360, 362, 364, 366, 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398, 400, 402, 404, 406, 408, 410, 412, 414, 416, 417, 419, 421, 423, 425, 427, 429, 431, 433, 434, 436, 438, 440, 441, 443, 445, 447, 449, 451, 453, 455, 457, 459, 461, 463, 464, 466, 467, 469, 470, 472, 473, 474, 476, 478, 480, 482, 484, 486, 488, 490, 492, 494, 495, 497, 499, 501, 503, 505, 507, 509, 511, 513, 515, 517, 519, 521, 523, 524, 526, 528, 530, 532, 534, 536, 537, 538, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557, 559, 561, 563, 565, 567, 569, 571, 573, 575, 577, 579, 581, 583, 585, 586, 588, 589, 591, 593, 595, 597, 598, 599, 601, 603, 605, 607, 609, 610, 611, 613, 615, 616, 618, 620, 622, 624, 626, 628, 630, 632, 633, 634, 636, 638, 640, 642, 644, 646, 648, 650, 652, 654, 656, 658, 660, 662, 664, 666, 668, 670, 671, 673, 675, 677, 679, 681, 683, 685, 687, 689, 691, 693, 695, 697, 699, 701, 703, 705, 707, 709, 711, 713, 714, 715, 717, 718, 720, 721, 723, 725, 727, 729, 731, 733, 735, 737, 739, 740, 742, 744, 746, 748, 750, 752, 754, 756, 758, 760, 761, 762, 764, 766, 768, 770, 772, 774, 776, 778, 780, 782, 784, 785, 787, 788, 790, 791, 793, 795, 797, 799, 801, 802, 804, 806, 807, 809, 811, 813, 815, 816, 818, 820, 822, 824, 826, 827, 829, 831, 833, 835, 837, 839, 841, 843, 844, 846, 847, 849, 851, 853, 855, 857, 858, 860, 862, 864, 866, 868, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891, 892, 894, 895, 897, 899, 900, 902, 904, 906, 908, 910, 911, 912, 914, 916, 918, 920, 922, 924, 925, 927, 929, 931, 933, 935, 936, 938, 940, 942, 944, 946, 948, 950, 951, 953, 955, 957, 959, 961, 963, 965, 967, 969, 971, 973, 975, 976, 977, 979, 980, 982, 983, 984, 986, 988, 990, 991, 993, 995, 997, 999 ]
[ 1, 3, 5, 8, 11, 13, 15, 19, 21, 23, 25, 27, 29, 31, 33, 35, 38, 40, 42, 44, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 159, 161, 163, 165, 167, 169, 171, 173, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 223, 225, 227, 231, 233, 235, 237, 239, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 293, 295, 297, 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 326, 328, 330, 332, 334, 336, 338, 340, 342, 344, 346, 348, 351, 353, 355, 357, 359, 361, 363, 365, 367, 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 391, 393, 395, 397, 399, 401, 403, 405, 407, 409, 411, 413, 415, 418, 420, 422, 424, 426, 428, 430, 432, 435, 437, 439, 442, 444, 446, 448, 450, 452, 454, 456, 458, 460, 462, 465, 468, 471, 475, 477, 479, 481, 483, 485, 487, 489, 491, 493, 496, 498, 500, 502, 504, 506, 508, 510, 512, 514, 516, 518, 520, 522, 525, 527, 529, 531, 533, 535, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558, 560, 562, 564, 566, 568, 570, 572, 574, 576, 578, 580, 582, 584, 587, 590, 592, 594, 596, 600, 602, 604, 606, 608, 612, 614, 617, 619, 621, 623, 625, 627, 629, 631, 635, 637, 639, 641, 643, 645, 647, 649, 651, 653, 655, 657, 659, 661, 663, 665, 667, 669, 672, 674, 676, 678, 680, 682, 684, 686, 688, 690, 692, 694, 696, 698, 700, 702, 704, 706, 708, 710, 712, 716, 719, 722, 724, 726, 728, 730, 732, 734, 736, 738, 741, 743, 745, 747, 749, 751, 753, 755, 757, 759, 763, 765, 767, 769, 771, 773, 775, 777, 779, 781, 783, 786, 789, 792, 794, 796, 798, 800, 803, 805, 808, 810, 812, 814, 817, 819, 821, 823, 825, 828, 830, 832, 834, 836, 838, 840, 842, 845, 848, 850, 852, 854, 856, 859, 861, 863, 865, 867, 870, 872, 874, 876, 878, 880, 882, 884, 886, 888, 890, 893, 896, 898, 901, 903, 905, 907, 909, 913, 915, 917, 919, 921, 923, 926, 928, 930, 932, 934, 937, 939, 941, 943, 945, 947, 949, 952, 954, 956, 958, 960, 962, 964, 966, 968, 970, 972, 974, 978, 981, 985, 987, 989, 992, 994, 996, 998 ]
[ "2841813427|Ga0349638_01|CDS|2841813886|-|492086:493003", "2841813427|Ga0349638_01|CDS|2841813887|-|493059:494852", "2841813427|Ga0349638_01|CDS|2841813888|-|494880:497873", "2841813427|Ga0349638_01|CDS|2841813889|+|498156:498731", "2841813427|Ga0349638_01|CDS|2841813890|-|498718:499404", "2841813427|Ga0349638_01|CDS|2841813891|-|499420:500577", "2841813427|Ga0349638_01|CDS|2841813892|-|500553:501860", "2841813427|Ga0349638_01|CDS|2841813893|+|502197:503885", "2841813427|Ga0349638_01|CDS|2841813894|+|503898:504563", "2841813427|Ga0349638_01|CDS|2841813895|+|504880:505062", "2841813427|Ga0349638_01|CDS|2841813896|+|505037:506164", "2841813427|Ga0349638_01|CDS|2841813897|+|506157:507533", "2841813427|Ga0349638_01|CDS|2841813898|+|507551:508756", "2841813427|Ga0349638_01|CDS|2841813899|+|508774:509487", "2841813427|Ga0349638_01|CDS|2841813900|+|509531:510484", "2841813427|Ga0349638_01|CDS|2841813901|+|510532:511632", "2841813427|Ga0349638_01|CDS|2841813902|+|511694:512527", "2841813427|Ga0349638_01|CDS|2841813903|+|512543:513736", "2841813427|Ga0349638_01|CDS|2841813904|-|513893:514672", "2841813427|Ga0349638_01|CDS|2841813905|-|514676:515497", "2841813427|Ga0349638_01|CDS|2841813906|-|515501:515830", "2841813427|Ga0349638_01|CDS|2841813907|-|515830:516678", "2841813427|Ga0349638_01|CDS|2841813908|-|516694:517458", "2841813427|Ga0349638_01|CDS|2841813909|-|517528:519165", "2841813427|Ga0349638_01|CDS|2841813910|-|519220:520500", "2841813427|Ga0349638_01|CDS|2841813911|-|520599:521189", "2841813427|Ga0349638_01|CDS|2841813912|-|521179:522549", "2841813427|Ga0349638_01|CDS|2841813913|-|522561:523298", "2841813427|Ga0349638_01|CDS|2841813914|+|523454:524320", "2841813427|Ga0349638_01|CDS|2841813915|+|524357:525511", "2841813427|Ga0349638_01|CDS|2841813916|+|525527:526018", "2841813427|Ga0349638_01|CDS|2841813917|-|526137:527096", "2841813427|Ga0349638_01|CDS|2841813918|-|527160:529817", "2841813427|Ga0349638_01|CDS|2841813919|-|529897:531003", "2841813427|Ga0349638_01|CDS|2841813920|-|531118:532128", "2841813427|Ga0349638_01|CDS|2841813921|-|532249:533412", "2841813427|Ga0349638_01|CDS|2841813922|-|533520:535946", "2841813427|Ga0349638_01|CDS|2841813923|-|535948:536913", "2841813427|Ga0349638_01|CDS|2841813925|-|537250:537672", "2841813427|Ga0349638_01|CDS|2841813926|+|537807:538616", "2841813427|Ga0349638_01|CDS|2841813927|+|538710:541310", "2841813427|Ga0349638_01|CDS|2841813928|-|541322:543076", "2841813427|Ga0349638_01|CDS|2841813929|-|543355:545127", "2841813427|Ga0349638_01|CDS|2841813930|-|545206:546531", "2841813427|Ga0349638_01|CDS|2841813931|-|546620:547645", "2841813427|Ga0349638_01|CDS|2841813932|-|547650:548816", "2841813427|Ga0349638_01|CDS|2841813933|-|548813:549601", "2841813427|Ga0349638_01|CDS|2841813934|-|549594:550538", "2841813427|Ga0349638_01|CDS|2841813935|-|550522:551496", "2841813427|Ga0349638_01|CDS|2841813936|+|551522:552268", "2841813427|Ga0349638_01|CDS|2841813937|+|552298:552594", "2841813427|Ga0349638_01|CDS|2841813938|-|552648:553385", "2841813427|Ga0349638_01|CDS|2841813939|-|553499:554965", "2841813427|Ga0349638_01|CDS|2841813940|-|555033:555491", "2841813427|Ga0349638_01|CDS|2841813941|+|555580:557202", "2841813427|Ga0349638_01|CDS|2841813942|-|557260:558072", "2841813427|Ga0349638_01|CDS|2841813943|+|558219:559928", "2841813427|Ga0349638_01|CDS|2841813944|+|559947:560531", "2841813427|Ga0349638_01|CDS|2841813945|+|560619:560963", "2841813427|Ga0349638_01|CDS|2841813946|+|561193:563472", "2841813427|Ga0349638_01|CDS|2841813947|-|563557:564480", "2841813427|Ga0349638_01|CDS|2841813948|-|564567:565853", "2841813427|Ga0349638_01|CDS|2841813950|-|566149:567066", "2841813427|Ga0349638_01|CDS|2841813951|-|567443:568729", "2841813427|Ga0349638_01|CDS|2841813952|-|568800:570095", "2841813427|Ga0349638_01|CDS|2841813953|-|570187:572073", "2841813427|Ga0349638_01|CDS|2841813954|+|572290:572448", "2841813427|Ga0349638_01|CDS|2841813955|-|572522:573034", "2841813427|Ga0349638_01|CDS|2841813956|-|573044:574039", "2841813427|Ga0349638_01|CDS|2841813957|-|574070:574675", "2841813427|Ga0349638_01|CDS|2841813958|-|574700:575089", "2841813427|Ga0349638_01|CDS|2841813959|-|575101:575478", "2841813427|Ga0349638_01|CDS|2841813960|-|575636:575851", "2841813427|Ga0349638_01|CDS|2841813961|-|575867:577117", "2841813427|Ga0349638_01|CDS|2841813962|-|577255:577704", "2841813427|Ga0349638_01|CDS|2841813963|-|577854:578033", "2841813427|Ga0349638_01|CDS|2841813964|-|578045:578566", "2841813427|Ga0349638_01|CDS|2841813965|-|578585:578941", "2841813427|Ga0349638_01|CDS|2841813966|-|578953:579498", "2841813427|Ga0349638_01|CDS|2841813967|-|579516:579914", "2841813427|Ga0349638_01|CDS|2841813968|-|580072:580341", "2841813427|Ga0349638_01|CDS|2841813969|-|580345:580896", "2841813427|Ga0349638_01|CDS|2841813970|-|580911:581231", "2841813427|Ga0349638_01|CDS|2841813971|-|581252:581620", "2841813427|Ga0349638_01|CDS|2841813972|-|581620:581874", "2841813427|Ga0349638_01|CDS|2841813973|-|581889:582074", "2841813427|Ga0349638_01|CDS|2841813974|-|582090:582515", "2841813427|Ga0349638_01|CDS|2841813975|-|582601:583329", "2841813427|Ga0349638_01|CDS|2841813976|-|583337:583741", "2841813427|Ga0349638_01|CDS|2841813977|-|583753:584031", "2841813427|Ga0349638_01|CDS|2841813978|-|584034:584855", "2841813427|Ga0349638_01|CDS|2841813979|-|584875:585165", "2841813427|Ga0349638_01|CDS|2841813980|-|585175:585804", "2841813427|Ga0349638_01|CDS|2841813981|-|585795:586421", "2841813427|Ga0349638_01|CDS|2841813982|+|586706:587989", "2841813427|Ga0349638_01|CDS|2841813983|+|588112:589041", "2841813427|Ga0349638_01|CDS|2841813984|+|589390:590859", "2841813427|Ga0349638_01|CDS|2841813985|+|590937:591419", "2841813427|Ga0349638_01|CDS|2841813986|+|591435:593630", "2841813427|Ga0349638_01|CDS|2841813987|+|593636:594607", "2841813427|Ga0349638_01|CDS|2841813988|+|594758:597886", "2841813427|Ga0349638_01|CDS|2841813989|+|598016:598987", "2841813427|Ga0349638_01|CDS|2841813990|+|598997:601504", "2841813427|Ga0349638_01|CDS|2841813991|-|601603:602163", "2841813427|Ga0349638_01|CDS|2841813992|-|602190:602762", "2841813427|Ga0349638_01|CDS|2841813993|-|602924:605902", "2841813427|Ga0349638_01|CDS|2841813994|+|606071:606784", "2841813427|Ga0349638_01|CDS|2841813995|-|606897:607202", "2841813427|Ga0349638_01|CDS|2841813996|-|607221:609338", "2841813427|Ga0349638_01|CDS|2841813997|-|609347:609823", "2841813427|Ga0349638_01|CDS|2841813998|-|609846:610253", "2841813427|Ga0349638_01|CDS|2841813999|-|610404:611366", "2841813427|Ga0349638_01|CDS|2841814000|+|611608:612084", "2841813427|Ga0349638_01|CDS|2841814001|+|612222:613409", "2841813427|Ga0349638_01|CDS|2841814002|+|613415:613876", "2841813427|Ga0349638_01|CDS|2841814003|+|613929:614417", "2841813427|Ga0349638_01|CDS|2841814004|+|614538:616034", "2841813427|Ga0349638_01|CDS|2841814005|+|616035:616460", "2841813427|Ga0349638_01|CDS|2841814006|+|616534:617298", "2841813427|Ga0349638_01|CDS|2841814007|-|617417:617683", "2841813427|Ga0349638_01|CDS|2841814008|+|617866:618966", "2841813427|Ga0349638_01|CDS|2841814009|+|618950:619552", "2841813427|Ga0349638_01|CDS|2841814010|-|619489:620082", "2841813427|Ga0349638_01|CDS|2841814011|-|620223:621587", "2841813427|Ga0349638_01|CDS|2841814012|+|621876:623396", "2841813427|Ga0349638_01|CDS|2841814013|-|623469:625124", "2841813427|Ga0349638_01|CDS|2841814014|-|625139:627907", "2841813427|Ga0349638_01|CDS|2841814015|-|628057:629724", "2841813427|Ga0349638_01|CDS|2841814016|-|629743:632538", "2841813427|Ga0349638_01|CDS|2841814017|-|632686:633126", "2841813427|Ga0349638_01|CDS|2841814018|-|633133:633513", "2841813427|Ga0349638_01|CDS|2841814019|-|633540:635966", "2841813427|Ga0349638_01|CDS|2841814020|+|636192:637490", "2841813427|Ga0349638_01|CDS|2841814022|+|637694:637948", "2841813427|Ga0349638_01|CDS|2841814024|+|638752:638928", "2841813427|Ga0349638_01|CDS|2841814025|+|638947:640659", "2841813427|Ga0349638_01|CDS|2841814026|+|640836:641597", "2841813427|Ga0349638_01|CDS|2841814027|+|641701:644991", "2841813427|Ga0349638_01|CDS|2841814028|+|645623:646564", "2841813427|Ga0349638_01|CDS|2841814029|+|646579:648333", "2841813427|Ga0349638_01|CDS|2841814030|+|648339:649694", "2841813427|Ga0349638_01|CDS|2841814031|-|649757:651646", "2841813427|Ga0349638_01|CDS|2841814032|-|651989:653482", "2841813427|Ga0349638_01|CDS|2841814033|-|653498:656416", "2841813427|Ga0349638_01|CDS|2841814035|+|656904:657314", "2841813427|Ga0349638_01|CDS|2841814036|-|657396:658979", "2841813427|Ga0349638_01|CDS|2841814037|-|659075:659986", "2841813427|Ga0349638_01|CDS|2841814038|-|660044:660919", "2841813427|Ga0349638_01|CDS|2841814039|-|660978:662879", "2841813427|Ga0349638_01|CDS|2841814040|-|662918:664510", "2841813427|Ga0349638_01|CDS|2841814041|-|664520:666460", "2841813427|Ga0349638_01|CDS|2841814042|-|666666:668096", "2841813427|Ga0349638_01|CDS|2841814043|-|668108:671035", "2841813427|Ga0349638_01|CDS|2841814044|-|671164:671316", "2841813427|Ga0349638_01|CDS|2841814045|-|671513:671719", "2841813427|Ga0349638_01|CDS|2841814046|+|671809:672207", "2841813427|Ga0349638_01|CDS|2841814047|-|672569:672706", "2841813427|Ga0349638_01|CDS|2841814048|-|672696:672920", "2841813427|Ga0349638_01|CDS|2841814049|-|673032:674435", "2841813427|Ga0349638_01|CDS|2841814050|-|674447:677281", "2841813427|Ga0349638_01|CDS|2841814051|+|677766:678416", "2841813427|Ga0349638_01|CDS|2841814052|-|679161:680693", "2841813427|Ga0349638_01|CDS|2841814053|-|680710:683652", "2841813427|Ga0349638_01|CDS|2841814054|-|683887:684561", "2841813427|Ga0349638_01|CDS|2841814055|-|684619:686025", "2841813427|Ga0349638_01|CDS|2841814056|-|686038:688860", "2841813427|Ga0349638_01|CDS|2841814057|+|689101:689757", "2841813427|Ga0349638_01|CDS|2841814058|-|689806:691362", "2841813427|Ga0349638_01|CDS|2841814059|-|691377:694232", "2841813427|Ga0349638_01|CDS|2841814060|-|694703:697585", "2841813427|Ga0349638_01|CDS|2841814061|-|697652:698899", "2841813427|Ga0349638_01|CDS|2841814062|-|698922:699389", "2841813427|Ga0349638_01|CDS|2841814063|+|699622:701730", "2841813427|Ga0349638_01|CDS|2841814064|+|701799:703118", "2841813427|Ga0349638_01|CDS|2841814065|+|703279:703941", "2841813427|Ga0349638_01|CDS|2841814066|+|704048:704284", "2841813427|Ga0349638_01|CDS|2841814067|+|704572:706164", "2841813427|Ga0349638_01|CDS|2841814068|-|706173:706736", "2841813427|Ga0349638_01|CDS|2841814069|-|706763:710080", "2841813427|Ga0349638_01|CDS|2841814070|+|710441:710788", "2841813427|Ga0349638_01|CDS|2841814071|+|711013:712527", "2841813427|Ga0349638_01|CDS|2841814072|+|712562:713797", "2841813427|Ga0349638_01|CDS|2841814073|+|714013:715590", "2841813427|Ga0349638_01|CDS|2841814074|+|715590:716057", "2841813427|Ga0349638_01|CDS|2841814075|+|716108:717685", "2841813427|Ga0349638_01|CDS|2841814076|+|717687:718526", "2841813427|Ga0349638_01|CDS|2841814077|+|718528:719394", "2841813427|Ga0349638_01|CDS|2841814078|+|719474:722158", "2841813427|Ga0349638_01|CDS|2841814079|+|722546:725128", "2841813427|Ga0349638_01|CDS|2841814080|+|725275:727884", "2841813427|Ga0349638_01|CDS|2841814081|+|727974:728414", "2841813427|Ga0349638_01|CDS|2841814082|+|728542:729531", "2841813427|Ga0349638_01|CDS|2841814083|+|729603:730601", "2841813427|Ga0349638_01|CDS|2841814084|+|730703:731722", "2841813427|Ga0349638_01|CDS|2841814085|+|731741:732103", "2841813427|Ga0349638_01|CDS|2841814086|+|732200:732601", "2841813427|Ga0349638_01|CDS|2841814087|+|732605:733318", "2841813427|Ga0349638_01|CDS|2841814088|+|733331:734062", "2841813427|Ga0349638_01|CDS|2841814089|+|734122:734589", "2841813427|Ga0349638_01|CDS|2841814090|-|735306:736709", "2841813427|Ga0349638_01|CDS|2841814091|-|736726:739569", "2841813427|Ga0349638_01|CDS|2841814092|-|739951:742374", "2841813427|Ga0349638_01|CDS|2841814093|-|742378:743850", "2841813427|Ga0349638_01|CDS|2841814094|-|743920:744522", "2841813427|Ga0349638_01|CDS|2841814095|-|744553:744666", "2841813427|Ga0349638_01|CDS|2841814096|-|744765:745013", "2841813427|Ga0349638_01|CDS|2841814097|+|745693:746886", "2841813427|Ga0349638_01|CDS|2841814098|+|746958:747380", "2841813427|Ga0349638_01|CDS|2841814099|-|747486:749024", "2841813427|Ga0349638_01|CDS|2841814100|-|749035:751947", "2841813427|Ga0349638_01|CDS|2841814101|+|752126:753256", "2841813427|Ga0349638_01|CDS|2841814102|+|753264:754007", "2841813427|Ga0349638_01|CDS|2841814103|-|754054:754650", "2841813427|Ga0349638_01|CDS|2841814104|-|754777:756057", "2841813427|Ga0349638_01|CDS|2841814106|-|756260:757213", "2841813427|Ga0349638_01|CDS|2841814107|+|757312:758805", "2841813427|Ga0349638_01|CDS|2841814108|+|758933:759691", "2841813427|Ga0349638_01|CDS|2841814109|+|759691:760131", "2841813427|Ga0349638_01|CDS|2841814110|+|760154:761083", "2841813427|Ga0349638_01|CDS|2841814111|+|761085:761594", "2841813427|Ga0349638_01|CDS|2841814112|-|761761:762387", "2841813427|Ga0349638_01|CDS|2841814113|-|762538:762990", "2841813427|Ga0349638_01|CDS|2841814114|-|762994:764823", "2841813427|Ga0349638_01|CDS|2841814115|-|764844:766088", "2841813427|Ga0349638_01|CDS|2841814116|-|766118:767059", "2841813427|Ga0349638_01|CDS|2841814117|-|767150:767671", "2841813427|Ga0349638_01|CDS|2841814118|-|767647:768354", "2841813427|Ga0349638_01|CDS|2841814119|-|768524:770632", "2841813427|Ga0349638_01|CDS|2841814121|-|771014:771739", "2841813427|Ga0349638_01|CDS|2841814122|-|771803:772189", "2841813427|Ga0349638_01|CDS|2841814123|-|772180:772494", "2841813427|Ga0349638_01|CDS|2841814124|+|772659:774923", "2841813427|Ga0349638_01|CDS|2841814125|-|775011:775478", "2841813427|Ga0349638_01|CDS|2841814126|-|775503:775796", "2841813427|Ga0349638_01|CDS|2841814127|-|776048:776386", "2841813427|Ga0349638_01|CDS|2841814128|-|776391:776942", "2841813427|Ga0349638_01|CDS|2841814129|-|776994:777680", "2841813427|Ga0349638_01|CDS|2841814130|-|777683:778708", "2841813427|Ga0349638_01|CDS|2841814131|+|778901:781027", "2841813427|Ga0349638_01|CDS|2841814132|+|781105:783252", "2841813427|Ga0349638_01|CDS|2841814133|+|783397:785565", "2841813427|Ga0349638_01|CDS|2841814134|+|785637:786122", "2841813427|Ga0349638_01|CDS|2841814135|-|786119:787426", "2841813427|Ga0349638_01|CDS|2841814136|-|787612:788697", "2841813427|Ga0349638_01|CDS|2841814137|-|788675:789466", "2841813427|Ga0349638_01|CDS|2841814138|-|789704:790654", "2841813427|Ga0349638_01|CDS|2841814139|-|790647:791777", "2841813427|Ga0349638_01|CDS|2841814140|-|791902:792873", "2841813427|Ga0349638_01|CDS|2841814141|-|792863:794062", "2841813427|Ga0349638_01|CDS|2841814142|-|794034:794600", "2841813427|Ga0349638_01|CDS|2841814143|-|794798:795478", "2841813427|Ga0349638_01|CDS|2841814144|-|795482:796297", "2841813427|Ga0349638_01|CDS|2841814145|-|796325:797038", "2841813427|Ga0349638_01|CDS|2841814146|+|797140:799527", "2841813427|Ga0349638_01|CDS|2841814147|+|799657:799872", "2841813427|Ga0349638_01|CDS|2841814148|+|799885:802158", "2841813427|Ga0349638_01|CDS|2841814149|+|802172:802369", "2841813427|Ga0349638_01|CDS|2841814150|+|802381:803274", "2841813427|Ga0349638_01|CDS|2841814151|+|803317:804771", "2841813427|Ga0349638_01|CDS|2841814152|+|804774:805244", "2841813427|Ga0349638_01|CDS|2841814153|+|805229:805978", "2841813427|Ga0349638_01|CDS|2841814154|-|806055:807320", "2841813427|Ga0349638_01|CDS|2841814155|-|807403:807873", "2841813427|Ga0349638_01|CDS|2841814156|-|807944:808432", "2841813427|Ga0349638_01|CDS|2841814157|-|808450:809235", "2841813427|Ga0349638_01|CDS|2841814158|-|809310:810638", "2841813427|Ga0349638_01|CDS|2841814159|-|810653:811600", "2841813427|Ga0349638_01|CDS|2841814160|-|811717:813870", "2841813427|Ga0349638_01|CDS|2841814161|+|814125:816524", "2841813427|Ga0349638_01|CDS|2841814162|+|816623:816991", "2841813427|Ga0349638_01|CDS|2841814163|+|817219:818148", "2841813427|Ga0349638_01|CDS|2841814164|+|818257:819900", "2841813427|Ga0349638_01|CDS|2841814165|+|819936:820820", "2841813427|Ga0349638_01|CDS|2841814166|+|820826:821455", "2841813427|Ga0349638_01|CDS|2841814167|+|821549:822298", "2841813427|Ga0349638_01|CDS|2841814168|+|822298:823071", "2841813427|Ga0349638_01|CDS|2841814169|+|823075:823728", "2841813427|Ga0349638_01|CDS|2841814170|-|823767:824063", "2841813427|Ga0349638_01|CDS|2841814171|-|824126:824932", "2841813427|Ga0349638_01|CDS|2841814172|+|825097:825645", "2841813427|Ga0349638_01|CDS|2841814173|-|825647:826633", "2841813427|Ga0349638_01|CDS|2841814174|-|826741:827241", "2841813427|Ga0349638_01|CDS|2841814175|-|827228:827926", "2841813427|Ga0349638_01|CDS|2841814176|-|827905:828240", "2841813427|Ga0349638_01|CDS|2841814177|-|828224:828496", "2841813427|Ga0349638_01|CDS|2841814178|-|828524:829915", "2841813427|Ga0349638_01|CDS|2841814179|+|830449:830751", "2841813427|Ga0349638_01|CDS|2841814180|-|830803:831000", "2841813427|Ga0349638_01|CDS|2841814181|-|831011:833134", "2841813427|Ga0349638_01|CDS|2841814182|-|833300:833458", "2841813427|Ga0349638_01|CDS|2841814183|-|833593:834678", "2841813427|Ga0349638_01|CDS|2841814184|-|834816:835091", "2841813427|Ga0349638_01|CDS|2841814185|-|835187:836794", "2841813427|Ga0349638_01|CDS|2841814186|+|836839:836955", "2841813427|Ga0349638_01|CDS|2841814187|+|836989:837576", "2841813427|Ga0349638_01|CDS|2841814188|+|837634:838623", "2841813427|Ga0349638_01|CDS|2841814189|+|838751:840145", "2841813427|Ga0349638_01|CDS|2841814190|+|840177:841043", "2841813427|Ga0349638_01|CDS|2841814191|-|841142:841849", "2841813427|Ga0349638_01|CDS|2841814192|-|841903:843372", "2841813427|Ga0349638_01|CDS|2841814193|-|843428:844375", "2841813427|Ga0349638_01|CDS|2841814194|-|844438:846090", "2841813427|Ga0349638_01|CDS|2841814195|-|846115:847326", "2841813427|Ga0349638_01|CDS|2841814196|-|847415:847738", "2841813427|Ga0349638_01|CDS|2841814197|-|847764:848780", "2841813427|Ga0349638_01|CDS|2841814198|-|848959:850536", "2841813427|Ga0349638_01|CDS|2841814199|-|850589:851677", "2841813427|Ga0349638_01|CDS|2841814200|-|851702:853006", "2841813427|Ga0349638_01|CDS|2841814201|-|852996:853637", "2841813427|Ga0349638_01|CDS|2841814202|+|853728:854354", "2841813427|Ga0349638_01|CDS|2841814203|+|854348:855082", "2841813427|Ga0349638_01|CDS|2841814204|+|855106:855456", "2841813427|Ga0349638_01|CDS|2841814205|+|855500:856111", "2841813427|Ga0349638_01|CDS|2841814206|-|856169:857818", "2841813427|Ga0349638_01|CDS|2841814207|-|857821:858369", "2841813427|Ga0349638_01|CDS|2841814208|-|858353:859066", "2841813427|Ga0349638_01|CDS|2841814209|-|859067:860353", "2841813427|Ga0349638_01|CDS|2841814210|-|860420:860782", "2841813427|Ga0349638_01|CDS|2841814211|-|860790:862226", "2841813427|Ga0349638_01|CDS|2841814212|-|862476:863300", "2841813427|Ga0349638_01|CDS|2841814213|+|863413:865545", "2841813427|Ga0349638_01|CDS|2841814214|+|865615:866310", "2841813427|Ga0349638_01|CDS|2841814215|+|866249:867154", "2841813427|Ga0349638_01|CDS|2841814216|+|867120:867617", "2841813427|Ga0349638_01|CDS|2841814217|+|867660:868727", "2841813427|Ga0349638_01|CDS|2841814218|+|868740:869192", "2841813427|Ga0349638_01|CDS|2841814219|+|869179:869685", "2841813427|Ga0349638_01|CDS|2841814220|-|869745:870638", "2841813427|Ga0349638_01|CDS|2841814221|-|870794:871243", "2841813427|Ga0349638_01|CDS|2841814222|+|871276:871896", "2841813427|Ga0349638_01|CDS|2841814223|+|872170:872907", "2841813427|Ga0349638_01|CDS|2841814224|-|873301:875109", "2841813427|Ga0349638_01|CDS|2841814225|-|875212:876750", "2841813427|Ga0349638_01|CDS|2841814226|-|876786:879164", "2841813427|Ga0349638_01|CDS|2841814227|-|879173:879643", "2841813427|Ga0349638_01|CDS|2841814228|-|879630:880964", "2841813427|Ga0349638_01|CDS|2841814229|-|880948:881046", "2841813427|Ga0349638_01|CDS|2841814230|+|881311:882549", "2841813427|Ga0349638_01|CDS|2841814231|+|882561:884042", "2841813427|Ga0349638_01|CDS|2841814232|+|884126:885067", "2841813427|Ga0349638_01|CDS|2841814233|+|885125:885736", "2841813427|Ga0349638_01|CDS|2841814234|-|885834:887156", "2841813427|Ga0349638_01|CDS|2841814235|-|887217:888140", "2841813427|Ga0349638_01|CDS|2841814236|+|888204:888857", "2841813427|Ga0349638_01|CDS|2841814237|+|888861:889721", "2841813427|Ga0349638_01|CDS|2841814238|+|889788:890858", "2841813427|Ga0349638_01|CDS|2841814239|+|890920:891777", "2841813427|Ga0349638_01|CDS|2841814240|+|891852:892298", "2841813427|Ga0349638_01|CDS|2841814241|-|892304:892987", "2841813427|Ga0349638_01|CDS|2841814242|+|893163:894566", "2841813427|Ga0349638_01|CDS|2841814243|+|894576:894956", "2841813427|Ga0349638_01|CDS|2841814244|-|894994:896715", "2841813427|Ga0349638_01|CDS|2841814245|-|896894:898435", "2841813427|Ga0349638_01|CDS|2841814246|+|898621:900396", "2841813427|Ga0349638_01|CDS|2841814247|+|900402:901166", "2841813427|Ga0349638_01|CDS|2841814248|+|901163:902146", "2841813427|Ga0349638_01|CDS|2841814249|+|902198:902611", "2841813427|Ga0349638_01|CDS|2841814250|+|902783:904390", "2841813427|Ga0349638_01|CDS|2841814251|-|904463:905683", "2841813427|Ga0349638_01|CDS|2841814252|-|905689:906210", "2841813427|Ga0349638_01|CDS|2841814253|-|906219:906536", "2841813427|Ga0349638_01|CDS|2841814254|-|906548:907852", "2841813427|Ga0349638_01|CDS|2841814255|-|907906:908643", "2841813427|Ga0349638_01|CDS|2841814256|-|908677:910125", "2841813427|Ga0349638_01|CDS|2841814257|-|910195:910524", "2841813427|Ga0349638_01|CDS|2841814258|-|910548:910670", "2841813427|Ga0349638_01|CDS|2841814259|+|910756:911484", "2841813427|Ga0349638_01|CDS|2841814260|+|911552:912358", "2841813427|Ga0349638_01|CDS|2841814261|-|912384:913181", "2841813427|Ga0349638_01|CDS|2841814262|-|913288:913740", "2841813427|Ga0349638_01|CDS|2841814263|+|913857:915098", "2841813427|Ga0349638_01|CDS|2841814264|+|915430:918318", "2841813427|Ga0349638_01|CDS|2841814265|+|918323:919945", "2841813427|Ga0349638_01|CDS|2841814266|-|919984:920205", "2841813427|Ga0349638_01|CDS|2841814267|+|920439:922286", "2841813427|Ga0349638_01|CDS|2841814268|+|922291:923049", "2841813427|Ga0349638_01|CDS|2841814269|+|923051:923575", "2841813427|Ga0349638_01|CDS|2841814270|-|923572:924003", "2841813427|Ga0349638_01|CDS|2841814271|-|924004:924627", "2841813427|Ga0349638_01|CDS|2841814272|+|924833:926104", "2841813427|Ga0349638_01|CDS|2841814273|+|926091:926993", "2841813427|Ga0349638_01|CDS|2841814274|+|926997:927659", "2841813427|Ga0349638_01|CDS|2841814275|+|927652:928677", "2841813427|Ga0349638_01|CDS|2841814276|-|929142:930149", "2841813427|Ga0349638_01|CDS|2841814277|+|930228:931709", "2841813427|Ga0349638_01|CDS|2841814278|+|931741:933069", "2841813427|Ga0349638_01|CDS|2841814279|+|933105:934787", "2841813427|Ga0349638_01|CDS|2841814280|+|934875:936911", "2841813427|Ga0349638_01|CDS|2841814281|+|937014:938198", "2841813427|Ga0349638_01|CDS|2841814282|+|938201:938725", "2841813427|Ga0349638_01|CDS|2841814283|+|938770:939159", "2841813427|Ga0349638_01|CDS|2841814284|-|939420:940295", "2841813427|Ga0349638_01|CDS|2841814285|-|940296:940931", "2841813427|Ga0349638_01|CDS|2841814286|-|940994:941377", "2841813427|Ga0349638_01|CDS|2841814290|+|941932:942315", "2841813427|Ga0349638_01|CDS|2841814291|-|942381:943520", "2841813427|Ga0349638_01|CDS|2841814292|-|943542:944588", "2841813427|Ga0349638_01|CDS|2841814293|-|944600:945955", "2841813427|Ga0349638_01|CDS|2841814294|-|945968:948718", "2841813427|Ga0349638_01|CDS|2841814295|-|948857:950323", "2841813427|Ga0349638_01|CDS|2841814296|-|950352:951947", "2841813427|Ga0349638_01|CDS|2841814297|+|952423:953433", "2841813427|Ga0349638_01|CDS|2841814298|+|953464:954312", "2841813427|Ga0349638_01|CDS|2841814299|+|954302:954805", "2841813427|Ga0349638_01|CDS|2841814300|+|954802:956793", "2841813427|Ga0349638_01|CDS|2841814301|+|956795:958024", "2841813427|Ga0349638_01|CDS|2841814302|-|958348:958995", "2841813427|Ga0349638_01|CDS|2841814303|-|959241:961463", "2841813427|Ga0349638_01|CDS|2841814304|+|961568:961687", "2841813427|Ga0349638_01|CDS|2841814306|-|961917:963254", "2841813427|Ga0349638_01|CDS|2841814307|-|963359:963823", "2841813427|Ga0349638_01|CDS|2841814308|-|963861:964310", "2841813427|Ga0349638_01|CDS|2841814309|+|964383:965147", "2841813427|Ga0349638_01|CDS|2841814310|+|965382:966263", "2841813427|Ga0349638_01|CDS|2841814311|-|966301:968022", "2841813427|Ga0349638_01|CDS|2841814312|-|968082:968813", "2841813427|Ga0349638_01|CDS|2841814313|-|968814:969356", "2841813427|Ga0349638_01|CDS|2841814314|-|969474:969983", "2841813427|Ga0349638_01|CDS|2841814315|-|969983:970801", "2841813427|Ga0349638_01|CDS|2841814316|-|970814:971287", "2841813427|Ga0349638_01|CDS|2841814317|-|971274:971696", "2841813427|Ga0349638_01|CDS|2841814318|-|971702:972610", "2841813427|Ga0349638_01|CDS|2841814319|-|972618:973592", "2841813427|Ga0349638_01|CDS|2841814320|-|973825:974508", "2841813427|Ga0349638_01|CDS|2841814321|+|974591:975040", "2841813427|Ga0349638_01|CDS|2841814322|+|975151:975267", "2841813427|Ga0349638_01|CDS|2841814323|+|975233:975796", "2841813427|Ga0349638_01|CDS|2841814324|-|975834:977630", "2841813427|Ga0349638_01|CDS|2841814325|-|977808:978284", "2841813427|Ga0349638_01|CDS|2841814326|-|978284:978844", "2841813427|Ga0349638_01|CDS|2841814327|-|978862:979419", "2841813427|Ga0349638_01|CDS|2841814328|-|979539:980753", "2841813427|Ga0349638_01|CDS|2841814329|+|980840:981214", "2841813427|Ga0349638_01|CDS|2841814330|+|981251:982003", "2841813427|Ga0349638_01|CDS|2841814331|+|981993:982511", "2841813427|Ga0349638_01|CDS|2841814332|+|982542:983102", "2841813427|Ga0349638_01|CDS|2841814333|-|983299:983976", "2841813427|Ga0349638_01|CDS|2841814334|-|984029:984778", "2841813427|Ga0349638_01|CDS|2841814335|-|984860:985222", "2841813427|Ga0349638_01|CDS|2841814336|-|985228:986157", "2841813427|Ga0349638_01|CDS|2841814337|-|986157:986849", "2841813427|Ga0349638_01|CDS|2841814338|-|986856:989006", "2841813427|Ga0349638_01|CDS|2841814339|-|989047:989478", "2841813427|Ga0349638_01|CDS|2841814340|+|990128:991318", "2841813427|Ga0349638_01|CDS|2841814341|-|991874:992689", "2841813427|Ga0349638_01|CDS|2841814342|-|992796:994658", "2841813427|Ga0349638_01|CDS|2841814343|-|994685:995092", "2841813427|Ga0349638_01|CDS|2841814344|-|995153:997303", "2841813427|Ga0349638_01|CDS|2841814345|-|997360:999807", "2841813427|Ga0349638_01|CDS|2841814346|-|999804:1000397", "2841813427|Ga0349638_01|CDS|2841814347|+|1000444:1001604", "2841813427|Ga0349638_01|CDS|2841814348|+|1001604:1002278", "2841813427|Ga0349638_01|CDS|2841814349|+|1002319:1003653", "2841813427|Ga0349638_01|CDS|2841814350|+|1003667:1004410", "2841813427|Ga0349638_01|CDS|2841814351|+|1004549:1005724", "2841813427|Ga0349638_01|CDS|2841814352|+|1005751:1006335", "2841813427|Ga0349638_01|CDS|2841814353|+|1006338:1007105", "2841813427|Ga0349638_01|CDS|2841814354|+|1007102:1007338", "2841813427|Ga0349638_01|CDS|2841814355|+|1007351:1008754", "2841813427|Ga0349638_01|CDS|2841814356|-|1008791:1009558", "2841813427|Ga0349638_01|CDS|2841814357|+|1009649:1011775", "2841813427|Ga0349638_01|CDS|2841814358|+|1011837:1012682", "2841813427|Ga0349638_01|CDS|2841814359|+|1012782:1013045", "2841813427|Ga0349638_01|CDS|2841814360|+|1013035:1015077", "2841813427|Ga0349638_01|CDS|2841814361|+|1015083:1015220", "2841813427|Ga0349638_01|CDS|2841814362|+|1015292:1016674", "2841813427|Ga0349638_01|CDS|2841814363|+|1016726:1018096", "2841813427|Ga0349638_01|CDS|2841814364|-|1018153:1019343", "2841813427|Ga0349638_01|CDS|2841814365|-|1019462:1019701", "2841813427|Ga0349638_01|CDS|2841814366|-|1019714:1019911", "2841813427|Ga0349638_01|CDS|2841814367|+|1020103:1021014", "2841813427|Ga0349638_01|CDS|2841814368|-|1021087:1021596", "2841813427|Ga0349638_01|CDS|2841814369|+|1021743:1023983", "2841813427|Ga0349638_01|CDS|2841814370|+|1024017:1024661", "2841813427|Ga0349638_01|CDS|2841814371|+|1024818:1026146", "2841813427|Ga0349638_01|CDS|2841814372|+|1026137:1026421", "2841813427|Ga0349638_01|CDS|2841814373|+|1026433:1026762", "2841813427|Ga0349638_01|CDS|2841814374|+|1026755:1027498", "2841813427|Ga0349638_01|CDS|2841814375|+|1027761:1028195", "2841813427|Ga0349638_01|CDS|2841814376|+|1028211:1028759", "2841813427|Ga0349638_01|CDS|2841814377|+|1028756:1030108", "2841813427|Ga0349638_01|CDS|2841814378|+|1030224:1032143", "2841813427|Ga0349638_01|CDS|2841814379|+|1032296:1032478", "2841813427|Ga0349638_01|CDS|2841814380|+|1032611:1033651", "2841813427|Ga0349638_01|CDS|2841814381|+|1033684:1034196", "2841813427|Ga0349638_01|CDS|2841814382|+|1034258:1035925", "2841813427|Ga0349638_01|CDS|2841814383|-|1035906:1036526", "2841813427|Ga0349638_01|CDS|2841814384|-|1036510:1037490", "2841813427|Ga0349638_01|CDS|2841814385|+|1037536:1038255", "2841813427|Ga0349638_01|CDS|2841814386|+|1038327:1039070", "2841813427|Ga0349638_01|CDS|2841814387|+|1039077:1040147", "2841813427|Ga0349638_01|CDS|2841814388|+|1040165:1040380", "2841813427|Ga0349638_01|CDS|2841814389|-|1040420:1042414", "2841813427|Ga0349638_01|CDS|2841814390|-|1042562:1044055", "2841813427|Ga0349638_01|CDS|2841814391|-|1044048:1044434", "2841813427|Ga0349638_01|CDS|2841814392|-|1044533:1045909", "2841813427|Ga0349638_01|CDS|2841814393|-|1046038:1046655", "2841813427|Ga0349638_01|CDS|2841814394|-|1046659:1047237", "2841813427|Ga0349638_01|CDS|2841814395|+|1047307:1048161", "2841813427|Ga0349638_01|CDS|2841814396|-|1048164:1048637", "2841813427|Ga0349638_01|CDS|2841814397|-|1048638:1049732", "2841813427|Ga0349638_01|CDS|2841814398|-|1049797:1052247", "2841813427|Ga0349638_01|CDS|2841814399|-|1052249:1052782", "2841813427|Ga0349638_01|CDS|2841814400|+|1052922:1054838", "2841813427|Ga0349638_01|CDS|2841814401|+|1054933:1055331", "2841813427|Ga0349638_01|CDS|2841814402|+|1055360:1055617", "2841813427|Ga0349638_01|CDS|2841814403|+|1055763:1057880", "2841813427|Ga0349638_01|CDS|2841814404|+|1058010:1058354", "2841813427|Ga0349638_01|CDS|2841814405|-|1058351:1060516", "2841813427|Ga0349638_01|CDS|2841814406|-|1060536:1061300", "2841813427|Ga0349638_01|CDS|2841814407|+|1061441:1062475", "2841813427|Ga0349638_01|CDS|2841814408|+|1062542:1062763", "2841813427|Ga0349638_01|CDS|2841814409|+|1062855:1065926", "2841813427|Ga0349638_01|CDS|2841814410|+|1066157:1068310", "2841813427|Ga0349638_01|CDS|2841814411|+|1068359:1069558", "2841813427|Ga0349638_01|CDS|2841814412|+|1069572:1071689", "2841813427|Ga0349638_01|CDS|2841814413|+|1071748:1072947", "2841813427|Ga0349638_01|CDS|2841814414|+|1073206:1075266", "2841813427|Ga0349638_01|CDS|2841814415|+|1075276:1075908", "2841813427|Ga0349638_01|CDS|2841814416|+|1076047:1076694", "2841813427|Ga0349638_01|CDS|2841814417|-|1076801:1077256", "2841813427|Ga0349638_01|CDS|2841814418|-|1077256:1077873", "2841813427|Ga0349638_01|CDS|2841814419|-|1077874:1078635", "2841813427|Ga0349638_01|CDS|2841814420|+|1078910:1079377", "2841813427|Ga0349638_01|CDS|2841814421|+|1079367:1080260", "2841813427|Ga0349638_01|CDS|2841814422|+|1080280:1080651", "2841813427|Ga0349638_01|CDS|2841814423|+|1080629:1082626", "2841813427|Ga0349638_01|CDS|2841814424|+|1082626:1084086", "2841813427|Ga0349638_01|CDS|2841814425|+|1084094:1085323", "2841813427|Ga0349638_01|CDS|2841814426|+|1085325:1086704", "2841813427|Ga0349638_01|CDS|2841814427|+|1086716:1087942", "2841813427|Ga0349638_01|CDS|2841814428|+|1087929:1089044", "2841813427|Ga0349638_01|CDS|2841814429|+|1089097:1089390", "2841813427|Ga0349638_01|CDS|2841814430|+|1089518:1090876", "2841813427|Ga0349638_01|CDS|2841814431|+|1090962:1091339", "2841813427|Ga0349638_01|CDS|2841814432|+|1091427:1092326" ]
[ "2841813427|Ga0349638_01|IG|IG_000393|+|493004:493058", "2841813427|Ga0349638_01|IG|IG_000394|+|494853:494879", "2841813427|Ga0349638_01|IG|IG_000395|+|497874:498155", "2841813427|Ga0349638_01|IG|IG_000396|+|499405:499419", "2841813427|Ga0349638_01|IG|IG_000397|+|501861:502196", "2841813427|Ga0349638_01|IG|IG_000398|+|503886:503897", "2841813427|Ga0349638_01|IG|IG_000399|+|504564:504879", "2841813427|Ga0349638_01|IG|IG_000400|+|507534:507550", "2841813427|Ga0349638_01|IG|IG_000401|+|508757:508773", "2841813427|Ga0349638_01|IG|IG_000402|+|509488:509530", "2841813427|Ga0349638_01|IG|IG_000403|+|510485:510531", "2841813427|Ga0349638_01|IG|IG_000404|+|511633:511693", "2841813427|Ga0349638_01|IG|IG_000405|+|512528:512542", "2841813427|Ga0349638_01|IG|IG_000406|+|513737:513892", "2841813427|Ga0349638_01|IG|IG_000407|+|514673:514675", "2841813427|Ga0349638_01|IG|IG_000408|+|515498:515500", "2841813427|Ga0349638_01|IG|IG_000409|+|516679:516693", "2841813427|Ga0349638_01|IG|IG_000410|+|517459:517527", "2841813427|Ga0349638_01|IG|IG_000411|+|519166:519219", "2841813427|Ga0349638_01|IG|IG_000412|+|520501:520598", "2841813427|Ga0349638_01|IG|IG_000413|+|522550:522560", "2841813427|Ga0349638_01|IG|IG_000414|+|523299:523453", "2841813427|Ga0349638_01|IG|IG_000415|+|524321:524356", "2841813427|Ga0349638_01|IG|IG_000416|+|525512:525526", "2841813427|Ga0349638_01|IG|IG_000417|+|526019:526136", "2841813427|Ga0349638_01|IG|IG_000418|+|527097:527159", "2841813427|Ga0349638_01|IG|IG_000419|+|529818:529896", "2841813427|Ga0349638_01|IG|IG_000420|+|531004:531117", "2841813427|Ga0349638_01|IG|IG_000421|+|532129:532248", "2841813427|Ga0349638_01|IG|IG_000422|+|533413:533519", "2841813427|Ga0349638_01|IG|IG_000423|+|535947:535947", "2841813427|Ga0349638_01|IG|IG_000424|+|536914:537249", "2841813427|Ga0349638_01|IG|IG_000425|+|537673:537806", "2841813427|Ga0349638_01|IG|IG_000426|+|538617:538709", "2841813427|Ga0349638_01|IG|IG_000427|+|541311:541321", "2841813427|Ga0349638_01|IG|IG_000428|+|543077:543354", "2841813427|Ga0349638_01|IG|IG_000429|+|545128:545205", "2841813427|Ga0349638_01|IG|IG_000430|+|546532:546619", "2841813427|Ga0349638_01|IG|IG_000431|+|547646:547649", "2841813427|Ga0349638_01|IG|IG_000432|+|551497:551521", "2841813427|Ga0349638_01|IG|IG_000433|+|552269:552297", "2841813427|Ga0349638_01|IG|IG_000434|+|552595:552647", "2841813427|Ga0349638_01|IG|IG_000435|+|553386:553498", "2841813427|Ga0349638_01|IG|IG_000436|+|554966:555032", "2841813427|Ga0349638_01|IG|IG_000437|+|555492:555579", "2841813427|Ga0349638_01|IG|IG_000438|+|557203:557259", "2841813427|Ga0349638_01|IG|IG_000439|+|558073:558218", "2841813427|Ga0349638_01|IG|IG_000440|+|559929:559946", "2841813427|Ga0349638_01|IG|IG_000441|+|560532:560618", "2841813427|Ga0349638_01|IG|IG_000442|+|560964:561192", "2841813427|Ga0349638_01|IG|IG_000443|+|563473:563556", "2841813427|Ga0349638_01|IG|IG_000444|+|564481:564566", "2841813427|Ga0349638_01|IG|IG_000445|+|565854:566148", "2841813427|Ga0349638_01|IG|IG_000446|+|567067:567442", "2841813427|Ga0349638_01|IG|IG_000447|+|568730:568799", "2841813427|Ga0349638_01|IG|IG_000448|+|570096:570186", "2841813427|Ga0349638_01|IG|IG_000449|+|572074:572289", "2841813427|Ga0349638_01|IG|IG_000450|+|572449:572521", "2841813427|Ga0349638_01|IG|IG_000451|+|573035:573043", "2841813427|Ga0349638_01|IG|IG_000452|+|574040:574069", "2841813427|Ga0349638_01|IG|IG_000453|+|574676:574699", "2841813427|Ga0349638_01|IG|IG_000454|+|575090:575100", "2841813427|Ga0349638_01|IG|IG_000455|+|575479:575635", "2841813427|Ga0349638_01|IG|IG_000456|+|575852:575866", "2841813427|Ga0349638_01|IG|IG_000457|+|577118:577254", "2841813427|Ga0349638_01|IG|IG_000458|+|577705:577853", "2841813427|Ga0349638_01|IG|IG_000459|+|578034:578044", "2841813427|Ga0349638_01|IG|IG_000460|+|578567:578584", "2841813427|Ga0349638_01|IG|IG_000461|+|578942:578952", "2841813427|Ga0349638_01|IG|IG_000462|+|579499:579515", "2841813427|Ga0349638_01|IG|IG_000463|+|579915:580071", "2841813427|Ga0349638_01|IG|IG_000464|+|580342:580344", "2841813427|Ga0349638_01|IG|IG_000465|+|580897:580910", "2841813427|Ga0349638_01|IG|IG_000466|+|581232:581251", "2841813427|Ga0349638_01|IG|IG_000467|+|581875:581888", "2841813427|Ga0349638_01|IG|IG_000468|+|582075:582089", "2841813427|Ga0349638_01|IG|IG_000469|+|582516:582600", "2841813427|Ga0349638_01|IG|IG_000470|+|583330:583336", "2841813427|Ga0349638_01|IG|IG_000471|+|583742:583752", "2841813427|Ga0349638_01|IG|IG_000472|+|584032:584033", "2841813427|Ga0349638_01|IG|IG_000473|+|584856:584874", "2841813427|Ga0349638_01|IG|IG_000474|+|585166:585174", "2841813427|Ga0349638_01|IG|IG_000475|+|586422:586705", "2841813427|Ga0349638_01|IG|IG_000476|+|587990:588111", "2841813427|Ga0349638_01|IG|IG_000477|+|589042:589389", "2841813427|Ga0349638_01|IG|IG_000478|+|590860:590936", "2841813427|Ga0349638_01|IG|IG_000479|+|591420:591434", "2841813427|Ga0349638_01|IG|IG_000480|+|593631:593635", "2841813427|Ga0349638_01|IG|IG_000481|+|594608:594757", "2841813427|Ga0349638_01|IG|IG_000482|+|597887:598015", "2841813427|Ga0349638_01|IG|IG_000483|+|598988:598996", "2841813427|Ga0349638_01|IG|IG_000484|+|601505:601602", "2841813427|Ga0349638_01|IG|IG_000485|+|602164:602189", "2841813427|Ga0349638_01|IG|IG_000486|+|602763:602923", "2841813427|Ga0349638_01|IG|IG_000487|+|605903:606070", "2841813427|Ga0349638_01|IG|IG_000488|+|606785:606896", "2841813427|Ga0349638_01|IG|IG_000489|+|607203:607220", "2841813427|Ga0349638_01|IG|IG_000490|+|609339:609346", "2841813427|Ga0349638_01|IG|IG_000491|+|609824:609845", "2841813427|Ga0349638_01|IG|IG_000492|+|610254:610403", "2841813427|Ga0349638_01|IG|IG_000493|+|611367:611607", "2841813427|Ga0349638_01|IG|IG_000494|+|612085:612221", "2841813427|Ga0349638_01|IG|IG_000495|+|613410:613414", "2841813427|Ga0349638_01|IG|IG_000496|+|613877:613928", "2841813427|Ga0349638_01|IG|IG_000497|+|614418:614537", "2841813427|Ga0349638_01|IG|IG_000498|+|616461:616533", "2841813427|Ga0349638_01|IG|IG_000499|+|617299:617416", "2841813427|Ga0349638_01|IG|IG_000500|+|617684:617865", "2841813427|Ga0349638_01|IG|IG_000501|+|620083:620222", "2841813427|Ga0349638_01|IG|IG_000502|+|621588:621875", "2841813427|Ga0349638_01|IG|IG_000503|+|623397:623468", "2841813427|Ga0349638_01|IG|IG_000504|+|625125:625138", "2841813427|Ga0349638_01|IG|IG_000505|+|627908:628056", "2841813427|Ga0349638_01|IG|IG_000506|+|629725:629742", "2841813427|Ga0349638_01|IG|IG_000507|+|632539:632685", "2841813427|Ga0349638_01|IG|IG_000508|+|633127:633132", "2841813427|Ga0349638_01|IG|IG_000509|+|633514:633539", "2841813427|Ga0349638_01|IG|IG_000510|+|635967:636191", "2841813427|Ga0349638_01|IG|IG_000511|+|637491:637693", "2841813427|Ga0349638_01|IG|IG_000512|+|637949:638751", "2841813427|Ga0349638_01|IG|IG_000513|+|638929:638946", "2841813427|Ga0349638_01|IG|IG_000514|+|640660:640835", "2841813427|Ga0349638_01|IG|IG_000515|+|641598:641700", "2841813427|Ga0349638_01|IG|IG_000516|+|644992:645622", "2841813427|Ga0349638_01|IG|IG_000517|+|646565:646578", "2841813427|Ga0349638_01|IG|IG_000518|+|648334:648338", "2841813427|Ga0349638_01|IG|IG_000519|+|649695:649756", "2841813427|Ga0349638_01|IG|IG_000520|+|651647:651988", "2841813427|Ga0349638_01|IG|IG_000521|+|653483:653497", "2841813427|Ga0349638_01|IG|IG_000522|+|656417:656903", "2841813427|Ga0349638_01|IG|IG_000523|+|657315:657395", "2841813427|Ga0349638_01|IG|IG_000524|+|658980:659074", "2841813427|Ga0349638_01|IG|IG_000525|+|659987:660043", "2841813427|Ga0349638_01|IG|IG_000526|+|660920:660977", "2841813427|Ga0349638_01|IG|IG_000527|+|662880:662917", "2841813427|Ga0349638_01|IG|IG_000528|+|664511:664519", "2841813427|Ga0349638_01|IG|IG_000529|+|666461:666665", "2841813427|Ga0349638_01|IG|IG_000530|+|668097:668107", "2841813427|Ga0349638_01|IG|IG_000531|+|671036:671163", "2841813427|Ga0349638_01|IG|IG_000532|+|671317:671512", "2841813427|Ga0349638_01|IG|IG_000533|+|671720:671808", "2841813427|Ga0349638_01|IG|IG_000534|+|672208:672568", "2841813427|Ga0349638_01|IG|IG_000535|+|672921:673031", "2841813427|Ga0349638_01|IG|IG_000536|+|674436:674446", "2841813427|Ga0349638_01|IG|IG_000537|+|677282:677765", "2841813427|Ga0349638_01|IG|IG_000538|+|678417:679160", "2841813427|Ga0349638_01|IG|IG_000539|+|680694:680709", "2841813427|Ga0349638_01|IG|IG_000540|+|683653:683886", "2841813427|Ga0349638_01|IG|IG_000541|+|684562:684618", "2841813427|Ga0349638_01|IG|IG_000542|+|686026:686037", "2841813427|Ga0349638_01|IG|IG_000543|+|688861:689100", "2841813427|Ga0349638_01|IG|IG_000544|+|689758:689805", "2841813427|Ga0349638_01|IG|IG_000545|+|691363:691376", "2841813427|Ga0349638_01|IG|IG_000546|+|694233:694702", "2841813427|Ga0349638_01|IG|IG_000547|+|697586:697651", "2841813427|Ga0349638_01|IG|IG_000548|+|698900:698921", "2841813427|Ga0349638_01|IG|IG_000549|+|699390:699621", "2841813427|Ga0349638_01|IG|IG_000550|+|701731:701798", "2841813427|Ga0349638_01|IG|IG_000551|+|703119:703278", "2841813427|Ga0349638_01|IG|IG_000552|+|703942:704047", "2841813427|Ga0349638_01|IG|IG_000553|+|704285:704571", "2841813427|Ga0349638_01|IG|IG_000554|+|706165:706172", "2841813427|Ga0349638_01|IG|IG_000555|+|706737:706762", "2841813427|Ga0349638_01|IG|IG_000556|+|710081:710440", "2841813427|Ga0349638_01|IG|IG_000557|+|710789:711012", "2841813427|Ga0349638_01|IG|IG_000558|+|712528:712561", "2841813427|Ga0349638_01|IG|IG_000559|+|713798:714012", "2841813427|Ga0349638_01|IG|IG_000560|+|716058:716107", "2841813427|Ga0349638_01|IG|IG_000561|+|717686:717686", "2841813427|Ga0349638_01|IG|IG_000562|+|718527:718527", "2841813427|Ga0349638_01|IG|IG_000563|+|719395:719473", "2841813427|Ga0349638_01|IG|IG_000564|+|722159:722545", "2841813427|Ga0349638_01|IG|IG_000565|+|725129:725274", "2841813427|Ga0349638_01|IG|IG_000566|+|727885:727973", "2841813427|Ga0349638_01|IG|IG_000567|+|728415:728541", "2841813427|Ga0349638_01|IG|IG_000568|+|729532:729602", "2841813427|Ga0349638_01|IG|IG_000569|+|730602:730702", "2841813427|Ga0349638_01|IG|IG_000570|+|731723:731740", "2841813427|Ga0349638_01|IG|IG_000571|+|732104:732199", "2841813427|Ga0349638_01|IG|IG_000572|+|732602:732604", "2841813427|Ga0349638_01|IG|IG_000573|+|733319:733330", "2841813427|Ga0349638_01|IG|IG_000574|+|734063:734121", "2841813427|Ga0349638_01|IG|IG_000575|+|734590:735305", "2841813427|Ga0349638_01|IG|IG_000576|+|736710:736725", "2841813427|Ga0349638_01|IG|IG_000577|+|739570:739950", "2841813427|Ga0349638_01|IG|IG_000578|+|742375:742377", "2841813427|Ga0349638_01|IG|IG_000579|+|743851:743919", "2841813427|Ga0349638_01|IG|IG_000580|+|744523:744552", "2841813427|Ga0349638_01|IG|IG_000581|+|744667:744764", "2841813427|Ga0349638_01|IG|IG_000582|+|745014:745692", "2841813427|Ga0349638_01|IG|IG_000583|+|746887:746957", "2841813427|Ga0349638_01|IG|IG_000584|+|747381:747485", "2841813427|Ga0349638_01|IG|IG_000585|+|749025:749034", "2841813427|Ga0349638_01|IG|IG_000586|+|751948:752125", "2841813427|Ga0349638_01|IG|IG_000587|+|753257:753263", "2841813427|Ga0349638_01|IG|IG_000588|+|754008:754053", "2841813427|Ga0349638_01|IG|IG_000589|+|754651:754776", "2841813427|Ga0349638_01|IG|IG_000590|+|756058:756259", "2841813427|Ga0349638_01|IG|IG_000591|+|757214:757311", "2841813427|Ga0349638_01|IG|IG_000592|+|758806:758932", "2841813427|Ga0349638_01|IG|IG_000593|+|760132:760153", "2841813427|Ga0349638_01|IG|IG_000594|+|761084:761084", "2841813427|Ga0349638_01|IG|IG_000595|+|761595:761760", "2841813427|Ga0349638_01|IG|IG_000596|+|762388:762537", "2841813427|Ga0349638_01|IG|IG_000597|+|762991:762993", "2841813427|Ga0349638_01|IG|IG_000598|+|764824:764843", "2841813427|Ga0349638_01|IG|IG_000599|+|766089:766117", "2841813427|Ga0349638_01|IG|IG_000600|+|767060:767149", "2841813427|Ga0349638_01|IG|IG_000601|+|768355:768523", "2841813427|Ga0349638_01|IG|IG_000602|+|770633:771013", "2841813427|Ga0349638_01|IG|IG_000603|+|771740:771802", "2841813427|Ga0349638_01|IG|IG_000604|+|772495:772658", "2841813427|Ga0349638_01|IG|IG_000605|+|774924:775010", "2841813427|Ga0349638_01|IG|IG_000606|+|775479:775502", "2841813427|Ga0349638_01|IG|IG_000607|+|775797:776047", "2841813427|Ga0349638_01|IG|IG_000608|+|776387:776390", "2841813427|Ga0349638_01|IG|IG_000609|+|776943:776993", "2841813427|Ga0349638_01|IG|IG_000610|+|777681:777682", "2841813427|Ga0349638_01|IG|IG_000611|+|778709:778900", "2841813427|Ga0349638_01|IG|IG_000612|+|781028:781104", "2841813427|Ga0349638_01|IG|IG_000613|+|783253:783396", "2841813427|Ga0349638_01|IG|IG_000614|+|785566:785636", "2841813427|Ga0349638_01|IG|IG_000615|+|787427:787611", "2841813427|Ga0349638_01|IG|IG_000616|+|789467:789703", "2841813427|Ga0349638_01|IG|IG_000617|+|791778:791901", "2841813427|Ga0349638_01|IG|IG_000618|+|794601:794797", "2841813427|Ga0349638_01|IG|IG_000619|+|795479:795481", "2841813427|Ga0349638_01|IG|IG_000620|+|796298:796324", "2841813427|Ga0349638_01|IG|IG_000621|+|797039:797139", "2841813427|Ga0349638_01|IG|IG_000622|+|799528:799656", "2841813427|Ga0349638_01|IG|IG_000623|+|799873:799884", "2841813427|Ga0349638_01|IG|IG_000624|+|802159:802171", "2841813427|Ga0349638_01|IG|IG_000625|+|802370:802380", "2841813427|Ga0349638_01|IG|IG_000626|+|803275:803316", "2841813427|Ga0349638_01|IG|IG_000627|+|804772:804773", "2841813427|Ga0349638_01|IG|IG_000628|+|805979:806054", "2841813427|Ga0349638_01|IG|IG_000629|+|807321:807402", "2841813427|Ga0349638_01|IG|IG_000630|+|807874:807943", "2841813427|Ga0349638_01|IG|IG_000631|+|808433:808449", "2841813427|Ga0349638_01|IG|IG_000632|+|809236:809309", "2841813427|Ga0349638_01|IG|IG_000633|+|810639:810652", "2841813427|Ga0349638_01|IG|IG_000634|+|811601:811716", "2841813427|Ga0349638_01|IG|IG_000635|+|813871:814124", "2841813427|Ga0349638_01|IG|IG_000636|+|816525:816622", "2841813427|Ga0349638_01|IG|IG_000637|+|816992:817218", "2841813427|Ga0349638_01|IG|IG_000638|+|818149:818256", "2841813427|Ga0349638_01|IG|IG_000639|+|819901:819935", "2841813427|Ga0349638_01|IG|IG_000640|+|820821:820825", "2841813427|Ga0349638_01|IG|IG_000641|+|821456:821548", "2841813427|Ga0349638_01|IG|IG_000642|+|823072:823074", "2841813427|Ga0349638_01|IG|IG_000643|+|823729:823766", "2841813427|Ga0349638_01|IG|IG_000644|+|824064:824125", "2841813427|Ga0349638_01|IG|IG_000645|+|824933:825096", "2841813427|Ga0349638_01|IG|IG_000646|+|825646:825646", "2841813427|Ga0349638_01|IG|IG_000647|+|826634:826740", "2841813427|Ga0349638_01|IG|IG_000648|+|828497:828523", "2841813427|Ga0349638_01|IG|IG_000649|+|829916:830448", "2841813427|Ga0349638_01|IG|IG_000650|+|830752:830802", "2841813427|Ga0349638_01|IG|IG_000651|+|831001:831010", "2841813427|Ga0349638_01|IG|IG_000652|+|833135:833299", "2841813427|Ga0349638_01|IG|IG_000653|+|833459:833592", "2841813427|Ga0349638_01|IG|IG_000654|+|834679:834815", "2841813427|Ga0349638_01|IG|IG_000655|+|835092:835186", "2841813427|Ga0349638_01|IG|IG_000656|+|836795:836838", "2841813427|Ga0349638_01|IG|IG_000657|+|836956:836988", "2841813427|Ga0349638_01|IG|IG_000658|+|837577:837633", "2841813427|Ga0349638_01|IG|IG_000659|+|838624:838750", "2841813427|Ga0349638_01|IG|IG_000660|+|840146:840176", "2841813427|Ga0349638_01|IG|IG_000661|+|841044:841141", "2841813427|Ga0349638_01|IG|IG_000662|+|841850:841902", "2841813427|Ga0349638_01|IG|IG_000663|+|843373:843427", "2841813427|Ga0349638_01|IG|IG_000664|+|844376:844437", "2841813427|Ga0349638_01|IG|IG_000665|+|846091:846114", "2841813427|Ga0349638_01|IG|IG_000666|+|847327:847414", "2841813427|Ga0349638_01|IG|IG_000667|+|847739:847763", "2841813427|Ga0349638_01|IG|IG_000668|+|848781:848958", "2841813427|Ga0349638_01|IG|IG_000669|+|850537:850588", "2841813427|Ga0349638_01|IG|IG_000670|+|851678:851701", "2841813427|Ga0349638_01|IG|IG_000671|+|853638:853727", "2841813427|Ga0349638_01|IG|IG_000672|+|855083:855105", "2841813427|Ga0349638_01|IG|IG_000673|+|855457:855499", "2841813427|Ga0349638_01|IG|IG_000674|+|856112:856168", "2841813427|Ga0349638_01|IG|IG_000675|+|857819:857820", "2841813427|Ga0349638_01|IG|IG_000676|+|860354:860419", "2841813427|Ga0349638_01|IG|IG_000677|+|860783:860789", "2841813427|Ga0349638_01|IG|IG_000678|+|862227:862475", "2841813427|Ga0349638_01|IG|IG_000679|+|863301:863412", "2841813427|Ga0349638_01|IG|IG_000680|+|865546:865614", "2841813427|Ga0349638_01|IG|IG_000681|+|867618:867659", "2841813427|Ga0349638_01|IG|IG_000682|+|868728:868739", "2841813427|Ga0349638_01|IG|IG_000683|+|869686:869744", "2841813427|Ga0349638_01|IG|IG_000684|+|870639:870793", "2841813427|Ga0349638_01|IG|IG_000685|+|871244:871275", "2841813427|Ga0349638_01|IG|IG_000686|+|871897:872169", "2841813427|Ga0349638_01|IG|IG_000687|+|872908:873300", "2841813427|Ga0349638_01|IG|IG_000688|+|875110:875211", "2841813427|Ga0349638_01|IG|IG_000689|+|876751:876785", "2841813427|Ga0349638_01|IG|IG_000690|+|879165:879172", "2841813427|Ga0349638_01|IG|IG_000691|+|881047:881310", "2841813427|Ga0349638_01|IG|IG_000692|+|882550:882560", "2841813427|Ga0349638_01|IG|IG_000693|+|884043:884125", "2841813427|Ga0349638_01|IG|IG_000694|+|885068:885124", "2841813427|Ga0349638_01|IG|IG_000695|+|885737:885833", "2841813427|Ga0349638_01|IG|IG_000696|+|887157:887216", "2841813427|Ga0349638_01|IG|IG_000697|+|888141:888203", "2841813427|Ga0349638_01|IG|IG_000698|+|888858:888860", "2841813427|Ga0349638_01|IG|IG_000699|+|889722:889787", "2841813427|Ga0349638_01|IG|IG_000700|+|890859:890919", "2841813427|Ga0349638_01|IG|IG_000701|+|891778:891851", "2841813427|Ga0349638_01|IG|IG_000702|+|892299:892303", "2841813427|Ga0349638_01|IG|IG_000703|+|892988:893162", "2841813427|Ga0349638_01|IG|IG_000704|+|894567:894575", "2841813427|Ga0349638_01|IG|IG_000705|+|894957:894993", "2841813427|Ga0349638_01|IG|IG_000706|+|896716:896893", "2841813427|Ga0349638_01|IG|IG_000707|+|898436:898620", "2841813427|Ga0349638_01|IG|IG_000708|+|900397:900401", "2841813427|Ga0349638_01|IG|IG_000709|+|902147:902197", "2841813427|Ga0349638_01|IG|IG_000710|+|902612:902782", "2841813427|Ga0349638_01|IG|IG_000711|+|904391:904462", "2841813427|Ga0349638_01|IG|IG_000712|+|905684:905688", "2841813427|Ga0349638_01|IG|IG_000713|+|906211:906218", "2841813427|Ga0349638_01|IG|IG_000714|+|906537:906547", "2841813427|Ga0349638_01|IG|IG_000715|+|907853:907905", "2841813427|Ga0349638_01|IG|IG_000716|+|908644:908676", "2841813427|Ga0349638_01|IG|IG_000717|+|910126:910194", "2841813427|Ga0349638_01|IG|IG_000718|+|910525:910547", "2841813427|Ga0349638_01|IG|IG_000719|+|910671:910755", "2841813427|Ga0349638_01|IG|IG_000720|+|911485:911551", "2841813427|Ga0349638_01|IG|IG_000721|+|912359:912383", "2841813427|Ga0349638_01|IG|IG_000722|+|913182:913287", "2841813427|Ga0349638_01|IG|IG_000723|+|913741:913856", "2841813427|Ga0349638_01|IG|IG_000724|+|915099:915429", "2841813427|Ga0349638_01|IG|IG_000725|+|918319:918322", "2841813427|Ga0349638_01|IG|IG_000726|+|919946:919983", "2841813427|Ga0349638_01|IG|IG_000727|+|920206:920438", "2841813427|Ga0349638_01|IG|IG_000728|+|922287:922290", "2841813427|Ga0349638_01|IG|IG_000729|+|923050:923050", "2841813427|Ga0349638_01|IG|IG_000730|+|924628:924832", "2841813427|Ga0349638_01|IG|IG_000731|+|926994:926996", "2841813427|Ga0349638_01|IG|IG_000732|+|928678:929141", "2841813427|Ga0349638_01|IG|IG_000733|+|930150:930227", "2841813427|Ga0349638_01|IG|IG_000734|+|931710:931740", "2841813427|Ga0349638_01|IG|IG_000735|+|933070:933104", "2841813427|Ga0349638_01|IG|IG_000736|+|934788:934874", "2841813427|Ga0349638_01|IG|IG_000737|+|936912:937013", "2841813427|Ga0349638_01|IG|IG_000738|+|938199:938200", "2841813427|Ga0349638_01|IG|IG_000739|+|938726:938769", "2841813427|Ga0349638_01|IG|IG_000740|+|939160:939419", "2841813427|Ga0349638_01|IG|IG_000741|+|940932:940993", "2841813427|Ga0349638_01|IG|IG_000742|+|941378:941931", "2841813427|Ga0349638_01|IG|IG_000743|+|942316:942380", "2841813427|Ga0349638_01|IG|IG_000744|+|943521:943541", "2841813427|Ga0349638_01|IG|IG_000745|+|944589:944599", "2841813427|Ga0349638_01|IG|IG_000746|+|945956:945967", "2841813427|Ga0349638_01|IG|IG_000747|+|948719:948856", "2841813427|Ga0349638_01|IG|IG_000748|+|950324:950351", "2841813427|Ga0349638_01|IG|IG_000749|+|951948:952422", "2841813427|Ga0349638_01|IG|IG_000750|+|953434:953463", "2841813427|Ga0349638_01|IG|IG_000751|+|956794:956794", "2841813427|Ga0349638_01|IG|IG_000752|+|958025:958347", "2841813427|Ga0349638_01|IG|IG_000753|+|958996:959240", "2841813427|Ga0349638_01|IG|IG_000754|+|961464:961567", "2841813427|Ga0349638_01|IG|IG_000755|+|961688:961916", "2841813427|Ga0349638_01|IG|IG_000756|+|963255:963358", "2841813427|Ga0349638_01|IG|IG_000757|+|963824:963860", "2841813427|Ga0349638_01|IG|IG_000758|+|964311:964382", "2841813427|Ga0349638_01|IG|IG_000759|+|965148:965381", "2841813427|Ga0349638_01|IG|IG_000760|+|966264:966300", "2841813427|Ga0349638_01|IG|IG_000761|+|968023:968081", "2841813427|Ga0349638_01|IG|IG_000762|+|969357:969473", "2841813427|Ga0349638_01|IG|IG_000763|+|970802:970813", "2841813427|Ga0349638_01|IG|IG_000764|+|971697:971701", "2841813427|Ga0349638_01|IG|IG_000765|+|972611:972617", "2841813427|Ga0349638_01|IG|IG_000766|+|973593:973824", "2841813427|Ga0349638_01|IG|IG_000767|+|974509:974590", "2841813427|Ga0349638_01|IG|IG_000768|+|975041:975150", "2841813427|Ga0349638_01|IG|IG_000769|+|975797:975833", "2841813427|Ga0349638_01|IG|IG_000770|+|977631:977807", "2841813427|Ga0349638_01|IG|IG_000771|+|978845:978861", "2841813427|Ga0349638_01|IG|IG_000772|+|979420:979538", "2841813427|Ga0349638_01|IG|IG_000773|+|980754:980839", "2841813427|Ga0349638_01|IG|IG_000774|+|981215:981250", "2841813427|Ga0349638_01|IG|IG_000775|+|982512:982541", "2841813427|Ga0349638_01|IG|IG_000776|+|983103:983298", "2841813427|Ga0349638_01|IG|IG_000777|+|983977:984028", "2841813427|Ga0349638_01|IG|IG_000778|+|984779:984859", "2841813427|Ga0349638_01|IG|IG_000779|+|985223:985227", "2841813427|Ga0349638_01|IG|IG_000780|+|986850:986855", "2841813427|Ga0349638_01|IG|IG_000781|+|989007:989046", "2841813427|Ga0349638_01|IG|IG_000782|+|989479:990127", "2841813427|Ga0349638_01|IG|IG_000783|+|991319:991873", "2841813427|Ga0349638_01|IG|IG_000784|+|992690:992795", "2841813427|Ga0349638_01|IG|IG_000785|+|994659:994684", "2841813427|Ga0349638_01|IG|IG_000786|+|995093:995152", "2841813427|Ga0349638_01|IG|IG_000787|+|997304:997359", "2841813427|Ga0349638_01|IG|IG_000788|+|1000398:1000443", "2841813427|Ga0349638_01|IG|IG_000789|+|1002279:1002318", "2841813427|Ga0349638_01|IG|IG_000790|+|1003654:1003666", "2841813427|Ga0349638_01|IG|IG_000791|+|1004411:1004548", "2841813427|Ga0349638_01|IG|IG_000792|+|1005725:1005750", "2841813427|Ga0349638_01|IG|IG_000793|+|1006336:1006337", "2841813427|Ga0349638_01|IG|IG_000794|+|1007339:1007350", "2841813427|Ga0349638_01|IG|IG_000795|+|1008755:1008790", "2841813427|Ga0349638_01|IG|IG_000796|+|1009559:1009648", "2841813427|Ga0349638_01|IG|IG_000797|+|1011776:1011836", "2841813427|Ga0349638_01|IG|IG_000798|+|1012683:1012781", "2841813427|Ga0349638_01|IG|IG_000799|+|1015078:1015082", "2841813427|Ga0349638_01|IG|IG_000800|+|1015221:1015291", "2841813427|Ga0349638_01|IG|IG_000801|+|1016675:1016725", "2841813427|Ga0349638_01|IG|IG_000802|+|1018097:1018152", "2841813427|Ga0349638_01|IG|IG_000803|+|1019344:1019461", "2841813427|Ga0349638_01|IG|IG_000804|+|1019702:1019713", "2841813427|Ga0349638_01|IG|IG_000805|+|1019912:1020102", "2841813427|Ga0349638_01|IG|IG_000806|+|1021015:1021086", "2841813427|Ga0349638_01|IG|IG_000807|+|1021597:1021742", "2841813427|Ga0349638_01|IG|IG_000808|+|1023984:1024016", "2841813427|Ga0349638_01|IG|IG_000809|+|1024662:1024817", "2841813427|Ga0349638_01|IG|IG_000810|+|1026422:1026432", "2841813427|Ga0349638_01|IG|IG_000811|+|1027499:1027760", "2841813427|Ga0349638_01|IG|IG_000812|+|1028196:1028210", "2841813427|Ga0349638_01|IG|IG_000813|+|1030109:1030223", "2841813427|Ga0349638_01|IG|IG_000814|+|1032144:1032295", "2841813427|Ga0349638_01|IG|IG_000815|+|1032479:1032610", "2841813427|Ga0349638_01|IG|IG_000816|+|1033652:1033683", "2841813427|Ga0349638_01|IG|IG_000817|+|1034197:1034257", "2841813427|Ga0349638_01|IG|IG_000818|+|1037491:1037535", "2841813427|Ga0349638_01|IG|IG_000819|+|1038256:1038326", "2841813427|Ga0349638_01|IG|IG_000820|+|1039071:1039076", "2841813427|Ga0349638_01|IG|IG_000821|+|1040148:1040164", "2841813427|Ga0349638_01|IG|IG_000822|+|1040381:1040419", "2841813427|Ga0349638_01|IG|IG_000823|+|1042415:1042561", "2841813427|Ga0349638_01|IG|IG_000824|+|1044435:1044532", "2841813427|Ga0349638_01|IG|IG_000825|+|1045910:1046037", "2841813427|Ga0349638_01|IG|IG_000826|+|1046656:1046658", "2841813427|Ga0349638_01|IG|IG_000827|+|1047238:1047306", "2841813427|Ga0349638_01|IG|IG_000828|+|1048162:1048163", "2841813427|Ga0349638_01|IG|IG_000829|+|1049733:1049796", "2841813427|Ga0349638_01|IG|IG_000830|+|1052248:1052248", "2841813427|Ga0349638_01|IG|IG_000831|+|1052783:1052921", "2841813427|Ga0349638_01|IG|IG_000832|+|1054839:1054932", "2841813427|Ga0349638_01|IG|IG_000833|+|1055332:1055359", "2841813427|Ga0349638_01|IG|IG_000834|+|1055618:1055762", "2841813427|Ga0349638_01|IG|IG_000835|+|1057881:1058009", "2841813427|Ga0349638_01|IG|IG_000836|+|1060517:1060535", "2841813427|Ga0349638_01|IG|IG_000837|+|1061301:1061440", "2841813427|Ga0349638_01|IG|IG_000838|+|1062476:1062541", "2841813427|Ga0349638_01|IG|IG_000839|+|1062764:1062854", "2841813427|Ga0349638_01|IG|IG_000840|+|1065927:1066156", "2841813427|Ga0349638_01|IG|IG_000841|+|1068311:1068358", "2841813427|Ga0349638_01|IG|IG_000842|+|1069559:1069571", "2841813427|Ga0349638_01|IG|IG_000843|+|1071690:1071747", "2841813427|Ga0349638_01|IG|IG_000844|+|1072948:1073205", "2841813427|Ga0349638_01|IG|IG_000845|+|1075267:1075275", "2841813427|Ga0349638_01|IG|IG_000846|+|1075909:1076046", "2841813427|Ga0349638_01|IG|IG_000847|+|1076695:1076800", "2841813427|Ga0349638_01|IG|IG_000848|+|1078636:1078909", "2841813427|Ga0349638_01|IG|IG_000849|+|1080261:1080279", "2841813427|Ga0349638_01|IG|IG_000850|+|1084087:1084093", "2841813427|Ga0349638_01|IG|IG_000851|+|1085324:1085324", "2841813427|Ga0349638_01|IG|IG_000852|+|1086705:1086715", "2841813427|Ga0349638_01|IG|IG_000853|+|1089045:1089096", "2841813427|Ga0349638_01|IG|IG_000854|+|1089391:1089517", "2841813427|Ga0349638_01|IG|IG_000855|+|1090877:1090961", "2841813427|Ga0349638_01|IG|IG_000856|+|1091340:1091426" ]
[ "MKRRKFLAKVPLAASALMLGGTATNLLAATQKDKNINLKKKLLLTVAHITDVHLPADPKVMERFVKCLKEVKTKKIDFFLNTGDSIMAVDRDNSTRQEVYDQWNAWDSCIKEIADYDMYSCVGNHDIWWAGGKSDEMYGVPYAAKRLKMPNRYYSAKKGKWHFIMLDGNNSGVTLDPEQMNWLKQELENIPQGEYALIMSHYPILTVTGTFEGGQHKDHVALKDLFYKHKDKVKGCLSGHQHLLDRAWYNDVYYFCNGAMSGFWWGEGDKRSAKPFYYQETPPGYAILKFYDDGTFENEYIVHHY", "MKLNTYISTGVLAVLASLSLMSCESDFLQKDPITDVTKENFFNNPQDLETYANGFYKNISAPYSDVFSDNISVYTGASNTDNLLRGSLTPANVDGWDWKQLRSINYMIENSGKATGDQSLIRHYKGVAKFFRANFYFNMVKKYGDVPWYSNTIGSTDEAMLYKAKDPRALVMDSVMSDLEYASANVLPAKTNNTMITKWAALTLLSRVALYEGTFRKYHDELGLQNTSAKFLNRAITASQDIISNGGFSIYNTGKGAEDFRALFSSNNLAGNKEVIFLQKNNKDQGVSNNTHTVLGWQWALNGSLADEFLMKDGTPFTSVQDYDKKAFTEVFANRDPRMAETIMPPGYATIPNGDPYMLQPAFGGYLQIKFYPRDPSLRGGWELNYTDLPIYRYAEVLLINAEAKAELGTLTQGDLDNTINLLRRRVKMPDLSMLTANAAPDNYLAKQYPNINGGNRGVLLEIRRERRVELACEDKRLDDLFRWKSGVLLGQASKGIYVPALGAMDVTGDGKPDIAILEAPGKEDPLSSIPADIRAKLTKFYISDGVFYLSGGTSGNIMFEKDRRLPRTFVDPKYYYLPIPASQLILNPKLKQSPGW", "MRRSSIITQSSLKVAIAFFLCTSYTAIAQQIPLKKENSKKTDTLSRSKDIDEVVVVGFGKQKKVNLTGAVDMVSAKKLENRPITNIGAGLQGLIPNLNITIDNGRATSAANFNVRGFTSVNGGNPLILVDNVPYTGEDLSRLNPADIESVSVLKDAASAAIYGARAAFGVVLITTKSAKGGQLNVSVNTNTAYRTVGKLPELVIDPLTVMQYKHDAATPLYNLFPENEREYARQIANNPNLPRVILSPSDPNSWAYYGSTNWLKEAYNDTAPTFTNNISISRKLDKVGYLLSGEYYRQDGMLRYGNDIYNRYNMRGKVDLNINPWLDLSTNTAFTFSDYDSPVFIDDLFFWNVNRTPSLSVPKNPDGTWTKDGASILGRLQDGGRSKNEYRQTQISFAANASIIKKVWDLKAEATFRNTSSITRSYDIPVTYKTGPMQPISYTGSTTSWARNENMTGKYYVYNVYTDFHKNFGSHYVQLLGGFNQEYNRYGYSSAKRNNIISTSLPSIGLSTGTMEETERIIEWALQGIYYRAAYNFKNKYLLELNGRYDGSSRFPKGHRWGFFPSASAGWVLSDENFFAGAKNTIGLNLLKFRGSYGSLGNQDLIDKNKNTIAYPYIPTMTTGTVGQILGDGRPTAVYAPGAVSDNFTWEKVSTVNFGADLAFFNNRLQLNFDKYTRYTKDMLIPGKILPNVFGASEPKVNAGDLKTKGWEFRLGWNDQFKLAGSPFRYNVAFTLADSRSYITRFDNPAKLLSGYYVGQEIGEIWGAEIEGFFKDEADIKNHPNQTAMGTDDQSYRFYPGDPKFRDRNGDGKVDMGDKTVNNPGDLYVVGNTSARFPFSLDLSGEWKGIDLRIFLQGVGKRDWYPGAGTIYFWGVYAQPWTNVTQQNLDHWTPDNPNGYFPAVRAYTAEDDMQQLGIPNKRYMQDASYVRVKNVTIGYTLPLKETSKINFNKIRFYFSAENIFEISHLKVKLDPETLGQAAYPFQRTYSFGMNLNF", "MEDYIITVGKRIKQIRKDKKLTINDIAQRADVSNGLISRVENGRTIPSLPVLFSLISALETDIALFFKDLPVQSKNPFLVFRKEEMRLIEKEENAEGFIYQHIFSKQFPFVGFECVLLEVHPNSKREQVVTDAFEFKFIISGECTYIIGEEEVLLKQGDSIFFDGRIPHVPVNKGNLSSTMLVVYFYINPE", "MERKIKLVVFDMAGTTIDEDNLVYKTVRKAVNEYGYNVSLEEVLQYGAGKEKQQAIKDVLENCTVEQNTEQQAEIIFTYFQKALEQAYDEVQVKPVKGVPELFTRLRSKGIKIALNTGYDSKTANKLLQQVGWENGRDVDAVITAEDVLNGRPHPDMIYKAMKDLTIDDSQFVLKAGDSEIDIEEGKNAGCGITIGVLSGAQTREQLQAANPDYILDSLAELDHIIPG", "MENEFDLIVIGGGVLGTFHAYHALEKGLKVAVLEKDKAPQSATTRNFGQVVPSGMDTKWQNYGRESLQIYKELQSKFDITVRQNGSVYFASNDEEVALIEELYQINKNNDYSSVLLTKEECLAKYPGLRTDYVKAGLFFPEEVTVEPRTMIHRLQAYLVQEKELKLLTYQQVIHCSVQNGKAEVLTSFGNKLLAHNVIICNGSEFKTLYPELFAQSDLEVTKLQMLQTVPQPSDYILPGSVLTGLSIRRYESFHECPSFNEIKSREEKDTPEKKWGVHILFKQAADGSVIIGDSHEYADVKDMDDLGYDIKEGINAFMLAEARKIVTLPTYEIQRQWFGIYSQCKNHDVFEHSIDGQIHIVTGIGGKGMTGSAGYSKENINKLLM", "MKSAQENKTKQFTFLLYSSIAAFGAYFCMYAFRKPFTVARYDHLQVWGVDYKIALILLQVLGYATSKFIGIKVISELTPGKRKFYFLGLILMAELALFLFAIVPAPYNVVFMFLNGLPLGMIWGIVFSYLEGRKTTEILGIILCTSFIVSSGVVKSAGMWIMQYWGVSEFWMPVVTGALFLLPLLVFIFLLEKIPAPTAEDIAEKSERVPMNRAERKKVFRQFALPFSFLILFYTLLTAFRDFRDNFARELWDSIGYTGDVSVYSKSEIVVSLIVLLIFGALYFIKNNIKALYIYHYLLLLGIVTLAASTLLFQYHHIGAFIWMVATGFGLYICYVPFNALFFDRFIAAFKIKGNAGFLIYLADSFGYLGSMLVLLYKNFSVGGISWLDFFCSGTYILSAIALLAVLYSYFYLNRKFNNSENIHKEKKWKTNLTL", "LKHCLFFLITAIFITSCTKTTSTRGTTALHSISNPDYDKAWKFIDKGDDKNGFIYLDKAKDTYIKAGDSFSAGKSFVNMAIIQERVSDNMGSIETSITALKFLNEKNPNHHGFLSSNYNNMGVASNSLKNYADAEKYYKKAYQFSQDKIEKIMIMNNLANCYHNQKKYREAASVFKKIKDSLQAKDDIYYKISSNLAKTLWYEEAKYNPTPVYLEAKKYYAKEKDDWGLDASYAYLSEYYLHTNKDSAMFYSNKMYDIANKLKSPVDRLEALQNMIVLENEDQSKKHFTEYYKLSDSIQESNNTYKNQFAAIRFESDKNRIAKLNLEKDLVHNQYKIIRQQVFIYSVSGILIILLITGVLWYKRRKAKLELVAQNKIKEERLILSKKVHDVVANGLYQVMSKIEYNDDFSKDEILNKLEMMYQKSRDISYTPLSSSEKKFKDRISELCLSFQNSSRRIFVVGNEDAIWNTLNADIQEEVFLILQEFLVNTKKHSQADRVVIKFSSEEGKFHLRYSDNGTGLNEKTSHNNGLKNIQQRTTHRKGILHILPNKGKGFLAEISIP", "MFRKILIAEDHESANLSVQKTLEDYPASATDFVYYCDDALDRIEKSVQLKNPYELLITDLSFEEDQNVQRIKSGFELITLAKSVAPNLAVIVFSAEKRAGIIDSLFKDYGVDAYIHKGRTDVKELRLAIDAIYNGKKYLSADSQQSLKEINAFEFSSFDIKVISLLAEGILQKNIPIYLQQENISPGSLSSVEKRLNILKDQLQVNNNEQLVAFCKDLGII", "MKSEIIFIYPDNYYYFLKKYLFKTKINYKVLHNYIFYLTLIELNNSAKKHNSDEVLFKNR", "MKFYLKTDKNDERTIFITGNFNNWNPRDKNYSLQKTKDGYFIEISDDKLPPEIEYKFTKGGWENVEMDSEGHFTSNRKVKKQAKKVEDIVEDWRLNWAPFKEEYFPKIELISENFYIPQLNKTRKIWALLPYDYENSHKNYPVLYLQDAQNLFNEDSVFGNWEIDKKLSLLAEYGIGDIIIIAVEHGSQDRIQEYVFEDENKYAVKAEGKKYIRFITDTLKPYVDQHFRTLPEREHTGIGGSSLGALISIYGGFLYPEVYSKLMLFSPSLWLNPNNNFPMLSFHQPYHTKVYIYGGELEGSDMVKRINLFKIAMKRWEESKSIEFDIRTSIHPEGKHQEFYWSQEFPRALEWLFFDKLENPKERKKKYNKAKQNV", "MSEAVQHIYIYSEDSWKDNQHLFPDSLQTFFSGKRNESFVIASNNEVAFLLGIGTSYSENDLTNFGQKFSYDLREKLSTKITFLHADTLGSQETESLLLGFYLGTYQYPFQATHPLWNPEFRWANIELFDEKFAKIKAICKGQFICMDWLNKPANFKKAPQLSNFIQDKAQEYNFRLTTYNRKQCDELGLGAFLAVNQGSHQEAAFTIIEYISEKENPPTIGLVGKCVLFDTGGISIKPAANMHYMKSDMGGATAVLGTLIAAAEMKLPVNITAILPITDNAVSNNAYLPSDVVTAYNGKTIEVIDTDAEGRMTLADGLSYLSKNYKTDYLIDLATLTGSAVRMFGTTCGALFSNNPVLQKQLEESGQKTGQRLWGLPLWDDWEDDIKSDVADFKNISLKPVGDCIVAATFLKHFINDHPKWAHLDIAAMCFGNVIYAKEKAATGYGVRLLIDFIEKL", "MKTFVCLSSYYKGYDFMDECKKLGNKVILITSESLKEKNWPWHAIDEVYYMSETEPSVWNSDHMIQGFAYLMKIHNIDTVIALDDFDVEKAALIRETFRIQGMGQTTYRYFRDKLAMRQMAKDSGINIPPFAPVFNDSVIAKFIEENPAPWVLKPRSEASASGIKKIRSAEELWKVMETLGEDRIHFLLEVFKPGDVFHVDCLVYNKEIKFISCSKYLSPPMAVSHDGGIFRTKTLAEDSEDFKGLAEINQQVLSKFGIVHGATHSEFIKSNEDGKFYFLETSSRVGGAHIPDMVEASTRVNLWREWVKIETDVLNKNKYDLSYERNYFSGLIIALAKDKHPDITDFQREELVKSLNLEHHISLLYKSDSADKINEALDDAAEKIYAHHLSILPPQAKPTS", "MPQVEYTDYYSHILGRKINIQITGHFGHPIIMFPTSQGSLTQNSDFHLNGSIDHFTDSGKVKLFNLETLDKENFYNDNISPQERIWRYENYVQFLIREYIPFIQKTHQVHRVAIAGCSFGAYHATNFAFRYPDVISHLIAMSGAFSIRNFMDGFGNDTVYFNCPEEFMRDDASWKFGHMHIVLSTSDQDVCLDKNIRMSNILRSKGINHWYDEAKWINHDWPLWRMVFPKFISAYFS", "MAKKVGILFGMEDTFPWAFIDKVNELGKGEIIAEPVKIDVLEQGADYGYAVIIDRISQDVPFYRAYLKNAALNGTYVINNPFWWSADEKFFNNALMTKLGIPLPKTVLLPSNDRPANTSETSFRNLTFPHNWDYIFNYVGFPAYMKPHDGGGWRNVYRVENPEDLWNKLSETEQLVMMVQEEIIFEDYYRVYCLGKKYVHIMPYEPRNPHHLRYAAKSQYSGKQLEDLLKTIHNYTIKMNEALGYDFNTVEFAVRDGIPYAIDFCNPAPDADKNSVGEENFAWIVEHAAKLAIEKANEYVPGKPNISWGTFVKDSVK", "MHKFTIGIEEEYQIIDAESRDLVSHVSKIIESGKAILSENLKHEMHESMVEMETGICQNVAQARDELTSLRRQLVKIAHDQGLRVSGGGTHPFSHWKDNIITKAERYNKIVNDMGDVARSNLIFGLHVHIGIPDREEGIRIQNVMRYFLPHVYALSTNSPFWVGRLTGFKSYRQEVFAKFPRTGIPSYFSSVAEFDAYVNLMIKTGTIDNAKKIWWDLRVHPFYPTIEFRICDMPFTIDETTCLAAIMQSLVAKIYKMHRQNISFRSYRRLLLSENKWRASKDGIHADLIDFGKEASVPYAILLDELLEFIDDVVDELGCRKEVEYAREIIKMGTGADRQLKVFHETGDIKKVVDYMIRETEKSVL", "MNHEIRIAILDMNNNHPNQGMRNIKGISETFKANSEYQVSIEIFDVRHKYEMPKIEDFDIFISSGGPGNPHKEGYVWEENYNRFLNAVWKHNKEHESKKYMFLICHSFQLACIYWDLAEVTQRKSYSFGVMPIHKTEAGEKDFLLKNLPEPFYAVDSRAYQVIQPKTNHLEKHGMQILALEKERPYVDLERAVMAIRFSDEIFGTQFHPEADPEGFLESLKVEKYKNSIIEDYGLDKYQETIDRIDDEDKVVLTRKEILPVFLEFAAQQISKTVSLA", "MIPNFRKQFNDAFSPEKYQKLKDTCQQHSSTEIGFRLSESPIFVDKVFQEKLFNAAESIIQQIDSFSAEELNKAIPPESLVPGDDSHYHFLAIDFGICRNESGELEPQLIELQAFPSLFGFQRQYEEDLRSIYPFLDTFKRRIPINDYIEKLRNIIIGNENPENVILLEIFPEQQKTAVDFSVTEKFLGIKTICLTKIIKEGQHLFYEDNGRKIKINRIYNRVIFDELDNIPDLKTDFHFTDDVEVTWVTHPNWFFKISKFILPKLNHTYVPKSYFLNEAPDNLDYSQYVLKPLFSFAGSGVNIHPKQEDIDRITDKENYILQKKVNYAPIFEDINGEFSKAEIRLLFGKAEGSNKLEFLVNLVRMTKAEMVNVNFNKKDAIWIGSTIAFFENDLQK", "MDLQLENKIIIVSGGAKGIGEGIVWVLAQEKAIPVIIGRSAEDNQKLIDDLSEKNLTAHAVVAELTQPEECRKAIDEIITKFGRIDGLVNNAGVNDGVGLENGSYEAFMQSLHKNLVHYYLLAHYALPALKESKGSIVNIGSKTSETGQGGTSAYAASNGGRSGLTREWAVELLPYSIRVNAVIVAEAYTPLYEKWIKTFDDPEAKLKSITDKIPLEKRMTTTEEIANMVVFLLSEKSSHTTGQLIHVDGGYVHLDRAL", "MIDTHVHFWQYDEVRDSWIDESMEVIRKDFLPSDIEELLVGNDVEGIVAVQADQSPAETRFLLELSENNTKILGVVGWIDFLSDNFEEQLHSYRKYSKLKGWRHIVQAEPEGFLAYPRFVDNIRRLKEYNYTYDILIYYSQMEEAIKFAEKLPEQKLVLDHMGKPDLKTPEIALWKKNIAALAKSESVYCKLSGLVTEAEKEKWTKEMLEPYLDVIFENFGTSRIMFGSDWPVMLLNTNYTEWIQLVKDYIQRFSKEEQQQILRGNAVDFYNL", "MRKYALALDLKDDDELIRQYEEYHKQVWPEILESIKVSGIQNMEIYRTGNRLFMIMEVGEDFSFDAKAKADAENPKVQEWEKLMWDYQQALPKSKPGEKWVLMDKIFSL", "MKLIRYGAEGQEKPGVIINEKYYDVSHLVNDYDEKFFSGNAIEELKEKIAAGGLTEVDQNVRLGAPLARPSKIVCVGLNYKDHAEETNMPIPSEPILFFKSTSAIVGPNDDLIIPKNSTKTDWEVELAIVIGKRASYVEMENALDHIAGYALHNDYSERAFQIERNGQWVKGKSADTFAPIGPFIATKDEIKDVNNLRLWLKVNDKKVQDGNTSNFIFDVAYIVSYISQFMTLLPGDVISTGTPAGVGMGQKPEAWYLNPGDVVELGIEGLGTSKQNVKAYS", "MFSLKNKKAVITGGGSGIGKAISELFAEQGAEVHILEISEANGAEALSEIEAKGGKAYVHVCDVSNHQQIKDLFTSIGAINILVNNAGIAHVGKADNTSEEDFDKIVNVNIKGVYNCLHAAIPQLKESGNAVILNLASIAALVGIPDRFAYSTAKGAVKAMTMSVAKDYIHDNIRCNSISPARVHTPFVDGFLQKNYPDRIEEMFQNLSKTQPIGRMANPKEIAALALYLCSDEASFITGCDYPIDGGFTTLNN", "MKNKILRINPKDNVLVALQDIEQGEKVLFEGLEYEVLEKIPAKHKFFMQDLPQGSEVMMYGVLVGKTQFDVKKGARMSVENTKHAAEPYAYRDVEYVWQAPDISKFENKTFEGYHRSNGEVGTANYWLFIPTVFCENRNLDIIKEALHNELGYAVGDKYKDYTHHLLEAYQKGEDLENISLNPVAEVKNRVFKNVDGIKFLNHSGGCGGTRQDSATLSKLLASYADHPNVAGITLLSLGCQHLQVDNFKKDLKERNPGFDKPLLVFEQQKTQSEEALIRQAIHDTFIGLTEINKIERKPAGLDKLVLGVKCGGSDGFSGISANPSVGYTADLLVGLGGKVLLAEFPELCGAEQEMIDRSVNKETAEKFIKLMTEYDTLAHKVGSGFYMNPSPGNIKDGLITDAIKSVGAARKGGLSPVADVLDYTEKATKPGLSLVCTPGNDVEATTGKAASGATLILFTTGLGTPTGNPVCPTIKVATNTSLAIRMSDIIDIDTGPIIEGSKTIAEMGEDILDYCIEVASGRITPKAVLLNQDDFIPWKRGVSL", "MQNITTEQSTKALSERRRGYLFPLILVTSLFFFWGFVHNLDPVLIPHLRKAFQLTDLESSLVDFSIFIAYFLMAIPAGNVMRKYGYKSGIILGLCLFAIGAFLFIPAANTQMYIFFLGALFVIACGLAFLETAANPYVTILGPEETATRRLNFSQSFNGLAAFIAPIVGGKYILSEQSLTDAQLKALSPQELSAYIAQEAASVKGPYLVLGIIIVIVMLLFVFTKLPDIKHEDDGDKSKISHAWRHKHLRWAVVAQFFYVGAQVCVLSFFIRFVVVSAGITEKNAAFYSGLAGLAFMVGRFVGTFFMKYVKPNKLLMVYAVLSMLLTLVAVFGKGDITIYALIGVAFFMSIMFPTIFSLGIADLGKDTKIASSLIVMSIVGGAILPLGLGYISDVTHSIQYGYIVPFICFIVVFAFGKYGWKPTEV", "MGSREEILSRIAKVKPTGNKLPEDLSFIPGTGNLLESFVQTARNNGSQVTFVENTDGVLAYLRENIASDKRIISNITTLNNELKNNEEEINDPHELENVEVAIVEGSLGVAENAAIWITEKQMKYRALPFITQHLFVILAADKIVALMHDAYKIIEIEGGFSSFISGPSKTADIEQSLVIGAHGARSHHIFIMNNQ", "MKSHVALAEEFNRDEKRVDWHNKTLWFVREKRDRQSKPIPDWEKLRETASKIKLNVLSNLDTYLIEFEKNALENGIRVHWAKDAAEHNAIVLSVLQKHQVKKMVKSKSMLTEECHLNDYLEKNGIEVTDTDLGERIVQLAKEPPSHIVLPCIHKKKEEIGEIFHEHLNTPKGLSDPQQLTEIARQDLRKKFLQSQAALTGVNFAVAETGEFVVCTNEGNADMGAHLANVHIACMGLEKIIPKREHLGVFLRLLTRSATGQPITTYSSHFRKPREGQEMHLVIVDNGRSEQLGREKFRNSLKCIRCGACFNTCPVYRRSGGHSYHTAVAGPIGSVLNPARNIAEYKDLPFASTLCGSCTNVCPVQIDLHNQLYELRQEVIAEGLGEPVKNFSMKLMARSLANAKKYEKLRKSVKFGYRYTPFLLKSRMNPWYKHREMPEMPEESFKEWYKKNVENGK", "MKVGLFVPCYVDIMYPKVGIATYSLLKKLGVDVSYPTGQTCCGQPMANSGYQHLTCDTDKNFIRQFKDYDAVVAPSGSCVLHIKEHLKGDGKENENIAIRIRENIYELTEFLTDILKVENLEASFPHKVGFHQSCHGQRGLKLSSMSELTAPYFSKPEQLLNQVKGIELIELDKQDECCGFGGTFCVAEEAVSSKMGKDRVDDHIKHGAEYIAACDMSCLMHLEGILKREKSTVKVMHIAEILNN", "MKPQFLKISSDTLHSFNARKDTMPDVNNKWHYHMVLELIYFRKGTGTQYVGNSIERFKEGDVALIGKNLPHYWLFDAKYFENPKRNKVEIFVIHFGEHFWGEEFLKLPENQTLKKTLALSSRGFQIKGETKDKLTQLIPEIVESDGTRKIIRLLEALHSISESAEYEFLTSANFKYHFDEDERHRIMDIYNYTLSNYTQKITLEDVSEIANLSPNSFCKFFKSRTGKTYTQFVNEIRIGDACQKLIENQMSVKEVCFASGFNNFTSFHECFKNITGKSPLKYQQLYRK", "MLKMTEVTDRITRKEFLEFPVRLYQLDKNFIRNMDKDVNDIFNPVKNKFFNSGEASRWLCTNEKQETIGRVAVFYTSKYKQDQPTGGIVFFDCINDQMTANFIFDFCREWLRQKGMEAMDGPINFGERDQFWGLLTEGFTRPLYRMNYNFPYYQKLFENYGFQPYFQQLCFSRKTTSEVDSSFINAHTRLSQNPNIHAERMQIKHLDRYAEDFTHIYNAAWASHGEGKQLDVKQVKKMFHIIKPVINEHISWFVYEKGSPVGMWINLPDLNEWFRYLNGKFRIWEKIKFIFIRQFVPNKKMIGLVFGVVPEWQKKGIDGYMIWEGTQHLRKFTHFEEIEMQWIGDFNPKMIKIAEHLDTAITRKLTTYRYLFDRNKIFKKHPTL", "MNSIFILLIVFIIAFLGFIGLIFLIAFLASQSRKKKQEAVLATLPEGAKLKAIVRYNKGKQQTKILKMKAFQGSGVLYVLDKKIIFQDTLNQNPYSFDLKTAAVNWVGINAANGALNWFKVSDEQTEYYFNVESGMFIWHTSSGKPTTEQICNNLKSLQLEAV", "MKVTEHIAQSKGKTLLSYEIIPPQKGHGIGDLYKNIDPLMEFKPSFIDVTTSREEYIYLEKGNGLMERKISRMRPGTLGICASIQYKYNVDTVPHVLCGGFTKEETEYLLVDCLYLGIDNIMALRGDAMKGEKYFEPTKGGNRYASDLVTQINDLGKGKFLHGEISGNDTNNSFCIGVAGYPEKHLEAPSMQYDLKMLKQKVELGADYVVTQMFLDNQKYFEFVKLAREAGIDVPIIPGIKPFAVKKHLQLLPQVFKIDIPEDLVNAVSQCKNNEAVKQVGIEWCVQQCKELLDFGVPALHFYTMGKSDNIQKIVSEFF", "MKYLKLSGLEPLVITPESNFINVGERTNVAGSKKFLRLIKEEKYAEALDIARHQVEGGAQVLDVNMDDGLLDGKYAMVKFLNLIASEPDIARIPIMVDSSKWEILEAGLQVVQGKPVVNSISLKEGEANFIEQAKKIKRYGAAVIVMAFDEVGQADNYERRIEIAKRSYDILVNQIDFPPEDIIFDLNIFPVATGMDEHRRNAIDFIEATRWVRTNLPYVSVSGGVSNVSFSFRGNDAVREAMHSVFLYHAIKAGMNMGIVNPTMLEVYDEIPKDLLELIEDVMLDRRDDATERLLDFSERVKSVRKEKTEDLAWREQPLQDRITHALVKGIDRFIEEDIEEARQQAKRPLDVIEGNLMTGMGVVGDLFGSGKMFLPQVVKSARVMKRAVAYLQPYIEAEKDTGRPAAGKVLMATVKGDVHDIGKNIVSVVLGCNNYEIIDLGVMVPAEKIIQTAIDEKVDIIGLSGLITPSLDEMVHVASELERKNLDFPLLIGGATTSKAHTAVKIDPSYSNAVVHVNDASRAVNVVSSLLNKEKSNVYTLDLKTEYEDFREKFLNRGEHKEYVTLDFARENKYKIDFKSEDIVKPAKLGITIIEDQPLEELLDYIDWSPFFRSWDLHGRYPDILKDEVVGVQATELFHDAQKILKKLIENKSLTAKAIFGLFPANAVEDDIFLQNEQGEDIGKFITLRQQAKKSEGKEYLALADFIAPRETGIQDYVGCFCVTAGFGADEAAAKYNEENDDYNSIMVKALADRFAEAYAEFLHYKIRKEYWGYASDENLDNEQMIKEEYKGMRPAPGYPACPDHLEKNMIWNVLKVEENIGVKLTESLAMWPAASVSGYYFAHPMSKYFGLGKIKEDQLRDYTERKGIDYDLAKKWLSPNLA", "MKHPAYSKNTDEIHLFNELRKRVNQRIQEIPENRDRYIQIKAIILPIVYFGSYFLAIFNGDKPWLYITCYIAMGLILVLIYLNLIHEAAHNNIYKTKKFNKWILNLFDFIGANSYIWQKRHIVSHHAFPNVDGWDTDVEQSGPIKIFPHVEAKGIQKYQDKYFIFAYPFYLFNWMLVRDFRDFFDKKRVIHKTHGEAPKREKVKLIVYKLFYLFYQIAVPVLFLKVSFGLALGAWILEIMVASIFALFVLLPLHPLPDNEFPLPDEQNNLPFSWLRHQLEVTNDLSNNNWFIRHVLGNFNFHVAHHLFPNYSYVYYNEITEEIESFAHENGFVYKRFPLLTALGKHYQLLKYNANNVPLRHVFEELDS", "MSNIEALYRALNERILILDGAMGTMLQRHKFEEEDFRGDRFKDWPSPLKGNNDLLSLTQPQAIEDVHRQYFEAGADIIETNTFSGTTIAMADYGMEELVTELNYESAKIARKVADEYTAKTPDKPRFVAGAIGPTNKTASLSPDVNDPGYRAITFDELKEAYKQQAKALLDGGSDILLVETIFDTLNAKAALFAIDEIQDERDIRVPIMVSGTITDASGRTLSGQTAEAFLISVSHLNLLSVGFNCALGARQLTPYLEVLSHNTDFAVSAYPNAGLPNAFGQYDETPEDMAEQIKEFVDKGLINIIGGCCGTTPEHIKAIADLAKNYKPRVTSVKA", "MENFETQAIRTQTERTQYDEHSTPLFLTSSFIFQDAEDMRASFAEEKEKNIYSRYSNPTVNEFTDKMVKLEGGEAGYAFSSGMAAVYSTLAPLLNSGDHVLSCQSIFGSTHTLFTKYFPKWNINTTYFKADEVNDIEKYITPETKILYAETPTNPAIEILDLEVLGQIAKKHNLIFIVDNCFATPYLQQPIKYGADLVVHSATKLIDGQGRVLGGVVVGKADLVREIYLFARNTGPSLSPFNAWVLSKSLETLAIRVERHCENALKVAEFLESHPNVVTVKYPFLKSHPSHEIAKKQMKLGGNIIAFEVKGGLEKGRAFMDNIKLCSLSPNLGDTRTIIVHPASTTHSKLTEEERLEVAITPGLVRCSVGLENVNDIIADLKQALEA", "MKVLKFGGKSLANGLGLEKTLEIIKHKKANEEDICVVVSARGNATDELIALLENARNGQWEEEDWINFKENQLKAIPQQQDILDADFHNLKNFFAGVKLLKDYSLKTKDEVLSFGEVISAKTLVQILINEGLSAKFVDARSFLVTDDRFGNAIPKDEISEKNAQVVFEEFYNNGAIPVVTGFIGVTEEGETTTLGRNGSNYSAALVAKFINAEILENYTHVDGVFSVNPELVEDARHIENLSFQEANEMVNFGMNVLHDKTILPLIDKNIPLKILNTFKGIDQTGTLISNDKNNTSVKSLMLQENKSLVVFEGRGLLGKVGIDARFFSALHRAGVSAGIISQGSSERGMGVVVDEKDAPRALEALRKEFARDYDTKDVQSIHSIDGLSVISIIGQKMSHFNQSYNALIKNHVEPLLISNTVSGANVSIVISKEDTSKALNIIHGELFENPKQIHLAIIGHGTVGRALINQILNSTHDIVNRKNTHIKIFAISNSKNLILDKKGIGKDWETQLQSSAVPANIETLLKYAKENHLENLIAVDNTASSVFVENYELLAENGFDLVSSNKIFNTLPIERYKNLRKVLEDKNKKYLYETNVGAGLPLIDTIKLLHLSGENITRVKGVFSGSLSYIFNNFSVREDAFSTIIKEAMDKGYTEPDPREDLSGNDVARKLLILARELDLVNEFSDIDIQNLIPENLQSIAKEEFLSRLEELNTEYEQLKKSLPEDHVLRYVGELKGDLQKEKGQLEVKLVSVPKSASLGQVKGSDSIFEIYTESYGENPIVIMGAGAGAEVTARGVFGDILRVSENK", "LKDYLKTINIKNFTTQSGKVYDIPLTYEVFGQDLYTAPVVLVNHALTGNSAVTGELGWWKEAIGEGKPVDTNTYTILAFNIPGNGYDGFLIDNPKDFVTKDIARLFLQGLEVLNINELYAIIGGSLGGGIGWEMLGLKNNLAQNFIPVATDWKTSDWLYAQCLVQDFLLTQSDKPLQKARIHAMLCYRTPQSLNERFGNKIHEDKELRKSEDWLNFHGERLNERFTLSAYSMMNQLLSTIETVKVNNKSFEQLAAIKANIFIVSVDSDLFFPASEDYHTYTELSKIKNNIKHFIINSVHGHDAFLMEYEQLNNILHNIFKK", "MKIELNRIDDNYLFELTNQRGHKIILDNTSEDDPQGVSPMESVLMALAGCSSIDMVSILKKQRQEITSFSADVEGERVQVEDAKPFRNIHVIFKLEGEIDGKKANRAAELSFEKYCSVSKSLDPQIKVTWEVLVNGTSTK", "MEQKLQNQIAIITGASSGIGSGIAKCIAASGATVIINHSSQSSKPSAETILKEITDDGGSGITYQCDVSKEEEVIKMFQDVIVQYGTVDILINNAGVQKDAKFTDMTLQQWQQVIDINLTGQFLCAREAIREFLRRDIDPAKSVARGKIIHISSVHEIIPWAGHANYAASKGAIRMLMQTLAQEYGADKIRVNSICPGAIQTPINTNAWNTPEAYNSLMNLIPYNRIGKPEDIGKLAVFLASDDSDYITGASIFIDGGMTTFESFSTGG", "MTQEYNRLKNPDWKIWGPYVSNRQWGTVREDYSANGDAWNYTGHDSAEALTFRWGEEGIAGISDQDQTLCFALGFWNHRDKMIKERFFGLSNSQGNHGEDIKELFYYLESSPTHSYMKMLYKYPQNAFPYEDLITTNRNRGKAEPEYEIIDTGIFDNNEYFDITIEYAKADIHDILVKITVENKGSKEAPLSIFPTLWFRNTWCWGYDNYKPELSVDFNGIKVDHQEILIKKLFTEIPCESMFCNNESNTERLYQWNDSEPFAKDGINQYILTNNTATINPEKKGTKAALKIETSLLPGEKKTWRFRLGTEQENPFADFDQIFGDRVTENEDYYNQLQKDVTDEDKRRVQRQAFAGLMWNKQFYHYNVSKWLKGDPNEIHPPESRYYIRNEDWQTLNNKDIISMPDKWEYPWYATWDLAFHCVGLSLVDSYFAKDQLLLLTREWYMHPNGQLPAYEWNFSDVNPPVHAWATFRVFKIDEKKHGKPDLYFLESVFQKLLLNFTWWVNRKDMNGNNIFGGGFLGLDNIGAFDRNTVFPNGEHLEQADGTSWMAMFSLNMLRISLELALYNPVYEDMATKFFEHFLYIAEAMNSLGDDKQGLWNEEDGFFYDVLQLSDGTSFDLRLRSIVGLIPMFAVEVIEHHYLEKLPNFAKRMDWVLSHKPELAALVSHFDVEGQGRKHLLSILRGHRLKKILERMVDPEEFLSDYGIRALSKVYEKEPFQLHAGGNDYTVKYLPAESDSDMFGGNSNWRGPIWFPINFLIIESLQRFHFYFGDDYKIEYPKGSHEMHHLNEIAEDVSKRLCKIFLRDENGRRAFNGGYEKLQNDPEFRDYILFYEYFHGDTGRGVGASHQTGWTALVAKLLQPRA", "MNKVVLSVILLLMSCLYFGQSDKDVNQQLVYTLLYGNEKAKAKEIIESEFLKSDNDSRKVIGYVYLADYYALLKDVVKRTVALEKAQDIASKTKNAIDKAYVDQGYAKYYQKLDKDELFVKSVNESIITFSKYPDENFMLATLYFLKYNYSTKKMLNKYGEEDYTKANQYALKSKNNLLINSTYNNLGYYYRKRYELSNEKKFLAAAQESYQKSHQYALLIKEPAAQKRSLIAYYLNYGVMVNTIKPVDYNKCLELYNKVLILNKDDDNFEQFTAFAYNNIGYVYEAMDKNELAKEYYLKAYALSKDDKEIFLSDKMEILENLSRLHEKIGQPEKALVYERDAKNLIKNYSEEQSHNTAKTLDVFYQAQQKNQQIQQLEEKNKMFNKRKFLYLGIILLSIATIFFLIYMLRYKQNLLIAERNESELLLQLEHEEKARMKAEKELWAIQQEQLQRQALATSLQLNQKNTFINELKEKAKDIKDFNLDRILKEEQSSDTNFSAVQNIVQEVHPNFFKRLNEVSKSKLTNQDLRYAAYIYLNMDNLQIANVLKADPKTVRMTKYRLKQKIGLGKEEDLQAFIQNLQL", "MKEIKYSIEQEQTNIKSSEKIKNLISVFRVLTFLASVFSVIKYFQDKEILYLFLTCLSFIFFLVLLFISSHYQQKINYSRRVIEVCNRITEEFSTNTQIEGSDINNYHSYNKDLDIFGQRSLFTKIDKTSTCLGADALRDYLSNPLTQCKEIEQRQEAIKELSKKPEWNIRFLANAKSLGLQDKSLLTNKLSVDFPWKLVSTILIVIPVINILLLTLAILKDFSPVFLGVFLAFSIISLLVVNSKYSKQLKKAYSVVNLRSEQYSRFSKIFQLIEREVFNTELNKNLQAKLTHPMASSCIDKLSTIKRNLDNGHTPLFGSITNLLFLWNLRYTVELAKLMNLLNEHIDNWFEAFAEYEALISLGIFAYKNPQYNYPVCREDIDSLQCKDIVHPLLDSGKAIGNDFTIGNTKNICIVTGANMTGKSTFLRNIGVNLVLAMNGCPVGAEEFVFRPMHIFTSMRTSDSLSDGSSYFNAEIKRLKSLVETLEEKIPLYIILDEILKGTNSTDKLEGSRLFLRRIMDINTDFSCIIATHDLPLTDMAETYPENVSNLCFELDEKNGELISDYKLKPGVTQTMNALRLMKAYKIID", "MKKLYINNLFFLLLGIIAVVYVFAFFFPFVMWVAHAGLLLLVLITCIDVFILFRVKNGVRSNRILPEKLSNGDENFTKIDLRNNYPFTIKAKVIDEVPFQFQLRNFEIRKDIKPYGNTLFEYPLLPKERGEYQFGNLNIYVRSPLGLVAKRYKTQDGQMVPSYPSFIHLRKYELMAMQNEFLLGGIKKIRKIGHTMEFEQIREYVTGDDIRSINWKATGKQNRLMINQYQEERAQRVYMLIDKGRTMKMPFNGLSLLDYSINASMALAHIILKKQDRAGVMTFSKKMENTVAAELKAGQIKKIAEALYNINTNFYESDFSRLYTDLRRKVTQRSLILLFTNFETLDALKRQLPYLRGIAKSHLLVIVFFKNAEVMKMMDHKNAQKTQDVYDQIIAEKFEYEKKLIRQELQKYGIYSVYTLPENLSIEVINKYLEIKARGIL", "MEENQYNPIPESTSTESQNPEFQSRIDMTALKNSLDKVKTEINKVIVGQDSMIEHLLVALLSNGHVLIEGVPGVAKTITAKLLAKTIAVDFSRIQFTPDLMPSDILGTAVFNAKTTEFEFKKGPIFSNFILIDEINRSPAKTQAALFEVMEERQITMDGRKYVMEEPFLVIATQNPIEQEGTYRLPEAQLDRFLFKVNVGYPTPEQEVQIIKNQHQLKVDDKTEQVQPVLTAEELKKYQTLIKDIIVEENLLEYIARIVVNTRENPFLYLGASPRASLALLTASKGFAAINGRDFVTPDDIKEAAVAVLRHRVIVTPEREMEGLGVEEVIKQILESIEIPR", "MNKTLKLYLIIFAVVIGLLAVLQVNKKPLIDWRKTYSVIDKKPFDLYIFNQESNQLFNKKLKKIGLSPYRYYSNDSLQRPHNILIIERELDKQSWIKILKQAKRGSDVLVVSENIPYDLRDTLNLTTRLLSSDTLNYLTFTDKSRKGSIKLNRLPNGNVIPMIDIKTTEILGSNLLKGRNDPYANFVKVKTGKGNIFIHTEPLVLTNYYLLQKGNEKYVEEMFSYLPKDRDTLWFMEEQNLQSISPLRFILRNPPLRYAWYIFLVGFLVFIIFHAKRKQRVIPIIKPLENTSVEFVRSIGNLYLNEGDAKDMMQKKATYFLNKVRTDLLIDTSVIDDVFVNRLQLKTGKPKELIEQAVVLMQRINNPSSKITEQDLIKLNEILDKIYK", "VNNRILYIIFLFTLSVHAQEVDSIVSDEVIEKNIKDKNQLKTDSLLKKGYTTESVVYPGTFDAKFKEKYQGSDFDYSVNKPKESLWQKFKKWLGELLNDWFQSNSLRGANDLFYVLLRIIAIVLIGFVLYVIIRFVLSRNGNWVFSKKSKKLNPEDITITENIHELDLPSLIKNYEDKKEYRSAIRYQFLYLLKLMTDKNMLEWDPEKTNRDYTRMLSGNALQSDFQKLSFVFENVWYGERTIGENEYTIFRKQYQQTQQKI", "MMQFYKKRDFGALVSDTLNFFKLYGKNYFKNYLTLNGGIIILLVVVIVIGFGDFFKQAFGSNTNGEAYLFQDYFQQNQGLLIGASVLSIILIILLSLISYSFPVLYMKRLAETGNSKVTMNEMIEDMKKNLKKFFIFFIGSIFILTPLFIFAFIISSFLMIILIGFLLILACIPVMVNIINFTLFNMYNTNDGFFASIGKAFRMQFSKSFWKYIGSTFIIYLLINVVTSIFAFIPMMFLYGYIITTVRTTPDALGSDSSFFMILMAIVYAVSIACTIVLNNLIYVNAGFMYYDSRTDLHRNVTFSEIDTIGSGE", "MREIAFIKQNKEKWLDIEQVVLGKIKKNPDDLSSLYINLINDLSFSQTYYPKSKTTVYLNYLSSQIFQKIYKTKRIEQNRLKVFFMKEIPLIMYEYRKYLLLAFLVFAMFTTIGVISTHYDLDFVKLILGEDYVNQTLENIKKGNAVAIYGSGSNWGSAIGIIQNNLGVGAKLYAYGIFGGVGTLLVLMQNSIMLGTFQYFFQQQGVLGDSMRGIWIHGAFEISAMVIEATAGFILGASLLFPKTYSRLNSFKIGFRNSFKIFVSTIPFTIFAGILEGFVTRYALQMPLIIDLVIIFGTLGFIIFYYAIFPYRVHKKLKHDAIL", "MNSIDINTSQNVNISFDPASIGERILAFIIDMVIKVAYFVVIYLIIAYIFGFKLLKITDQWSLMAIFAIISLPVNLYTLLFESFMEGQTPGKRVMKIRVVKTDGYQASFGDYFMRWFFRLIDIMFSSGIVGVITMVTNKHNKRLGDLAAGTAVITLKSKYNINHTILVNLSQDYIARFPQVIALSDNDMRIIKENYVKAKNTFDQGIIKKLAEKIRQTTGITYNTQEITDQQFIAIVIQDYNYYTGIQ", "MENLRFEKVQNQNGGFISAFNQNNEEIGKLTYTIQPEKSILIISYVMVFPKHEGNGYGQMLVGEAISFARENNWKIYPHCSFSRSVLVNMKDVSDVYP", "MGRAFEYRKASKMARWDKMAKTFSRIGKDIALAVKAGGTDPDSNPALRRCIQNAKGANMPKDNVERAIKKASGADAETYEEITYEGYGQGGVAFFIECTTNNPTRTVANVRAIFNKFDGNLGKNGELSFLFDRKGIFTIEKSQIKMEWDDFEMEMIDGGAEEIDQDEEIVMVTTAFEDFGTMSHKLEEMKLEVQNAELQRIPNMHKGVSLEQGLANLKMLDRFEEDDDVQNVYHNMEMTEELLQS", "MKNLKLGITALALTVATTAFAQTTSNPWLIGVGAHGENHTAQANNFSNTFAAKNLTKRLFNMNNFSITPPLSKLTVARNINKYLVLDLQASVGNVGNKRFNMDKEFFLMAGLGLQFKFNSLWNEESWFDPYARVGANYLRHDYSGLTFPRNDVNGRYYPSYNDKDFTGKKNFFALSTGLGSNFWITKNFGLGIQGDYVTTPGDKSTVANFWQASASLLFRFGNRDRDKDGIPDKDDRCPDTPGLPEFQGCPDTDGDGIPDIDDKCPEVAGPKENQGCPWPDRDNDGVLDKDDRCPDTPGLAQFQGCPDTDGDGIPDIDDKCPTQAGPKENQGCPWPTDVQVTKELKDILFDFNKATIQSGSLSKVDAAAAIIKGAKAENFLVTGYTDAKGNANYNLKLSQQRASSVVKALEARGVNGSQLKSVGVGAKEATVPATASDAERQKDRKVEVRAISNDTEWAAYKKDDVTVVKPVKKGVKKSSKKVIKKKK", "MKIERTVNIINRRARFEYEILEEYEAGIVLYGTEIKSLRSSKASIAESFCQMKDGELWVVNMMIDEYKMGSFYNHKAKRDRKLLLHKGELSRLEKKVKDVGFTIIPLKLYINDKGRAKLLIGFGRGKKLFDKRESIKKRESDRNLSRIRKNF", "MLTVSNLSLQFGKRVLFDDVNIKFTKGNCYGIIGANGAGKSTFLKILSGKQESTTGNVSLEPGKRMSVLEQDHFAYDNFTVLETIMRGNKKLFDIKEEMDALYAKPDFSDEDGIKAGELGVIYDEMGGWTAETDAQTMLSNVGIKEDMHYKMMSELENQDKVRVLLAQALFGSPDVLILDEPTNDLDIDTIAWLEDFLAGYENTVIVVSHDRHFLDAVCTHIGDLDYSKLNLYTGNYSFWYQASQLATKQRAQANKKAEEKKKELQDFIARFSSNVAKAKQATARKKMIDKLNIEDIKPSSRRYPAIIFEQEREAGDQILEVKDLEKTKDGELLFSGINLNLKKGDKVAVLSRNSMAITEFMEIITGNDTADKGIFNWGVTTKQSYMPLDNTEFFKENINLVDWLRQFVQTDEERHEEYVRGFLGKMLFSGDEALKSCTVLSGGEKMRCMFSRMMLQKANILVLDEPTNHLDLESITTLNNTLENFKGTVLLSSHDHEMLQTVCNRIIELTPKGIIDRYMTYDEYLEDKKVKELREQYYA", "MKKIIAGALILGAFSVSNAQISLGKITDVAGKGLKALTFTNADAINLSKEAVEWMDKNNAVAGPKDPYTIRLNKLFGKHKTQDGLNLNYKVYKVKDINAFACADGSVRVFSSLMDIMTDDEILAVIGHEIGHVKNEDTKDAMKSAYMKAAALDAASATSKTVATLNESQLGKMANEIMDAAHSKKQESEADLYSYDFMKKNGYNVVAEYTAFKKLALLSEQGDKQSGFQKMFNSHPDSVKRADVIKKKAEKDGLWKDPGTVTIPTTKLTK", "MMQRWIYKPTPSEDVIDSISSSLGFGYLASKILVMRGIDSYQKAREFFKPKASDIHNPFLMADMQKAVERIATAIENGEKILVFGDYDVDGTTAVALMYLYLSKICDKKYLDFYIPDRNLEGYGVSTEGIDYAKENDFSLIIALDCGIKSADKVDYANSLGIDFIICDHHLPGDEIPKAAAVLDPKRKDCEYPYKDLSGCGVGYKLCQALNTIYKISDHELQDLTDLLAISIAADIVPITGENRVFAKMGLNKLRKTKRQGLKILIPYEKLQNFTISNIVFEIAPKINAAGRISHGKAAVELMIAENAKQAHLIADQIVNLNDERKELDSNMTQQAIDQVIETKQEETFSTIVYQSGWNKGVIGIVASRLIELYYKPTLVFTDGTNGEMVASARSVADFDLHHALDACSDLFLKFGGHRAAAGLSMEKKHFEAFKTKFEDTVRNSIKEHQKTPSIEIDAEISLNDLTNDFFQFHRWLAPFGPENMKPVLVIKNARVSGHVRKIGKQSSHLKFNIIQDSSRRGIECLAFKMGEHASDFRTKTFDIAFTIEENHWKDNLTYYLNVRDVIFH", "MKKTGLFFGSFNPIHIGHLILSNYILENTDLEEIWFIVSPQNPFKSKANLLDEYNRLEMVNLAVQSYPKLKASDIEFSLPRPSYTIDTLTYLHEKYPDHGFCLIMGEDNLESLPKWKNYEQLIAHHELIVYPRVFSEKKNTDQYLQDSNIHLVKAPIVELSATEIRNMIKAGKNVRPMLPPEVFEYLEGSNFYK", "MEFINRYFSKYPEDTIIRWFKNICIAEAVSCFLLYCIAMVWKRYDPDGLLSTIFIIVVGNIHGLFFTLYLLLCLPSRKIYNWDDEDFVFALLAALFPFATIWVDKSLAKKNREE", "MKRLIFSIGILGSILVTAQQTKKDTASTKKIEEVTLTKKVFQKKTDRFVYDVAASPVAKGNTAFNLLKETPLVSSTDDKTLRIAGKSNAVIYINGRKTQMDADGLVAFLKNTPAENIQKIEVITMPGSEFQVESSDGIINIILKKRTDNGLNGNLRMANNQGYYNNPSAGVSINYRKNKLGISSSINTNDYTQRQYYILRNGNSTSNNQSEGGMSDPNKGIGGYLNIDYALTEKSNLALSYNTRYNKSFNSVSNLFNTLKVQDKNGDWQTGYNMTKNHENAQSYNNSVNLNYELKTDSLGSKLNLNAAYFNYHRGQNSTNTTLDADQYGNTYSTSKRIIQATPQMINNFSGMVDYIKKIKNDFTISVGGNYSNTKTDNDTKTTTYKRDPLTPTNYNITDTPNHFIYTENIYAVYVTFDKKFSDKFSGKVGARYEITNSLGTSDNAQTPEYKRIERNYNNLLPYLSLNYAINKDHNLSYSFSSRMRRPSFWELNPVKEYLTDFNYVQNNPFVKASSVYNQELTYMYKNSYFIIIGHKYIKDVLNQIPLQGYPVHPDGTVGTQNVLRYIRTNFGDKQELSAMFGIQKSFFNQYWTTSFNIGMQRNINNGTLSVDPTTGDSFQDAEKKPITYVNNIKSNSLLIQTNNTFRLDKAKTWYLGVNYFFVDKQQIELGQLKALSSLDVNIKKLWNDWTFALEVRDLLRTNKVVITDPQSNGNFNYVNQNGYQQQLELSITYNFGNKKVKKMRDIESANDAIKNRTR", "MQSTDTILMIEPVAFGFNAQTAVNNYFQVNDESKETQSEALREFNAFVDKLRSKGVNVITVKDTLDPHTPDSIFPNNWVSFHQDGTVILYPMFAENRRLERRSDILDQLSGKGLSIAAIKDYSVFEAENKFLEGTGSMILDRENKIAYGAISLRLDEEIFRKWCAEFGYKPVVFHSFQSVNGERLPIYHTNVMMCVGSDYAVICLDTIDDATEREQVEKALTESHKEVIEITEEQMQNFAGNMLEVRNNDGHKFLVMSECAYKSLTSRQIQTIEAHAEILYSDLHTIEKNGGGSARCMMAEVFLPKK", "MSNYKFETLQVHAGHEVDKDTNSRAVPLYQTTSYTFNDAQHAADLFGLKAFGNIYTRIMNPTTDVFEKRIAALYGGAGALAVSSGHAAQFIAITNILQQGDNFVSSPYLYGGSYNQFTVSFKKLGIDARIAKDDNPEDFEALIDENTKALYIETIGNPTLNVADFEAIAKVAEKHQIPLIVDNTFGAGGFLFNPFEYGASVIVESATKWIGGHGSSIGGIIVDSGKFDWANGKFPLLSEPSPGYHDLVFTDAFGKNSPFGNIAFIIKARVEGLRDFGPALSPFNSFQLIQGLETLSLRLERIVQNAQKLAEYLEQHPDVESVIYPGLPNFTDRANAEKYLKRGFGGVLNFEVKGGKEAAVKLINALKLASHLANVGDAKTLVINPASTTHEQLSDEQQAAAGIKPGQIRVSVGIEHIDDIIADFAQAF", "MKLNIKNETGRLKSVVLGQPQSMGDTPELKDSYDAKSYQSIKKEIYPVEKDIISEMNAFEKVLHKYNVEVFRPEIIKDYNQVFSRDVAFVIDDKMIISNIIEDRADEQEAYRKIFEKVPWRNIINLPESAHIEGGDVIVWNDFLFVGTCYSQDYRSFKTARTNEYAIEILKEYFPHKRIIDLDLKKDDKNPKKGILHLDCTFNPVGKDKCIIYKDGFVEESDYQLLLDIFGEENCFTINNEEMVAMVPNIFSIDHNIVVTDGSFTRLNQHLREQWNITVEEIPYREVSKMGGLLRCSTMPLVREK", "MSDNKVVLNYDGQAYEYPIVESTLGDRGIDISKLRDQTGLITLDLGYKNTGATISEITYLDGDQGELFYRGYPIEQIAEKSNFTEVMYLLLNGELPNKEQFSSFENNIKKYNFIADEMKKIIDVFPRSAHPMGVLSSLTSALTAFNPKAVDVSSKEDLDHAAELMIAKFAHLCAWTYRKTQGLPLNHGDNKLNYVENFYKMAFRLPNEEFELDPVVVGALDKLLILHADHEQNCSTSTVRMVGSAHTGLFASISAGVSALWGPLHGGANQAVIEMLEMIDKDGGDVSKYVAKAKDKNDNFRLMGFGHRVYKNFDPRAKIIKKAADDILGKLGIQDKALDIAMQLEKVALEDDYFVERKLYPNVDFYSGIIYRALGIPTEMFTVMFALGRLPGWIAQWKEMRLKGDPIGRPRQVYQGAKERNYVPMENR", "MSYISYIDARQILDSRGNPTIEVDVFTESGAMGRAAVPSGASTGEHEAVELRDGGAEFLGKGVLKAVENVREILAPELVGLSVFEQNLLDAVMLDIDGTSNKGKLGANAILGVSLAAAKAAAAELRMPLYKYVGGVNANTLPVPMMNVINGGSHSDAPIAFQEFMVMPVEADSFSHALRKGTEIFHSLKSILKGRNLSTAVGDEGGFAPTFNGTEDGLDTLLQAIEKAGYKPGVDIMLALDCASSEFYKDGIYDYRKFEGDKGAHRTREEQVSYLAELCNKYPIISIEDGMQEDDWEGWKMLTDKIGDRVQLVGDDLFVTNVKRLKKGIDEGIANSILVKVNQIGSLSETMAAVQMAQNNRYTTVMSHRSGETEDATIADLAVAQNCGQIKTGSASRSDRMAKYNQLLRIEEALGETAIYPGFDAFKINRK", "MKNLQRLLVLFVLFACNVLIFSQSKKSIFEIKNGHFLLNGKPFSIHSGEMHYPRIPQEYWKHRLQMMKAMGLNAVTTYVFWNYHEENPGKWNWSGEKDLKKFIKTAQEVGLYVIIRPGPYVCAEWEFGGYPWWLQNIKGLKIREDNNLFLAETQKYITQLYNQVKDLQITNGGPVIMVQAENEFGSFVAQRKDIPLASHRTYNAKIVKQLKDAGFSVPMFTSDGSWLFEGGSVVGALPTANGEDNIENLKKVVNQYNNNQGPYMVAEFYPGWLAHWAEKFPRVDAGTVARQTDKYLKNDVSFNYYMVHGGTNFGFTNGANYDKNHDIQPDLTSYDYDAPITEAGWRTPKYDSLRAVISKHTKAKLPEIPEPIKVIDIKDIKLNKLYNFFNYAEGQQVVKADKPLSFEDLNQGHGYVLYRRHFNQPISGTLDLKGLRDYATIYINGEKVGELNRYYNQYTMPIDIPFNSTLEILVENWGRINYGSRINENTKGIISAVKIGDTEITGNWEMTKLPFPDQFASTIKAKPIDTGKQAQLKDVPSLYQGEFELTETGDTFIDMQNWGKGVIFVNGRNIGRFWKVGPQQTLYIPGVWLKKGKNEIIIFDQLNQKVQSTVSTIKTPILDQLVKP", "MKKLSKTDLKQIQGAAAPSVGGRCPEGYCQYYSQHGACLPIDPDKCNRFPAP", "MRHGKKFNHLGRTASHRSAMLSNMACSLIEHKRIHTTVAKAKALRVFVEPILTKSKEDSTHNRRVVFSYLQNKEVVSELFRTVAPKIANRNGGYTRIIKTGFRPGDAADTALIELVDFNELYNPNEAEKKTTRRSRRATTKKAEAAPVAEAKVEETEAPVADATEEKTEE", "MAILSFIKPDKVILLNSTDFRGQFEFRPLEPGFGLTIGNALRRVLLSSLEGFAISSIKIEGVEHEFSTIPGVIEDVTEIILNLKQLRLKAKTEGATAEQVSVKVTGKEEITAGDFASAINGFEVLNPDLVICTLNKDVNFEITFNIEKGRGYVPSDQNKSNNAPIGTIAIDSIFTPIKKVQYSIENYRVEQKTDYEKLILDIETDGSITPQNALTEASKILIYHFMLFSDERITLETEAVKASAQYDEETLHTRQLLKSKLADMDLSVRALNCLKAAEVETLGELVSFSKSDLMKFRNFGKKSLTELEELVHAKGLNFGFDVSKYKLDADK", "MARYIGPKTKIARKFGQAIYGDDKNFEKRKFPPGQHGPNKRRGAKKSEYAVQLAEKQKAKYTYGILERQFANLYEKAQRSKGVTGEVLLQLCESRLDNVVYRLGFAKTRAGARQIVSHRHITVNGELVNIPSYQLKAGDVIAVREKSKSLEVITDALASKASYDWLQYNDETKTGTFVSAPERIQIPEEIKEQLIVELYSK", "MAKQTKVVKKRKVKVEAIGEAHIQASFNNIIISLTNKNGEVISWASAGKMGFRGSKKNTPFAAQMAAENCSQVAYEAGLRRVKVFVKGPGAGRESAIRTIHNSGIEVSEIVDITPMPHNGCRPPKRRRV", "MARIAGVDLPKNKRGVIGLTYIYGVGRSTASDILKSAGISEDKKVNEWNDDELAAIRNFISENIKVEGELRSEKQLNIKRLMDIGCQRGIRHRLGLPLRGQRTKNNSRTRKGKRKTVANKKKASK", "MAKQKHIEQDGVIVEALSNAMFRVELENGHILIAHISGKMRMHYIKLLPGDKVRLELSPYDLSKGRITFRY", "MAEVGNLLQVYQNQGGSKQASGLLGLLSSFTGGAFSRASIMALGIMPYISASIIVQLMGMAIPYLQKLQKDGESGRKTLNQITRWLTIAVCLVQAPSYLGSITGLFLPYTQFSSAYYIDPNSIMFWLPSIVILVTGSVFAMWLGEKITDKGIGNGISILIMVGILADLPMAFIHEFQTQTGKGGLGTIIILVEVLFWLLVILLAIILSVAVRKIPIQYVSRAQARGGVSRNLMEGARQWIPLKVNAAGVMPIIFAQALMFVPGLLTKVDETNTFLAGFKNVFSWQYNVLFAILIIIFSFFYTAITIPVNQMADDLKRNGGLIPKVRPGKETADYLDDILSKITLPGAIFLSIFAVLPAIVHGTVVQTDRFALFFGGTSLLILVGVVLDTVQQINTYLLNHHYDGLMQSKLSRNPNL", "MNLNNIQPASGSTHNSKRIGRGQGSGKGGTATKGHKGQKARAGYSQKIGFEGGQMPLQRRLPKFGFKNVNRKEFKGINLDTIQLLIDTKGITGDITKDVLVENKLVSKNDLVKILGRGELKSNVSISADKFTKSAEEAINKAGGKAITL", "MAKIAIKQVRSAIGRTKNQKLTLEALGLKKLQQVVEHEATPAILGMVATVSHLVEVQEK", "MLGLDNIERVKPGGLELTDRLVAVNRVTKVTKGGRAFGFSAIVVVGNEDGVIGYGLGKSKEVASAISKAVEDAKKNLVKVPVINHTIPHQTTARYGGADIFLRPASHGTGLIAGGAVRAVLESAGVHDVLSKSKGSSNPHNVVKATFKALLEIRRPEEIARMRGVSLTKVFNG", "MALTKVEKRIRIKRRVRGKISGSAELPRLSVFKSNKEIYAQLVDDKSGKTLVAASSREKDLANEKGTKTEISTLVGKKIAEKAIAAGIGSVVFDRNGFVYHGRVKALADGAREGGLKF", "MSRIGHAIIEIPAGVTITEKDGFVTVKGPKGELTQELTSGITLEQNEGKLNVNRPSDSKQHKALHGLYRALINNMVVGTSEGFTKKLELVGVGYRASNQGQRLELALGFSHGIVLDLPSEVQVETLTEKGKNPIITLSSYDKQLLGMVAAKIRSFRKPEPYKGKGVRFVGENVRRKAGKSA", "MVTDPISDFLTRVRNAQSAGHKVVEIPASKIKKEITKILFDQGYILNFKFEDNAVQGNIKIALKYDKQTNKPAIKSIQRASRPGLRQYKGSEELPRVLNGLGIAIISTSKGVMTDKKARQEKVGGEVICYVY", "MAKESMKARERKREALVAKYAAKRQALKEAGDYEALQKLPKNASPVRLHNRCKLTGRPRGYMRTFGISRVTFREMANQGLIPGVKKASW", "MEYIVRPKKLYKEKIVPAMMEEFGYKSVMQVPKLLKIVVSQGLGAATADKKIVDYAVEELTAITGQKAVGTISKKDEASFKLRKGMPIGARVTLRADMMYEFLDRLTSSALPRIRDFNGIKADGFDGRGNYNLGITEQIIFPEIVIDKVKKIQGMDITFVTSASTDKEAKALLTHFGLPFKKN", "MTKLKIKRGDNVIVTTGRKEIKGKVGEVIEIIKDKNRAVVAGLNIVKKHVKPSAQNPQGGIVEKEASIHISNLAIVDPKTGKATKVGYKIEGDKKVRIAKKSGETL", "MLQTESRLKVADNTGAKEVLVIRVLGGTRRRYASVGDKIVVTIKDSTPSGNAKKGQVSKAVVVRTKKAVRRKDGSYISFEDNACVLLNAAGEMRGTRVFGPVARELRDKEYMKVISLAPEVL", "MERNLRKERIGVVSSNKMEKTIVVSETMRMKHPMYGKFVLKTKKYTAHDENNECNEGDTVLIQETRPLSKSKRWRLVRIIEKAK", "MKNADIKNLSAEELKSQLAEAQANYTKMKLAHKISPIENPIQIRDLRRNIARLQTELTNKQ", "MLQPRRTKFRKVHKMKMKGDAHRGSQLAYGTFGVKALEGAWITARQIEAARIAATRYMKREGQLWIKIFPDKPITKKPAEVRMGKGKGAVEYWVAVVKPGKIMFEIGGVPYDIAKEALRLAAQKLPVTTKFVVANDFVQPS", "MGQKTNPIGNRLGIIRGWDSNWFGGKDFGDKIAEDYKIRRYLEARLAKGGISRIYIERTLKLVTVTITTARPGLIIGKGGQEVDKLKEELKKITKKDIQINIFEIKRPELDAILVAESIAKQIENRISYRRAVKMAISSTMRMGAEGIKVQISGRLNGAEMARSESFKEGRIPLSTFRADIDYHWAEAHTTYGRLGVKVWIMKGEVYGKRELSPLVGQPKKGPSGGGNRGDRENRRPSRDKK", "MGKRKQDSALARKAANMDVVKASLNDCPSSPRKMRLVADIIRGEQVDKALYILKYSKKEASNKLEKLLLSAIANWQVKNEGQDIEEANLFVKEIFVDSARQLKRLRPAPQGRGYRIRKRSNHVTLILGNKTDNQ", "MARSLKKGPFIHHTLEKKVQANIESNKKTVIKTWSRASMISPDMVGQTIAVHNGKQFIPVYVTENMVGHKLGEFSPTRSFRGHGGNKNKGSR", "MSVRKLKPITPGQRFRIVNNFEEITTNKPEKSLTVGIKKSGGRNNTGKMTMRYTGGGHKKKYRVIDFKRNKFDVEATVKSVEYDPNRTAFIALLEYTDGEKRYIVAPNGIKVDQKVVSGESVAPEVGNAMKLKNIPLGTVISCVEMRPGQGAILARSAGSSAQLTSRDGKYAIIKLPSGESRMILVECMAMIGSVSNSDHQLTVSGKAGRSRWLGRRPRTRAVVMNPVDHPMGGGEGRSSGGHPRSRNGMPAKGYKTRKKNKVSNRYIVSKRK", "MSVIIKPIISEKATSQADLSGLYTFLVNTKANKIQVKQAIEEAYGVKVADVRTMIYAPKVSSKYTKKGLQVGKTNKLKKALVQLVEGETIDIFATN", "MELVVLNTSGKETGRTVAFDETVFGIEPNQHAVYLEVKQYLAAQRQGTHKSKERSEITASTRKLKKQKGSGSARYGDIKSPTFKGGGRVFGPKPRDYRFKLNKAIKRLAKKSVLSQKMRDNSIKVLENLSFDAPKTKEFINLLNALELNGKKSLFIIPEANKNVYLSSRNLPKTRVLNYNEISSYDLVNAGEIVFLEGAVEKFQENLKK", "MSGIIGKKIGMTSLFNEEGKNIPCTVIQAGPCSVLQVRTIEKDGYKSVQLGFDDKSEKNVSKALAGHFKKAGSTPKAKLVEFYREFVDEVKVGEEVTVNLFAEGEFVDVTGTSKGKGFQGVVKRHNFGGVMQASHGQHNRLRAPGSIGAGSDPSRVFKGMRMAGRMGGKQVTVQNLQVLKVDEEQNLLVVKGAIPGAKNSYVIIRKWN", "MIAKLTQLVISGCLLYAGLTSAQTLEIPLAGNAFITQKAPDATTTISREGLTKWNSTGSIASTYFRVDHPGQLILGVKAKSGTPGNSTLKVSLNGVSKNVTINSSAFSDYNVGTFNISKPGYIKVDIQGTTKNNNTFGEISHITATGDAVSGKNIFSNDPAYYYWSRRGPSCHLGYTLPTTEDINYFYNEVTIPKGEDKIGSYFMANGFGEGYFGMQVNSETERRILFSVWSPFKTDNPKEIPDDHKITLNKVGQQVKTGEFGNEGSGGQSYMKYNWSAGKTYKFLLKGQPDNKGNTDYTAWFFAPESKEWKLVASWKRPQTNTYLKGFYSFVENFNPENGYMHRKAEFKNQWIRTVSGKWLPVSSAKFTVDATYKAQQRIDAMGGTNGKSFFLANGGFFNTIITPGTLFSVQTPKQAPVIDFVKLP", "MKNNLLLAAAICLGATALSAQEKVTDAPKNDTVKAWSVTGQNTLLLNQAAFSNWVGGGANNVGWIAGVNYNFTYEKGKDLWENNVILGYGQNNTKGTGNRKTQDILNLNTNYGREFAKNWYISGGIGFQSQFAPGYENGNDPSAKKISNFMAPGYLNIGVGVTYRPSDNFTMTLRPANARWTFVTDKDLQKAGNYGLKNDGDSSLFQFGFLGTATYKVKLMENITLINNASVFSNYLDHPDRLVLGYSGILNMKINKYISANVTLDLLYDHNQIQKTQLKQTLGVGLAYNVDNGVKRSENKRNQEWQKK", "MKKILYSSLFLFSLFFNAQQNTLLNADFWKSKPDVEKVKAEISKGNNPTEFNGSTFDPVALAINNGAPLSTIKFLTEQKGNSVDKLTHDGRLYLHWAAMTGNKEVIEYFISKGSDVNKLDTKGLTPLSFAAYFGLDNPEIYDMFFKAGVNPKHKYKDGANILLLAIGNDKDGSLVKLFTSKGLALTDTDDKGYTAFDYATSFGNLDLLKSLKAKNIKANDIALINAAQGTRRQVNGIDLYKYLLEDVKLKPTAVSADGSTALQIVARKPNQTEIINYLIGKGVDANKADNEGNNALMAAASGKDLNNVKAILPKIKNINAVNANGESALTHAVRYGSPEIVAYLLDNKADVKVADIKGNNLAYYLVQSYRPGPKDEFTEKLGLLKAKGFDATTPQKDGTTLLHLAVAKSNLDLLKKLAPLNIDVNAVDKEQMTALHKAALIAKDDTILKYLVSLGAKKDLKTEFEETAYNLASENETLKGNKVALDFLK", "MKSFFKIQLVGILTLLCAGFATAQVSKYKCMLQMVNYTGEKAYIVVSLINPKGQYEKTLYMMGPDKKWYDTLKEWHKSQGKSNVKLNAITGASVAGGDRSITTFAIEDKFLNKGYKIRFESAVEDQKYYISDIEIPLTSAEVTAKAEGKGYIRYVKLNKL", "MTLSIWRYAHLALAILTFSFLIVASSTGVILAYDAAQEKVQPYRVDDFSELNLAQSLPELRKVFPEITEITVDHNQFVTLEGFDQDGKEVKAYINPKTGKILGKPVEKSEFINWVTSLHRSLFLKETGRFTVGVISFLLMLISISGSILIIKRQQGVKHFFDKIKKDFFSQYFHVVSGRLLLIPVLVIAITGTYLFMIRFEFIPKGKNENVVIKKSNDESEKKLADFPIFKETKFSSVKKIEFPFIEDEPEEYFVLKLKDREISVNQINGNIVKEEKYPLTTIYDNLSLSLHTGRGSVTWAIILGLASLNILMFIYSGFVITFKRTRNKIRNKYKAEDAEIVILVGSENGSTLGFASHIHSQFNSAGKKSFLTELDQYKVFPKAQHILVFTSTYGLGDAPTNAKHFKNLLAKFPQNQKVKYSVVGFGSKAYDDFCGYAIEIDQLLGEQNWAEPQLALHTVNDRSTTEFAEWAKQWSYETMIPLASAPSLYNQKTPPLKPMKVVGKSEIVEEVTTFKILLNPGRTLSFKSGDLLAIYPDNDHKERFYSIGKVDGAIQLVVKLYENGLGSGFLYKLKEGQEIKARIVKNSEFHLPKKANKVAMIANGTGIAPFLGMIEENSKETEAHLYCGFRRSSKLTKSYEDFAAENIQKGKLAKLNLAYSREEQSQYVMDLVKRDSAFFMDLLVQGGYIMICGALKMQHDLEDLLRDLCAQQNKNYEDYKANGQILTDCY", "MNKTDLFIRITLLLCTISISAQVQRSRLITLMGSRFQITLVDKDSISAERNIDKAVAEITRIENLISEWRPETQISQVNQNAGIKPIKVDKEVFDLTKKGLYFSKLTDGAFDISIVAMDKIWKFDDSMDELPSEQAIKESVRNVGYRNIILDSTNCTIFLKNPGMKIGFGSIGKGYAADKTRDLMKSMGVKAGIIDASGDISTWGTQPDGKPWAIGINNPFNDHKMAAILYFKENSVTTSGSYEKYAEINGKRYSHIMNPKTGYPSTGLTSVTITGPNATMANGFSTSVMVLGEKEGLKLLKKFPEYHYLLITDKGKIVKNIK", "MIKHKTFYCCIAMLFGALLYSQEASESYMSDPTVNAVNREPMRASYFAYSSANEAKENNPWKVSNYQSLNGAWKFNWADAPAKKPKDFWKTDYNDSQWQSLNIPAVWELNGYGTPIYVNQRYEFDYLMKPNPPLVPQDYNPVGSYRREISVDKNWDGKDIYIQFGAVRSCMYLWVNGQWVGYSEDSKLPAEFNITKYIKPGQKNVIAFQLYRWSDGTYMECQDMWRLSGVTRDTWLYAREPVHIRDLQITGDLDKSYKNGILNVDLNLSHHKNIPLKGYSANIELKDQQGKTVGATKIPVENPTTLKNIRIPVNRPELWSAEIPNLYTVLVSLQDASGKVLEVIPQKTGFRKVEIKNGVLLINGKAPLIKGVNRHEMDPETGYVVSKERMEQDIRIMKENNINTVRTSHYPNDPYWYELCDRYGMYVIDEANLETHGMGYGEETLAKKPEWYNQHLERNQRMVERDKNHPSVIIWSLGNEAGMGENFEKAYQWVKNRDASRPVQYERAGMGATDIYCPMYVSPEDMVRHVKETKSPKPFIQTEYAHAMGNSLGGFKDYWDTIRANYPKMQGGNIWDFVDQAFLKITPKGDSIYTYGGDYGFNMPSDNNFNSNGLIAADRSLHPHMLEVKKLYQSIHTRNTDAAKGKVKIFNEFLFRDLSDVYMQWEVIADGKPIKTGRINDLRAAPLQSTNLTIPYTIPSDNKEYFLNVYYKTKKKDGLVDAEWEIAKDQILIKSSQPDNKMTSDSQNGALQLKNNPDNITLSGNGISIQFDRKDGLIHHYIINGTDFMEKGFTLKPNFWRPPTDNDFGAGLQQKLLNWKRASYEYELTSLLTENEGNTTKVKATYNLPYVNAVLNIVYNISGSGQIDVTQTMKHQELTAKIPMLPKFGMQLVLPESFDQINWYGRGPGENYQDRKESTFVGLYKSTVKDQIHPYVRPQESGNKTDVRWFTLTSSNGTGLRFSSQSTLNFTARAFLDSDLDDGSAKKQSHSGSLKLKPYTVLSIDLQQMGLGCIDSWGALPMEAYRLNYQDYTYQFSITPVK", "MKKSLLLLLLISLFTWSCKKEEKTHPYTFYYWRTNFELNQTEKQALEKARTPILYTRYFDIDKVNGRFEPIGILSSKQNIQQKIVPVIFIMNRVWENITPEELDFLAAKTNEFIQRVSKENAFNTINEIQIDSDWTAGTKADYFAFLKKLKQVSGKDISCTIRLHQVKDKKNTGIPPVSKGYLMCYSTSSPLADTPENSILDVTTLKNYLSGIGEYPLKLDIALPIYSWGIVTNHLGKHKLINAVSEENLKTDSKFRKVAEHLYQVEEDHFYEGFYLSKGFQIKVEEISQKDLDQVKEFLNKKLNNYNIIYYHLDSRFLHYQY", "MKKILTSVALCFLMYNQASACADSDTDGIYFNLFGQEIIQRPEYFPFLLSYDYAYYEPESKLKAEDENIKDWEKYFNNQLSYEETNALVKVVGLKHLQNWKKGDLTHQLSKKLGKDFYTKYRDGLDYLTKAKELEPYMFVKYVPSKDSFYSTHPEGQRNASDLNYTATVKSLLDGYNTAKGADIKLRYAYQLVRFNHYNLKFDEAVKAFNTYAAPLKLKNAIYWDALDQKAGALRGLNKKDEANWDFFQVFIHRRTNKESVYSSLKLTNTKDFKSLLARAKTPEEKNMAYFLLAYNEYNNPVPIMDKMIANNADSEILKVLAVRGINQLERNYLPTNIYCYDLNCQYKTNKLPFYGSTYFYQDKGGENFIAALDKTLQNAKAKSQDKAFWDISIAYLKFLQSDYASSVAILNKISTSNPEYQQQITKMKMLNDILSQKKITPEFENSLLTKYSEVFKENQSTDEKYAWRHMQTRDFIIDILANRYFMEHQDAKSFLLNNRLSDLQYNPNSDLVAKVQAFYKKPNKTALEKYITKSFDNVGDVDSFFNIIYGDRAMRTGDFQKALSFYQKSKNFKGIPRTEAVYDDKGNYHQRLVVYKSGEYDGFSNIPSLVFGRNKWVSYESAPNETMIAEDTSAFPFLTKNMNKMQLTEALIQLKKIGAGNSDKAKMANRLIGNLMYNTSILGYYRHIFVMDVDNANSQKFHFDNSKGQPFHFYYKNFLDAHYVEPDNFDIAIGYFQKALQQSPNKEDKAKILFQMAQAEQGKYYQWEAAQNSNTSYSDKDYDAKMKKFDNMLLTTKNQKFRTYFAELKKNYNNTSTVKALETNCLYFDYYMSK", "MVFLYTARGAYDKAYDEDGMSWATYLEWSRLSHLEELVSLDGMLNEVLVEPDYDNEDDWNHIHIEDDSQTGFFTTMEFVFKRMKPTNKFNFLTVVLEPDQDCKNIKIDGYEFMGYDLLDQDFSISALTNCGGFDETFLPKDLNEKGLITDFVKAYNIKKQLLENNPYEHHADTNVIAVWRHKIIGR", "MQLENGDLLLVSANGSTLSGAIDRVTQTGKATHYSHIGMLEKEDKNYWVLHAGTRNGSERVPLDHFLRDEQNDNNHVDVYRLKKEYQKTIPNAITVAKKWLGKPYNYSYILSDDQLYCSDFVHRSFARDSIFQLEPMTFVNPKTGKTDVAWEAFYKKQNLEVPEGKPGCNPNGMAASPKIKFLGKIREQR", "MKTAYSKKLGLSVLSFLGIAIHAQHIYPPQKELWNPDKLGNKRAVVKFEGKSKVAKAIIPWRNPEVKDGQRILVVDSTSQKQYEVSSYLNINREQGEVLFDPVSGKGTYYIYYLPYELKASENYPQAVYLKKTVSSTSDVKGSEVAKFVRIDAVDRFNENNPMEVLASKNEIDQYLKKHANDAYLVFPESRENPVKMNDLPQIWVDPKRDNSKFSGKTDKGEFYAFQLGILAKDTELKNVKIIATDLVSSAGKISASLFTSINTDGTSYEGKPLKFAVNVAPGNIQSIWCGFDIPTDVPAGTYKAVVTIQPENAPAQNIPVEISISGTVAQHKGYDEPWKMTRLPWLNSAMAQENTVIKPYTPLVYNTANREISLLGRKVTLSPDGLPAKIQTFFTQEMTEISAKANEVLASPVKFNIVNANGTPEKFGQAEFKLDKKEEGLYSWHSQSQGQNLKMEIEGSLEFDGFMEYKVKVTALNDIELKDISMQIPFSSYASRYLMGLGEKGGNRPENFSWKWDVAKKNQDGAWLGNVNAGLQFSLRDEKYSRPLNTNFYLQKPLILPGSWGNNNKGGINITEDKSGVIVNNYSGSRNLKKGEELYYNFHLLITPFHTINTEWQWENRFYHKYVPIEKAKESGANVINIHHGTDINPYINYPFIATKEMKDYISKAHQSGLKVKIYNTIREVSNRMYELYPVRSLGHEVFSAGKGGGYSWLQEHLHNDYIAAWYVPEFKDAAIINSGMNRWHNYYVEGMNWLVDNIGIDGIYLDDVAFDRVTMKRVKKVMTKNGHPGIIDLHSANQFNDKDGFNNSANLYMEHFPYLNRLWFGEYFDYEKNKPDFFLTEVSGIPFGLMGEMLQNDGNPWRGMIFGMTNRLGWSDKSNPTHLWKAWDNFGIKGSKMIGYWVDNNPVKTDNKEVLATVYKKYHKVMVALASWASGDASVKLNIDWKKLGINPKKAVIKAQAIEGFQEAKTFGINDAIPIAQNKGWLLVIE", "MKKTFSLLFSFISLLAFSQMQTYKLVNAVSYRIKDDFYSNFLNIFSTPDYSANLFVVATPMGNTASLNVGNRFYAVYSDDGKVFKIAVEDTIDRNKYKNNDDPDLDLTDFENTLLVKRTNKKETIKSKQCDVYSVISKDSKNNNETICIDTTSRLNTVPFVIPAIKDSVKGLVYRIGNKIELDYSGTIEDMEKKEEDSEDDGDGQVAETKQKDLVIQFDEKAEIENYKKEYKKNKGL", "MSQRIRIKLKSYDYNLVDKSAEKIVKTVKATGAIVNGPIPLPTNKRIFTVLRSPHVNKKSREQFQLSAHKRLMDIYSSSSKTVDALMKLELPSGVDVEIKV", "MSRDLKYTRNIGIAAHIDAGKTTTTERILFYTGKTHKIGEVHEGASTMDWMEQEAERGITITSAATTCSWNFPTDQGKSLPETKGYHFNIIDTPGHVDFTVEVNRSLRVLDGLVFLFSAVDGVEPQSETNWRLADNYKVARMGFVNKMDRQGADFLNVVNQVKEMLGSNAVPIVLPIGAEEDFKGVVDLIKNRAIVWDEAGQGATFEVVPIPEDMKAEVLEYREKLVEAVADYDETLMEKFFEDPDSISEDEINEALRKATIDLSIIPMTCGSSFKNKGVQFMLDAVCKYLPSPMDKDDIKGTDPRTEEEITRKPDVKEPFAALAFKIATDPFVGRLAFFRAYSGRLDAGSYVLNTRSGNKERISRIYQMHANKQNPVEYIEAGDIGAAVGFKDIKTGDTLSDEKSPIVLESMIFPDPVIGIAVEPKTKADQDKLGNALAKLAEEDPTFQVKTDEASGQTIISGMGELHLDIIVDRLRREFKVEVNQGQPQVEYKESLTSTANHREVYKKQTGGRGKFADIVFEIGPAEDGKLGLEFINEIKGGNIPREFVPSVEKGFKEAMKNGPLAGFEIEGIKVTLKDGSFHPVDSDQLSFELAAKLGFKEAGRAAKPVIMEPIMKLEVVTPEEYMGDIVGDLNRRRGTVNGMDDRNNAKVIKAFVPLSEMFGYVTSLRTLSSGRATSSMEFEKYEPAPQNVAEDVIAKARG", "MRKTKAKKRPLLPDPKFNDQLVTRFVNNLMLDGKKSIAFRIFYDALEIVEKKKEDQEKTSLEVWKDALTNVMPHVEVRSRRVGGANFQIPMPIRADRKISMAMKWLIKYATARNDKSMAQKLAAEIIAAAKEEGAAVKKKTDTHKMAEANKAFSHFKF", "MPTIQQLVRKGRATLTKKSKSAALESCPQRRGVCTRVYTTTPKKPNSALRKVARVRLSNGKEVNAYIPGEGHNLQEHSIVLVRGGRVKDLPGVRYHIVRGALDTAGVNGRLQRRSKYGAKRPKPGQAPAATGKKK", "MKKFTFLFPLISILLLLSCNDNNNEPLDSAQKVAEKYQTKNVVLLVVDGPRISETWEGANKENIPNRANLLQQGVFISDFKNNGTTNTNPGHSAMCSGVYESIQNNGKELPGFPSVMQQWLKYTGADKTKAWVIASKDKLEVLNNCKLEGWKDKFQPSADCGISGNGSDYRDDAVTVANTKEVMKKYSPNIIVINLKDVDSYGHNNNWKEYIKAIKTTDTSIKEIWDYIQSLPSYKDKTTLIVSNDHGRHIDSKGGFQNHGDDCAGCRHIEFFAMGPDFKKNATINTGNYEQIDIANTIAELLQFPLQYSKGKVIKDVFK", "MKNSNLIGLKAEDCKNIAEKLNVLLSNYSIFYQNTRGAHWNIKGDLFFTLHPKFEELYNSLILKIDEIAERILTLGAQPQHNYSFYIKNSSIKESNEVSDGRKCVEDILASFKTIIELQRELLDITDEAGDEGTNSLMSDYITEQEKEVWMYSSFLGK", "MLEDHNVRLVSILDNDFYKFTMQCAVVKLFPSEVVKYDFINRGKHEYPEGFADELKKAVNAMSQLKLTKEEKQFLKKTCPYLDLPYIDFLEGYRYDPSEVHIKQEGSNLEVQVSGLWYRTILWEVPLLCLISELYYSMKGLQREGDETIIQKTIEKEKKFKTLQVPFAEFGTRRRHSYRVHRLVMQALTSMEDSTFTGSSNVHMAMLYNVKPIGTHAHEWFMFHAAEYGFKMANSLSLEHWVDVYRGDLGVALSDTYTTDVFFQQFDKKFAKLFDGVRHDSGDPIEFANKTIEHYKKHGINPLFKYIIFSDALNPEKVEEITKACRGRIGISFGIGTNLTNDVGLAPSNIVMKLISVKGINNEWIPTVKLSDEKGKYTGDPKMIELAKEFLQIKN", "MKTKIFLTGITVLLTVFIQAQTQSAYNEKLAKELGADKYGMKKYMFIILKSGKTELTDKAKRAELIKGHLTNIGKLAEAGKLIVAGPFLEKNDKNYRGIFILNSDNKEEAETLLKSDPAIAAGIFDVEIYPWYGSAALPTYLENHKKIVKENP", "MKRQIDWKALAACISFHVFVFFIIHALTPANSFNVWYNQLDKPLFTPPSNFLFRTIFLMYFFSGIAFYFIWGAKENRFKHRQKALTYYYIIFVLISLWFIIFFNFKLLDLAVIVNIITWVLTIILFFLFKRVSKTASYLILPTLLWDTFNMVLAWGFWQLNH", "MEITYLLIGFIVGGIIGAVILYFVSKSSAVSRNSYNELNNSYIKSISDLENINLKNQELTQSINKEKELNQQQSDLLSDLKNEFAKISAEYSALNSQSQEQKQINTKQVSQIENLIAEKQTLFAKNSELSAINESLQKSLETQKEEITKIQEEAKLQFENLANKILEEKTEKFTTLNQNSLKTILEPFQDKIAELKNRVNEAYEKENKERFSLAEKVRELAELNQQISEDAKKLTRALKGESKTQGNWGEMILESILEKSGLVKGREYYLEHELRDENNKAIYSEFSGKKMRPDAVVKYPDERNVIIDSKVSLTAFTELVDETDPEIYTIKLNQHLSSIKNHIQQLSQKAYDDYGKSLDFVMMFIPSEPAYIAAMQADQNLWNYAYERRILLLNPSNLITSLKLIADLWKREYQNRNTMEIADRGAKLYDKFVGFVENLEKVGKGLDQAKNAYTDAYKQLSTGNDNLVTQTQKLRALGIKSKKELPQSLIENSESIEE", "MKPQSLTLTISIALFVASLTQTAVNMGNDYMLSIACLLLGWAEVFEGGIAWLANPLLFISWLLLIIKQPKISAPLSLIAFFLSLSYLSVSTITVNEGGGKAEITSYDLGYYLWLASSISLSIGSIWTIISNRRPIAEKSSV", "MQIESLQNDKIKKLTRLISKNNERRKNGVFIVEGVQENERAIQFGYTPTEFFICNDIFHGELPKAPVNYVSAKVYESIAYRGSSEGIVGIYEEKQNNLKYFTPKANSGIILLESIEKPGNLGAVLRSCEAFGIDAIIITDPKTDFYNPNVIRSSVGCLFGMNFFQTDNESAMDFFRQHDYQIITTFMDDNTKQIQTADFNKKTVACFGTEHSGLSDFWRDKGTNYLIPMTGSIDSLNLSNAVAITCYEILRQKI", "MKKSFLNIAAAAAIVAISLVSCKKTEATATEGTTDSTKVDSTKVDSTVTTTVDSTKAAAPTTDSTKADATKKVEETKTTEVKKEEVKK", "LTDLELLHFEQLKSEVQAKYLENHTPSYDEISKWKGIDIIYFQEDLRKNAKGNISEKSFYTYFKTSPTTKLPRIDMLNILSIYAGYSSWYDFKKNHAFAEELLLENEHALSETKEAPLEVPIEEEYNNPDIVERQNTPVVQPQNTLPEVNTSNKTVATKPIISKVKKYLWIGISAVLAIFVAALTFADNFFTKTYTFKFVDADRSQPVQNEVEIKVLKEGESPLTYRVKPNEAFVYPTKDKTLKMVISSVFYRTENITRNLENAPETEVIQLKPNEYNMMLYSYSRSKDFKSRRAQLENLISNDAVIYQVFDNQYFNVETMSKQRYINFLSLPTTSLENLDVIETQITNGKIVLIKFRIRNNEKSL", "MKRVYNIFNIVAATLILVIGLAACKEKYKGKNSLETVKYNNNTTTFSPIELDSLQTINNITALKLQEVYDLGTNYAAGNKDSDIDTTLYNQIQEYFEKPDSTKIMPFIKQLDSLKARFVKISDVSTSKYINAKDTLDFAKYKMDYYDKERKYIGYLNKEVQYVLKEAPAKEKKFKSEFKFYFVEFKGPPKKDSIPSGKTK", "MLSSLKSELRKKYLKERKAMSSEDVAFLSEKIFNQYLLQFNVSENQNIHIFLPISAKNEINTHLWIDYFWKKNVNVFIPKMVDNEIISIAYKPDTELALNSWGILEPVSNIAKAVDFDQVITPLLYADRQGNRIGYGKGFYDRFFSSVKKSVLKIGINYFAPDELIQDADKFDIKLDYLVLPDGMLSFLGGPLNSTK", "MQLYNTLSAEERAKLIDEAGKERLTLSFYAYAKIEDPKKFRDELFIAWNALDALGRIYVANEGINAQMSVPADHFEEFRNTLEAYDFMKGIRLNVAVEQDNYSFLKLTIKVRHKIVADGLNDDSFDVTNKGVHLKAQEFNDLLEDPNTIVVDFRNHYESEVGHFEGAITPDVENFRESLPIINEQLQDFKEDKNLLMYCTGGIRCEKASAYFKHQGFKNVYQLEGGIIEYTRQIKEEGIKSKFIGKNFVFDHRLGERITDDIISQCHQCGKPCDNHTNCANDACHLLFIQCDECKAAMENCCSTECLEITHLPLEEQVALRKGLQVGNKVFRKGKSDALKFKNSGDLSTQPLGKVTTKPETKDIRQKIKVKKTLIGKAEHYYSKSKIAQFLIENKELSVGDKVLISGPTTGDQEIIITQIHVNGGPCETAKAGDQITFELPFRVRLSDKLYKID", "MDFKKIMPLAVVGVLSAATTVGTLHYFDSNHDTNGDFNYFHTSRKDGQFASLNAVALGDDFVKASKTAVPAVVTIKNYQSNAGRNRGMDQDLFDFFFGDPFGGRKQQPQQQQPPKDMPSGLGSGVIISPDGYIITNNHVVANANKLEVVLSNKKSYTANLIGTDPSTDIALLKIEDKGLPYLNFANSDLAEVGQWVLAIGNPLGLNSTVTAGIISAKGRSIDLLSQQSKTPIESFIQTDAVINRGNSGGALININGDLIGINSAISSSSGYYEGYGFAVPSNLARKVVEDIKKFGIVQRGFLGVSNLDLSDEMLIRQYNQQYKTNIKPGIGMYVINVADNSGALDAGIKKGDIITKIDGQNITNFADLSLAIGSKRPGDVVKVSYQRNGKENTTSVTLKDQDGNTKFRSKADLPVAEKLGADFQPLTERDKVYFGLDSGVGVVNVTDNGLLASIGIGERNIITEINGKPVNSKKDVEKILNNYKGQVNVKYLDDNGRMTSRGFKMP", "MKKIFISLVTLTVLVGCNIDRAPYNSKESDVVLGDATGLRSITLGNYALLKGDASGGGFFNNLYRVGEYGGDNIDISGTTTDQFFYYYNYRSIKNNGRSNIIWNNGYKAIVGANRAIIKFAEGKDAETDQLLGENYFLRAYVYFSLVNVFGKPYNQGASNLGVPLKLSDDVNDLPARATVGAIYDQVVKDLQKAEQLMTVDKGNVYASKEAAQALLSRVYLYMENNDKTIEYADKVINSGKYSLLSTTELPLYSTKTPENNQETIFAFKYNKDGDYTDGWYTIGSMYANIQNVGWGEMYASASYLDLINQNPKDARLKFISPKYADPKTQAVYWVQKGNNASGAVTYNYKFQKTFQKNGSTYFTMDGVDYQVQTEVNPNKTNYYFVNSGGSKTYVTLDYDMDKRNGYPKFYILKCSLQEGVPQLWSPVVVRLAEVYLNRAEAYAKKGNIGFALADVNVIRTRAGIPVYTSVPAGMTLLDTVLQERRLELAFEAQRKYDVFRNKLNMNREYPGTHLNGDNPFYTVPYTNNRIIEYIPEQQIQIQPNLIQNPD", "MKTQIKNFGKVGVCFFLMSGMIHAQTSKKNDTLREREIEDVVIVGYKTQKKSSLTAAVSIISDKKLKDANTSDVSSLLQGKAAGVQVMQGGGAPGSSATVQVRGTSTINGPSQALWVVDGVMMNDVPNLDPNQIESINILKDATSTALYGSRGANGVVQVFTKSGSGKGTMTVSVNNAFNTFTNGRFKLMNGTQLYDNFVALKNAPAIPKELRNNGYDWLKNGTQTGVVQNYTIDFRGSSQNSKTYISGNYYDESGTVKGYDFNRLSFRINHDQTVKPWLTLKPKLSVAYTQGKDRQGSLYEMYLNMPWDSPYDANGNLINPYNYNGTWYGRDQANYLHDLQWNYGKSNQLDLIGNFDAEIKFTDYLKFISTNSVTYKNYDDMSYVDMRSISGESNKGSLTESYVKDIGKFFNQMIRFDKDFGKSNVNALAAYEYSDRYYKYSRAQVFGVVPGTDIFDTGASTGAKPSGAKYDRAYNAFLFNAEYVYDKKYFVQGSLRNESSSAFGAGNRNGMFYSYSLGWNIHKEKFFNVKQINEWKLRASRGLVGNTPSPNYGWQDLYALTQKYNGEIGATWSQLGNPALTWESIYQNNIGTDLRMFNNRLTLNVDYFNNKTKNLLMRVTLPSLTGVDRQYLNVGDVRNKGWEFNFNYAIIKKQDLSWDLGFNISTYQNRVLSTRNNATQILDNYHVAMTGYDVNSFYMRKWMGVNSDNGKGQWEVVNADGSRSLTTNYNAATVQVVGASTPDYYGSVSTNLTIKNFYLNANFYFSQGGKIYNSTRELFDSDGAYPYYNQMVLKDSWSRWQKPGDVATHPEAIYNNNTLVNKPSSRYLEDASYVKLRSLRIGYNFPASLAEKIWVKNASIYIMGENLFTITKFSGVDPEVGAYGSRDITLDTSTGGNYSGNANVIYPVPRRISLGFNFSF", "MKKYILSISILTSALAVNSCNIEREPYVPVTNEVVKTKEGLQYLLNGAYRQLSGADNGVVVNMFRNGIFGGDEINLSGTTTDSMMSFYDLVRNPNSDRINQIWIQSYKTIFIINSIITNITAGGDKDSNHMLGEAHYLRALTNFFLLETFCKEYTFGPDNMGIPLKKTTEEADIPPRSTVGESYNFVLEDLLKAEQLMEGDTVNKIKASQGAVQALLARVYLYMGNNTKALEYANKVINSGKYTLLSKQDLPKYPQFVPESNSETIFAFRFDETNYSAWYGLSSMFATIDGVGWGEMYVSDKYIQHIQKFPQDVRNQFIVPNYKLDKNGNKTPTVYWTEFNNTNKYYEYKIYPANVDANGKHISFVKDGVTYPILTDTFNYGGPTYTKDYAVINGGKQYLTKEYAMNDRNGYPKYFMYKCSFQQQKDHLYSPIISRLAEMYLIRAEVSAKQGNTAGALEDINLIRRRAGAPEFTSLPSNRTALDMVLEERWLEFAYEGQRKFDLVRNKMPIDRRFPGVHLSQTKTKQIVQPDDNDLVFYIPEAEMNRNPGMKQNP", "MMTQVKNIGKIGVCFFLMSGMVHAQKKKDSLNEKKIDEVVVVGYKKQRKETLTTSVSSVNGDQLKDVASPNFQNALQGKLPGVTVAISSGKPGSSPTIRVRGITSLSGANDPLYVVDGVIVHGGADVPPEQIESITVLKDTAATSLYGSRGAAGVIVITTKSGKGSSIGININNTYNFFNSGKFKVMNTQQQKERFMEFANNGANLSDILSKVSGGTITDLDQIKDDYNWYDASTQVGEVLDTNLSFSKSKEGSKTYLVAGYYSEKGTIKGYKFDRLSARFNHEAQINDWFKVSPKLFFKYDMVDDREYSLFDSAMKMPWDNPYFSNGKLKNVIDNPDIVWFSRDRNNYLYDRDLYYSKNNTFQGQGNLDFEVKLTKNLRFVSINGLTYYNYDDFSYTDPAAFGGRAPDIKGTTSSSNAIRWTKYTNQMLKYDNEWGNHRFNALVAYEYQDYMYKSFYAGTKKIIPGMEILGGAEANGIPSGAKNEYGFRSFLSNFDYSYADRYLLQGSVRTDESSKFTPAYSRGWFWGVSAGWNLHNESFYGNLSNTINKLKIRASYGTQGNTPYEGVLGDAALYGTYNMLSRSNYRDEVALITWTLANNTLKWETVKQGNIGLDASLFKNRVNITFDWYNKDTHDLITTVPLSYLTGFDTKLSNIGVLRNRGFEIAVDADIVRNQDWTWNIAANFSMNRARFISLYLNNQITGNYIRTEGERYLTYRLKEWAGVDAATGNPLWYKVNADGSKETVTDWNKATYQVLDKTRLPDFNAGVTTSLSYKGFTLSANVYFSVGGYVYNSERSLMDSDGIYPFYNQMVFNGDWIRWKKGDPDGTNNRATHPSLIYNDGRRSNQPSTRYLEDGTFIKIRNISLTYNIPKNFLPGNMFKSAKVTMSLDNFFRFTKFSGMDPEAGMTEDSYYKYPVPKSFSMGMNLSF", "VNFLRNTFGVILGLFVAALIITLGVRLNPAWITYEQFAPFKRWEYLLYSVRNKDYFFVALLVSSGIGAMIGGVVTALVVKYAKVAYAILIGFILLFIAMLDVIIFPFHPTFYKISIFLIFFPFSWIGGKVVEVLTNKWSKIKKNKS", "MKEIISTDNAPAAIGPYSQANMINGVLYISGQIPMDPATGKILDGIEKETHQVMKNLQAILDAAGLTFRDVVKSTIFLKNMDDFAVMNDIYASYLDSSCYPARETVQVSCLPKNVNVEISMIAHKG", "VVKTKADNNKSDVPKKMTILNKNATVVYQDTQIDADYISIDWDKNQIFARGKLNEKGKIVSPVITTQGGKKYETESLNYNYKTNKAIAYNTRTEESEGAIVANKTKKANDSIYYLRQGKYTTDEYFLKKKDSMSDYYLLAPKIKMIKGKESSRVITGPIQMYIENVPTPLVLPFAILPFSEKRSAGILIPSFGEREDVGFFLNGLGYYQPIGEHFDLKILSDIYTKGSWNLRPEIGYKKNYRYTGNFIAEFGSRVVGIKGLDNYSQSSTYRIGWRHSQDTKANPYFNFSASVDIVSTKFYNNTLNNNYIFQGNALNTQQSSSISFTKRFLNLPVTINGTASYSQNFATGLVDLRLPQLTVNVNQFYLFKPKTGVRTGLLENINVNTNLSFTNYVNAKQDELFTKAMFDKMQTGLQNTIGLTTNTPLFKYFTFSLSANVNNVMTTKTLDRNYNPVTDKIDNNYKKNISGFSTFTTSASLQTVLYGMLTFNKNSKVQAIRHMVTPSISFNYAPDFSAQSWGYYNEYYDRTGMLVPYSIFEGGIYGSPGTGLTQSIGFNINNSLEMKIKSKKDSTGVEKVKIFENLNISGNYNFAAPKYKWSMININTQSSFFKNKLNVNMSMMLDPYKTEFLPGVEQGIRTEKFGAFSIANFNAQLSLPLSEAILGESKDPAKEYKSKGEIRYENYYFDDNYYARFKQPWTLNVNAQYSYNKGLTRTGTKTFSVGLDGSIKLTPYWNINGSTYYDVVTGQLAYTRIGFSRDQRSFTINFNWVPTGGPYKVYDFFIGIKANILRDAVKYKSRSFPNTTSSF", "MKMNNPLFNLKKYLFLLAGVLFISLSAQKKFTLVIDPGHGNKNGSARTYSDLGEVREDHVVLAVGLKLGKLLEKNKDIKVIFTRTSDVLPSLTERTNLANRSKADLFVSIHCNATGTTNGSAYGTETYIQGPNQNSTNLEVAKRENSVIYLDKEDRQNFASYDPNSPESLIALKLQQSKYLESSLLIGSMVEENFEKKDKRLSRGVKQANLHVLRLNAMPSILVETGFVNNYEEAQYLISEKGQQEIAESIYDAIIGYKKAVERNRGTVSTEEAKPVKVEAPLKNDFRILLMTTQNRYNENDPALRGLNYILPIKEGVFYKYYYATTNLASVKDGNIKTAKDAGFINAVAIGFIPNAVISKGYYTIEVAVSKEKLNSSSYILQTLKDIKRDKRDGTFYYTFGKVNTLESAIKLQKTLEEKGIKNTIIEKVTE", "MANHKSALKRIRQNNVRRLRNRYYHKTARTAVKVLRSETEKASAAEQLPKVISLLDKLVKKNIIHKNKAANLKSKLTKHVNKLA", "MKEETERKDYLCPQINIWAIEMEEGISAASATVLPVNASNQVQEDWTDGTNETNNVPW", "MRKIKLSNVLNIGLPVFLLWFSVSCRSSDTDNKTLNNDAVAINVNLVQDDYEDVANKNIQASTNQILSIDNNLVQRREVPFGGGFDLVAELKPDISSLKGTAQASLNPIAAATPITRVIKFRVVVYNASGQYDSAYNYSISAAGAVTPDSGNPIKLNGGQSYTFIAYSYNTNVAPADNLVGTNLSTASISVASTQDIMYYKTTMTPNGNTGAQNNLNVILKHRFSTITVNVDSSLTNGYNITNITGATLGKVFPTATIALNTGTVTSSGTATTIAVPFPASPNSNNVTATSAVIVNNANNTNDGVFNISSLTVGPLTGTATFNNLTIQPGARYNMTIKLVPQDAFFDDTTSIPGTTIKVARIGGKVWMRYNLGVDPLANPNPDLTAPSNTGLFGNYYQWGVITPAALGNAGPGAVTPWNNTIPPAANAWNSGTEAAPVKVITNDPCPANFRVPTTTEFQNLVNSTIQTNTNDASWDNGSTTNYASVKVFTSKRDKNVILSFPATGYRVNTDGSLNTAAGLSRGNIGIYWAASPANGGNYKNMQLYKTSVDLASGAQNPYFGENIRCVRIN", "MNILAKNFTIDHNSKLPLHVQVEELFRKLIKMEVYKKGALLPKEVDLANLWGVSRNTIRQATNKLEHEGLIVRKKGVGTRVAEKKSLVTGLDHWYSFTREMQEKGISVINQLLKTEEIQPNEEICNFFSITPDKKIFKLSKLKGENSGDPIVYFESYFHPRIGINKNDDFDMPLYSMLQDHFGVIVDRSRENISACHAGAVIGKKLKVPATFPLLKRERFVYDINGKPVEYNVGYYRSDKFTYTIDINKSTES", "MTGNFIHAQKKVPYFGNINVINGYENEIIGENIDYFSAFPDHATRALLTRTTDGEKTIEWETAKVPQKNSGPYVYFNWLVSHSSGSSGGVRNFDLYINDQKALTLSTYPANQRPTWISKAADSTAFVFNQTKMDGLKDSYGIGYLRVPVNKVTPGKPLRLKLVGQAQNSRDWFMTYKFTFQEKMDANVTPFILKDGKRLITLTTLHFGPEEKITAKIDNKDSFSFTMPDGIKTFDIPVMLSQTGGNIQLTVTSGKKELLRKTIQAGTIVPRTLYFIHHSHTDVGYSHLQAEVEKIHTKNIYDALKMIDETHNLPEEARFKWNIEALWDVENFMQKATPEDKKAFVKAVKDGGIGLSAMYGNILTGLSQPEELFHYTEYAQKLEKEYGLKINSAMMSDVPGFAWSLVPALTTSGVKYFSSGPNYLGKTNPYLGDRVGNFVKNWGDKPVWWQSPSGKEKILFWTAGRGYSSWHGIHPGAVFETGQKKIAEYLDDLTRTNYPYDIVQWRYNIVSDNGPIDPSISKFVDDWNKKYTSPKIVLSTNEKMFEVFEKKYGDKLPVVKGDISPHWEDGAMSTAKEEGINRNSGLKLQQLTTLYSMLNPRLYNSQTFYDAWRNVILFHEHTWGAFNSITAPDLPFVTDQWKVKRQFSLEGNSLANQLEKDLLQPLTNPGSKKIEVFNTSSWTRSGVVTITATAEGNSVQDARGNKIPLQKLENGTMVFMAKNIPALGSAVYTIIKNKTLATTPFIVTNNSISNGKITLTWDNKTGSITHFTDNGTTNYAGSYNNQGLNSYWYVSGSDPKEAKSNTEVQTKILENGPVMVKVLLTAEAPGANKLERIITLYKDSDEALLENIVDKKPIRTKESVHFGFPFNSGFKNITADAGYGIMKYLTDQLPGSNMDYWYSRRWVDASSGQKGIQWMMIETPLTEAAEMIDERMLIDNSHKKWKDIGTTGTTNWFSYAMNNYWHTNYKADQDGPVHYRYALRPHDSFNSVENEKYAAAFTQPLIAIPVNEKADIKGSLFHMKNDKIVVTSITPQDDQSFIIRLYNPDEKEQTTTFTWERLKPSKLIYNKTAKEISINDSISLNSMDVIEIKVIP", "MSKPVIAIDMGGTKIKIGIVHQDTILASASIDSYSGEGLRPRLPLIKEIVDKLLERAEIPITSVSGLGISSPGIVDNHSKRILSVDKKFGDAPDINLEEWCLLTFGIPFFIENDARSALLGEWKYGNAKDADNVILMTLGTGIGSAAVTEGRLLRGKHFTAGIMGGHFVINYKGSLCNCGNKGCVETEASTWNITNIAKSEKSFTKSRLADFPVIDYELIFRLAGEGDAMAIHLRDQSLQAWSASAINLIHAYDPEILVLTGGIMASSANIIPYIRKQVETHAWTPWGKVDIREGKFPATAALLGIAHVVNNG", "MKSNFNKFPVIEIQNHICISGWENIAKEIDTRTPKVIAIECYSGVYTEEINTSLQELLKPELIINTIQAMKPESEISALVQPFVTDDPVFGNLTSLELENYFDISKVNSLRNRIATTNNLIIVTGPGATLIARQADLCIYADMPRWEIQLRYRKETLCNLGKTNYTDSFAYKYKHAYFVDWRVCDRYKKRILSNCQLFLDTTKPHRPKMISTSALYDAMQETIKRPFRVVPFFDPGPWGGQWLKEVCDLDRSIPNYAWGFDCVPEENSLLLGFGDQVVEIPSVNLVFFQPEALLGKQVYKGFGDEFPIRFDFLDTMDGGNLSLQVHPLKEYIKEKFGMSYTQDESYYILDTGEGSFVYLGLKENINPDDMIKDLEAAQNDNIIFNAEQYVQKWNVNKHDHVSIPAGTIHCSGANTVVLEISATPYIFTFKLWDWGRMGLDGKPRPISLEHGKNVIQWDRTTSWTKENILNLTESVSDGDGWREERTGLDALSFIETRRHWFTKKVVHHTGGVVNVINLIEGREVIVESPDNSFEPYIIHYAETFIVPANINTYTITPHGESTGKECATIKASIRTNMIAEKVLK", "MQSAVNSGIIYKATLVASVGGLLFGYDTAVISGAIGFMRSFYQLSDIMTGWIASCALLGCIAGAMYSGKLSDRSGRKNVLMLSAVLFTISSIGTAMAPNLWFFVLFRIIGGMGIGIASMLSPMYISEMAPASVRGRLISVFQLGIVTGILVIYFVNAYIAGIHNEAWNISTGWRWMFGSGIIPSVIFILLLLTVPESPRWLASQKKQSEALVILSQINGSTAAQQELDSINESLKDEAPFSLASLKGSKLKKALITGILLAVFSQFTGINAIMYYAPEIFKSTGTGTDSAFIQTVLVGVINVAFTLIAIKYVDSWGRKKLLLSGISGMTICLCIIGLAFYTQQQGYLVLIAILGYIAFFAMSLGPLTFVVIAEIFPTKSRATAMSITTFFLWLAVFLVSQTFPILIGSIGSAYTFWLYTLISILAFLFIRKCIPETKGKTLEEIEASWTKE", "MIYPDNFEEKIGINTIREFIIEKCHSVLGVEKVESMAFSSDYTTVSEWLEQTHEFLQIIQNKEDFPVYNYLDLRQALINIDKDPTVWFSEEDVSGLGNSLMAVDRITAFLNNSRASDNSIKYPMLHTMSGKIKTFPQFVEKTYSILDKSGCIKDNASHLLADIRKNKTGAAKDITRKMQAAIRNAQSQGIVSKEVFPDMRNGYLVIPVIASYKRRIKGEVRGDSGSGRTVYIEPEAVVEATNRLKELEADERREVIRILTEFTNMLRPEVADLLISYDFLGTIDFIRAKALFSARINAVKPVFENKQQMNWIQAVHPLLNITLRQQNKKAVPLDIVLNQENRILVVSGVNAGGKSLCLKTAALLQYMLQCGLLIPVRSDSHAGIFDHIFIDIGDGQSIENSLSTYTSHLKNMKFFVEHNDDKTLLLIDEFGSGTEPQIGGAIAETLLERFNRKHSFGLITTHFQNLKQFAYETKGIVNGAMLYDVEEMKPLYKLAIGNPGSSFAIEVARKTGLPEDVIMDSAERIGTEFANMDNLLQSIVRDKLYWEKKRKEVEVSEGQSCSDCVGKTLNENREIETAAEVSVVGIGDRVKLEGQAAIGTVLDIKGKQATVVFGAIKSIVKYERLIRFE", "MTKIKYTLFLAFAASVFISCERELETAPTNQANEEEVFKTADNAETVVNGAWAKFNDDGTTYANIGYSTVLRASDAMGSDVAVLTNKYGFNSAYAFTDLVNNAGSRTLFIWNLFYSAINNMNNVLSRIDSIEGSQDKKDQVKGQAKAFRAFCYLNLASFYQYSYQKDKTALTVPIYTQPATINSVGNKRASLEELYTLIKSDLLDAKNLLKSYKRNNKDKIDLSVVNGLLARTYLNTGEWLKAADAANTARTGYTFMPAEKYSEGFNDIGNSEWIWGHGQTQEQSGESYAFHYLDVSSSGSYYYSFMADPFFKDLFDTNDIRYQLFEWDGLKGREGLLRYKKFKFKPNLIADIVYMRSAEMYLIAAEGYARSGNVSEAVANLNLLKVARKANLYNGSLNAEAVLKEVLIERRKELFGEGFSLSDIIRTQGKVVRNPYTTADGKPIKVKVTTPDGTVKEVDARGHSVFTFPDKSEFVPNSRYYIFPIPQKETESNPNL", "MLRKSAIEANIRIPIGVAVFFLGVSGIYGQNTKGKKDSLREKEIDEVVVVAYGKAKRSSYTGSVATVSGSKIETRPITNITKALEGQVPGLQAVSASGQPGETAAIRIRGIGSISASSSPLYVVDGIPYDGNINAINPNDVESISVLKDATASALYGSRGANGIIVITTKSGKKGESKINFNISQGFSGRAVKDYKQVNTDQYFQLYWEALRNGYVSPKISQSQAAQMATDNLISSLGINPYGPAYPKPVGLDGKLLPGATALWNDDWRDVLQRTASRSQVDLDLSGGNEKGNYFFSLGYLDEKGMAIESGFKRYSARLKVNSQVKSWLNAGANLSYVNTLQQAPPASDSRTDNVINAARVIPSFYPYYERNENGSYVLDKNGNRIYDFGKYRPTSALQNENAAATLPLDKNERKIDNFSGKGFLEFIFLPELRFKSSLSVDLVSLNTHYYNNLLLGQGKETGGSVSKSSDRTLSYTTSNILTYDKKFGQHHLNVLAGQEFYQYEYQVISGNRSQFSLPGYYEPDAAALLGGFSGNSDKRSLLSFLGKVEYDYQNKYFLAGSVRADGSSRFSPKNRWGTFWSVGGSWKLSSEDFVKNLNFFDQLTLRASYGGQGNDGLSTLYAYQSLYAFYNNLGEGGTVASRLPTPDLKWETNLNLNVGLEFAILNNRIRGNVEYFKRQSKDLLFTMPLAPSVGFNGYSDNIGELQNTGFEISLQTTPVKTENFRWDLDINATTTKNKITKLPKGSIVSGTKLLQVGGSVYDFFIPTWAGVDPNNGAPLWKTLTTDASGNTVEGTTSEYSKATKMLQGSSLPKWIGGISTSVTYKNFDFSALLSYSIGGKILDNDYTMLLSNGSSAGRAWSEEILNRWTPENRNTDVPALSTTTNNWTSTSTRFLYSGTYARLKNVSIGYTLPKDTFANLGLQRFRIYLQGENLLTFYGHKGMDPEQTVDGTTYYRYPAMRTVTFGLQATF", "MKILIVNGPNLNLLGTREPEIYGTISMEDYLQELRQDFVQDDILFYQSNIEGELINKIQEDDFDALVVNFGAFTHYSYALADCLKNIRKPKIEVHISNIYKREEFRQKSVTATYTDAILSGFGMKGYRLALLHLLH", "MRSLEKFGIKNDNVKWQLSPEELVQETVNLKQGFVAKSGALAINTGEFTGRSPKDRFIVKDEVTADRVWWDGKVNLPFDSEKFDALYNRVAEYASDIPLYAREAFAVADKRYQVKITAVTEFPWSNQFVYNMFIRPTVDELESFGETDWLILCIPSFKADPERDGTRQHNFSILNFKRKIALIGGSAYTGEMKKGIFSALNFTLPVQQNVLPMHCSANAGADGETAIFFGLSGTGKTTLSADPNRKLIGDDEHGWTPDNTVFNIEGGCYAKAIDLSAEKEPDIYGAIKPGAILENVFFDENGEADYTNDSITPNTRVSYPIDFINNIQVPSIGKNPKNIFFLTFDAFGVLPPISRLTPEQAAYHFISGYTSKVAGTEVGVTEPQTTFSVCFGAAFMPLHPTEYGKMLSEKIKGADAKVWLVNTGFNGKMKRMSLKDTRALISAALDGKLNDVDYKESPIFKVQIPVSCEGVSDESMLLPENSWDSYDAYIEKARSLALAFHKNFERFDDIKDESILAGAPRKEAEVI", "LDKQHFFSHGKLLLTSEYVVLDGAKALAIPTKPGQDLSAEKIDDHRSLIHWETYREGKLWLKTCIDYKNLFVTETNIANASAFILKIFSTLKDMGSESLEADYSYILKSNVQFPENFGLGSSSTLMNNIANWGNVDAFALNDIALGGSGYDIAVAKAGAPIIYTRNGGNKTVETVNYSPGFKDQLLFVHLNKKQDSREGITMYKQMEKSQDLIHYFSKLTDEILISENLENFSLIMEDHEKTMSNFLKISTVKEKYFKNAPSFFKSLGAWGGDFILTTKFCDYENYFLHNGFPNFFSYDELIY", "MKALVFPGQGSQFVGMGLDLYDSRKEIKDLMESANDILGFDILSTMFKGTDEDLKKTKVTQPAIFIHSVAAVKAVDALGAQMVAGHSLGEFSALVANGVLSFEDGLRLVSKRALAMQAACDANPSSMAAILGLDDDKVEEICASVEGIVVPANYNCPGQLVISGETKAVEEAMVKLKEAGAKRALLLPVNGAFHSPLMQPAQEELAEAINTTKFSKPIIPVYQNITTTAVSDPDEIKANLIKQLTGPVKWTQSVRNMIKDGATNFVEVGPGKTLQGLIKKIDSAVETSSAF", "MKKLFISALSVFWLSASAQKTHTVIAKDNPYSISKKYGLTLDQFYALNPEVKNKTLDIGDIVVISKTGKNVTETVTTKTTTGQIAVKQGQSLYAISRDYHVSLADIKKLNPELGEGLQIGQKINLPAANIKKYGTADVFAEPAVQEKKHEEKSVETSAKTENYLVQPKDTYYKITKKFNLSQKDLFRMNPGLEEKGLQPGVSINVGSGSVVAETIKPEEKPKVSKIDEAPAEQPKRETIKTEAVADDITYTVQPGDTIFGILNKFGVSLDQLIELNPQLSNGLKAGMVLKIKKSDDSSFIKSSGDALNVVLLLPFGFDTNDAKYRNAALDFLTGAKLAIQRNVAKGQKLNINVIDEGSESSFQKALTSINKDNTDLIVGPFFKSDVVELMSYVSSKKIPVISPFANSKDLYKYGNLIIIETDASIYAERIAKEVSDAYNGEKIYIVGDAYAEDIRTRLEKSLKKPNIAIVANPNDIQVEKNMMTGQSAPVIAVLASDSEATKSSFTNRMVSLGKEVSGVKAFSMFYSNDFDKKETDLGKVNLVYIMDRKINTDGSFEKEVLAQYNAKFCKSPSKYAVVGFDVMNDALSRENSKGEIFRQMGKTQTHLATKFEYEKTKEGAYVNKGYRVIRLNP", "MADCRFNIDFRDIPSIPEMLKDYLDGNLSAYHGLQFSKDNSLAQANKKSRNYPSKHREVLTEVLTKQMRNIAISAKQMQNIELLRKENTFTVTTGHQLNLFTGPVFFIYKILQTIKTAKYLNENNEGKNFVPVFWMATEDHDFDEINHFKTEGNFYQIQEKSGGAVGRIKSSDLSFLDLFEKEFKDFTYGTELVRWAKEAYQEGRSLTLATRILVNRIFGDSGLLILNGDDKKLKALAAPVFRKELLENSLYENSKETVDVLVNKYGKVQVNPREINLFYLRDESRDRIEKTGESYHVVDTDISFNEEEILAELNANPQRFSPNAVLRPAYQETVLPNIIYIGGNAEIMYWLELKKFFEAIDVEFPILVPRNSLAFITEKTLRKIQKLDLCVENFFGNYQEVVHSKLLGKTSLQPLLEKKEENIKSIFSELKKQAETTDKTFGNLVAAEEVRQLKSFERMHKRLLRAEKIVQADLYQRYNQLYEVVNPAGVWQERKINFSNFYAENGRDWLKTCYENIEVAHPELTVVVL", "MRYFFLWVVLVSGTISAQVVNKTDNNRIAEDSVIVDNGKKDSMKIFRPVINDYKFKTQRGEAKIFDTVFSHEKTYIFSQYNNRDNFGKVQFANSGQTFNPLVYETNPQQNLAVLPTGKSFAILGVDDIKYYDVKTPTTTFIYHTAPGSGHVLSSTYTQNIGKDFNFFVNYMGLRAKGIYQRNLSASNNFNAGAHYKNKTGRYELYAHYLNQNVNNEENGGIKTLDQFLGGDSRFNNRLNMEVNLNNTESFFSYRRYYLSHDFGLFRINDAFPLKIRHMLMHEGNKYYYAQNGAESYYTTNAADIIGGFLPSTKKYSKKLTNVVSLVFDREKFKLDAGLKYQNVILGTNQIFMPNNVVDNNTVWKENRLGVEGNLQVKLWNRFDLNSSAEYTTGSTFGNFIRINNKISFIPAEGFAVEGKLNFQSGAPSFNLLVNGSQYRNFNYVNLGFKNQSILEAGGIVRLKWFDASAFINYFSIGNYAYIDANKQMQQSTSSINITQAGGDATFKYGKFHLNGRVLFQSAINNKDLMPMPGFVGRANVYFQSKVFKDAAEVQAGIKAYYFSKFASREFFPVLNEFVLPSATSGYSIGGKPMMDLYINLKVKSMMFFIEGQQINTTISGNKGFAAPYYPVADFRLNLGIVWYIFS", "MKNIKYIITIIGLFITVLACSEEYLNEPKPTDVVSPDIVYGSYEGAKAHIAGILRRTRGQFLNTENGNLGSMYFAREVKGNISALSGSWFRNDYENNFREPNSSRSQFSWNFPYYLINQVNSFIEGVEASTAISEDNKKILLGQAYTMRAYFYFELSLEFQHTYTYDPNLQAPPIYTKSNATAGQAMSTMKDMYKLITDDLERAISIGSLNRDNRSYFSKAVSYGTAARVYQVMGNWPKAQEYANKAYGGNVDVVLSPQFYASGFDDMNRGNEWLLADPQQADQSSYYWLAPHAFYTRTESAYNNTFINKSFVALFSPTDVRNQFFKTGAGITDYREWYTRKFKFAFTSHLVLMRTPEMILIEAEAMYKQGNDAGAHSLLYKLQKNRDAQAVKSSNVGAALYEEILTERKKELYGEIGVEWYDAKRLRRGLPRDIWHRVNLSNNPMLPDDKRFFLKIPQSEIDANPNIPKDINNNR", "MKVKLHMLSVGVLFFIGQGLLAQKKKPDTTSIKDIEEVVVVAFGKQKKEAIVGSVATVDKRIIETQQATSILGALQGTVTGVNVIAAGGMPGDNPSIYIRGVSSINASTQPLIIVDGSPYGGNINSIPQDQVESMSVLKDASATALYGSRGANGVIIITTKKGRLNVKPKVNVTSLIGVSSSAVKFHEVLKAEDFMKYTWQAIRNARIVSNGQTPDAAAQYATNNIINTLGYNPYNVVNPIDDKGNVVANAKLLWDTDWERELINNSALKQEHRLNISGGSENTTYFIGADYLDMYGNIKTSRFERLGFRANVDSKVNTWLKVGLNTSFSASSQNYPMQSGSAFQSPIQWVYTLSGIYPVYMRDEKGNIILDAFGRSQYDYGDNAVSGRPVNAQRPLLANENALGALYNNKIRYNRYDTFINGYAEVTLTDYLKLRSQGSFQLYNYDSYSYTHYAYGAAASVKGRVSQSRDLTKTINWTNSLDFNKSFGKHNVNAQAIFELMDYRFDALSAQGTGFLPEVYVLNGKTVSEGVGGYINQERLVGYLGRLGYNYANKYFIEGSVRNDGSTRFASEVRWGTFYSVGGAWVVSQEKFFKNKIVNYLKLKSSYGELGNNGTDGYFPYMMSFATGWNQLGQTGVLLGGARDYFLTWEKTASLNAGAEIGFLKNRITVNVDYFNKRSIDLIYAKPLPGSTGNTSITTNVGALRNYGWEFDISSLNISSDKFQWRTSLNLTFEKNRITKLTQESFINGTKRWEVGSSLYDFFLVEWAGVDTKTGMGTWWYDKKDAQGNVTREKTTDYNLANAEASKRYMGSSLPKFRGGFTNSFKYGSFDLNALFNFSFGSYIYDSSYASLMSGFSSPGNQQSVDVKNAWQKPGDITNVPVNIMANNQNNALSSRFLFKNDFIRLKSLTFGYNVKEDLLETMGVSSMRVFLQGDNVWTWQSHKGIDPEQSLAGTTNSRSYNLRTISLGFSIGF", "MHQLFLISRQGITIVGCIGDNPPEKILQQNLDGIFRSDTFRLYVRGAFID", "MNKPTKKRQTYNTEAVKVLADEFGVTPQFVRQCIRKEKYSLTADAIRKKYYELAGPSERAINDFKTGH", "LIVDRILQFIRYKGINKSTFYKEVGLSNGFLDKATKNIGTSKIEQMLKAYPEINPDWFLTGEGNMLKSDVHTTGIKESKKPYSIEDRDKILEDIEKRYQEYKEMCDQLVLSYKERIIEMKEEIIFLREQLNK", "MTGNTIPRRLSYPQIEINVNTSSLQNGPGIPVPFESLKSKVWWDQ", "MKSIYSTRYFSALGLSGKVSVYFADSKVAFNNSLEWVMEQKWITMFQSAYESWVDWRRTGFLSLQRYHILQYDG", "MRKITIKILFNVMLIIGLSSCNDNSLEPTLTQSKDLEQNTKTLEDLRTVLNGGYDRMQHPSYYGRDMIIYGEARSDNAFSNANSNRFVTVSQMKMIITDAYPNNTWNKIYEVIGNANIVINKQGATGDAAQLSHLKGQAYAMRALCHFDLLRLFGQHFITAQGGMNALGVPYVTTFREAGGLFPARGTVQQNYDNIMKDLDQAIALMNSSLDNQTKHYFTSYSANALKARIAAYFKKYDIVEKEAGIVVNSGRYTISTAAGYANTFSQKSTANVIFSITMNANDNPGNNSLANIYRGAAYGDIVALKDLYDIYDNGDIRKTAAFIRNNGTSTEEYRNIGKYPSTASPIDDIPVIRIEEIVLLYAEALLANGKVSQALAELNKIPANRNAVTYTNATMQNILLERRKELAFEGFRFDDIARTGMDMPLVDNLRQRYGNVKFGEYKYAFPIPDAEISANSNVKQNFGYK", "MNVKLRMLSVGVLFFIGHSALAQKVKKDTASTKNIDEVVVVGYVKKSVAQLTGSSTTLKASDIASPSAISVDQALQGKVPGVVVNTSSGSPGAFQDIRIRGVGSFTASNSPLFVIDGVPVVNGNNAVNTNITTLSALASLNNDDIESLTVLKDAASTAIYGARGSNGVIVITTKRGKKGKTKFDLSTSIGFQNEAYNKMNMLSGRQRLELLTEAVANSLNLSKDLAFDRIKSNNIGRYNLWDGKEYNWKDLLTRKNAGLYVVNLSATGGDDKSAFYTSIGYNKTEPVSIANPFERITGIFNYTRKLTDKVNFETSINGSWLTQNPILEGGSFFSNPYLTRVLLTPWARPYNADGSFNIDNFSQMTSIANTLYTQKNNINWNKQMRALINNKVDYKILKNLTYTTRLNIDYMFNDYKSYDNRNHGDGRNNNGSADRRNTQNFNWVSINQLNFVERFGSHRLDVSAFFEYQQNQRDYLRAKGENFPTDGLTNLDNASANYAVASNYEDWKNASYFGVLNYSFANKYILDATIRREGSSRFSAGKRYGTFWSLGAGWNIHKEDFIPKFFNELKIRASYGLTGNSGVGINAYQATLSYDVAYDGNGGAYVTNFGNPNLTWEKNKTFDTGIDFSIWNSRISGSVDYYNRKTFDLLQDVPLSITTGFTKQAQNVGSMRNSGIEASLNVQIINSKNFNWSVFGSVATVKNTILKLAPSVNGLPIDVYAGSAYRKTEEGMPFQGWFMRTWAGVNKDTGAPEWYLNGVDGEKTSDYNKAQRVFQGTAIPKYTGGFGTNLSYKNISLNASFYYSGGHKIYEQFAQFYYRTNSFTLASYNGSEELMNRWQRPGDVTDIPKLALNGQDNFDAVSSRHLYKGDFIRLKDITLGYGLPQDFVNSIGVTGLKLTVRGTNLWTYTFDRNLKFDPEVDINGYSNLTTPPVKSVMFGVNVQF", "MKKIILFNSILLTIYTYAQSDSGLLNRSLSDIINYDIKTPNNNKSIEGSPYLSDQFILSRISNVKNTTETRYNAYTDEIEFKSTNNIYIIPKDTVYSTITQQNGFVFTLINREYFINVYTNKNISFFLKQKISVSPNDSTVKNGYSTNLSNPSYVKDKERYFIFYKDKLYEISKNMKALVNGINSSKITEFIKNNKIIVNDASSVRKLVDFLASIQ", "MKNIKFNIKTFALIFGTSVALASCNSDYLETSPTDNVNQEMALSTADNLKALVNGMHRNMYVRQNDSQGQSGQAGIMIMTEAASDDVIWPSTGNNWYISSVRWLDQSNDTSSYTYYPYQFYYSMIRNANLVINSAAKVTGDQQTIDTAVGEALTYRAFSYFNLVQFYGKRYVAGVNNSQDGVPLRLEANEKPLARASVEDVYAQVNKDLQLALTKLNGVGRYSKSHFDSNIVKGLLARVALTQGNYKAAANYAKEARAGYPLMDNAAYKAGFNSAANIEWMWATIMVPDQSDGFGNFSAYMSRNYSSTQIRQAPKVMNSALFSKFPATDVRTQVVDPSGNHIPWLSTTNAQGKVVRTNAYSNYSVFPYTSQKYMVKDQGESTADVPYMRAAEMYLIEAEALARLGDEAGSKAVFNELQKNRNTAYAGAITSGVAYITEILNTRRLELWGEGFRWFDLKRLGQGMDRTGTNQSDVVINGLSKVTESDFRWQWQIPRAEINASQGLVKQNPQ", "MNVKFRVLSAGVLFFIGQGAMAQKAKKDTTATKEIEEVVVVAYGKQKKNAVVGSNLQIKSEKLAERPISDVSQALDGAGPGIQVSASSGQPGEGLSVRIRGISSYSYSNTPLYVLDGAIYNGPLSALNPSDIESINVLKDAAATSLYGSSAANGVVLLTTKKGKRGRDRIDFSATTGISARAVPEYDRVDAAQYYPLAWEALRNGRIAQGVDVANAYATNNLISLLKSNVFNVPDNQLVVNGVLNPNAQLKYNDFDWAKPLIGTGIRNEYNLGISGGNDKTIYRTSLGYTKEDGYLKTTDFERFTLRVSIDSQVKSWLKIGANLSGAAITRNNGVDGVSSPTSYVNPYRWTRNMGPIYSPYMHDAVTGRRVIDPETGLEMFDPGDRRGTDAAAGRNVIAETLWNRNFTRDYNVNSVVYAEVAIDPYLKFTTNVAYGYQNTFRKTYTNKIIGDAAGLGSAERYTNFYQTLNWNQKLSYTRKFGNHALDAFFAHENYRYSFDYLYAYKTGQTVDNNNEMVNFVTPKSINGYYNLYTKESWFGNLSYGYKDRYHLQGSIRWDASSRFAKDVRWHAFWSVGGNWVISNESFLKGNSVVNYLKLMASYGQVGNDALNGYYPYQTLYSIGYPNVTEGGILFNQLSDPKLTWESNNQTDVSLEFGLLKNRITGSAGWYKRYTKDMLFKDPVPVSAGVPGDGYARNVGDMQNIGWEFQLSADIIKNQNFKWNINVNASTYKNTLKRLTPGQTEIINGTKRIIEGRSIYDYWMRQWYGVDPKDGAPLFLADAQYVNPNNLASDVRMINGTAVTTNHNKAKYDWSGSAIPDWYGGFGSSFKYKNWDLNVLFTYQIGGKIYDSNYAGLMTAYPQGGALSTDILNRWTTPGQITDVPAMNTATYNSAAQASTRWLVSASYIQLRNATFGYTFNKESLKDLGIDNLRLYVSGENLWYKSARKGLEPGGGNFNGTVAPRYTPARIVSLGLNVSF", "MKKNIFFLFSFVTVCAFGQAGNENISYSNGFFFMRANRPSNIEYTVDGSPYVNGKEYRKVAIDGYSKNVQNLRYNAYEDEMEFIQNSEVYFANKEDGIVIKMPEINKTYQAVKYVFNDKQYFGYLVLLAPGAKFNFYKKEKVELLKGEKSPSAYGKDANDYFAKAKDLYLIGNKRVLDKFPKNTKEAIALFSPVKKDVAQFISREKINFSREEDMIKLVNYVNQ", "MKKIIKINLLSLAIGMAAVSSCSNDFVEREFFQDVEQAPLKTVNEVQSFINGCYSTMRGTSYYGADFLAYAEIRSDEMYSNLAGGYYQNVMNYTQLSNDAYAVNTYNAIYTMIAKANTVINTDMTKIEGTDLDRKNAAYAQGQAYGLRAIGFFDAFRLYGQKYIPNGTLGIVLPLKYDPKALQPRATIAETEAQIDADFTKALQLMTANGSGSAAKTELTVNSLKGMMARFYLYKGDYAKVRSLTNDLVGKYSVAVSALLQETFRFTMNGAAPNSIFELAVGINSSLSTGSYRHRLNPSGYANIVVTDAAYNMYSANDIRRTFIVTSGGNRFLSSNRDAQGVGKYTNFVGADNIKMLRYEEILLNGVEAELNGGDPAKALGYYNQIITNRGLTAVTSVNLTMLKAERLKELLGEGLRQWDLRRWGVTIARPDVANKDENRNAFPIPRGETDIANTPVKPNPGYDNSGN", "MNVKLRVLSAGVLFFIGHSAMAQKVKKDTTSTKEIEEVVVVGFGQKKTVQEMTGSASTMTSKAIEDVPVASVDKMLQGRVSGVQTGQASGQPGGFANVRVRGVSSINGVTSPIYIVDGVRIASGDLTKSNTTANILANMNPDDIESITVLKDAVSTAVYGADAGAGVIVITTKSGKRGKTRFNFSFNSGFNQQAVESNRGFTGEEYKIYLRDAVNNYLGSNYTIEDIANGKVNATFANIFKSPYSTDWQSIVRKEGYQQNADFNLSGGNDKFTYYASANMFEQNSIVRNSFFKRLSYTTKLTYQATEKLKISTDFQISHGKTRTLPDAGGFANPMLAQFFNRPTDQARNPDGSWYWNPSNSRLSNNQFNPGYLLERNYQQSGALRFFANLSAEYKILNNLTYRFTFSPEYISVEEDQYWNPIHGDGYNYGGYKRESVNRFFNFNVQNILDYRFKLADVNNFAFSLIQEAYKRDNKFLRATRITTGAPTLETLSNFVVPYNGIEGTQDNTSRYGYAITGHYDYDKLFLIDASYRRDVLSQFVPGKKAGNFWSVGVALDLARINYFKEINAISMMKFRASYGKLGNQVSANPYALYSYTTNYNDLAAATYSGVFNPNLSWETVNPLNIGLDLGFFKDRLKITAEYYNKKTKDLIYNLPLSPSQGLTSYVDNIGDLVNKGFEFSVNADIFRGDRDQFNWTLGFNLSTLKNEITKLYGGTVNGNTTTIREGEGVRTFYLRKWAGVDPANGDPLWYVNGVDGETTNDYNKARQAVQGSFLSNVFGGANTTLSYKGFALDLQFTYGFGGKIYDNWSNYTYSDGQYSINYPGYGDVMGNYWTPTNTNAANPKPVYGGNKLSNSPSTRYLYKGDFIRLSNARFGYTFDSKFLQGSGLNSVQVYVMANNAWTHMFDKNLKFDPEVNIAGYTDLSLPVLKSYLLGVNISF", "MNKIIIAAIFVNCFGTISYAQSSQLGFLSALQGSSDATGTINRNKMIDAKGEKESSVSGSPFLDDKFIISSTPSFPDKFTARYNIYSDIIEVNIEDKIYTIPKNDQFKELTIGNTKLKLIKDKYYIELYNQNNFSLLKEENVKFTKAKPANNTFDFDSPAKYTLQKPTYFIYKDNEEITEINKKTFKDNSDLNKFIESSHIDLKNESDLKKLIQHIAQ", "MKNNIYKIVLGVASLVFVGASVTSCQDAIDIVQPGQLDDESTFKSISDLDLYLNGIYNIVDPTNEVYISAILSDEVKPGKGSGGQEFQQHRFFFDSSDKFATNTWLIYNTVINRVNRILEGAKSVDATRDQAKYNQIIGQARALRAFAYLQLETYFSPNMKDDNALGAIIFKDVPSISQKLPRSKNAEVYAFIEEDLNFASDNVQAKGANQYYVDKTVVDAIRARFYLYRGKYELAQKYAQEVLNKSGLTLTKATPIVPNDQTPIGSSAWNTAFYADSSFNPYRQLWGDINRGEVVFALARPARGSNSTINSLWNTNASNINGNPMWFWGRNLYNIFANTPGDIRKYAYVDPSSKPNANYETISNTREDQLVIDKYPGKANGPLRNDFKVFRLSEMYFILAETTAQQGQLTESAKYIKQVRDARNYQGSVAMPNYASKQDALKDILLERRVELALEGHRYIDLKRLANDAGVTMDRNKTDDIVDVTNLPNNSYKYTFPIPNSEIQGNRGIIQNDGYAK", "MNVKFKVLSAGVLFFIGQSVMAQKTKKDTATTKNIQEVVVLGYSKTATKAKSTAASTTVSAETLENRPNASFLNSLQGQAPGISINSSSGTPGSGKIDVMVRGLSSLNASTDPLYVIDGLATSATQFRNLNTNDIETISVLRDAAATSIYGNRGANGVVVITTKVGKYNSALTISYDATTGISTMPSEKYHLSNANQYLKIGQIAGTGITDEEIAANKVDTNWKKVFFRAGLTQQHNLGFKFGGKNVSVYSSLGYLEQEGMVPTTDFKRFTFRNNINGRSDNGKFTYSTQIALGYSRRHELQQETNTGLNSNIAQNPLHGYLLGDPTLASGQFTSSQNMFDKIGTNTNGNRFAWLLEDYLRPNNFPSYTDENSILANVALNYKITDEFSIGNKTGFDYKQSDVVFARAPWSYLALNDKARNKTDFAGSEALTSIKDFTFNNVLNVSYKKSFGDHSLDVGAYLEYIKAHYQWKRQIQTGLNPTNWLPGAGTGYVPFNPDNPNQYVPQIGAQKINAGSLAYFLTLDYDYDGKYGFSGVVRRDGTYRFTEGNKWGTFWSVAGRWNVDKESFMEGSTFDMLKLRASYGTQGNQNLIPPVNNLNPMFTAINLGLDLNGFGSGYLNIPSYFFNQLGNPNLQWEKISHLDAGVDFRLLNRKLEGTVDYYIKNTDNMFNKLKLSSVTGQYELDANNGKLRNTGVELMLRYNILNNKDYRLSVFANAAYNKNKIVQLAEGDALLANGDLINAVGDMAYQWNLYRYAGVNKETGEMQFYAKDGSITEAPTDQDRVKTGKSYFPKYQGGFGLNANYKGFYLDALFSWQAAAWQFDNTLAWLYDASAIGVTNLSSDMLDSWTPDNKNASMPSLNANNTGVDGSSDRYLKSTAFIKLKSLTLGYTVPKSVLGNMPVKSLKVFLQGENLVTWSKWRGLDPEGINRYSLSVYPNPRTVSFGVNVEF", "MPKIRLNKAVKELNISISRAVEYLQSKGIEVESNPNALLESEAFSALEAEFRKDGEQKKASHEVVISKVPDEKLEIEEVKPEVIRAKAAPQTGAKILGKIDLGEEKPKEVEEAPKPEPVKEPAKPVVEKTEEPQEQEFKVLGKIDLSQIRSDRPKHSDKKDKKPEAPKNEEPKVQAEAPKAEVKPVEKKVEEVKPAQPETPQEPQKIETVYQKLDGPKILKEKVDLSQFQQKPKKESGDNSFKKKRKRITKEGGNQGQNNNNQGQNNNQGGNNNNNNNSDRKPFNRGGNQQGGNNNNRGGNNNNRGGNNNRGGNNNNRNKVMPVELTDEQVKNQIKETLEKLTNKGGKSKGAKHRREKRSFRREQDELQQEMEAQDRTLKVTEFITVSELASLMNVSATEVISACFSLGVMVTMNQRLEADTLTLVADEFGYKVEFSDADIDDASLEEEPDAEEDLSKRAPIVTVMGHVDHGKTSLLDYIRKTNVIAGESGGITQHIGAYNVKLDNGEKITFLDTPGHEAFTAMRARGAQVTDIAIIVIAADDDVMPQTKEAIAHAQAAGVPMIIAINKVDKPGANPDNIRQQLSGMNILVEEWGGNVQSQEISAKFGNNVDMLLEKVLIQAELLELKANADKKASGVVIEAALDKGRGYVATMLVQNGTLKIGDYVLAGKNHGKVKAMLDERGKPMQEAGPSIPVTILGLDGAPTAGDKFRVFEDEREAKSIATKREQLQREQTIRTKKHLTLDEIGRRIALGDFKELNIILKGDVDGSVEALSDMLARLSTEEIHINILHKGVGQITESDVLLASASDAIIIGFNVRAGGNAKDLADKEEIEIRTYSVIYDAIDEVKEAMEGMLSPEIKEQVIGNVEIRETFKISKVGTIAGCMVLTGKITRNSKIRLIRDGIVQYTGELESLKRFKDDVKEVTKGYECGLNIKGYNDIEIGDILEVYEEVAVKKKLK", "MSNIINNIALIESFGDFKDEKGISKIDLMAIIEDSLKTLLRKRYDSDDHFDVIVNPDKGDFQIFLNKRIVEDEMSEDDDLEIEISEAKKIDPTFEVGEEFTQEIPVAQLGRRNILTLKQILATKLQEHNNAMLYDQFRDRIGEIAVGEVHHIRHKHVILLDDEGNEFILPKENQIPSDFFRKGDSVRAVIESVDFKGSKPQIIVSRTAPKFLEKLLELEIPEIQDGTIILKKVVRIPGEKAKIAVDAYDDRIDPVGACVGVKGSRIHGVVRELRNENIDVIQWSKNPEILVKRALGNVNIQKADMNEEQSHALVYAPAEEISKIIGKQGQNIRLASWLTGYNIDVYRDKEEGDDVELVEFADEIEEWIINEFKKVGLDTARSVLDKETAALVGMTDLELETIEEVKQILRDELED", "MEFRERVHELVDQYLETREDLFLIELKISADSNITVIIDGDQSVSLQDCLDVSRAVEFQLDREEHDFSLQVMSPGLSEPLKLPRQFAKNIGRELEVLLNDDTKIQGELKIVGEDSITLELKYRRPKLVGKGKEDVVEDRIIPLAEIKKALVVIKF", "MKHFTVCIFILFISVFIQAQKLESPDKNLILKFSLNEKGEAYYELKYKNKDVVKNSRLGFLISSQTPFAEGFKITNTQLSSSDTSWNPVLGEQKTIRDNHNEMLVSLQQTKTGYQLNIRFRLFNDGLGFRYEFPVQKDLRHFRIDEELTEFNLARNDKSFWIPADYDTNEFQITTSRISEISSLIDKARDEPLAAKAPSKNLAVQTPLMLKSDNGLYINIHEAALVDYPAMHLNVDDKNYKLSTHLTPNKNGEKAYMQTQMKTPWRTIVVSDDARNILASKLILNLNDPNKIEDTSWIKPIKYVGVWWEYFTGGGSTWAYSDNQDIVIGQTDYPHLKPNQHHGANTQHVKEYIDFAAENGFDAVLVEGWNEGWEDNWAYGKEKIYSFTKAYPDFNVEELQAYAKARGIKIIMHHETTSSAVDYERQLDDAFSFMNKHGYTGVKTGYVGPIIPRSEYHDGQWMVNHYNFVAQKAAQYKIMVNSHEAVRPTGISRTYPNWIAQESARGTEFESFNGNRPDHTTILPFTRLMGGPMDYTPGIFQGNLSLYGKNKARLSTTLVKQLALYVTLYSPLQMAADLPENYKKHLDAFQFIKDVAIDWDNTYFLEAEPGDYITIARKAKNKNEWFVGGITDENERTATINFNFLPKGKSFEAIIYEDGENADWKNSIVDYKINKQKVNSATILKKRLAPSGGIAISIKEIK", "LNITIVGTGYVGLVTGTCLAELGNSVFCVDIDEKKVEGMKNGIVPIYEPNLEETFLRNIQAERLHFTTDLKEALDQSEIVFLALPTPPGEDGSADLSYVLNVSENIGKLITDYKVIVNKSTVPVGTADRVRETIAAFTSVEFDVVSNPEFLREGFAVEDCMNPSRVVIGTSSERAKNLMANLYEPFSNIGIPIIYMDEKSSELTKYAANSFLAVKITFMNEIANFCEMVGADVDKIRLGMGSDNRIGHRFLFPGIGYGGSCFPKDVKALIKSGSEQGFDFEILKSTEDVNQKQKTILVPAIEEYFNGNIEGKKIAVWGLAFKANTDDIREASSLDNIALLLEKGAEVVAFDSIAEDNVQKILGNKISYAKDMYSALEDADALLICTEWPEFKNPNFELIAKKLKNKAIFDGRNMFSTELPSKHGFFYKSIGRKTVQSEK", "MSNSYEQIFENNRKWVESKLSQDQDFFTNLAATQTPEYLYIGCSDSRATAEELMGAKPGEVFVTRNIANVVNTLDMSSTSVIQYAVEHLKVKHIIVCGHYNCGGVKAAMTPQDLGLLNPWLRNIRDVYRLHQAELDAIEDEHKRYDRLVELNVQEQCINVIKMACVQERYITENFPIVHGWVFDLRTGKLIDLEIDFENILKDIQKIYDLTNSDWMMRNK", "MALPSIAKLMDWDLPTMSQNLSEEEVKIKRMSEEQLHSEPLQIQKIHWDHDLDKAFVLYDDNKRTDPFLMIFSPPPEA", "MKQNKTNIFSDVKPNFASGLVVFLVALPLCLGIALASGAPPLSGIIAGIIGGIVVGFLSTSNISVTGPAAGLTAIILASVTELGAFDLFLCAGIIAGATQLILGFLKAGSISNYIPTAVIEGMLAGIGIIIILTQLPHALGFDKDYEGKQTLFDNGFNLIPYINEIASAIHPGAILIFAISIAILIIWDKIPALKKIKMLPAALVAVATGIIINQLFTSSGSSLAITTDHLVKLPVPKSAEDFKALITFPNFTGFAMPAVWVTGITIAIVASIETLLCIEASDRMDYKKRITDTNQELRAQGIGNLISSFIGGLPMTSVVVRSSANANAGATTKLSAIIHGVLLLVCVLSIPAILNMIPLATLAAVLILVGYKLAKPATIKHFWDKGKYQFIPFMATMLAVVFTDLLKGVALGLIISIIFILLGNMKRAYYLSREELEDADAITIELAEEVSFLNKAAIKKTLKNIQPGSQVTINGKRTSYIATDVLDLIQEFANVTAKENNIVVSLVGFRTDYKEIKESHVVVDHRRSM", "MKYLIVGLGNKGDEYKETRHNIGFKVAEKIAEAIDAPFNTTNFGWMAEGKYKGRKVFVLKPDTYMNLSGNAVRYWMQKENIPLENLLVITDDLALPFGTLRMKMKGSHGGHNGLRNIEAVLNTGQYTRLRFGISADFKEGQQVDYVLGGWSEEEKEKLQERIDKFSQAALSFVFAGVQNTMSGFNGK", "LLKKQWGKEIFDTLREKQKISIKGAAGSSMSLLVAEYFVSFHQPVLVILDDKEDALYTTAELEELCGKEHVLYFPATFLEPYQIEKTQNANRVLRTEVINQLNSSKAPKVIVAYAAALSEKVIKKEEFGKFSHTIKVGEQLDFDFIDELLNHYKFNRTDFVSEPGEFSVRGGIIDVFSYAYEFPFRISFFGNEVDSIRTFDIESQLTQDKVEEFQLVSAIQDISGDTSKTSLLGILPKETVMFTRNAFLGVKHIREFYEKAREVYKTLHQDIKYSEPKAIFVSEEEFLNEINHFLWADFTSEVLKSSRAEIQLKLTQQPVFNKNFEWLIQDLEDKLEKGYDAWISFSSEKQKDRLESIFEELEKNIPFKSFASELHEGFVDNENKISVYTDHQIFDRYQRYKAKNAFAKSEQLTLKDLMSLKVGDYITHIDHGIGKFMGLVKVNNNGKVQECFKLSYKNGDLLYVSIHALHKISKYNGPDGKDIVLSKLGSSAWKSLKQKTKARVKQIAFDLIKLYAQRKSAKGFAYSPDGYMQNELEASFIYEDTPDQEKATMDVKADMQADTVMDRLICGDVGFGKTEVAIRAAFKAAVDGKQVALLVPTTILAFQHYRSFKERLKDFPVEVSYLNRFRTAKQKKETLEGLASGKIDIVIGTHQLVGASVKFKDLGLLIIDEEHKFGVAIKDKLKTMKTNIDTLTLTATPIPRTLQFSLMAARDLSVIKTPPPNRQPVDTKLVGFNEEILRDAISYELQRDGQVYFINNRIENLKEIAGLIQRLVPDARVITGHGQMDGKQLEANMMDFMEGKYDVLVSTTIVESGLDVPNANTIFINDAQRFGMADLHQMRGRVGRSNRKAFCYLITPPMDLVSSDSRKRLEAIEQFSDLGSGFHIAMKDLEIRGAGDLLGGDQSGFINEMGFDTYQKIMQEALEELKDEDMEGLFQNEEERKKLFNSVKDVNIDTDLELMLPDDYVNSTEERLLLYQKLADVRNATELNRFEYELKDRFGALPKEAINLLKSIELKWLAADIGFDKIVMKNGIFLGYFPGNPQDKFYQSDRFKKIIQYLGSNPQHAQLKEKHSPEGNQLMMRKDKITNVDEVNTLLNSILA", "MKNLLSALSLALGLGFATAQTTTPRQTTPVTPVKKEVKSSVKEVKSTAKDTKTTAVKEVKATSKDAKGTVKEVKSTTTTQGVKLKKDGTPDKRYKSSQHLKKDGTPDMRYKENKK", "MLSILKKAVAKIWAKQYVKSSEKFTRNAVSNQENLLKNLITKAVNTKFGKEHGFGSIRNIQDFQSKVPLADYEDLKNYIEEIKEGEKDILWPGQPEYFAKTSGTTSGSKYIPISKEAMPYQIDAARSALFFYIAQKDNADFVNGKMIFLQGSPELTDLHGIKTGRLSGIVAHHIPNYLQKNRLPSWETNIIEDWETKVDKIIAETEKENMTLISGIPPWLIMYFEKLTEKSGKKIKELFPNLQLIVTGGVNYEPYREKMNKLLGGHVDIVQTFPASEGFFAYQNNYKEDGLLLLTNHGIFYEFIPQEDLGKEKPRRLTLGEIELHKDYALVITTNSGLWAYMIGDMVRFISNKPYKILVSGRTKHFTSAFGEHVIAYEVEEAMKEAILKHPAQISEFHLAPKVNPENGLPYHEWFIEFEKEPEDMDAFRQELDLALRNKNTYYNDLITGNILQPLIISRLKKDSFLEYAKSQGKLGGQNKIPRLANNRDIADFFHQSELNLIIQ", "MISLAPLRTLRNTEYRNLLSGRFFLIIAFRMLATLLGWWVYHLTKDPFSIGLIGLSEVIPAVGCALYAGHIIDMSEKKKLLLICNYTYFFLLACLLIPAFWGHRLSFSNHQITYYIYGIIFLSGICRAFLSPLVPALIPNIVKREELPNAITLNQATFLTASVSGHALGGFLIAWLGISGTLVVILCFLFIGSLFFWTLNKHRSEYEGQQVNVWESMREGVVYIYKTKEILGALTLDLFAVLFGGAVAMIPVYATDILKVGSEGFGLLNAASDIGSMCIIITLSLIQLKKNQGKILIAAVSGFGLCIIGFGLSHLYWLSFGFLVLSGMLDGISVVIRGTIVQLKTPDKIRGRVLSVNTIFITSSNELGQFESGLAAKMMGVIRSVVFGGSMTLLVALMVGTFAPKLRKMEY", "MAQKETLSSLTQGNFAKELSISQGKMPPNAVEFEKLVIGTFLIDKKALDFSIDLLTEKVFYDPRHQEIYAAILKLYQDNHPVDMMTVIQELKREDRLNLAGGDSYIIELTLGVSSSAHIEYHVRIVLEKFILRSLINVSGNVIDQSYKESTDVFELLDEAEKSFFEITNGTIKKGFDTANSLVKEALDKVKSLRGKQGLSGVPSGFKAVDKETGGWQPSDLIIIAARPAMGKTAFILSMARNIAVDQNIPLALFSLEMASVQLIMRMISSETGISSEKLRKGTLTDEEWERLFSNVSNLEKAPLYIDETPALSIFDFRAKCRRLVMQHGVKIIMVDYLQLMTAQSGKGGGNREQEISTISRSLKAIAKELNVPVIALSQLSRSVENRPGKRPQLSDLRESGAIEQDADIVSFIYRPEYYKIEYWEDETPSANQAELIIAKHRNGSTEDVRLAFHGSMARFGDLGEDTFGGGMGSPSQVNNDNFYDKIKTTMDPGSAFGMPPTPPSSNKVSGSAMNDDDEDEMMPF", "MKIDIYTDGACSGNPGKGGYGIVMKIVEKKYEKQFSEGFRLTTNNRMELLAVIVALEKLNTPQDNVHIYTDSKYVSDAINQNWIFGWIKKDFKKVKNDDLWRRFIPLMRTHKLQFHWIKGHAGHPENERCDQLAVKAAQSQQLSVDVVFEQLQNS", "MIKADVLVIGSGISGLSYAIKIAETLPDAQITIVTKSDEDESNTKYAQGGLAVVTDFSKDNFEKHIEDTMRAGDGENKRNVVEMVVKEGPHRFRELVEWGTRFDKEKDGDFKLGREGGHTENRIVHHKDITGFEIERVLLETVNKLPNIEVLDHHYVIDLLTQHHVPGKELNHDNIHCYGAYILDQKNKKIKKITAKITLVATGGAGHVYKNTTNPIIATGDGIAFVHRARGKVSNMQYYQFHPTAMFSKRSGMLFLISEAVRGDGAKLRTKDGQPFMQKYDEREELASRDIVARAIDNEMKISGDDYVGLDCRHMDQEKFREHFPNIYKKCLEEGIDPFKQLIPVVPACHYLMGGIDVDINGQSTIKNLFAVGECTNSGLHGANRLASNSLLEGLVFGHEAAVKTVELLKLNEFNFNDLKAIPEWNQEGMKLMDEMVMVSYFRKQLQEMMSDLVGIVRSNSRLKIAQQKQREIYEAVTALYNNSILSPQLSELRNLVNVSYLIIKHSMEMKENKGAFFNKDLVK", "MNPIINSDELASILHYPELIIIHAGNNAKDIYDNSHITNAYYLELNTDMSDVPQDYKNGGRHPLPKIENFITVLQRTGIEKDSPVIVYDDKNASNAAARLWWMLRSAGLKNVQVLNGGLQAAINNNIPTTTNTSPERTSGNYTFTEWQLPIADIQEAETFTRKDKALIIDVRETPRYNGETEPIDTIAGHIPTAKNYPFANNLNSDGTYKDPEELKQYFIKIFEGYEPENIMVHCGSGVTACHTLLAIDYAGLNIPKLYVGSWSEWSRNNKPIETTRTI", "MNRPSYITDKALNTFIKNALAEDLQKGDYSTLATIPATLQQSAKLLVKEDCILAGVELAEYIFKYYDKDLTIDVKIKDGEQAKVGDIAFIVTGSAQSILSTERFVLNCMQRMSGIATLTHDWDSRLVGTKTKLLDTRKTTPNFRLCEKWAVAIGGGTNHRFGLYDMIMLKDNHIDYNGSISNAVKMAREYVEKNKLNLKIEVETRNLKEVQEAINTPGIDRIMLDNMDNETMAEAVSLINKICETEASGNVTRERLKDIAKTGVDYISVGALTHSAKNIDLSLKALKI", "MKINFRKPMLAAVLTLTTASVYYAQQTQDTTKSKSKDIDEVILRGVTDIAKDRKTPVAVSTIKEAQIVERLGNQELPEILKSTPSVYATKGGGGFGDGRVNIRGFDTNNIAVMVNGMPVNDMEGGTVYFSNWQGLSDVTSALQVQRGLGSSKLAIASVGGTMNFITRAADKKREGNVTIGVGNDGYLKSIFSYNTGRSAKGWSTSFLMGRTSTDGYVNGTKGEAYNYYFALGYQPNKKHDFQFTFTGSPQWHNQNNFQNTIATAIKYGNGVDRPNRRYNSNWGYMTGEDGIAREYSQSVNWYSKPVAMLNWDWTMDEKSKLSTVLYGSWGRGGGTGVIGAINGTNINSLPKTSDGLIRFDDIVRWNQGGNVADFGANNKTPGVATRTNGLVRRSSINSHDWYGFLTNFQHKINDNWNFSVGLDGRYYYGYHPGLLTGLWGNNKYIEKDNMNIPGGYDVTLVQKPQPSVNPFIKAVKDQSQIVYRNYDGEILWGGIFGQLEYSNDVISAFVQGSASEQGFQRIDNWIIDGVTVQQGQTVNRKTGFKYIFGYNAKAGINFNIDEHNTVFANAGYYSKQPNNYAVYNTPVADGRQTGSQQILNPNLTNEKIAAAELGYRFRSAIFNADVNVYYTSWKDRYQRFSNLSGNDANNKPYSRAFVDATGIQQVHMGAEFEGTLKATDYLTFNMMFSIGNWKYKGNPTGNLFDLNGTPIPINGSSNTATFALDKVKVSDAAQTTGSVGFTLKPVKQLSIFGNWNYYGNYYGGVSFGNDYVVRPDGSITDNAKRGALKYPDYNTTDVGLSYTFNIGNNQRLIITGNVFNLFDATYISDARSSNFVKELSDFSTTTSGGVTTTAQQKYDAYRNNPLNFYKGIDTSNNVYWGFGRTWSASISYRF", "MKINFRKPMLAAVITLTTASVYYAQQTQDSTKSKSKDIEEVILKGVTDIAKDRKTPVAVSTIKEAQIIERLGNQELVEILNTTPSVYATKGSGGFGDSGITMRGFENRNIAVMVNGMPVNDMETGAVYMSNWTGLSDVTSSMQVQRGLGSSKLAIASVGGTMNFITRSADKKEGGVIRLGIGNNDFLKTSFAYNTGKTQNGWSSSFLLARQSGATYIQNTDYEAYTYFFALGYQPSKKHNLQFMITSSPQWHDQRSFAPTIANFIKFNPDHDGTPDRSYNSDWGYRTMPNGRRVPIANAMNYYAKPVLMLNWDWTISDKSTLSTVAYMSNGRGGGARNAGNIGGKTMNAFFDNEGHYDYDKIFAANGAVNPATASTLVRTSSVNSHNWYGILMNFQHKINDNWNFSVGTDDRYYYGYHFQALSDLYGASGYKDNTNKNAPNRLINNVYDYKKLSWNPFGGSLAPMQERIAFSNDGEVLWYSGFGQLEYSTEKISAFIQGSVSNQAYQRIDDFVVPGTPQQGQIVDRKTGFKNVFGYNIKGGANYNIDYNNNVFANIGYYSKQPFMGTVYPSNQQVVNPNLTNEKIFSAEIGYGFRSANFNAKVNLYRTQWKDRFYRRGNVIFQLDPSDPSKTATGYSEISGITQLHQGVEFEGNYKVNKYLEFQGMFSWGDYQYKGNATGANYLDDNTPLATNGNNSSTLYLDKVKVGGSSNNSIPQMTASVGATIIPIKDLRIYGDWQYVGKIYSAMNINDFLSPGKSALQLPNFNLVNVGASYKIRLNDKQFFTLGVNVNNLFDTTYIQDGATNIQKTDAPAKLADGSNNTKKLTYEQLGYMYKGIATDNRVFFGFGRTWATSLSFNF", "MNINFKKPLITALVLSTASVYYAQKTKDSLEKSKSIDEVVLVGRNLTQVAKERKTPVAVSTIKATEIQEKLGNREFPEIMKSTPSVYVTKVGGGFGDSRINMRGFDATNIAVIINGQPVNDMQNGAVYWSNWTGLADIASSIQIQRGLGASKFVVPSVGGTINIVTKATDSEQKAMIKAEAGNDSYSRLSAMYSSGLKNKWGTTVLLSRWQGDGYINGTKGEGYSWFFSVGYKPNEKHAFNIIATGAPQVHDTRRSSATGANVATLRQLDTYGRRYNPQTGMLNGSQFNLAPNFYHKPIASLNWDWTINDALKLSTVVYASWGRGGGGTGLNGTIKNANNQTMNFMNYGPGGDGTINWDMIYRYNRGGLVTDYNGNTFQKGTFTAEPGQPTDYNGRYVTTLNGTSGIVRKQSINAHDWYGAIADLNYKKNNWTFNGGIDLKTYKGALYDIVTDMLGSDAFFVKRTVNSPNGYFVNKIVKPEAITNLNNVQKVSIYNEGLVRWAGAYGMVEYSDEKLSASLQGSVSKQYYKRRDYMLYTPENQETEWYNKTGYIVKGGANYNIDEHHNVFFNTGVISRQPQFNALFPSNQNVYKDAKNERIFSIELGYGFKSRYVDVNINAYRTQWDDRFITRTFNATAGDVANFSQLQLGNSYFYNALNVGQLHQGIELEAKARPFANLKLRGMLSVGNWKYKGDASFNIIDVLSNQEVPGATGIINIKDLKVGDAAQTTASIGADYNITKAFSIDANWEYYDKLYAQFNPINFLKPEMREKGVVKLPSYNLFDVGAAYKFTIDQKRSLTLRVNVYNLFNKYYISELSSNIYTTDKIANGPDAGKTYQEAGRVYQGVADGNTGFLGFGRTWSAAATFRF", "MHNIFLQAHRGFAYLELLLVALFIIALLTVILGYSGKISKLLRKSTLFVMIFFHIQFLIGIIMLVGTSGFMDTIKVMGMGGLMKNSALRFTYIEHPFSMLIAAVLMTILNKKVKANDTITMGMVVLAVLAIALFAFALPWAKLMGA", "MKIAVVGATGMVGQVMLKVLEERNLPITELIPVASERSVGKKITFKGQEYAIVSMQEAIDRKPEIALFSAGGETSKQFAPKFAEAGTTVIDNSSAWRMEVDKKLVVPEINANVLTKEDKIIANPNCSTIQLVMVLAPLNKKYDIRRVIVSTYQSVTGTGKDAVDQLNAEIKGENPQMVYPYQIFKNALPHCDVFADDDYTKEEIKLMKEPKKIMGDDTFSLTATAVRVPVQGGHSESVNIEFENEFDLQEVRQILSETPGVVVLDDVQNKVYPMPLEAEGKDEVFVGRIRRDLSQPKTLNLWIVADNLRKGAATNAVQIAEYLVANELV", "MKEETVNKDISKLAFQRAIAFAGIILFIGKLVAWQLTNSDAVFSDAMESIVNIVSAFLGLYSLYLAAKPKDKEHPYGHGKVEFITSGVEGLLIIIAGILIIVQSSNSLIKGNHVKDLDWGIIIVLITGLINYGLGWYSLIKGKRENSLVLIASGKHLQSDTITTLGVVISLVIVHFTHWYWIDSVVALIFGSYIIFIGYQIVRKALSGIMDETDDKLLKEITAILLANRQPQWIDIHNMKIQQYGANLHIDAHITLPWYYSLRDAHNEMEKVLILLAKNLDRQIEFNFHMDDCKPISCSVCQLSNCPVREFPFEKKIEWTIKNISQVQKHTV", "MREELFQKFLEDYFGHSDFTITPLQQSGSARQNLIVETFDNKYILTFNEKIDENESFFYLTNAFLNLQLRVPEIYAINTERNLYLQQYVGSNTLSEVITKEGHSERVKNLVGKTIKALSNFQQKTSGNIDFSHAYEYEAYDSFPITHDLYYFKNFLVDILEIDYHKGKILKEFKHISDKVQSLQPSTVMIRDFQARNIMVDDQDEIYFIDYQAAMLGPATYDLVSFLFQAKANFSTEWKNEFLTEYLVLNKDSFSENSFKEAVNYCKLMRFLQVLGAYGFRGLIQRKKHFIESISRGIENITNLSENWTELQKYPELQKVIAQLSKPIINQKIIELSNH", "MITKKDITMLSYNVLGCTIKVHKNLGPGLLESIYEKCLKYELERSGYDIKQQVLASIIYDDLCFDTELKLDLLVNNLICFELKTVDEIKPVHQAQLLSYMKTLQKPQGLLINFYTDNITK", "MLNIEIHSFSYKKGGIPKDNSGNGGGFVFDCRGILNPGRIEEYKQQTGNDIPVQEYLEEKTKIQDFLNSVFSIVSINIDDYLARGFENLQINFGCTGGQHRSVYSAIKTAAFIREKYPQVNVILHHDEQTQLN", "MKALLFAAGKGTRLKPFTDAHPKALAKVNGITLLERNINYLQSFGINNFVINIHHFGEQIVDFLKENDNFGAHIEISDESELLLETGGGLMFARSLLEQEEFFLIMNVDILTDLNITEFINFHKEHDDFVTLAVSDRESSRKLLFDDSMILKGWMNTNTGEQKLAEFNKGFRPLAFSGIHCINSQIFDMIKRTGKFSIMEEYLDFMMTERINGYLHDAYLVDVGKPESILLAEEYFK", "MKKKDTPKNDIILDERVRESFRQKTWDEKLTKDSWMVFKIMAEFVSGFEKMTEIGPCVSIFGSARLKEDHKYYQMATEIAEKITELGFGVITGGGPGIMEAGNRGAHGHGKSIGLNIDLPFEQHFNPYIDDGYNMDYDYFFVRKVMFVKYSQGFIVMPGGFGTLDELMEALTLIQTNKIGRFPIVLVGSEFWSGLIDWFKSSLLKNGLISEEDLNLYRIVDNADDAVAHIKAFYEKYAISVNF", "MKKLFGILGTLSLLIFAFSFVEFHSSMTKADYNEANGVLKFTTKMNASDLEQALKMDSKSGSFDNAAKNYVNSNFSASVNGAPIRLTYTGSQVNGEAVWVYFEASGIGSISTIKIKNTILLNEFSNQMNLVTVAYKGKQKTMTFQRGKEVNEAAF", "MINKIYFKTICAVAVLTVLNSCVKSDDYSVPPITCTDKFPATNHALSDLNALAKANPAESDIIKEDYIVEAYVSSNDQSGNIYKAIFVQDKPQNPTQGIEIDVDEGNQYANFPEGSKIRINLKGLVVQGVNNNIKIGPYDPNYPVGRINPRRIPNHIARSCGESGPVVAKMVPLEFNSISEAIKDGAHANQLVKINQVQFEDAELTKTFADPDRTSDRYITDKKSNRLDLRFSNYASFSTTPISPNYAKSGSITVILSRYTNPYNNAITEQAYIRSLTDISFTKDRFEPGVPEAPSASATVLFKGADFENWNDFIASINPNFGLLYSKQGKGTGYNGGDALQIDATPSKNDFVFTALSNTTIPANPKRITFYIKGTAASKSLSFNVYKEGGSSYSAFNLGTFKNGAMLDSAQTNSYTGSIDTGGQWRLVELSLEGIDINRTPGKSLFAIKVGSNSAYNLLIDNIKIE", "MIKKLSLLSLFTLLPASFYYAQTTAFAYIKDTAGKPVESADVSMKGSSYSVTADKIGYFQFVGLKPGHYQITISKPPFDSQIVEFDVEEGKKRQDLGVIKLSTNFNDIDQGFTILDDSDQSDELNNQSTVGLLQSSRDVFSSIAAFDLGFYWFRPRGIDTRLGENMINGVSTARPDTGMVDFSTWGGLNEITRYPEIALNHSPSEYGFGGVGSVFYKNTKASDYRKGSQLTYSLTNRNYNNRLSYRFSSGMNKKGWAFTGMIARRWAQEGIQDGTFYDAISGYLGVEKKFSDKHTVTLNAIGSDYRRSTSSPNTQEVYNYRGIHYNAYWGWQDGEKRSERVKTGFLPMIQLTDYWKINKKSELWTTVSYQFGKEKSARLDWYKANNPSPTYYRNLPSFYAPGSKFANPERYAELLDSWQNDDQRYTQLDWDSFYRKNSGNYEKEYGGNRAIYFLENDVKNNKIWNFATHYINNLSDKVKLVLNLSYQNYYSEQYREVKDLLGADFALNLDPFAKDAKGRNFNTLDNNVTKRVGDKIGYDYIYRRQDINFNPGLKFSTGKFDAFISALVGYSTNSREGLFSHYLYDNSYGESGAQNFWNFGMKGQLTYKLNGRMFFVYNGAYYSQSPFLNDIFINPRANNTVAPNIRSTVIDANDLSYIISAPNFKLRLTGYITNSQNDTEIQRYFADGISFNTLDAQGQEIEGDNRAMVTQVLSNVNKRNMGVEMGIQVKITPTLTASGLASIGQYTYTNNPKLYFASDAMGTFFDYNNKGELERRDYRDLGESYLKNYKQGGTPQQAFSVGLRYSSPKYWWISGNWNYFRDSYLDPAPATRTNSFIYNPNTPGVPYDGVTEAELRRVLKQVKLPEAFFFNASAGKSWIIGKYYFVISATVNNILNSHKYITGGFEQTRNINYKNYVEGFNQENPSFAPKYFYSQGRSYFFNVQFRF", "MRKKNLNLIEVSRKAKYSGFLLAILAGGTFATAQYTKEKAVNKKVNPWEVDKLSVDQRINEIVKAMSNEDKANFLVGTGMPGLGAFLGPVGDVKEGRVSGAAGGTYPMAKFGIPTIVVADGPAGLRINSKREGDNNTYYATAFPVGTALASTWNTKLLYQVGKSMGNETKEYGVDVLLAPALNIHRNPLNGRNFEYYSEDPVVSGKVAAAVVNGIQSNGVGTSVKHYAANNEETNRLALNAHISERAMREIYLKGFEITIKESKPWTVMSAYNKINGIYASENKDLLTTVLRDEWGYKGIVMTDWFGGFKGLADIRDGNSNVVNQINSGNDLLMPGLPKQKNAILDALNSGKISQETANRNVKNILQLVFRSPSFTNYKYSNRPDSEENANITRNAATEGMILLKNDQNTLPYINNSDNVALFGVTSYAWITGGTGSGSVNNKHTVSLLEGLDKAGYKLDDELVALYKPFAKKELAAEMEKRKAKGILALPGRLKEMEFSDEVIQKKAETNDIAFLTIGRNSGEGGDRAVDNDFNLAADEVELIDKVSKAFHTKGKKVVIILNIGGVIETASWKDKADAILLAWQPGQEGGHSVVDVLSGKANPSGKLTMTFPVKYSDISSAKNFPGTPVEKVTDVTYEEGIYVGYRYFDTFKVKPSYEFGYGKSYTTFAYSDIKADKNVFNRELTVKVKITNTGRTAGKEVVQLYLSAPAKQIDKPLQELKTFAKTTKLMPGESEVLELKLSIKDLASFVTSKGAWIAEAGNYKASVGASSTDIKGQIFFSLPKETVIEKVQRVFAPDLKFEDMKP", "MKKIILGILAIISFQGNAQKKKTLEWISSTEKTPWQSKTLSADKSSEKAIEIYTNKPLQKIDGFGACFNELGWTSLSELSQSDKDLIFRELYSQKGANFTMARMPIGANDFSRDWYSYNETDGDFEMKNFSIKNDYETLVPFIKSALKYKPNLNLWASPWSPPTWMKYNKYYALSKVPGLIKNVDNGIKDDQLVKEGTDNFVQEDKYFKAYALYFKKFVEAYRKENIKISMVMPQNEFNSAQWYPSNTWTPTGLSKFIAQLGPEMKSIGINVFFGTLERKNAMLFSEVYKNPEAKKYIKGLGVQWEGKEAVSAIHKEYPTLPIYQSEHECGNGKNNWDYAEYSWDLMKHYLLNGASAYLYWNISLLDGGVSRWGWKQNSLITVNAKNKTYKWNPEFYLMKHFSHYVQPGAVLLETSSPVVEKNRGDVLGFWKGNLSSNTDNVLAFRNLDSSVVVIVYNDQDIPKEFNIKVGHLNLNPTLESKSFNSILIK", "MVDVSREPRWGRVSEGSGEDPYLGSQIAKAMVYGYQGKDLSLKNTILACVKHFALYGAPEGGRDYNTVDMSHIRMFNEYFPPYKAAVDAGVGSVMASFNEVDGIPATGNKWLMDDVLRKQWGFNGFIVTDYTGINEMIQHGMGDLQQVSALAMNAGIDMDMVGEGFLTTLKKSISEGKVTRVLCHLFKSWKYLLKLENCI", "MDVIHGYETTFPIPLGLSASWDMDLIQRSAQIAAREA", "MKKLSIIAGLILAPLFSAQLVHQPVQSFQTEAYTSKKKASVDKLIAKMTLDEKIGQLNLPSSGDFTTGQAQSSDIGKKLNRD", "MRKFLFITLLFTNVLLFSQSNIRRVAAVGFYNVENLWDTYKSADYIDGTKDISNPAFHRSIPVDSIQYLEHEPYKGQWSDALLKGKKAVRQQYSNGEFTPQSAKNYTEKVYQQKLGNIAQVISELGSKYTKTAPVIVGLVEVENRQVIEDLVKQPQLSKYNYGVVHFNSYDARGIDNGLIYQKGRFKLEKAWKKELKIFDNGKREYTRDLLVVLGELDGEKFAFFVNHWPSRRGGEAISLPKRNAAAALLNQQMDSIRNSNPEYRLMAMGDFNDDPVSPSFKNYVKATGDINKVGDETPYFNPMFKMFKNGVASLAYQDAPNLFDQIIYSKNLIGDKERSAQKDYTVYTTEVYAPGYLINKEGSYKGYPFRSWDGDRFTGGYSDHFPVFSILQKAAQ", "MKNFLLIIILSILTFSCTSRISPQSTGGSHQPAKIEKGDDGEWELTVFDTDYENFLLTRARPKSMYTESYLKNRNTILVNEWNSLYMSGRYRNIVESQIDYDPNEKYGMDFEYRLYQVFVYVNWKYGLKLYSLSNVEGLR", "MKTNKIYKSTAVLFLSVITMGALNSCNTDNITDVQNKGAFDSENFYQNRDQAFQALVATYDPIGKYAAGFENMLTFFNAASDDFYAGGGSNSDGAGIQGFSNYSIDPIIMPQSYWNIYFQGISRANTLVEKLPAVPMDTNEKARFNAEALTLRSMYYFELLRMFKNIPLILKPIQATDNYYNIPQEDPKKIYQQIEADLLAAIPILPSTVASGDRGRLTQGAAKALLGKIYLYDGKKDLAAAQFADVNGTPGGTSQYGYKLLANYNDLWDFKNKFNSESVFELVCTSNANVDWGGWGSGRDRGNSVNQMVGPRSFQRTTAGIEAKVKDVYSGGWAFNTVTEDLYNFMLGDPRMDATIYNAKKLKADGYVDYAPAYKDTGYFLNKFLPTQADRTTGTGNTELNFSQDVYIIRLADTYLMEAEALNGSGARAQALLDAVRQRVGLSSVPVSMQAIKDERRRELAGEGHRWFDLVRWGDAPVVLGNKGFKPNKNEILPIPYKELINTVIKQNPGY", "MKQSPIRNLSLIAVLYFTANINAQQSKKDTTANEKKIEEVVVIGYGTQKKSNVTGAIASLKAKELENVPAGRPEQVLQGRASGVSVVSNSGQPGSAATIRVRGITSFGAGNDPLWIVDGIAVDNIGFLNQSDIESMEILKDGASASIYGVSAARGVILVTTKKGKQGKISLSYNGFYGVGNAAKKLDLLNASQYAMLANEKRINGGGNAYFPNPDALGAGTDWQKLIFNSAARTSHDISVSGGNDKSTFFGSFGYYNQEGIVMRDISNYKRITARLNSSHKVFPFLTVGQTLNYTHVKSQGINSNGEFGGPLSSAINLDPTTPIIETDPAKIKSSAYNNPYILRAPGGNPYGISSLVNQEMSNPLAFQQTQLGNYNWSDDFVGNVYAELKLLKDFTFKSTLNGKLSYWGNQSFTPLFYLSPTYSNTNKNSLYRETQRKFEWSTENTLNYHKKLGKHTFDALIGQGFYVYNIAEGQGTTYTGLPITSYKDASFNFSIPDTDKRTWATDGIQTKKASYFGRLIYDYDGKYLFTGTIRRDGSSKFGPNKVWGTFPAASAGWVVSKENFWPENRIVNMLKIRGGYGKTGNDAIDNFLYRATIIGGSNYPFYDGKTEFVGIGYRLKTLENRDLHWEQTAQTNIGADLKLFNDFTLGFDWFNKKTTDILRYVDLPGYIGVTDSPAANVGDMSNKGIEIELGYKKTITEDFGISVNGNFSYIKNEILRLENGKKFVSLAGFQSMGDVSRLQVGSPYGSFFGLMRNGVFQNQTEINSYVDKNGNKIQPDAKPGDFRWVDANGDGKINTDDYTYLGNSLPKFTYGLTVNLNYKNFDLMIFGQGQGGNKIFQGLRRLDMQDANYQTAALERWHGEGTSNTYARLTTNDTNKNFSYMSDFYLQKGDYFRLKLIQIGYTLPMELTKKLGGNKFRFYITAENLFTITKYTGYDPEIAAGDSYGIDRAYYPQARTFIFGANIQF", "MLLNCLSIVILNYFGQKKFVLLGSLEVFKDLPIAFMAAFISRYIPRIGHLNSIITGLSIAFVLCIITPFVDDFWFFKIWFLLIGLCFILVKISVLYITINLSRAEENGSRFMQFIEASFMAGIVIVNLIFGLIMGSSSPYLWKYGFWAIAFFSLLTTGFLYFGKSVWQKAYSNTSEQKSTITLNSWQVMKKSFLFLAIVFFIIITEQSFTTWLPTYSKAIFNADPFVATQTTVIFAFSALTGRIIYGMLIIKRSWNKLFAGLLVSIFIIVSCISGILIFEKPHQIFLYLIPCMGFFIGPLYPIINAHQLYSLPNEQERGSLLGLIIVFSSLASCTSSLVIGLTLDHIQPEYAFTLLFLPTIALSLLFLNYKRQPIS", "MKKNTTPEANNNLQTLVETNDFIKQLSIDCVIFGFHNKSLKVLMLKYFNMDIWALPGGFIFKDENVDDAAYRLLYERTHLDEIFLEQFYTFGNKNRTESDIHNRLTKNKEIDLPKDHWLFQRHISIGYYALIDYTLSSTFPDAFSEKCEWFDVHNLPKEIAFDHREIIEKGMEFLRKNLDYKIYGSNLLPEKFTMKELQNLYEYILGEPLRRNNFQRKMLSLDFLERLEKKFDGSANKAPYYYKFKK", "MSFELPKLGYAYDALEPTIDAQTMEIHYTKHHQAYVDNLNKAIAGTDLEGKTIEEVIKEGSDKPAVRNNGGGHFNHTLFWEILTPGGSKEPVGKVKEAIENYGGLEKFKTDFAEAAKTRFGSGWAWLIKNADGSVSVGSTANQDSPITPGAGVAGTPVLGIDVWEHAYYLKYQNKRPDYVTAFFDVINWDKVEENYNK", "MPYLFTSESVSEGHPDKIADQISDALIDNFLAYDKDSKVACETLVTTGQVVLAGEVKSSAYLDVQHIAREVINGIGYTKGEYMFNGDSCGVISAIHEQSPDINQGVDRKVTDESFEAKANAQGAGDQGMMFGYATNETANYMPLALDLAHSILRELSAIRRESKEITYLRPDAKSQVTIEYSDDHKPIRIDSIVVSTQHDDFASEEEMLAKIREDIKNILIPRVVATQPEHIQVLFNDQIKYHINPTGKFVIGGPHGDTGLTGRKIIVDTYGGKGAHGGGAFSGKDPSKVDRSAAYATRHIAKNLVAAGVADEVLVQVSYAIGVAEPCGLFINTYGTSKVGLTDGEIANKVSKVFDLRPYAIEQNLKLRNPIYQETASYGHMGKEYYVADKTFNKGSANELTLKDLEFFTWEKLDRVEDIKKEFGL", "MNIQQLEYLIAVDKYKHFGKAAQACFITQPTLSAMIQKFEEELDIKIFDRTSHPIRTTDAGQQIIEQAKKIIDDVMELRNRANLLNNIVSGKINLGIIPTVSAFLLPNEIFDFLRRNPKIEMNVKEMTTENVIKALKSGEIDAGIISTPYAAADEFFSDFLYNEELLIYSSNKDLNKDGDSFIIPEDIDLEDVWLLSEGNCLRTQVENICKLKENELKPSNLDFKASSVNTLVQMVDRVGGLTVIPEMAVDHLTEGQREKVFHFRKPYPKREISLIYYKPTYKQKLLDEMTDSIRESLKNKLKYDAAPADFVGVKPE", "MENKKKLTNAAGIPYYYHEDSQTVGSRGPVLLQDFILQENLAHFVRERIPERIVHAKGSGAYGKFTVTGDISKYTRAKLFSKVGNECKMFARFSTVGGEKGSADTERDPRGFALKFYTEDGNWDLVGNNTPVFFIKDAKKFPDFIHTQKRVPRTNLKDHTVMWDYWSLNPESLHQVLILMSDRGTPHGYRHMHGYGSHTFAMINDKNERHWVKFHFKSQQGIKNFNNEEATDMKGKNPDFAQEDLVDAIDNGNFPKWTMQIQVMTEEQAKNFRWNPFDVTKVWPHNEYPLIDVGIMELNEVPVNYFAHVEQSTFAPSNLIDGISFSPDKMLQGRLFSYADAHRYRVGVNSHHLPVNACPFQVNNYQRDGYMAMGDNGGDAPNYFPNSFDNIAPDPTYKPFEEELDSAHVAFFDRNKDDNDHYTQPGLLYSKAMNDEDRKNLIHNIVEHMKGIKGEKKDLIINRQLCHFFRANIELGIKIAAGLGVTIDASQMQHSTQ", "MNINTRQENNIFTIEINRPESLNALNGQTLQEINEAVSHAEENPEIRVIIITGSGEKSFVAGADIKEFSDYNAAQAEEMSRKGHLNVFDKIENLKKPVIAAINGFALGGGLELAMACHIRYASETARLGLPEVTLGLIPGYGGTQRLPKLVGKGLANEIIFSAKMIPAQRAKEIGLVNDVFPLTELMDKTKELASTIAKNSPLAITEAIAAVNASYSENGFEKEIKSFGKLFELEDKKEGVAAFIEKRKPNF", "MGNEITKFDIAYLRMAKEWAKLSYCKRKQVGALIVNNRTIISDGYNGTPSGFENCCEDTEGKTHWYVLHAEANAILKLANSTQSCKGATLYLTLSPCKECSKLIHQSGIKRLVYIDAYSDDAGLKFLKEAGVEILQIHDTQLENII", "MDEKKNWDIQIKNFAEYLRFEKNFSNNTLDAYIRDLKKLAEYAQEFLGDLSPADITYDHLLDFMQYQSKQGISERTQARWVSSTKAFYKYLFEEELIQENPTSLLEGPKLGLYLPDTLSFEDVEKLVSVIDQSTDIGQRNFCIIEVLYGCGLRVSELIDLKLSDINFNESYIRVEGKGKKVRFVPMAPYTQKILQNYIQHTRSHMRIAPKSTDIAFLNTRGTALSRVMVFIMIKEMAAKAGIYRSISPHTFRHSFATHLLQNGADLRFIQELLGHSSITTTQVYTHLDTENLRTAIIDFHPRNNKHHIE", "MLNLQFCPSCGKKSLTFNGRKMHCLSCDFVFYNNTAAAVAVVIKCGDEVMLTQRSQEPSHGKLDLAGGFIDFEETAENACRRELFEEMQIEVDESKLKYICSIPNTYPYKDILYHTMDLFFEYEVTEKFDVVLEEHEISDTIWIKKNEIDLNEIAFESQKLFFEKYRLQ", "VKNFFESPFKGKIIKDHIQNPNIIAGKYSYYSGYYHGHSFDDCARYLLPDRNDVDKLIIGSYCSVGTGASFIMAGNQGHRYDWISSFPFFYMNEVEAFENSIDAFKNAGDTIIGNDVWIGGEAMIMPGIKIGDGAVIGSRALVTKDVEPYAIVGGNPAKLIKKRFSENQIAILLEIKWWEWNEEVLADAMPILCSGNIDLLYKFYKNI", "MIKKILFWGFVVLVVIQLIPVSRTNKPVNKKDNFVSMQQSPADVTQLLQRACYDCHSDETKYPWYAYVAPISWTVKDHVNEGKARLNYSEWSQYNKDQKTTILQKSVATIEQRSMPMPAYILKHPEANLNNTERNTLTEYFKKLLTDNKY", "MRNAFFLLFIILFFSCARVGAPVGGEKDKTPPKVVASKPDSLAKNVPTSLKELRIDFDEYVTLKDASKQLVISPPIKKIKKIIPSSMANKYILIQWEDTLKANTTYNFNFGNSIADNNEGNVLPYYNFVFSTGSTIDSLFISGNVDDVLRPRKKNSKETNTNDKDKPLVVGLYKADAKDYKEKPYYISRVDPDGYFELNYLAAGDYNLVAFQDDNQNSIYDPGKERVAFLPEPLHLTEPKKGLRLLLSPPKKKFKFVETKPVPGGLNMIFEGKPDDSLQIKQVGTVLSDFKIQHKPKSDSAYIWINPKGNDFKEASTNVKFSFYNNVKKKIDTVSTYYKVNTKDELTLNNNSGTTLPPGSNLKLKANMALDKVDFAQWELKADSTTVVPFQAQISKTNPFEIQVDAQLLAGKKYSLRVGKETVSAFYFKNAKPIIFNFDVGKSDDYGSLVFRLTNAPTAPFWVQLLNDNFDVVKEQKVEGKTDIKFDNLKSETYSVRILVDNNKNGVWDGADFEKHIQPEEAYLYRRKMTVKPLWDKVEDWDLKDTSVNDKEDQEDSLMPSGAGIKSIEEQEKEKAKEKAKDKDDKTKKEQNDLYQKQQQQLQNPGYQR", "MKKYSALFLFCILTFTACKKEGGKAQSNDEKYESKLPNYGSVNLKEVFSPEDSKLADRNATVQAIDGYYKHVWEKGDLSGGVLVAKGDDILYEKYRGFAREHNTVPITKDVALHVASVSKPITAMAVMKLIEAGKLKLSEPLTTLFPGFPYPEITVEMLLKQRSGLPKYEHFLEDAKVPRANYISNKFILDFIIKNKPELARKPDTGFMYCNTNFALLALVVEKVTATPFPEAMQEMVFKPLKMNNTYIFQEKDIPTAGQSFYNNGKRLFPLDYLDLIYGDKNVYTTPRDLYNFSKALFSKDFLKPELMNLVFQPYSNEKAGVNNYGIGFRMKIFDNGEKLTYHNGWWHGSNAVFGHLLKSKVTIIAIGNKYSSRVYSALALSGLFEDFPYERERLGKELDRLPVDEKPSDAGE", "MSAPLILLLDKNHPLIVEQLSAKGFQFEEDYTSSYDEVLSKIHLYDGIIIRSRIPVDARFLETAKKLKFVARVGAGMENIDTPKAEELGIALINSPEGNRDSVAEHVIGMLLILMNRLFIASQEVKNGIWLREENRGDELMGKTFGIIGYGNMGKAVAKRLSGFGVKVVFHDILPDLGDEYATQVSLETLQKEADIISLHTPQTPETHYLIDEVFIRNMYKSFYLVNTARGKHVKTSALVEALKEGKVKAACLDVLEFEKASFENIQTSENSDLDYLLNSEKVIVTPHIAGWTVQSKIKLAQFIVDKILQLNL", "MEKKKKALESLTVMTNIVLPNETNSLRNLFGGELLARMDRCASISASRHSERRVVTASVNHVSFNAPIPEGSVVVLESKVSRAFSTSMEVYVDAWLDDPIHRKKIHTNAGIYTFVAVDEFNKPVPVPELEPETAEEIERYKAALRRKELSLILSGRMKPTESVELKKLFAGEI", "MMNIAQQIIHDLQSRKRVELSGLGTLNLITKHAEVDEANDKILPPKQEIEFIADKKAVENSYTSYAQEWIRELMSTGEAQVKGLGKWVNNAGKVIFFPEEKILNNSFYGLEEILLPKVSRIQPVQTLQKEVKKANDYKANRSWIWILLALGGVGAIVYFGITQPEEIFGKKSFDNAQPKKVHVPTKEELLRKQEQEALRLKMDSIKADSIKQDSIKKAAPHWSSKDKKWRKRKKH", "MKGLYFLSALSAASIAFAQSKQDTVREIDEVRVIKRLPITKDIVNVEKDLGRKNLGQDLPYLLKNQTSVETTTDAGNGVGYTGLRIRGVDGTRINVMLNGVPYNDSESQGTFFVNIPDVTSSASNVIIQRGVGTSTNGVAAFGASVNIIGRDPEEQPYFSTQNSVGSFNTHKHSFEAGTGSLLNGKLSFMGRYSIIKSDGYIDRAFSDLNSYNFVGVYKDGNTKIRFQTFGGDQQTYQAWNGISKVQYEINPRYNPSGEIYDKDGKVIGFYKNETDNYKQQHYHLLWEQRFNDNWKLNTTLHYTRGLGYYENYKSNQKFSKYGIPPYVIGAETITSGDMIRRKWLDNDFYGIVSELNGKVNKWDLNFGIVANQYYGRHYGQIISGSNLQQIDLPIEYYRNNATKNEISGYAKALYKFGDLEMFGDLQLRNITYHSNVIKASAEEAPTFDKKFTFFNPKVGFNYHLDGGTLYLSYANAHREPVRDDIVNAPDVKPETLHDFELGYNKTFNGLSITANAYYMLYKDQLVLIGAINGVGASLRKNVGRSYRAGIELGAGYQFSEKFNALLNATFSQNKNKNYIVQLSETETENLGTTNTSFSPNFIGNLTLNYLPVKDLQFSLVNKLVGSQYLDNTNAPESKIKSYYLSDFIASYQVAWGRTDIGFSLLVNNIFNQKYINNGYSGPFYYAQAGANFLAGVSLKFH", "MNKIFAVTILFFSCFIIANGQQNRLVQVTSDKNDIPELIPVFKNGKYGYINTAGRLIIPPKFNLALFFTEDCNLTQSPNENIRLYGKKNYATVEIDKVAYRIDKTGKALYRYKNEDLGRCKKDFQMPAFTIYKNGENYGLVKKDLQGVADLSQVYIIPQYQYLFVMDSDDQENPMIIAIKNDKFGVVDKNNRVVIGFEYEDIKKNLSWKEAHLFEVSQDGKKYFFMDKASNKYQIKTRTQN", "MEIIKKYKFLSVVVLLLLCGGAVYIFYQYNPETSGFFLQCPFKLLTGYDCPGCGSQRALHALLHGEIKQSFAYNPLFIIAIPYVILGFIFNQDKVKAKYPRFRKVLFGQKAIYIILFIVIAFWLLRNL", "MEHIDDTSIITPDSQQNFPPKTWLVESVLVTVFCCQILGIIGIINAASVESKFYRGDIPGAQKASKLAKQMVIWSVISWVIIVAIVVAFYVFAIVLSATTGEWK", "MTFDLDMIKKVYSDFDNRVNAAREFMGRPLTYSEKILCSHLFSTQQPEAFKRGESYVDFAPDRVAMQDATAQMALLQFMQAGKKKVAVPSTVHADHLIQARVGADKDLQEAENKNNEVYQFLQSVSNKYGIGFWKPGAGIIHQVVLENYAFPGGLMIGTDSHTVNAGGLGMVAIGVGGADAVDVMAGMAWELKFPKMIGVKLTGKLSGWCSPKDVILKVAGILTVKGGTGAIVEYFGEGAESLSCTGKGTICNMGAEIGATTSIFEFDKNMAKYLRATGREAVAAGAEAIADNLRADKEVYADPAKYFDQVIEINLDELEPALNGPFTPDLYTPISQMKELAEKNGWPTKVEVGLIGSCTNSSYEDISRAASIAKQAIDKNLVTAAEYTITPGSELVRYTVERDGFLDTFAQIGGKVFANACGPCIGQWAREGSEKQEKNTIVHSFNRNFSKRADGNPNTYAFVGSPELVTALAIAGKLDFDPRTDKLINKDGVEVLLDEPQGYELPIKGFDVEDAGYIAPAEDGSNVEVIVAPTSDRLQLLEAFTPWDGKNITGAKLLIKAFGKCTTDHISMAGPWLKYRGHLDNISNNMLIGAVNAYNMETNNVKNELNGEHMEVPASARAYKAAGVPTIVVGDENYGEGSSREHAAMEPRHLGVRAVLVKSFARIHETNLKKQGMLGLTFADKADYDKIQEDDTINFLDLDQFAPGKPLTLEFVHADGSKDIILANHTYNAGQIEWFKAGSALNLIKQQGK", "MYTRHHNNEDFRNKKDFCNGKFDRKFDKFREGFFNSKHPMKEVFAEKISSFKPVNISENEEYYALQLFAAGLKKEAFNIAIKDRVLTISYKASEDKEKTNYIYQEIYASSFERSFKLNEKVASENISAGYEDGILTVILPKDPENNIPAQEIKVS", "MKNKMRYILPKLIGITVIAGLATLIIGMVFKLLLAVTLIAGIGTLVASRFRRRRERYFEPYQENLPIGFNPSNNSEFAVRPNYRNSRTENIAIIPIN", "MNRRFRHRNKKKFWIAFPLIIGMIFLLVWLVQWLWNILLPEILGVKAISYWQAFGIMVLSKILFGGFRFRGGGGGRNFKEKMKRRMEEFSDEDKERFREEWQKRFGDRCRFK", "MAEKKTASLSEVVKDYGSQLFRFIKGKVSKTEDAEDILQEVWYQTSRLANIDDLENVGAWLYSVTRNKITDNYRKKKSDSLEDYTYEDEDGSFSIKEILLADDSNNPELKMFKDIFWDELMKALDELPDNQRRVFFQNEIEEKTLQEIADEEGENLKTVISRKGYAVKHLRKRLKHLYNELKD", "MEKIKCIVVDDEPLAVSLLSSYVQKVPFLELVFSSENPLEALDFIQNNEADLAFLDIQMPELTGINFMEIAGNKLKYILTTAYAEYALEGYEYNVVDYLLKPVSFDRLYKSALKAQERLSNNETSAGQYFFVKSSGQQHRINFDEVLYIESLKDYVNIKTEHQEYIVLDTLKSFEQQLPDTSFIRVHKSYIINRDKIKTVNIKSVNLISGGEIPIGESYKLNFLKKLK", "MKKNQIIFLHIFYWVLNITSNILIPLIAGYKKLIVLDFTFLAVSFVAFYINYFFIVPLFFDIKRLYLTIAGFFISVFCFALLRYSVEEVLLRALTGHGNYHEKTTLLFYFYDNIFYSTATIFFSTSFCLFKFFSRSEKEKYELIEEKKNAELQALKTQINPHFIFNSLNNIYSLVYQNSDKALPALEELSQLLRYSTKDLEKDFIQLDKEVGYMESLIALEKLRIKNPELILFNKDLKNPKLSISPMLLVPFVENAFKHGDINGKGMELKVSDDNGRLHFYLRNSKKQRMKDFSSGIGIGNVRKRLQLIYPDNHWLEITETEDTFIVDLKIDLNTNKLTAY", "MKKTSIFIATLLYSLSFAQKTQDTTKVQTVKAVTIQAKKKLIERKADRLVFNVEASMASQGMDAVETLSNVPMMNVDENKGLISIVGKSSVSVMINGRMLNLSGDALMSYLKTIRSENILKVEVITTPPAKYEAQGNSGLINIVLKKNPNMGFSGNVGSTFVQRTYSGTLGNGTLNYQTSKFSMSLKGNYTNTAKRSEENYDIIGATSSYSRTVRKDMWKEFSPSLNLSYKLSKNSEIGLNYLYTRQTPDMNIFNNTSYFKGEQPTETLSTPTKHRETNRMQTLSVYYDLKLDTLGKKLSFTGNYYENNSNTIVDFSTFKASNQTTENVQTISKLKPQIFSGQADIELPFSFGTIETGVKFNQFKNTSDLNYYNIINHTPVSDQSKTNFFQYDEKNYAAYFSFTKSFGKNWETKFGIRYEDAHVDAYTPATNERTKYGYGQWFPSAYVAYKEGKNAFSLAYSRRINRPDMSNLNPFRWYSNPNSYASGNPLLQPAYINNTELAYTYNNKLSVSIYYLRLTNAFGQVSFLDGINESSTYLNHYNNNFYGLNASYTDKILPWWETSISATATLQNSSVFNIQAETKSGTFFNYSANNTFTLNAKKTIVFFLNYNQTLPFKNVNSSFKNFSDLSSGIRVSLMDKQLQINASVSNIFAQRYRADKSFSDNKQSFNNYWDGRTLRLSVNYTFGNSKVKGAQKDIKFEEKNRAN", "MKKIYVLAVTLISCTAFSQNIQDTTKVKTVETVVLEGKKKLIERKPDRLIFNIGNSVAAAGGTALDALKATPNVKINNDVISIVGKSTVLVLIDDKEVYMSGEQLSRYLEGISAENLSKIEVITTPPAKYSAEGNSGIINIVTKKMKVNSWNANVGSSYQRSRRNTWRSNAAFNLQKDKITLQSSIDLGDRRFLRNWNNDLYYPDAHWENRGITDFKNNYYAVKAALDYKVNERLTLGTKFNASLFDTKNSGTPSFSNIYDSAGVLQKYISTSSFQRVKSQQQVYNLYSEYKLDTLGKKITMDLDYVNYNGPGNRPFSYATYKPNNEIVQGSQFTGLNNTESQIQNFSAKIDIELPLKFVNLSFGGRFSTVKTTNDIAAYKEVNSEMVPDTNISNYFRYRENNEALYVSGSKKIGKWNLQAGLRMEATQTTGYSRELDNTHKNNYIKLFPTLYAMYSIADKTSISFNYSRRINRPSYESLNPFRTINNSNSYNEGNAFLQPAFTDNVELTFTYKNLDSRVYFSSLKNGISQASLIDPATMNNNFVWMNYVDANSFGLAETFTWKPVKWWSSMNTFNLSYSNTQLSIGPERYKGWTADFSTSNDFTLNKPKTAFFNLTYTQDFGGVYNNFTSKAYSTVDISFKYLAFDKKLVISLVGSNIFNGIGYSYQMMNGVKQSFRNIWDNQSFRVSLNYKFGNDKIKTSNRQSGNTEELNRM", "MKNKIIILGMLALSISAFAQETGSKEKSREKEIQGVSMTKTKKAVEQKPDRTIFDFSEQPNLNSGTTLEGIKKLPGMVVTDIAGMMYQGKPLAVFMDGRPLNISSNDLTAFLEGMPANSIEKIEVITQPGAEFPATSGGAILNIITSKSAKSYLTATYSGSYRFSNYDKFRSKTNNSIVLNSRNKVFGWQFSAGQSYRESFNTTQTDDIFSNYADRFQRSNFAKAALTFDIGADRLLINYDFNSYNNDSNLEASGKQVVNNLTQLYENTGNSKSRTYRNEAVATYQKKFSDKDKKLDFKFTYTNYRSNFNQFSNFIKPAPSTVFYPENNSDQNVYNFRVDYSQPIKLLNGGKISFGGLYEKLDYVTQSAKVTNLDYQRQTASTYAEVMAKYKKFDFIVGARAEDYDISGTTLVLDDNKNWVSTPLTPFKKFKVFPNASIQYNFKPSIFFNLNYNKKISLPSISFLNPNNTNYQGPNVDFKGNPFLNPTIYDNFEAKISAFDYAFLSYNMGIVKNQVIQYVERDGDRISQSNRNVDRITQHNFNFGVPIPFMLFTKPLSEIMKFNFNPDKINLLYVYAGYQFQDIKDIQDKKGMWIFNISGQFILPKDIKLNANFSYLTKGNYYYFMPLHPINNSLDLTLTKKFNKDRLTVSLYANDVLNGTRMSFRTTNQNPGVILNSRYDSRSFGISVNYKIPTRNKLAKVDQNMLNQDAPKEDNGGIMKQ", "MKYNLDDKNRQILDILQQDAKLSVKEIASRIQLSFTPTYERIKNMEEAGIISKYVALVDRQRVGLKVAAYCNVTLKEQSKFALLKFEDAIKDIPEIIETISVSGNYDYMLKIVSTDIESYNKFIIDTISNLPNIGQYHSSIVMTEVKKETAFKIPHPEELY", "MKLWDKNIDGKEAEHAKIIEKFTVGNDRDFDLLLAEYDIKGNLAHAEMLSRVGLLEESEWKLVEKELLIMLEEVKKGNFTIEDGVEDVHSQVEFNLTQKIGDAGKKIHSARSRNDQVLVDIKLYLKEEIKEIAKLSEQLFGTLQSLSEAHKDKLIPGYTHLQIAMPSSFGLWFGAYAEALTDDLELLVAAYNVCNKNPLGSAAGYGSSFPIDREFTTEKLDFETLNYNVVYAQMTRGKTEKILAMAMANLAGTLSKFSYDVCLYMNQNFGFISFPDSLTTGSSIMPHKKNPDVFELVRAKSNRIQSLPNELTLMINNLPSGYHRDWQLTKEIIFPGIETLKDCLQILDFMLQHIVVKDGILNDEKYKYLFSVEAVNREVLNGLPFREAYKKIGLEIENNQFQASTSVNHTHKGSIGNLSTEEIRENFYKVFNKIN", "MEQGLLHNEVENLYQEVLALLKRLIETQSFSKEEDQTAQMIGDFLEAKGVKYNREQNNIWAKNLYFDDTKPTILFNSHHDTVKPNLSYTNNPFKAIEKDGKLYGLGSNDAGGPLVSLIATFLYFYAQKDLKYNIILSATAEEEISGVNGVESILKHLGNLEFAIVGEPTEMQLAVAEKGLLVLDCVAKGTPSHAAHPNNDNAIYNAIEDIEWIRNYEFPKESAWLGKVKMTTTVINAGSLHNMVPDECRFTIDVRVTDQYTNQEVYETIKANLKSEVKPRSLRLNSSSISEEHPIVRAGLELGRTCYGSPTSSDQAVIPFASLKLGPGLSTRSHSADEYIFLHEIKEGIELYIKILEKIIY", "MKQPLHIIKIGGNVIDDPEKLSAFLRNFSGIKEPKILIHGGGKIATELAGKMDIPQQMIDGRRVTDAETLKLITMVYGGLISKNIVAALSANGDIAIGLSGADANSVLANKRSANPIDFGFVGDVAAVNSGNIDKILQAGFVPVFCAITHDGNSQLLNTNADTMASAIATAMSGNYESFLYYCFEKKGVLEDVENENSVIHEINPSNYEKLKEEGKIFQGMIPKLDNAFSAIQKGVKAVHILQAEDLSSLILTKRAYGTRITA", "MAKLQKFTCVDDVPNLEQALQECIDIKNNPLGTPDFGKNKTVGLVFLNPSLRTRISMQKACQNLGLNYIIINAGQDAWTWELHDGAVMDGTTVEHIKDAAKVLSEYCDVIAIRCFPAFKDKEEDTQDKALNQFIEHATVPIVSLESATRHPLQSFTDMLTIKENWKEDRKPKVVLTWAPHVKSIAHSVANSFCEWVKEIDADVTVTFPEGYDLDTEYTDGLTITNDQDEALKDADFIYVKNWSSFSDYGATPEVKGDWLLTLEKLKLTNNAKVMHCLPVRRNVEISDEVLDSENALVYQQAGNRLITAQWVLKNIL", "MNLFDVYSLFDLTPVKAEASYLWDDKGEKYLDLYGGHAVISVGHSHPHYVKTISEQLQNIGFYSNSVNIPIQSQVAELLGKLSGYNDYQLFLCNSGAEANENALKLASFHNGKKKVIAFSKAFHGRTSAAVAATDDASIVAPVNETDNILFVEFNNEEALEKAFAENEISSVIIEGIQGVGGIQIPTDSFLQKIEKLCQEHNAVFILDEIQSGYGRTGKFFAHQFSGVKPDIITTAKGMGNGFPVAGVLISPKIEAKKGMLGTTFGGNYLACAASLAVLQILEKENLIQNAQEIGDYLVEQVKDLPKVKEVRSVGLMTGIELEMPCAEVRNNLLWKHKILTGNASNKNTLRILPSLAVSKAEIDQFVNALKTELNG", "MENKIKAGIVGGTGYTGGELIRLLLNHPYAELAFALSRNAAGKKIYSVHQDLLGETEMEFSSELQAADILFLCLPHKESQPWLENNKISPETKIIDLGNDFRLEGEFQNKNFVYGLPEFQKEKIKGANEVANPGCFASAIQYALLPLANKKLLNQVFVTGVTGSTGAGVKLQDTTHFSWRNNNISAYKTLSHQHLDEIKQTLESENKNTVGVHFVPWRGDWPRGIFVSATLECDHSLEELYQAYEEFYKNEPFVWVSREAINMKQVVNTNKCAIQLEKQDNMLVVHSAIDNLLKGASGQAIQNMNLMFGFEEQAGLKLKPLAY", "MKKKVVLAYSGGLDTTYCALYLSKTLGYEVHAVTVNTGGFTAEEIQTIEQKALSLGIASYRCVDSVVEYYESCVKYLLFGNVLKNNTYPLSVSAERTIQAKVIAEYALEVGAEAICHGSTGAGNDQVRFDVVFNILAPQMEIITPIRDLKLSREEEIDFLKEHGVDMNFEKAKYSINKGLWGTSVGGKETLTSHEYLPEDAFPSQVEKTEQEQHTLLFDNGELVGIDGEIYNHPTKAIKKLEELAAPFGIGRDIHVGDTIIGIKGRVGFEAASALIALKAHHLLEKHTLSKNQLVVKDQLAVMYGNWLHEAMFLDPVMRNIETFFKNSQTSVSGKVFVTFMPYRFVLNGIESQFDLMSGKFGQYGEMNNAWTGDDVKGFSKILANSLTIYHQTNPGYGK", "MTFVVRQAIAEDGKYAQMICDEMENSAKLRGTGIAKRTPEYIQKKMQEGKAVIAFSDDGLWAGFCYIETWTGEFVANSGLIVNPRYRKFGLARDIKHAIFSLSRKKYPEAKIFGLTTGLAVMKINSELGYWPVTYSELTQDEDFWSGCKSCVNYDILMSKERKNCLCTAMLYKPKKSQNNEEESSLSL", "MTESQIVYEDNHLLVINKQVGQLVQGDKTGDKSLLDEIKDFIKIRDHKPGNVFLGLVHRIDRPTSGLVIYAKTSKALSRLTQMVKNREIEKTYWAIVPKEMIPLEQKLVHYLRKNEKNNKATVFEKITEGAKEARLHYKVIRTLDNYYLLEIDLETGRHHQIRAQLAKIGIPIKGDLKYGASRSNPDGGIHLHARKLSFIHPVTKEAIEIIAPTNPQDKIWQACEK", "MSVHSEIKRVTTESFRKMKFDNEKITMLTAYDFSIAKMVDAGGVDAVLVGDSASNVMAGHETTLPITLDQMIYHAQSVVRGVTRALVIVDLPFGTYQSDPQKALDSAVRIMKESGAHAIKLEGGAEVAESIKRIVNAGIPVIGHLGLTPQSIYQFGTYKVRAKEEAEAQKLISDAKLLEELGCFSVVLEKIPASLAKQVTESISIPTIGIGAGPDCDGQVLVFHDMVGMNKGFSPKFLRRYLDLFDEVAGAVGQYVKDVKSQDFPNQQESY", "MPDSKFQQIETAFLKTFNEKSFKENLSKEDFERYLKGKEVLSLKKGDTIFDEGDFPQGVYFIDRGTVKLSKSGFFKKEQILRFCVEGDLVGYRSLLCDEPFGATAEAMEDAEITFLPAETFNHLLEADPKLSYAMLQKIAYELGEASKTITFLAQKTVRERLAEVLLLLEQKLGTDPEGFIKISLTREEIANLVGTATESAIRLISEFKQDELISVDGRNIRILNHDKLKKLGHVSI", "MKESCFHCGQDIDKEIISFDEKTFCCNGCKSVYEILNMNNLTGFYDLNKKAGIRPSDDTAPFDYLDTKEVFDRLVDFSEGATSLVTFKIPVIHCSSCIWLLESLQTLNSSIHYSTVNFTKKTVQISFNHEELKLSELAQFLSNLGYKPVINLETAERKTEKVDRSLVIKVAIAGFAFGNGMFFSLPEYWSKWFGRDDVWLEHYTPLFRWLMFMMATAVVIFSASDYYKSAWYGLKNKRITIDIPIVIGILVLYFRSCYEIFSNYGPGYFDTLCGLLFFMLSGKIFQQRTYNALSYDRDYKSFYPIAVTKIDFGGEQKNILLSEIKIGDRILVRNEEIIPVDAILINGEGNIDNSFITGEAATISKNPGDKIFAGGKQKGSVLELEVIKNVDQSYLTQLWNKEAFKKHETGLDTLTNAISKYFTIIILAVTLFAGIYWSTIDMQKMFQVTCAILIVACPCALALSAPFTMGHIMRIMGRHKMYVKDALTVEKMAKINTLVFDKTGTITYNKRANITFEGIELSVFDKENIKTLLKNSNHPLSKSLYEFLDIQDPYYPTEDFVEISGKGYEAKVRSHLYKIGSAKFTGTTSDTIETAVYISKDGAILGKYIFKNEYRTGLKELFNDLPGYEKHILSGDNESERANLEQICSINDLHFNQSPEQKLEYIQSLQNQHKKVAMVGDGLNDAGALKQSNVGIAIADDSNSFTPSSDIIMNGDMLGYLPDFFRLSKDAIRIVKATFIISLLYNVVGLTLAVIGKMSPLVAAILMPASSISVVIFTSATTWLTSLKYFRSRRT", "MDILYLMIICSVSLAVIFLIIFIIGAKNGQFEDDESPAVRMLFDSEVQKEKDEDKKQTEDEPEGDEKIDKK", "METQKFSYDNNIVRAFLYATIVFGIAGFILGLTAALMLFYPELPEFLFGTDDTTIQSLKSGNIQGLINTQGALGFGRIRMMHTNTVIWAFVCNSFFCAAYYSMQRLLKTRMYSDTLSWVHFWTWQIMIVVSWVTFAMGFNTSKEYAEHEWPVDILILISWVVFGLNMFGTIAKRRVRHLYVAIWFYLATWIGVALLHIVNNLEVPLDFWKSYSVYSGVKDAIVQWWYGHNAVAFVLTTPVLGMMYYFMPKAADRPVFSYKLSIIHFWSLIFVYLWAGPHHLQYTALPGWAQALATGFSIMLIAPSWGGMLNGLLTLRGAWDKVRENPVLKFFVVAVTCYGMATFEGPLLATKTLNKIGHFTDWVIGHVHLGALGWNGFMAFGVIYFMVPILWRTKLWSIKLANWHFWLGTLGVIFYAVPMYISGFTQGLMWKQFNPDGTLMYKNWLDTVTAIIPYYKLRFLGGSLYLTGALLMVVNIFKTVRQGSFQKEVAAEAPALAKIGNDRKAGEPVHLWIERMPVLLSIGAFITLSIGGAVEIIPTLTIKKNVPTISAVKPYSPLELEGRDLYIREGCNACHSQMIRPFRDEIVRFDGKNGQYSKAGEFVYDHPFLWGSKRTGPDLQREGNKNPDSWHFKHMYNPRSTSPGSIMPRFPWLITNELDRTHMVEKMKLMKNSFDIPYTKGQIDSANAWADSQAKVIVKKILSEAADVKDQVDKEKVAKGDKFVPIEKREIVALIAYLQRLGTDIKTTDVQTASNN", "MIPQNFKDILSNGENVGLYQTLALILFVLFFIGLVYVVFKKPKKYYRDRENAPLEDGDEINNNLH", "MKPRTPVFVNFVIVVALLIIVYNMFVQNTSFFGSPYFWATVIIAGIMVFIANGIGDLIENNRFQKLSEEEKKAYLEDKKTPYYKRLWASAFKKQSNAEEQDMIIDHGFDGITELDNALPKWWLGLFYFGCIFCVVYVSAYAFTDYAHPVAEYEKEYKEQLAAIAEYEKTAPKITVETAKYDPANIPEGQQLFDSNCASCHGQGGKGGIGPNLTDDYWINVKEQDVFHNVFWMDENGSPNNPAMRAFIKEGVITGKDAEKIAAYIYHINQEIPPITQAQGGAAPQGEVAPWVKGTPRK", "MATEKQRSDFEQAYVVEAETFRDSVGTMDNTGKRKWVFPRKPKGKYTNYRNIVSTILLIVFFVLPFLKINGNPVLKFNILDREFFIFGQPFYPQDFFILTIGAITGLIFITLFTVVFGRIFCGWICPQTIFMESVFRKIEYLIEGDRNKQMRLDAQPWNTEKIWKRGLKWSIFILISLIISHLMFSYIVGYERVLEIMKEGPVKNYDNFLVMILFSAAFYFVFAWFREQVCTLVCPYGRLQGVLIDKKTINVFYDFKRGENRSKWRKGEDRKAAGKGDCIDCGQCVVVCPTGIDIRNGQQLECINCTACIDACDEVMDKVGLPKGLIRYASEDEIEKGEKFKFTTRMKAYAAVLLLLVVGSSFLILNRSSVEGKFIKPAGSTYYVKDNRTINVYNYTLLNKSNEDKVVTIKIISPQNGEIEVIGGVNKIIMKRDISTKGTINISFPSKEINLSKQNIVIGVYDQKGALIDSYETYFEGPFKMTL", "MKSKFTWGHGMVLALAGFIAFILGMIFFYTRGYQTSEMVSENYYEDELIYQDVIDAKTAAASLAEKPVYTQNADGIRITFPKQFTNANSKFKFFLFRTEDSNLDIKKETELDSNNSIFIPAKAGILKDGSYTLKLNWKENSGKAYQIDYNLIWTSH", "MDFALAISAIGLGFASGFHCIGMCGPIALSMGLTKQQQTNFYLQNLTYQFGRILTYTVLGAILGIIGEGFEMAGFQQVLTISVGIMLIIMALFSFGGKDFASRIPFINNALLKVKINLGKLLQKADYKSRFTTGLLNGLLPCGMVYMALTASLAAGGVWQGAAFMGIFGLGTFPFMFAVVLVGNLMNQALRIKVLKVIPIVMIILGGLFILRGMEIGIPYLSPASEAMGISKDAASCHTPGHSSEEHSH", "MLQVNFLRDEKARVLEGLQKRNFKNLELVDLAVSTDDQRKKIQFELDSQLSEMNKISKEIGALMKEGKKEEAEAAKQKTTDIKERSKELQHELNEVEKSLLQILYQIPNIPNELVKAGVSETDNEIVFQNCDVQGLGEGAIPHWELAAKYNIINFELGVKIAGAGFPVYLGKGARLQRGLVQYFLDKNTEAGYIEVNPPHVVNEASGYGTGQLPDKEGQMYYINEDQLYLIPTAEVPVTNLYRDELLEERQLPILHTAFSQCYRREAGSYGAHVRGLNRLHQFEKVEIVRIEKPENSYAALDGMVEHVKGILEDLGLPYRILRLCGGDTGFGAAMTYDFEVWSAAQEKWLEVSSVSNFESFQANRLKCRYKADGKTQLVHTLNGSAMALPRIMAAILENNQTADGIAIPEKLRAYTGFDKI", "VASCRQDDGVHQNIDQTINFYFQDTNGKDLIIPNDPEGYAGKITYVDDFSINNNATVSGITSGVDASKKNYMQYIAGATRRVSKDSTATSKTYTSRLFINYSKRTNNDTKVMPQDTLDIVYSWTPSLFNVSTISVNKKVVFNSSSGQQKNITITKK", "MKIESSEVVETNDYRVITYPASRAFTAKEAKDITERIYDFLGGWKAHGKDLEASFKIEKNQFIIICVDEEKEMASGCSIDALGKLMHEIDADYQLGLFDRMKACYLENGEVKTLKLTDFRSKVKNGEIDENVEVFDFSKNTYLDFLSNFLLPLKKSWAGHIA", "MDFTIKTMADYMAEGKSPEVLFWVGCAGSFDDRAKKITKAFAKLLNKIGVEFAVLGQEESCTGDPAKRAGNEFVFQMMALTNIEILNAYEVKKIVTACPHCFNTLKNEYPELGGHYEVMHHTQFLKELMADGRLKIEGGSFKGRRITFHDPCYLGRANDEYEAPRQLLEKLDAELVEMKRCKTNGLCCGAGGAQMFKEPEKGNKDINIERTEEALELKPNIVATGCPFCNTMMTDGVKHFNKNEEVQVKDIVELIAEAEEL", "MQYIDNILFLILIVVGFGLFFKSLKELTRNVNLGKDIDRSDNKNERWAVMGRVALGQGKMVKRPVAGILHILVYLGFIIVNLELAEIFIDGLFGTHRFLAGVLGLGFYNVFTAVLEVMAFLVAVSIAIFFIRRNIIHIRRLNMKELLGWPKNDANWILVIEFSLMMAFFLMNSSDLVLMTREGDVKGYFPFSQSLFGVFNGFGDTTLHILEKGAWWFHIIGVFFFMNYLYYSKHLHIIFAFPNTWFANLQKKGKFNNLASVTKEIKLMMDPNADPYAAAPADADPNAVPDKFGANDVFDLNKVQLLNAYSCTECGRCTAVCPANITGKKLSPRRIMMATRDRLEEVGKNINKNGKFVDDGKKLLDDYISREELWACTTCNACVEACPVLIDPLSIIFEMRRFMVMEQSSAPQELNLMMTNIENNAAPWQYNQADRLNWANES", "VKISKEVKAGLIAILAIVGFVILFQFMKGKSLFSTDDSYYVKYDNVEGLAKSSPVSINGLKVGQVTEIKPMTQNNGHIYFVVKISVNNDFVFSKNSSVEIFEPGLMSGKEIRVNLAYNQPYAKNGDTLKGAFKQSMMNSLSSQVGPVKDKLTSVLSRLDSAVASTNKIMDDENRREIKLLLRNLNGTVESFRITSQQTNKLLAGSEGRLNNVLDNANKTMITANSTVDKYGKVAESINTKQLNDAVAKLSETSDQLNRVIAGIESGKGSLGKLTKDEELYNNLNKSAASLNALMEDLKANPKRYINISVFGKSAK", "MAVLSEIRKRPWILIGFLAIALLAFLVNPDSLDKVFGKDPNILGKVNGEKITREELDDQIFLLQQQSQGQPREALEEQAWQMLIQSKLIKQQFEKMGLKLTDEMFWNQIQYDPMFAQNPQLVDEKGNFKVQELKKEIEAMKAQNPEQYANWLKMKKGIEYRIMARQFFANVTAGVTANNKEAAEILKERDQLANIDYVKVDYAAFARVNNVKVTTQDLADYIKKHPLMFKADPSRNIGIVLFPAKPSAQDEAATQTEINKLNTQGVDMGAGVESFQNTKNDSMFVTVNSDVPFNSQFLALAQQPEEIRSFLGSAAVGQSFGPYKVQDRYYVVSKLVGKQPSDSVKSRHILIAFKGSPADQAGKEKRTKEQAKKLADSIGVVVKANPAKFTEFLKFSADPGSAAQGGELGWTTPETPFVPEFKAYLAANPKGATGVVETQFGYHIINIEDKKPGAMGYKVANLVKEIKASDKTNSTVYTEANKFIQEVQGKSFNDFSNIAKKKNYTFANPKMAKRFQGQIQGINTDKDADVLAWAFDKKTKAGDTNIFTTSNGDYVVAYLNGKQDAGLADPESVRAEIEPIVKNQLLAKKIIEKIDAQKPSSLDQVAKLFGVAKESGQINILNPALGGGMEPKVAGAAFGAKANQLSKPVEGVAGVYVVVKKSVAENKQGGDAKSIAQALMQQGSQMYGQALLKSLQDKADIKDYRIEIYNKAAAGQH", "MSDKEILEIEDFLEEGFNIIEEEIKDGIDKETEKNQKIFPLLAVRNIVLFPKVIMPITAGREKSIKLLQDAHKQGKLIAVVSQKNASEEEPTPSSLNTVGTLGKILKIINLPEGNITAIIKGVDRCKVKKILTEEPYFTTEITRLKDTEVKKDKQEFAALIDNIKDISLRIIEIDPNIPNAANFAVKNITGEVDLLNFICSNASFSTETKQDLLEIKDLYQRAARCYELLSEDLQKIELKNQIHQKTSRDLDKQQREYFLNQQIRTIQEELGGGPEGDADELREKAEKKNWNEDVEQHFQKELGRLLRQNPNSPDYNVQRNYLDFFTDLPWGTYSKDIFDLKKAQKVLDKAHFGLEDIKKRILEHMAVLKLKNDMKSPILCLAGPPGVGKTSLGKSIADALGRKYIRISLGGLHDESEIRGHRKTYIGAMAGRILQAIKKAGTSNPVIVLDEIDKIGTGVHGDPSSALLEVLDPEQNNSFYDNFLEYGYDLSKAMFIATANNLGAIQRPLLDRMEIIDISGYTLEEKTEIAKRHLISKQLKENGLTSDAIKLGNKEIAHIIEAHTSESGVRRLEKNIASVVRWVALQKAMEQEYDTKITVEKIDEILGVPRPKSLSETTNVPGVVTGLAWTSVGGDILFIESILSKGKGTTITMTGNLGNVMKESATIALEYIKAKYEELGMTEEQLTDKNIHIHVPEGATPKDGPSAGIAMLTSMVSSFTNKKVKSNLAMTGEITLRGKVLPVGGIKEKLLAATRAGIKDVILCEANRKDVEEIKKEYLKNLNIHYVNKMKEVIEIAL", "MKIVKFIIFLLFGLMFIQAGLDKFFHFMPTPQLTEEQKKIFGAIMEIGWLLPLVAVVEITGGLLVIFPKTRALGAIIILPVMVGIILHNLKDTTGLPIAAPLALINLWMLIDNSNKYKNLIS", "MKKNTLNLALASLLMLSVFSCKKQESASMSDANYESAKAVSAEASASTTDSISSAASTQVKGKEFVKTASVNMEVKDVYDATIKIENQLKQIGGYVINSELKNNLLSEETYNESDDKAVMVKKSTMQNDMIVKVPTMQLVDFLQQINSQNLFLNSRIINAEDVTANIKMAQLEQQRMKKKEGNINKLKPTPTTVEQGDDNERDHNQNIIDAYNLKDNIAYSTVNLSIKEPNVRVAEIAVTNTKSIDAKYKTNFFYEAKLSIINGFYLIQQFFILLLNLWPFAIIIAGIIWFVRVKGVKRPKSPKPTVND", "MSLNTINPTETKAWAQLKEHFAETDFDLKQLFSEDKSRFSEFSIQKDNLLFDFSKNLVDKKAFQLLLALAEECHLKDSIEKMFTGDLINQTENRAVLHTALRNFGEEKIVVNGKSIDEDVQRVLNQMKIFSEKIISGEHKGFSGKEITDVVNIGIGGSDLGPVMVCSALKHYKTRLNTHFVSNVDGNHIAEVVKNLNPETTLFIIASKTFTTQETMTNALSAKEWFLKAGKEEDVAKHFVALSTNIEAVKNFGIAEENIFEFWDWVGGRYSLWSAIGLSIVLAVGYDNFEKLLRGAQDTDKHFRNTEFKNNIPVLMGVLGVWYRNFFDASSYAILPYSQYLDRFAAYLQQGDMESNGKSVDRNGEFVDYETGPIIWGEPGTNGQHAFYQLIHQGTELIPADFIAYAKANNNLSDHQDKLMSNFFAQTEALAFGKTKEQVITELKASGKNEEEIAFLTNFKTFTGNTPTNSFIFEELTPFTLGQLIAFYEHKIFVQGVIWNIFSFDQWGVELGKALANKILPELENTAEITSHDSSTNGLINFYKKHK", "MAQILDGLKVSKEVKQEIKEEVQKILSNKRRAPHLVAILVGNNGASKAYVNSKVKDCEEVGFSSSLIKFPATVPEAELLEKIDELNKSKQVDGFIVQLPLPKQIDQEKIIMAIDPRKDVDGFHPENFGKMALEMDTFLPATPFGILTLLERYNIETKGKDCVIIGRSRIVGRPMSILMGRKDFPGNSTVTLTHSYTKDIEEYTKKADIVITALGDPHFLKGEMIKEGAVIIDVGITRVDDDSEKGYHLAGDVDFESCEKKASWITPVPGGVGPMTRAMLMKNTLIAYKTSVYND", "MNKEQDILLKEGKMLPVMEHFYTLQGEGAHTGKAAYFIRLGGCDVGCHWCDVKESWDPNLHPLMNAEEIAETAAKYCKTIVLTGGEPLMWNLEILTSKLKELGCTIHIETSGAYPMSGHLDWITLSPKKTGLPLEDIYKKASELKVIVFNNNDFKFAEEQSAKVSENCKRYLQSEWSKRNEMYPKITDYILEHPEWQASVQTHKYLNIP", "MLKLFGAIGEYFMLLGKTFQKPQKMKVFMKLLMREIYDLGVNSFGLVLFTSIFVGAVVAIQMYNNFSASSFPIPNSFIGYATKVVLILEFSPTIVSVILAGKVGSYIASSIGTMRVTEQIDALDIMGVNSPNFLILPKIVASVVFNPLLIAISIVFGIVGGYWAGELTGNWTQAEYITGIQMYMPTYFIWYAFFKTAVFAFLIATIPAYFGYFVKGGSLEVGRASTQAVVWTIVAVIIANLILTQLFLS", "MIDIRDLRKSFGDVEVLKGISTSFEDGKINLVIGQSGSGKTVFLKCLLGVYDPSSGIIDFDGRDFAKMNREERQSLRSEIGTVFQGSALFDSMTVEENIMFPLDMFTNLTFKDKKKRVTDVIGRVKLENANNKYPSEISGGMQKRVAIARAIVNNPKYLFCDEPNSGLDPNTSIVIDELLKEITEEYKTTTIINTHDMNSVMTIGEKIVYLRNGLKEWEGSMHNILTADNEHLINFVYSSALFKELRKTLLEKKQNL", "MKSKIQSTSVMKKLFIPVMLGAAAFAHAQVSLGVRANALFNTSSSRWSDISTTAKGAFNNPKDVAGFNVGLSAKIKLPVVSLFVMPEIYYTNFKSKATYVEADGSNIELSAKSNRIDIPVMVGFDVIGPLSIFTGPVFSTNLSSNSTFEGYKEDTSKNFSVGYQFGANVKISKLIVNARYEGSFSKDQRKFINNVTGNGVNYDNRPSFFMVGLGYQF", "MKNLTFVGIILLAIGVLLFYLNNMALDLRVVYGALCGIGVGLIFGGIVGYVSKGSAVKQENKMRQFKQLQKEKDELEKKQAQLMQDATQEQEEQSRNV", "MKITSIIGAAAIAATFTGCVTNPITGRSSIQIADSNQINTMALQEYKTTLSKSKVIAGADATRVKTVGARIANAARNYYRSIGREQDLANYQWEFNLLQDKQLNAWCMPGGKVAVYTGILPVTKDDNGLAVVMGHEISHALAGHGNERISQATLAQYGGQLVGASLSNGQMASIFNQLYPVGAQVGLLAYGRKQESEADQMGLYLMAMAGYDPRTAPAFWQRMQDASGGASGTPAFLSTHPNPVNRKAALEAMMPKALEYYKAAGGKL", "MKKQVYIYLLAALTFTSVISCAASNKPTDIAKSEEVTKLVNQDSYTFVATRAYPMDQSTINNVMSAMRPATSVATLFDLSYGYGLKIQPNELSVDLPYFGRIFTPSMDPSRNGLKFSSKKFTIAKKESKKKISYTINVNDVQNIQTLYIDVYNNGRGFLSVNANDRQPISYDGYIKATKTEK", "MAKMLSTEQLIDGIRSNNTRLLGKAISLVESKKPEHRMQAEELLRQLMPFTGKSIRVGITGVPGAGKSTFIESFGKLAIEKGNKVAVLAIDPSSSLNHGSILGDKTRMEELAKDPNAFIRPSPSGGFLGGIANTTFETLLICEAAGYDYILIETVGVGQSEVMVADITDVFLFLKVSGTGDELQGIKRGIMEMVDIIFINKADEDNNPAAKNAKLELMRALHFITHKNKVWKVPVILGSALKKKGLEECYERIEDYILQKHKDNTFEEERHQQAEKRFDYWVKELILSKTRSSKELEDAYNEHKKNASELAVNPISEAKDFVKKLFNE", "MKSTKNKYYFEALDHYPYSIPDCVEALNYALSYDPQDADSLCLMARVYSEILKDYNTAKAYFEEAMKNDVTSISIPHFYIKCLLHNEDYEEAEKLITYSLTLKGINKADILFLRSVLKERKGKIKKALKILSEVREHSFDEGMVHQLEDRKKFLKGKISRKKKKKA", "MEKLVQITSGRGPLECQWVVAKILKVFLEELKQEKIDYKIIHRENGDENLTLKSVTLLLKDKELTTFLKSWLGSICWVGKSTFRKLHKRSNWFVGVFEIEDAEEIYFDEKDIQFQTTRSQGSGGQNVNKVNTAVRATHLPTGESVFVQDSRSQLENKKLSVKRLKEKVMAQYILQMQRRMQETWSNHMQVQRGNPIRTYSGTDFKKNHQDKSFKKQRQYLKNELKNYRNEIN", "LPVNCEVCNAAFEIKPKTEKYVMNYATKENGLIRDSVFGCSFYFQEDFKGKLFWARNMEHLLEMENYISSELRTRLPYKMRMVERLPTFIKEAKNRDAILKILQKWKNSYK", "MKKRYKQQYYAYHEDCLVVCPSCGKDATVKSKCSCYEKAVLECLHCNLRKKKEDLEFYKAIVKLNCPSCGHFIHHKQGGLKLKPEIACKL", "MGNLKLKGKDILKIGYPNNQSVNVALEVMKRNFATKNIHYVKSLLKEILIHPENYQKDLSFGQIAEALLLSKKTEKRMLNNQRAAFQVFGHNISEEAKNQLYTALKLPISLQGALMPDAHSGYGLPIGGVLAVENAVIPYGVGMDIGCRMSLSILDTPVSYLDGAKDKYEKALAEHTKFGMYETHKSHIEHEVFERDTFGMIPVLRRLKAKAIKQMGTSGGGNHFVEFGEVEITEEDSQIGLPKGKYLGILSHSGSRGLGAEIAQYYSRVAVEQCPLPKEARQFAWLDLSTHMGLEYWTAMTLAGDYASACHDDIHRRLVKAVGGRVKAKIENHHNFAWKEIHNGKEVIVHRKGATPANENELGMIPGSMTAKGFIVRGKGNPDSLHSASHGAGRAHSRGACRSLFTQSDIRKELQLKNVTLMGGNTEEAPMAYKDIHEVMNAQSELVDILGTFQPRIVRMDK", "MKKMIIAGGLVLFIFSCSTRTQGTASTEKPVYKLTDQQFATAKNLFETRCGKCHDLPKPEDHTAEKWKPIMDQMAPKAKLTDEEKNWVLAYVSTNAKKQN", "MKKRLLILLLVSILCYLAGGYLQNIYGLDQPYIFYWSGFVLRILAILFVLTTLIVHGISFLKNRK", "MRRPITTPKPDFDIKPSAFENYNFEKDGLQMKTSYSADDARALIDKEYSAGIAPYLRGPYTTMYVQKPWTIRQYAGFSTAEESNAFYRRNLAAGQKGLSVAFDLATHRGYDSDHARVVGDVGKAGVAIDSVEDMKILFNEIPLDQISVSMTMNGAVLPILAFYIVAAEEQGVNQELLSGTIQNDILKEFMVRNTYIYPPAPSMKIIADIFEYTSQNIPKFNSISISGYHMQEAGATPVLEMAYTLADGLEYVRTGIQAGMNIDDFAPRLSFFWAIGMNHFMEIAKMRAARYIWSELIAQFKPQNSKSLALRTHSQTSGWSLTEQEPFNNITRTAIEALSSALGGTQSLHTNALDEAIALPTDYSAKIARNTQIILQQESKICDVVDPMGGSYLVESLTQQMIDEAMKYIDEVEKEGGMTKAIEAGIPKMRIEEAAAKKQAKIDSSEEFIIGVNSFRTQQKQPQFEILDIDNSLVRQKQIERLNTIKTERDNQAVEQILDEIKECAKTGKGNLLALSIEAARRRATLGEISDALEGEFGRYKAQIKTIQGVYAMNASKNEYFAKAVELTQKFEEYEGRRPRLMVVKMGQDGHDRGAKVVATAFADMGFDVDVAPLFQTPEEVAKQAVENDIHILGVSSLAAGHKTLVPQVVEELKKLGAEDITIVVGGVIPQQDYEFLYANGADHIFGPGTNLPQCACEILEKLIVTE", "MKKINRKELSSIVGGDLNDQQGYCLIDGKLRPWPCNQRCPNRLIPLCPAPEE", "MSKSLIPKLEAIKQRYNEVADLIIQPDVISDQKRYSSLNKEYSDLGKIVKVYDQYKSALDTIKESDEIIADGSDKDLSDLAKMEKLEAQEKIPGFEEELKVLLIPKDPADDKNIIVELRAGTGGDEAAIFVEDIYRMYSMYFKTKGWRHEVTDSNEATKGYKELIMKVEGEGVYGIMKFESGVHRVQRVPETESQGRVHTSAITIAVLPEAEEVDVEINPADIEMQTSRSGGAGGQNVNKVETKVQLTHKPSGIVVVCQQARSQLANRELAMEMLRAKLYDIKLQEVVGDIAAQRKTMVSTGDRSAKIKTYNYPQGRVTDHRINKSIYNLDAYMNGDIGEMIDAVIMAENAEKMKGEEEGF", "MILSSFYPFYQFLWTVYFFTMFLAGFWCIFMFATYIVPLWLTEGLLEYFGKKNKNFDPEEIRYKKLYEQEGVEVIYQRPAGERPAHGHHTH", "MEFQYQEPFPILKDDTQYKKLTSNYVKVEKLGEREIVTVDPKGLELLAEEALKDVSFMLRSTHLQKLKNIIDDPEATDNDRFVAYNLLQNASVAVKGALPSCQDTGTAICVAKKGENVYTGANDAEWISKGIYNTYQNQNLRYSQVVPLTMFDEKNSGSNLPAQIDIYAEEGNAYKFLFLAKGGGSANKTFLYQKTKSLLNDKSLEEFVKERIMDLGTAACPPYHLALVIGGTSAEANLAAVKKASAGYYDNLPTEGNIGGQAFRDLEWEKRVQKICQESAIGAQFGGKYLTHDVRVIRLPRHAASCPVGMGVSCSADRNIKGKITKDGIFLEQLEENPEKFLPETAPHLEEPVTIDLNRPMNEVLAELSKYPIKTRLKLNGTLIVARDIAHAKIKELLDNGKPMPEYFKNHPIYYAGPAKTPQGMPSGSFGPTTAGRMDVYVDEFQANGGSMVMLAKGNRSKDVTDACAKHGGFYLGSIGGPAAVLAKENIKSVEVVDFEELGMEAVRKIEVVDFPAFIITDDKGNDFFANLAH", "MRAVLSPNMSKITSITHIYNDYKLYEIYLGKTNIPIGM", "MKETPEYIIEKVAPVFNKKGYTATSLSEITKATSLTKGAVYFYFKNKEDLAVKAFHFNIEKIIKPLRQKIGSKENSIEKLKAITEYFRSDYFDFAREIGGCPMLNLGVDAQFNNKVLFDEAQKISKVFIRSISDIIKSGILKKEIKAHTEADKISMVIYAMTEGAVFMAITKDDKSFLTHMNNHIDSSIIEKMRI", "MEATIESIGVYIPENKITNQYFEKIIDTNDEWITSRTGIKTRYFSKENEYTSDLCIKAIENLEKDYKKGLTDIDFIIIATSTPDQQFPSVASKIQSRFNISQAGCIDISAGCAGFVYGIIMAQGLIASGSYKKILVTGAETLSKICDFTDRTTCILFGDGAGAVIVEASEQKQLFSSTTITDGSYGKELYKSEVHSSINGENLINDGKIHQNGKTVFKWAVSTLPVEIRRLLEKNKLSLQDIKWMIPHSANIRILENVCDELGFPKEKCLQSVTDYGNTSAASIPIAWYNGVKDGKLQQGDFLVLAGFGSGLTFSGICLRNQIMNKVGK", "MIYRTEHDTMGEVKVPADKFWGAQTERSRNNFKIGPEASMPHEIIEAFAYLKKAAAYANTDLRVLPSDKRDMISQVCDEILEGKLFDQFPLVIWQTGSGTQSNMNINEVISNKAHVNNGGQLGEKSEVHPNDDVNKSQSSNDTYPTAMHIAAYKKVVEHTIPAVETLKNTLKAKSEAFKNIVKIGRTHLMDATPLTLGQEFSGYVAQLEFGLKALKNTLPHLAELALGGTAVGTGLNTPQGYDVKVAEYIAKFTGLPFITAENKFEALAAHDAIVESHGALKQLAVSLFKIAQDIRMLASGPRSGIGEIHIPENEPGSSIMPGKVNPTQNEAMTMVCAQVLGNDTTISFAGTQGNYELNVFKPVMAYNFLQSAQLIADACISFNDHCALGIEPNEPRIKELVDKSLMLVTALNTHIGYENAAKIAKTAHKNGTTLKEEAINLGLVTAEQFDEWVKPEDMVGSLK", "MKIRDEKLRKIIDWANGNEDIRAVILTSSLVNPLAPVDDFSDLDVELIFEDSQAYTADHKWLNLFGKPISMIEEDESCFDGIHAMKMVLYEDTVKVDFKLYHKSSFLQEIKHEELPEDWDIGYKILLDKDGITQNMKPPTHQVSIIKKPDEKKFAKLITDFWWDCTYVAKCLARGDIFYAKFMSENIIRTEYLVPLLEWHIANQHNWDITTNKHGRLFKKYLSQDLWSKTEQTFSGSNIEENWKALFSMTDITGELGKNLAEALNYSYPSEMEHNIRTYLKTVYKSCN", "MISIQNISKVYGVNKVLNIQELSIPKGETFGLVGNNGAGKTTLFSVLLDLIAPSSGFISIDNIKVNESEAWKTKLSAFIDESFLIGYLTPEEYFYFLGELRGQNRASVDEFLKQFSDLFNGEILNAGKYIRDLSKGNMKKVGIVGALIGNPEIIVLDEPFANLDPSTQIKLKNLIRNWSQDANVTFLISSHDLSHTTEVCNRIVILNKGEVVRDIQTNPETLQDLEKYFADQIVS", "MFAKLIRLEFKSFFRSPQLGAGILMKIGMFFIFAYMALIFFGGAFALFFGARKEGVNPLILFSRFFLVYWVLDLLLKYFMQQLPANNIKPLLTLNIPKNKITSYTLVKILLSFFTWVFLLFMLPFTILLLVDGGFSILSVLAVFISVVALIFSNAFINTFINKNNTLLYSVFAVIIVLGGLHYFKIIDVLNISEILIYSIYQKWWLFFIPLLLTLVLGKMAFNFIKQNLYLDKGLEMKKAVGKTENIEYLNRFGAIGTFINNDIKLIKRSKAARSALIGGFLFLFYGLLVFNKGYSFSFMQVFLGIFVTGGFNLMFGQRVPAWDSSYYPLMMTQNVPYKEYLKAKWWLFVIVTAVSMVLAVFYVFFTSWTFYFTIFAAGLYNLGVNSYLTLLAGAYNKKPIDLNSASKGFTAGQNNFNIKILIIIIPQMLVPMAVFGIVKYFAGMSAAVISLGILGLIGFLLRDKIFDQIVKIYRSEKYSTLAAFKKVD", "MKNTILFTFLLLGLCSYAQEIEVKNLKKHVYFLASDRMKGRGTGSKQNQEAAKYITSQFKKYKLEPLGVNGYYQNFEAKVRKVKVTDSIRPARNVIGFLDNKAAKTVVIGAHYDHLGEGKQGSSLAKDSYGMIHNGADDNASGVAGLLELARVYSQNNIKEPVNFLFIAFGAEELGLVGSRYFVKNPTYDLNKVLWMLNMDMIGRLNKERGVSIIGYGTSPEFETIFKEIDPNKFVKFYTGYEGRGGSDQTSFYEKDIPVLFFHTGGHDDYHRPTDDADKIDYESLKGILELEKAVVDGSFNVGSMPFRNTDQKK", "MLSKIFIQNFALIDRLEVDLHKGLQVITGETGAGKSIILGALRLMMGERADLKSIADAEKKSIVEGTFVLDEAKFKSFFEDNDLDFEKETLIRREIAPAGKSRAFVNDVPVTLSVLQELSERLIDIHSQFETSNLFSETYQFGIIDGLAKNQKLLAGYQSEFKIYTQAKAKLLQLEKRLAEGNKEADYHQYLLQELEEAQLDQLNLDELKNELSAQENAETIIEQLSQTYQLLQQDEAGVLTVLNETKAKLSKITGYSENYAQLSERIQSLYFELKDIADSCENELEKVQMNPEALAELNTRLNLVNTLLIKHQVQTVEELVSLRDEYAKEQNLAENLEEHIKEQLQYIEQQKIKLRSIAAELTKNRKSGAKIFVEKSQHILQRLGLEKARMEVDLSEDPEFNEYGSDTIQLLFQANTGFPMKPVHTAISGGERSRVMLAVKKIMAENSELPTLILDEIDTGVSGRVAEEIGKLMHEMGQDMQLIVITHLAQVAAKGDYNYKVMKSEVGGKTQSTIIPLNQDEKLQEIAQLLSGSKITEAAIEQAKELML", "MANSLQGRKVLVGVTGGIAAYKIHFLIRELVKNGAEVQVIMTEDAHQFVTPLSLSTLSRKPVYTDFYNTEGTWNNHVDLALWADVMLIAPCTANTLAKMATGLCDNLLQATYLSAKCPVFVAPAMDLDMYAHPTTTENLKKIEGFGNRIIPAEEGELASGLMGLGRMAEPETIFRHLQQFFSTENSKSLLKGKKILITAGPTFEPIDPVRFIGNHSSGKMGFALAEAAADRGAEVILITGPSSLHTTHPNISLHAVISAKEMLEEVFKYYENVDVAIMSAAVADYTPKYYSDQKIKKKEQDFMIELVKNPDILKTMGEKKSHQLLVGFALETQNEVEYAKSKLVQKNLDLIILNSLQDSGAGFKRDTNKISIFTKEGESKVFDLKKKTEVAEDILNVVEEKLH", "MSVKDSKAPVNTITYDKNKIEEKVGSIYEAIVIMGKRAEQINAEIRTELHQKLDEFAVHNSTLEEVFENREQIEISKNYERLPKPTSIAVQEWMDGDIYFRKTEDRN", "MKKILTFITLALIVVSCNKEFDNAMKSADKEVILKAADKYYEKKNWKQALALYDRLPNLLAGTDELADMSYKQAYANYYDKSYKLAGHQFKSFTVNNPRDPRREEAAYMSALCYHQDSRDYNLDQESTIAAINELQEFLNTYPGSERSKNISKLVDELSYKLEFKAYENARQYYKMAEYKAADIAFENVLEDYPSTKLRPQIFNYMMKSKERLATLSSFDLKADRIENAISFTRQVEREFPNSDNSKDAVKIRESLEHEKENFAKLKVEYDKRRAEREARIKKLSAEQAAEMEKKADNNRKAKAVKEYKDKVRGLQKDSAVLNTPPPAATFKIPRKSN", "MENPDSLVEYGFRRAIIVLMAVLCALLEIVDTTIVNVALDSMKGSLGVTLEDVAWVVTAYAIANVIMVPMTAWLSQQFGRRNYFVASIIIFTAASFLCGNSTSMAELIIFRFIQGLGGGALLVTSQTIITEIFPVEKRGMAQAIYGMGVIVGPTLGPPLGGYIVDNFSWPYIFYINIPLGIIAALLAMNFVKSPRYGEKRKPSEVDWWGIILLSTSVGSLQYVLEHGQQDDWFNDKIILILSIVTILSAIFFLWRELTAKYPIVNLRVLKNTNLAVGTVLTFVLGFGLYGSTFIVPIYTQSILGWTATDAGLLLVPSSIMTGLMMPIIGRLLQKGVPQKYLVTLGFAIFFLYSLAMYYEMTPYTSTEEFFWPLVIRGIGLGLLFVPITTLSLSSLKGREIAEGAAFTGMMRQLGGSFGIAIITTYIARDSQKHRVNLMAHVDITKDIVAQRIHMYQQLMMSKGATAQEALGRAYKLLEGQVMKQAMVLTYADVFLYLGILFLICIPFVLSIKQGKSKINPADAAH", "MSEQVPNKKKINSKFIAILAVLIVGGGAFGFYKYQHAQVHQTTDDAQIETNITAITPRIQAFIKEVRVKDNQYVKKGDTLLILDASDINTKVEEARAGVSQAESGITAAQAQTEASTSTLPITNSQVAAMNANIDAAKAKLWQATQDYNRYSNLYQDHSITKQQFEQARTAMLEAQATVKGLESQRLATQNQTQATISQSHAVGSQVSVAKANLEKSKASLEAAKINLSYTVITAPVSGYVSRVDLQQGQLLNPGQQLFNIVDEHIWVVANFKETQLEKMRIGQKVEIKADAYPNHKFEAKIGSFSPATGAQFSLLPPDNASGNFVKVVQRLPVSIEFVNPKDPMLKYLRPGMNLDVDVTTK", "MTTKILLLAAFSAAGLEFAQTVQKLTLSEAIVLSMKNNSKVKIAEAQLNTMDSKVQEAKNKRLPDLKLSGNLMKPFNTMEIKSELPFLNGGGASPSAPDYVAIQQLNLGMPLFAGFKIKNGIAVAEYQKSIQQYQLENDKQDIALAATQGFVNLYKAKQAINVINENLSRSHQRSVDFEKLEQNGVVARNDLMRVKLQESNVKLSLADAKKNVNVLNYNLGVLLGLAEGTEIDPEIGGDETQEFVNEQNLQEQSLQQRQEYKILSEQEKVGKANVEIAKAAYYPTVSLTAGYINAWMPNILQINHMTMAGLSLSYDLANLYKNKATVQTAKLQQVEIQENRKALNDKVKTDIHNAFEDYQLQNEKIKVYEEAVGQANENYKVVKNKFDNGLATTTDLLTADVEQLQAQLNLVYGKADKKWSYFNLLRQTGEIKY", "MKKIKKLSEKQLHILEVAESLIAEKGFKGTSVREICSQANINVAMISYYFGSKDKMMFHLYQYRVQKAKENFSNFTQTISSASPAMQMKEILNFILGQILKFNYFHGFVTNECHTAEPNKEFLRDFYALCVTKFEEVVQKGIVVGEFKKAVKPENLLATILGTIIFSIRNREFYQAYLPEHNEEDYYQKLEERLKTHLYNTVFSLLGYETNDN", "MLFDFHHHHKNPDYKGVYNKSIYEEFSPEFYSIGLHPQDISDNWESEIEKVHTAAKASNCLSIGECGLDAFVNASIEVQIKVFKSQILIAEGLQKPLTIHCVRRYNEVINTCKGISIPKIIHGFNKKETIAAQLLQNGFYLSFGASLLNNLSLQKIFRQIPKETFVLETDTAEINIEKLYEKAAIIRGISMTELENIVDYNLKTIFRW", "MVDIWLERTELLIKEAGIEKLKKSSILIVGMGGVGSFAAEFIARSGVGNLTIVDGDIIDITNINRQLPALHSTVGDDKVELMARRILDINPELNLTRINEFLNPERMEEVIHTGNFDYILDCIDSVSPKLALIKAARKNKIKIISCMGAGGKLDPSKVMVRDISKTRNCYLAKQVRKRLKKEGINKGFRCVFSTEIQREDSLKMTDGSNYKKSFYGTISYMPAIFGLYAASEVIRYLIQDKVAE", "MKEFGFPSSEKLKAKKDIDRLFKEGKWITTGNLRIIWLASESETKVGVSVSKRYFKKAVHRNRVKRLLREAYRLNKPLLHERFGEHFHIMLFWTSPQLPENLDQVKYFYEKLCRKN", "MPEHISYLQYILGAFIGVGLAAASGFRVFMPLFAVSLASYLGWIPMNESWQWLAGLPTLIATGIAMIIEILAYYIPFIDHLLDTINILLATIAGTLLFASQFTHLDTFPQWALALIAGGGTASVISSGFAGLRATSTATTGGTGNFMVASTETVGGSLMSVLALAAPIIAFIITILLIFLSIRYGKKIWRKIKQFRNPDTEIK", "MNERILHIIKFPDSLKASDIGELRREISNYPYVQPIRALFLMALHQFQPEAYQKELALTAAYTTDKKILYQLINPVSHQQEQIAEVPVQKATAELTVAVEEPEELEVDEGTTEFEKEAVLEEKTEKIASEDVESVAIAQDSEEENIINVIKETDPTEEDYLSAQTSFAGADNFLPDVKFSIPDNHTEYAKEKKPSAVPGFQHSFVTTITQPIITDTSASAEEHKEEEKHIDHSTLDFADNTHFDFLSRPAEENVSSGISDKVEIQEPEDINAAEDTVEENIPVIINEALEEESVIADIPEPVADLNDDWKPMSFDAHIPDALIGKSVTQVIDEKLPEPELKEEIIPEPEVTEAHEEAPAAEEVVENKIIEEVSAKESSERPVINYSFFGTAGTDKEREEVKPEAVTEEPQDSNEEKMEEEIMTETKQETIVTSNVSSFINTWQNWLHKEKPENVDVERKNKAIEKFIENNPRISALKDESDYVIKDKGDDISHLMTETLANLYLGQRLYNKSINAFEILQKKFPEKKKEFQEKINYIKDLKSGKIQQED", "MKAAFKNRFKILRLGSLILLSQLLVSCYSFTGSSLKPDVKTILIKNFPNNTSFNPNLSQQFSTDLQNRFLQRTNLKGTTEMPDILIEGEIVDYQPSTPTTISTPSTSQATGNVMLAAQNKLTITVKVHYENAKYPNESFDRTYSDEAVFSNTLSIQQIEDTQVKIATDRIINKIFNDIVANW", "VENLSQPKYIINPDYQLNIGNAFSDGLALFKKDTGTILINYLLTIIMGMIPLCSLLGLGNFYKACRKIENGEKTDSGDIFNFDDVIPYLIFAGIMIFGVLALLIPVEVVILVSAVLTEKNQGQAPVFVLIMIIAVLIIFAITMVVSSLMYYYVAVVALFGVKDFSNAFKISWKLFKKNALSSILFSIMISMITSVGILLCGVGILLTLPLAICMRYAATKNIMIRFEEPKIDYESSL", "MSELQNIKARFGIIGNYPALNRALEKSMQVAPTDISVLVIGESGVGKEFIPKIIHSLSHRKHMPYIVVNCGAIPEGTIDSELFGHEKGAFTGATTTRKGYFEVADGGTIFLDEVGELPLQTQVRLLRVLESGEFMKVGSSVVQKTNVRIVAATNVNMMKAIQDGRFREDLYYRLNTVQIDMPPLRERKGDIHLLFRKFAIDFAEKYRMPEVYLTEDGVHYIENYSFPGNIRQLRNLVEQLTVVEQKREITSETLSHYIPMVSNAPMVITQPNQNSNSGGDFNNEREIMYKILFDMRSDINDLKTLTSELIKNRGASDLSNQEKTLINRIYTPEAQQAVVPNSLLYFENNNTPQNPTIISNNTEDNYEDIEDIELEEAKPESLSLQNNEKDLIIKALEKHKGRRNKAADELGISQRTLYRKIKQYNLED", "MRSLSYIIILMSLIGSYQSESTSCTFRSLGKYYEKQQGECFKVDQASVHIEKLNRFVSLTFFKLKENQQKQDFCFRDLYTVKALVFDKNNRTVFLSSPFKIESKLSKIRNKDYLDLVYKI", "VQEKYIDETKQGEAFAIAERPENGKKLFLESYGCQMNFSDSEIVASILNEQGYNTTLKVEEADLILLNTCSIREKAEQTVRMRLSQFKKQKESNPNLTVGVLGCMAERLKTKFLEEEHLVDLVVGPDAYRDLPNLLKETEGGRDAINVILSKEETYADINPVRLGGNGVTAFVTITRGCDNMCTFCVVPFTRGRERSRDPHSIVNECVELFNNGYKEVTLLGQNVDSYLWYGGGPKKDFAKASEMQKATAVNFSNLLAMVATAVPDMRIRFSTSNPQDMSLDVFHTMAKYDNICKYVHLPVQSGSDNMLKAMNRQHTRAEYLSLINEAKKIVPEVAFSQDMIIGFCGETEEDHQDTLSLMREVEYDYGYMFAYSERPGTPAHKKMEDDIPADIKQKRLAEVIALQGELSRSRMKSYVGRTHDILIEGTSKKNENQWKGRNSQNAVCVFDKLEGQKIGDMVSVFVYDNTQGTLLGRTAE", "MKKIYVLLFISMAFVLSAQNYRAIYELKFKPNKDKDSVITDYYALDLFPKLEKTSFYNHSYYKNDSIMNALSEKSEREGGVNIDLNSLPKAKYPLVYIKENGKKYSFKTIDGDSYKFSDEIKMTWKVLKESKKIKNWNCQKAETEYSGRKWTAWFTTDLLFPEGPYKFSGLPGLIVEISDEKNDLHFALEAIYKNAEKVYLPSAYEKSIVVDKSKYEKALSNYIKDPGVKLRQGTMVDESGNQFQIAGGFSKDFIDQAVAERRKKMKEFNNLLD", "MKRLFLLLTFMVSFVQMRADEGMWLMMLIKRLNGVDMQKEGLHLTPEEIYSVNNSSMKDAILQFGGGCTAEIVSPKGLIFTNHHCGYGAIAAASTPEKDYLTNGFWAKNNGEEISSKGLSVRFFVRMDDATKRITSKLNNDMSADQRKAIIDAEIKAIQSENSENGKYTVVVKDFFKGNEFYYFVFQDFKDVRLVGTPPSSIGKYGGDTDNWEWPRHTGDFSVFRVYADKNGNPAEYSADNVPLKPKHHLPISLKGNKPGDFAMIVGYPGTTNRYLTSFGIEQMVSKDYPAWVEASKTAMDVMKKHMDKDDATRLAYASNYASVANYWKNRAGTIEAVYKNGTIGDKKEVEKKYQQWAEKAENKAVYGNVLANTDAYYKQISNRNIEKNYGAQFQRNAKYIRNSFQIGDALTSYMKQDASAQAAMKPKLEAAVKQAYEGFNTQLETEMLSQMASLYQSKVAADVASATVKSVNASELANIAQSSIFANATSVINFLNNPSAEKLANDKLYKFAAGYIGDNKVLAEKYAKTDEGFQKDSRLFMDGLMKAMPEKKFYPDANSTIRLTYGKIETLPKRADRDYTGIKQNYYTTMEGMIKKYKKGDEEFDLPQGLLDLYKKKDYGMYKDKDGQLHVNFLSNNDITGGNSGSPIIDGYGRLIGLAFDGNSEALSGDIVFEPKLQRTINVDVRYVLWVIDKFAGAKNLISELTLVK", "LKKMLIAKNIIKWTLIGLFIWFFLLMCRITLFYVPFSATASFLNIKQTEVTTLPFYLPIFYTHVYSSIFVLLAGFIQFFTTRKKHKTIHQYSGYIYVIGLLLFSAPSGIYMGLHANGGFWAQLSFIILGCLWWFTTFMAMVNIFQKDIKSHQQWMNRSYALAVSALTLRMWKVILVYLFQPNPMEVYLVIAWLGWVPNLLIIELLILKKYKYEKARYFRSLALPVFVQKGS", "MKKLVIFGVLLSLFSCKKEAKVTSLENKKDSAKTAPAKVVAPEFHKELYGIWTGSFYPDYKRNPDDESGETKKISIKIDRITADTVWAQSIVSGNQRPLIGKTSDQGNKISFILDEPGNRKDDGRFELFTRNDSLIGKWTAYNTTGVKSPYKKLDLAQKQFVYNPNFMLSKDAEFIDWTTPKEKKETYKDDDGKIQTYTQQVYRSASDDVYKINSSTQKLTEKQLKNLRKLDLEILRNTIFARHGYSFKKQTYRNFFEYTDWYVPVSNNVDKELTPLEKENAQLLSRMEKYAKDTYNSYGR", "MRKTPIIPMADKVKFDAIILQHQDMNAAYVEFPFSTEELFGKKGQVKIKAIFDDAVEYRGSLAKMKSPCHILGLTQEVRKSLNKTFGDSVSVILWEDKEERIVEIPEDIAELFNKHPDAKELYDAMSYTHRKEYMRWITDAKKPETRENRKSKLIDMIISGKKGI", "MSIKIFQPFWAFILPLLAWGIYLLGINNSIIVEIVAGGLLIGSVLAAVHHAETIAHRLGEPFGTIILAIAITVIEVALIISLMVAGGPEAETLPRDTVLAAIMLILNGIIGLCLLIGGAKFHEQYFGKKSANTALITLISILVLTLVLPNYTTSVRGPYYNTSQLIFVAIISLILYGSFIMVQTVRHKDYFVTEGESLPHEEVTTQKMILSLVFLVLCLGIVVLLAKALSPSIEKMVIDIGAPKSLVGVIIAAIVLLPEGISAIKAARKNQLQTSLNLALGSALASIGLTIPAVVVVCLMYDIDLVLGIDVKSMVLLALSFFIVMLSLNQGKTNLHYGVVLLVSLVAYIFNVIVP", "MRFRITTGLSAEEIRDALSEHIEPKVFFRNYLKNKPKLFEGDINTRNFRLRRVETHRSIIKVDLQGDIIKREGYNIVDISASYPWAEIIIYSCILLYALYSSYNDLTSLFIILSIGFIIFIFGRGKYIMDAETGRDEIFKIIKGNSIENI", "LKTYETERLIIKPITLDDATFLLDLYNRPKFIQYIGDKNLRSINDAQTYIQNKFLPQLERSGFGNYTITLKENNEMIGAVGIFEREGLPIMDIGYSFLEEYEGRGYAYEAAIKVKEIGMKDFGLKKLSAITSKENFASQKLLGKLGLTYVKDVTLPNDNEILMYFETE", "MKKRFIPLLLVGTLATTLLVSCSREDNTTEQSITTEALVAPSASELLCSYVDQNWSFSSVLKTGLTTTADTNFMNTQIQKNASLWGLSTPVLRFVDDPSNPSSTYNAISYSTGKIYYGYAIYKDAKTKSSDNIVNAMILAHEYGHQLQYKYNIPSVQESTARSSELEADGFAGYYLRRPAGFNKTDFSQIAAAYEFAASIGDNQVNNPNHHGTSPQRRAAVRLGFLLGQYSLTATGFDSNFFYYYSNVLNGQDPALQPSASNFKVDPEIDKTIRAHMAELKKIASGEISAEEFKNLN", "MLQVGDKLPQFKGINQNGTEIDSKNYSGKKLVVFFYPKASTPGCTAEACNLRDNYTILKEKGYQLLGVSADDEKRQKNFSDKYSFPFDLLADTNKEVIEAFGVWQLKKFMGREFMGIVRTTFVFDENGICVKVIDKVKTKDHAQQLLEE", "MTKKQRAETVMTELEKLYPQVPIPLDHFDPYTLLVAVALSAQTTDKKVNEVTPLLFAKAQTPQQMARLEEFEIKELIKEIGLSNTKAKNLKRMAELLVERHQGVVPESFEDLENLPGVGHKTASVVMSQAFGHPAFPVDTHINRLMKQWKLSEGKNVEQVEADAKKLFPKSAWNKLHLQIIFYGREYSPARGKGEKDFLTKMLFDK", "MNTIRQKEKKPNILYNYGISEALIGGLYEGTISVKNLRQKGNFGLGTPGMLDGELTILDGKAYQTKANGETTVLKDDQMIAFSSVTFFEPDLVSHINTFTDQHSLYQIIVNSLVNDNAMYAIRISGNFNYIKTRAFPLIEAPFPVLSSILDRQRIFEYEHTSGILVGYLLPEYLNGISISGFHFHFLSSDKKQGGHVLDFTGENLKVEIAELRSMELEVSSDRSFQQFRFRNKYNEDLAKVGRGY", "MKKLIKIGMLASMGLTLAAISCQKKDSVKNEATESRENEKLTQLADKYYEAYLKHSPLDATYQGDIRYNDLLPDNISAKVIAEEINFYNNTIKELSKINYEALDDQHKVIFDVLDNQLKTKVESYVYHPEYIPFSQFEGLPLTMPLLGSGKGSQPFKTVKDYDNWLKRIDQFPAWMATAEQNFRTGMKNKMVLPKKLVVKMIPQLSAAEITTKEFNKNIFYGPVKEFPKDFTTEQRQKYTKLFQEAIKDKIIPAYSHMAKFLKEEYLPNARDTDGYNALPNGKDIYAYYVKTWTTTNKTPDEIHKTGLQEVARIREEMEKVKAQVGYKGTLEEFLVHVKNDPKTMPYKTSKEILDGFQGILTKITPKLKTMFNVTPKTPFEIRQTEKFREATASAEYMQGTPDGKRPGIFYIPIPDPRKFNVTSGMESLFLHEAIPGHHYQISLQQENTKLPKFMRFGWLGAYGEGWALYCESLGSEFGLYTDPYQKLGSLSDEMMRAVRLVVDTGIHTGQMTREQAIKYFLSNVAYDEAGATAEIERYMAIPGQALSYKTGALKIRELRTRYEKQLGTKFNLASFHDEVLSQGCLPLSVLERKMDLWSKKQ", "MMNLPEIRQPFKETFPGDNTYNNYPRQTPGVLYALVEPMEFPKPELILFNKELGKELMISKDNIGFFSGQILPEDIETYATAYAGHQFGNWAGQLGDGRAINIGEVESLSGKNIELQYKGAGSTPFSRNADGRAVFRSSLREYLMSEAMYHLGVSTTRALSLVKTGENVIRDMFYNGHPEAENGAVIIRTAESFIRFGHFELLAARQETETLKQLMDWIIERYFPEIKGNADTEKYLNWFREVAQRTADTIVDWFRVGFVHGVMNTDNMSILGLTIDYGPFSMLDEYSLNFTPNTTDLPGRRYAFGKQANIAHWNLFQLANAIFPVINDQEGLEEILNDFSKYFWTEYDKMMAEKLGLDAVKESDQALLLEWQKLMDELKLDYTLFFSLLEKTDAQTNVILHFEPCFYYGLTQSQAQQLEGFVQHYIDRKAQNTISAEESLQKMQRTNPKFILRNYLLFQCIEETDNGDFTLLNKLLKALENPYEELYPEFSVKRPDWAGDQPGCSTLSCSS", "MENNTKNKQQSFPLPPKKKKQTSDKGVKRWIKFIWLGLAALVVGIAGLFFMVSQGLLGEMPDVKELENPDIYVASEIISSDGVSLGKFEKEKVIPVKYKDLPPHLIFALQAKEDERFKEHSGIDMKSVFRAVRFGGGRGGGSTITQQLAKLLFTRNVSKNKFQRVFQKLKEWSVAVSLEKRYTKEEIITLYFNKFDFTKNANGIEMASRIYFNKHTNELTLPEAAMFVAMLEAPNANNPLKNPERAKLRRDVVLKQMLDTGYIDDNTYNQAINTPIVTDYHPVKSVNEGYSAYFKFYLRKEIDGYLKDYEKETGKSLNLFRDGLKIYVTLDSRMQKYAEEAIKQHLTVLQKNFDSEQARNPNRPYYKISKKTANDLMMAAVKRTGRYKQMKAEGMSEDSIMMDFKKPTKLSRFTWNGEEEVEMSPWDSIRYHKQIAQAGLMSMEPSTGNIKAWVGGIDWQHYQYDHVKQGKRQVGSTFKPFVYATAIMNLGYTPCTAVSNATYSKGSYTVAGRGGMPMLKDALAYSQNPVALRLIDATGVDKVIQLARDLGVQSDMPKNNTIALGSSDITIYEMLGAYSTFANFGTYTKPEMVWRIEDPNGRLIKEVKPESKEVMNEVYAYTMIDMMKGVAEYGTASSGLKRLGINAEIAAKTGTTNNNSDGWFMGITPKLATGVWVGWEDRATHFYSTGEGQGARMALPIWGYFMQRVYKDKSLGITQDDRFVKPSAYDGCNSLKGLGGYGDEGGLQTIDEVRNPRPPANNGNPDNNGQPAKKEENINDKINKTDDIDFNK", "MQGYKFLVIIAFLGVLTGCVSPNEQVRTISLDGKWVSKYPARFEFDILDAQNPKNIIFVIRNNNEYPYMNLRLEASVSKINEKGKATEILNYMLAEPNGLWTGTGFGATKETLGRYKMNYRFPGNGKYKIELKHLMYKSPLTGLEDIGIKIETVKP", "MSCGCKTSGDSSHSCGPKISSNGCENVDTCGNSYKLSVFDWLSNVAQPTSNQCHYVEVRFKNDRKAFYHNVNKLPLHIGSVVTVESSPGHDIGVVSLTGELVKIQMKKKKTSEDQALKVYRVASAKDVEIWQEARKKEDAIKLEARKIARNLNLEMKITDIEYQGDGAKITFYYTAEGRVDFRQLIKEYAVAFRTKIDMKQIGYRQEAAKVGGIGSCGRELCCSTWLTDFRSVNTQAARYQQLSINPQKLAGQCGKLKCCLNYELDSYVDSLSDFPSSSTVLDTEKGRAFCIKIDVFKRKMWFAYVENSMSWYDLDIQIVKKFIGMNKRGEKIPPLEDYKSDDKPLQTVDLIQENNVDRFERKNKNNRNRQKGQKPNNNNKQENKPAQSKSENEQPSRPRQKQETENTNKVAPQGDKKPNKPNNKGKGNFHKNKKRPPRPDAGV", "MTDCEKYKIKKASKKYYKFAVININKKYELWM", "MKKVFLSVALAASMATYAGGFRLSLQGVKQLAMAHTSAHTEDASVAFFNPAGISFIPSKLSVAAGGFGVKTSMTFQNPSTLQSYKTDNPISTPIYAAVTYKVLENVSVGFSFTTPYGSHLVWDKNWEGREVVQELNLRSFFFQPMISVKLAPWMSVGVSYIHATGKLDWTKSATLVNGEANLKDEKAKGDGFGLGFYFRPDEKLDISVAYRSAVDMKASNGTVQLSNVSPALYPALGLSSSGSDSFKAMLPLVDEYTIGLTYKVTPRWSVSGDFNYYGWDRYSKLNINFANAKLGNDPSDMRVYSAPKNFKNTKSYRVGTQYWFTDMIAGRLGYYYDESPYNDNQFSPETPSMNNNVLTGGIGLKFGKLGVDLAASYNFYKSRTVSNDFYNMNGQIKGSAFIFGLGLSYNAF", "MKKYILTSLSVASFLALSSCKTDFDQNVSSIKPTNGDADFSNYVSLGNSLTSGFRDNALYLDGQKESYPSIIAAQMKLAGGGEFKQPLMPNNTGGFTDLKDDKGNIIYPGKLNLQVVSGTLSPIPSAPAAALDNITSGGPYNNMGVPGAKSYHLLADSYGNADFAKAGKANPYFARFASSSSTSVIKDAVAKKPTFFSLWIGNNDVLSYATSGGAGKDQKGNINPATYGSNDISDPQVVAGSIEQVVLALKAAGTTKGILANIPDVSTIPFFTTVPAMPITGLTADQVAALNSAQAYGKYNAGLATAKGAGLLSDAEYQQRLIKFTAGATINGAVIVDKDLTNLSALGLPSYRQTTAKDMIILPASTLLKSGSGTQTALEDQYVLTEKEAAKVATAVTAYNAAIAAIAQKYGLAFVDANAKMKELSSQSGIQYNGVKYTATFVTGGAFSLDGVHLTGRGYAVIANEFIKAINKTYKSTLTQVNPNDYSGVKFP", "MIESQPTYLFSTRTSKELAEKIATHYGQNLGAINILNFSDGEFEPILEQSVRGGRVFLIGSTFPPADNLLELLLMIDAAKRASAKNITVVIPYYGLARQDRKDKPRAPIGAKLVANLLTAAGATRIMTMDLHADQIQGFFEIPVDHLYASTIFIDYIQSLDLENLTIASPDMGGAKRAKNYAGHLGAEVVIAYKERKKANVVEEMFLIGDVKDRNVVLIDDMIDTAGTLCKAADILMEKGAKTVRAMATHGVLSGKAYENIEKSQLLEVIVTDTIPVNTELSSKIKVLSCAPLFADVMRSVHEHQSISDKFII", "MKSITIQGTKRESVGKKSTKALRDAELVPCVVYGGTEILNFSTEEKSFKALVYTPEAHTVSIEVDGQVIPAVLQDIQFHPITDKILHVDFYQLSEDKPVIMEVPVRITGRAKGVVRGGVLRQSFRKLKLRALPANLPDEVVVDVTKLNIGNKIYVGDIKTETYTFMHPDNAVIAAVKMSRNAMKAGAMADDDDDEETTEAAEA", "MKKICCLLLCVGFYFSVSAQKKWTLQECVEYAMKNNLKVIQNQYNKQIQDKNLSMAKNSSLPTLGGSISNNANFGQNVTNTGIINRTDNYNNSIGLGANILIYNNGRLEKQVRKANYDIEASIYDLEAVKNDVSLQIAQQYLSVLLNKEIIKINESAVNNAQKAFDKAKMTTDVGTTPLTVQYEAEAALAREKQNLSNARIETERALFNLAQLLQLADYKTFDVEDHRIDTLSAPLTSDESVLSKAYETQPQIKAAESRIKSSETQTLITKAAYWPTITGNAGIGTNYYTSLNQNQYTAPALFKQYKDNFSQQLGLSANIPIFNQGNTKVQVEQAKINEEIAKNSLAQQKQAVKENVQKAAFDVNANYEKYLAAQEAEKSTGLALDFAQKSYDAGKTTIYDLNIARNNYANAQGSVAQAKYNYLFSQKLLNFYAGIPLSL", "MNGKNDNRQIILITGSNGFLGRRLIKEFSGLPYRVIATSLSDNKISDLPKNTIFRKLNIADKEEVNHIFDEYKPSFVINAGAVTNVEACEKDKDFAYAINVDAVLNIAEQAKKYESLVVQLSTDFVFDGQNGPYKESDKRCPVNEYGRTKALSEEKLEESGCDYAILRTILVYGNSDDPDRGNFVLWVKKMLSEGNEIKVVNNHWRMPTFINDLAEACRLVVEKKAKGIFNISGEEEYSIEEFARKIAQFYHLDESLITAISSEDIGQDKNRPVRTGFDLSHTKEQLGYRPKSLTEALVIMENEFAK", "MFIKEYISKDYPAFHLSDSLEEVKAIVQDFGYSHIFVKKNSVFLGNISTELIEESEAKHLNELSHHLERFAMLEDSTSLDSIRLLHTFNANVVPIINQNEKYLGYISCEDVFADFSKYPLFSENGAVLIVETATRNYSMTEIAKIVESNNGKFYGAFIYHMTEETIQIALKISSENLSSIDETFDRYNYIVVHKFYHNEKDDLLKDRFGFLQKYMEF", "MKAAIYTQKRDLDTFLYLSRFISELNKRNVTAVLHKDTAEGLQFSKVFPIFSNKEDLKDQEIDYFFSFGGDGTILNALIFVQDLGIPVVGVNTGRLGFLASFTKEEVFDNIDKVLNKELIITQRSVIKVNGVNIDFPYALNDVTISRKETTSMITVNSYINDEFLNVFWGDGLIISTPTGSTAYSLSCGGPIISPENDNFAITPIAPHNLNVRPLILKDDVKIRLKVESRVPQYSLSLDSRLYHIDTLEEISLEKAPFTLSLVQPDDISFFETIRQKLLWGNDKRN", "MSKMFPAGVATGSMVTDIFQYAKENKFALPAVNVIGSSNINATMETAAKLNAPVIIQFSNGGAIYNAGKGLSNEGQRAAILGAIAGAQHIHTLAEAYGATVILHTDHCAKKLLPWIDGLLDASEAFYKQNGKSLYSSHMLDLSEEPLEENLEISAKYFERMAKMQMTLEVEIGVTGGEEDGVDNSGVDNSLLYTQPEDVAYTYEKLKAISDNFTIAAAFGNVHGVYKPGNVKLTPKILDNSQKYVQEKFGTADKPINFVFHGGSGSTLEEIREAIGYGVIKMNIDTDLQFAYAEGIRDYMNEKIEYLRHQIGNPEGADAPNKKFYDPRVWVRKGEETFSKRLVQAFEDLNNIDTLK", "MAFDWFKRKKQNINTPTEQKKDSPKGLWHQTPTGKIIEHEELKNNNFVSPEDGFHVRIGSREYFDMLFDGSKFKELDANVESVDILNFKDTKAYTDRLKEVKSKTKLTDSVRNAVGKVNGLELVVSCMDFSFIGGSLGSVMGEKISRAIDYAMKHKLPFMIICQSGGARMQEAAYSLMQLAKVQAKLAQLSDKGLPYVAYLTDPTFGGITASFAMTADIIMAEPGALIGFAGPRVIRETIGKDLPEGFQTSEFLLEKGFVDFIVRRNEIKDTVSRSMRLLMNVNA", "LLKLSLSNPIFSILSFVATVRAYLIAKKYFPRTNSTDGKGNAFRHALWCCLILMYCCKVSSPKKSISWCKKVTDFHEELFPNEPLQTKMDLHNNAIGIQFFESLLPGVHRQFFETSFFINGLIEKTHNAVFISNMDEDAGDQLMYIQL", "MRSIPYIIKRTIFLIKERGIVNSYKFMYSRYGYFLKYGIRLSKVYTPDELNLPNMYAYKYEPIMHYSFNRMLDEIDWNWNESIFIDIGCGKGAAILLATRYNFKKYIGVELSPLLAEECHKNIQKFTRKKKISYIIYNRDATTYEIRDDINVFYFFNPFGPPVLKKVLNNIELSLKRNPRKIIILYFNAMDLPIMLDRGYNIIYAEKTDPVARYRYGNFALTNESVD", "MALSRIWSAFIIVAIAVASIKYLSSNDYKSVYNDMIVGKSGDTIQIGKKNLTLLSPIIRDSIAKNPNYQEDRIHYSKKEGSEDVKIYRIQSSDGVISTSKTAVDICIGLIGIMTLFMGFMSIAEKAGGINFLSRLIQPFFSKLFPEIPKGHPSFGHMMLNFSANLLGLDNAATPFGLKAMESLQTLNPNKDRASNAQIMFLCLHASGLTLIPVSIIAIRASMKSATPTDIFLPCMIATFFATMAAMVIVSFKQKINLLQPVVLAYLGGISAIIALLVMFLVRLNKEELDDFSKLLSNGIILLIFLLIVLGGIYKKINIFDAFIEGAKEGFYTCVKIIPYLVGILIAISLLRTSGVFDIIIDGMKYLANLSHLDTRFVDGLPTALIKPLSGSGARGMMVDTMQTFGPDSFQGRLSAILQGSSDTTFYVIAVYFGAVSIRDTRYTVGAMLLADLVGVITSILLAYMFFG", "MIQDKDFTIRLIRQLSQALEKLLLGKPEESLMQKELDFDSLMRDIFKMNFQDVSSKTSEELIQLVEERETKDHPDYYEMLGNLFYFHYQQENNTEYAQKAKAMYQNYLQTSGIFALPIINRINSIQ", "MRISPIFAKRNSMVNSRARETTEAIERIYVSMRHLFYRGFFKPGGISGEALRKLLMIIQPEIYGSMGNPNKVELNGLLYVLDRLPEGIEECAFIHLTSDEGFEKGSFDPIVPKKRRRNCYRIDEHQMNIEVLLGRSEIYDILTHLTFLYLEADKIRDIGFDMNEGGRPKRVWKIIEEVALGEKKFSRKEKEVALVHLSALLGRPFDETLEAYNNFGDDDNPDRLFKIIYWLGQISLEDWKESREREIYFSSILQERVGHHFFGEKWANNVKRVLVENNLHERPLHIISANMHSVQNMLFANDALNKKVTKEVDYLLYQKISNTKELRDKISDYAQSKSVIYIDDDSGSNIDVQIIDLAKTDLKNSPLGHYKYSGDDVIMVFDYAFGEQAFEVMDELLRPFETNNITFKMNVKSVSVMGKAGILTGGKGDIMIPTSHIFEGTADNYVFKNALSKDDFTDNELKAFEGSMITVLGTSLQNKDILSYFMTTSWKAVGLEMEGAHYQKAIQIASKIRNHIEEDLFVIYAYYASDNPLETGSTLSSGGLGLTGVKPTYLITLRILEKIIEKASQKKIK", "MAKQEDVFKKVISHAKEYGFIFPSSEIYDGLSAIYDYGQNGAELKNNIKQYWWKAMVQLNENIVGIDSAIFMHPTIWKASGHVDAFNDPLIDNKDSKKRFRADVLVEDYCAKLEDKAQKEIDKAAKRFGEAFDKAQFEATNPRVIEYREKQKTILSRLAKSLENEDLADVKSLIEELEIADPDTGSKNWTEVRQFNLMFGTKLGATTETAMDLYLRPETAQGIFVNYLNVQKTSRHKLPFGIAQIGKAFRNEIVARQFIFRMREFEQMEMQFFVPPGTELEYYEKWKQTRLKWHLALGLGEENYKFHDHEKLAHYANAAADIEFKFPFGFKELEGIHSRTDFDLSAHEKFSGKKLQYFDPERNESYVPYVVETSVGLDRLFLSILSHCLKDEVLEDGSERTVLSLPPALAPVKAAILPLMKKDGLGEYAEKIFNDLKFDFNLIYEDKDSIGKRYRRNDALGTPFCITIDHDSLNDHTVTLRDRDTMQQERVPVSELRRIIDDKVNFRNLLSKI", "MPDIIRLLPDHVANQIAAGEVVQRPASIVKELLENAIDAGATSIELIVKDGGRTLVQVVDNGSGMSATDARLAFERHATSKIRTTEDIFRIATKGFRGEALASIAAVAQIDLKTKQAETSYGTHIMIHGGELIEQEPVQSTDGSNFAVKNLFYNVPARRKFLKSDNVEIRHIMDEFQRVALAHPGLEFSLYNNDSEVFRLRKSTELQRIVDIFGRRLHSLLVPIKEETDWVRLSGYVGKPEAARKNRGEQFFFVNGRYFKSPYLSRAVQEAFEGLLQPQYIPSYFLFLEIDPEKIDVNIHPQKTEVKFEDENVIFAMLRSSIKRSLGIYNISPSLDFDREPMHDEMIVSRSPSDRVVIPTIQVDRNYNPFREETTTPKPQEIVSLTEMYQTSVSPSPSKINLFDEDDTEDELMRLPNGFWLYNHNNKTLMLDLGRMHQLVLYERKQKKKTSQTHSQKLLFSHEIYLNEIEKMTYLAVREKLIEIGFDMNLDASEHILHVHAVPEDLSDKDVIPLLQKIFAEYEDESLDFFEFFESKQVKLQSKSNFDFHQKEDVESLIQDFSALGFPEYNHNKKKCYIELPIEELKNKLKM", "MQRYIPPITKTLLIVNVLLFAATYLIKSLGVNLEVVLGAFFPGSPNFQFYQVITHMFMHGGFAHILFNMIALWSFGSAIEMTLGPKKYAIFYFVCGIGAYVLFNVVNYIQVDSLINSLVAQGTDIEQLFHLSKLDINGNLINDTTNATFRGNPEEVKELFGFLITPMVGASGAIYGLLVAFAMLYPDAKIMMLIPPIPIKAKYLMPFLIIVEFFLGVRDSQGDNVAHFAHLGGAVIAFILIKIWTKNRFRIDRR", "MKVIRLILTLLHLVLFFALAAMLLNSFIPPKVFKWFNFVPLVFPPLIIAYIVLTIVWIATWKKRAIFFLIGLLFFFNPIRRWVNYSPGSAAGNLKVITYNIHGGQDLPALKSFLEAEDPDVIFFQEKGYHNKESLSISGFKHVVEERVVSIYSKYPVKNQGEIINDGSNGHSLYADISINGKTIRFINVYLEPFYLKKDMLRPTGDNKINEEKAKILGSRMAESFRIHQDQVAAVREFAQNSPYPVILGGDFNSVPSSYEYYHLSKNLNDAFMDAGSGSATSFHDYKFPIRIDYLFSSPAIKATSYTVDRDLKISDHFPVISSFKVK", "MKKTILGVAVFSALALTSCKKETTSTETKSTDSGTVTTTTTTETTVDTTKVKQEAQKAEEKVENAANKTGDAIKDAANKTGDAVKDAANKTGDAVKDAAHKTGDAVDKAGKDIKQGAQKAGDKIDRAATAAKEELKK", "MIENYLLWGIGIAFGVMLLVMLGQRIRIAYPIFLTVAGLLISFIPGIPTFQVDPSIVFLIFLPPILFEAAWNTSWKDFLRFRRPILGLAFGLVFLTSIVVAYLSSNMIPGITVAMGFLLGGINSPPDAVAATSILKHLKIPKRTLSILEGESLINDASSLIVMKFALAAILTGQFVMQEAIGNFFVMAIMGALVGLVIAFLLMLIFRILPTTSDIDTIFTLITPYIMYIVAEHFHYSGVLAVVTGGLVMSYNSNRFQTHTTRIQAVNVWSTIIFLMNAFIFILIGLQMPAIIDEMSPEAIKSGLGYAVIIGGAIVLTRFVWTFTWTYLPPLLFKSIRKKDKHLDWREPFILSLAAMRGVVSLAGALAIPLALPSGEAFPHRDMIIFVTFIIILLTLVGQGLLLPIILKYIDIKEVGATMSEEEQETRILWKLKRIALHTLDKNYSEEVKKYSLVNNRKMQLQADLELLENRLKCINNSFHGDAVKSVTEIRREIIKEQRKLLSELRRMDEFDDSVLRKQELSLDLDEAKITGFQH", "MFDIQEIRSQFPILQEKVNGKDLVYLDNAATSQKPKMVLDAINNYYEHYNANVHRGIHTLSQVATEMMEDARKKVQRFINAKHDYEVLFTKGTTEGINLVAYAMTDLIKKDDEIIISYLEHHSNIVPWQMLCQRTGAKLRVIPMNEDGTLQIDVLDEWLSEKTKLVSVNQVSNALGIVNPIDEIIRKVRAKSNAFIFIDGAQAAPHFEIDVQTMDCDFFAFSGHKMYGPTGTGILYGKASVLEQLNPFHGGGEMIDHCTFEKTTYAGLPFRFEAGTPNIAGNIVIGTAVDFMEKVGRSNIAAHENALLEYAQRKLLEIEGLKVYGEKANRAGVVSFNLSGIGIASDVGMILDKLGIAVRTGHHCTQPIMEYFGVAGTVRASFAVYNTFEEIDILTEGVKKAQKMLA", "MDLDQINFNIKAYKEHNQILDAANFVVHQFGLENKDFEGFGFREEIELNSILLTTEGEFGEAQRIIIPRNLFDFDLALVMNVIAHEMVHVSQRAAKDFVEDKNEREWQAYYEMLFHKRFPQLPELSDFHKKFFADKAYIYYGRMGEGSELQQKYADEKAEVDAYIMALTEQKN", "MILTTTNTIEGHPVKEYKGIVTGETIIGANALKDFMAGLTDFFGGRSTTYEKVLIEGKDTALRELQERAAQMGANAVIGIDLDYETVGPNGGMLMVTASGTAVVI", "MLQEQVLQQHEAFLETLKHRFLDDKRKAALAKFVEIGFPKKKNEEYKYTNLAEIVNKEYNFFPKREHNVSKKDLDALHIGEENFDYIVFINGELRKEFSKISIENVEFLSFNYALNDEKHKEVFEKYFNTITHEDNPFADLNLAYCKYGFFLHVPKNTVIEKPIHVFYLSQNQEENTFYNTRNLLIAEAGSKVEVIESHHNFDDTFTFTNSVTEIFVYPNAKADWHKLQNDSDTSYLVDNTYARQEKDSLATVNTFSFGGKLVRNNLDFIHNGENINSFMNGITIINNDQLVDHHTAVHHNFPNCESYQNYKGVYNGKSHGVFNGKVFVDKIAQKTNAYQQNNNVLLSEGATIDTKPQLEIFADDVKCSHGCTVGQLDDEALFYLRARGISKNEARALLLYAFAHDAMQNIDIEPLKLKVSKLLAEKLEVDIEF", "MLNIKNLHAGIEEREILKGINLEIKPGEVHAIMGPNGAGKSTLSSVIAGKEEYEVTEGEILFEGEEILEDAPEERAHKGIFLSFQYPVEIPGVSVTNFIKAAMNETRKAKGLEEMPAKEMLALIREKSELLEIKKDFLSRSLNEGFSGGEKKRNEIFQMMMLDPKLAILDETDSGLDIDALRIVADGVNKFRNEGNAVLVITHYQRLLNYIQPDFVHVLADGKIVKTGDKTLALELEEKGYDWLI", "MSKYTEDDLRVDLENKKYEFGWETKIDYEDFPIGLNEDIIRAISAKKEEPEWMTEWRLESYRIWLKMEEPDWANIKYEKPDFQAIRYYAAPKVKPELASLDEVDPELLKTFEKLGISIDEQKRLTGVAVDIVMDSVSVKTTFQETLAEKGIIFCSISEAIKNHPDLVKQYIGKVVPRGDNFYAALNSAVFSDGSFCYIPKGVRCPMELSTYFRINQAGTGQFERTLLIADEGSYVSYLEGCTAPSRDENQLHAAVVELIAMDDAEIKYSTVQNWYPGDESGKGGVFNFVTKRGLCEKRAKISWTQVETGSAVTWKYPSCILKGDYSVGEFYSIAVTNNHQYADTGTKMIHIGKNTKSTIISKGISAGKSNNSYRGQVKVMPSAKGARNFSQCDSLLMGNECGAHTFPYIEIKDPTAQLEHEATTSKIGEDQIFYCNQRGIDTERAIALIVNGFSKEVLNKLPMEFAIEAQKLLEISLEGSVG", "MIKVSDQAKLKAVQLMTEDGFDPTQDYIRVGVKSGGCSGLEYVLNFDKEKHDIDQVFEDNGIKIIVDKKSFLYLVGTTLEYSGGLNGKGFIFNNPNASRTCGCGESFSL", "MILCRFNDVYCKKLKPSILVIDKYMMDGFEFGIICLNFAI", "MKIDSTQRDQVKSELANLDIDKTNSVFYAAKAILRDSIMDSNKKSQIGYISKEQSNMMTSNIRYIINKKHKNQEINYISSIGPDTFSYIEIKPFNWKISTEVKKIGSYNTQKATTQYGGRDWEIWFTTEVPFQDGPYKFCGLPGLIVKAEDSKGDYQFELVEARKISDIYKAPSPSKQIVKVKKEEYNKVYKRFIEDPVAFLPPPPVNANGTTVNPNTNATKVFKDKVTSEIRHYNNPIELN", "MKAFKLLPIFIVSLAFSQSTRFVYQVSMKPDATNKGDIKTEQANLDVTPQGSIFYSAKTIQRDSIMDRMRQTRNFDRTQMQDLRSAINYIIEKDYPKQNITLKNRIGRDQYSYSEIQPFQWKILNETAKIGEYNTQKAETQYGGRTWYAWFTTEVPFQDGPYKFSGLPGLIVKAEDSNNDYSFDLMQTKKIAEPYTIQNRGGQIITVKKADYLKMEKRFQDDPGSFFTNNSTGGGNGRRMNMDPQRMKQMQDRFKEEQKKNNNPIELN", "MKKLIISLISVYVVSTSFFVNDTNFEESKSLVKKDVAVTVKKTETKHEAVSSSSVLYEAIDFTGFNKLSETVFEKAYLGYVNLKKAGKVPENSNFLTICDFSLSSNLKRLWVIDVKEKKIVFNSLVAHGKGTGEEFAEKFSNRESSHQSSLGFYTTENTYEGDNGYSLKLEGLDTGYNDAAYKRAIVMHGADYVNEAFALQHKRIGRSWGCPAVPRDIAVSLINTIKEKNILFIYYPDQNYLASSQWLKAPVEEFNMEKASLANN", "MNTETSKNNPYYSRTDKTKLNVSNEEWKKILSPDLYAVAREAATERPFTGKYNETDELGEYYCAVCGNHLFRSSSKFASTCGWPSFFQSEKDAVKYKRDSSHGMERIEVVCGRCDSHLGHVFNDGPEPTGVRYCMNSVSLDFVPDSEFQR", "MTESQKRKQLFKYKALATGLFLLMACIFITTTVLQKQYTSHWIGYIHAFSEAAMVGALADWFAVTALFHYPMGIKIPHTNLIENSKQKIGDNLGNFVVENFASAENIRPYIEKIKVTGFLSEWLLKKKNQEILVKEIAVLLKNAISQFQDPDIISFIAGKIKEAGENLKINHILSNGIFYLLEKGEQQTIITFMSSKIKNYILENDELIRDKVHQNSYSLVPKFVDNKIANKITTGLASFFEDIENNPDHKVRNEISDSIYQFANEIKTSDRWENELGKIKASILNEDKLNDYATDIWHSLKKTLMSELDNDHSALKQYAQKNIRQFAEDLNKNESQQNKIDQWIRFNAYRLILKNTGKVSELISTTVGNWEGKELSRKLEVEVGKDLQFIRINGTIVGGLVGLIIYTLAAFI", "MKKRSIMMVLLAASIGLYAQEGKAKDSTKTANIQEVVITSLGIKRQARSLTYSSQQIGGDDLTEVKTPNLLNSINGKVSNVQINRASGGAGGSVRVIMRGDKSTRNSSPLLVIDGIPVTNSIGGGPGAPTADAYNGGTDSGDIFSTINPEDIQSINFLKGASAAALYGSQGSNGAILVTTKKGVAGRSNISFSSSLTFDKAYSLPKLQHNYLQTTPYDPSTGSAGSTGSWGKEGSSKDYIKDFLRTGTTWTNSITFSAGTQKSTNFFSLANTTNKGIVPNSKFDQYNINFRNSSKFFDDKLTLDANLMGSIQKVENRIAPGLYFNPLFQLYTLPRGVDFDQYKDYEYLDRTRYIQDQSWFDKTGSTRQYSQNPYWILNRNKVTSNNKNLYTSVSLSYAINDWLTAKLRGNYTYFNSETSRNLYANTAKLLANPNGKLYYDQLETTALYGDFLLIGSPHISDNISFDFTVGTSVNDKKDKITAIQNNNLKNANYFELNNLTWTPTDTYRIYYPHGKTTSVFASTTFGYKKLAYIDLTFRNDWSSTLFGSSQNAFDYESIGGNLIMSDILQMPKAITFWKLRASYATVGNALEPTFANPQPTFNNGVFGNSANLPDLQRYPELTPKPELNRTFEVGTEFRMFNNRLNFDFTYYNSNVSNQYLTKVEAAPGLSKQSGTLIDMNAGKIQNTGFESSLSYDIFKTSSFNWTATLNASANKNKIKELLPANFYDASKSLFTLAGGNYNKLKVGGSFGDLYGKGFMRNAEGKIVVNKDGVPLTNTDNNIYLGNPNPKFIIGLNNSFTYKNINLSFLIDGKFGGHVLSLAEAAYDYAGVSQRSADARKNGGVSIPNAVYEDGTAYTGKTDAKKYYEGIGGQDAPNIDEAYIYKATAIRLRQISLSYTFKMNSKYMQDATVSFIGSNLFFFYKKAPFDPEQVSGVNPGGVGVDVYGMPITRSLGLSVKLNF", "MRLNILKTYLPLLALIGVSSCTSDFKDINSEYANVTDGVLQADFTGLVNRLNQAQRNIIYQTDYMYQLQNNLNSDFYSGYFSTATGGWNWNNNYFMNSGWNEWIMKNQLEEAMQRYVDFEETQKKLYPNVDFKGSYAVFNIIKVLSSAVVSDKHGPVIYSKFGKSNPDYSVDYDSQQDAYKYFIQDLSKDIEALQSNLGIEDKTVIQKSDAVFNGSPLSWAKFANSLKLRLAMRMSYADPAASRKYAEEALNSPVGLIDNNSQNALARYGAISPVYVVIFNYGDSKSGASLTSYLNGYNDPRITSYITKATDPSVKDQYAGVRLGIDLGGSKDRYGNFSNNIAQSAYGDYFSQSNGQAKLFTAAESWFLKAEAAIRGYAGAGDAKTNYEKGVQTSLDEWGKSGAYSTYINDATSTQQPYTDPKNATNSVAVGDPTLSTITIKWNDADSFERKLERIITQKWIALYPDGSEAWAEQRRTGYPKILPNIINNSQGTVSTKDFIRRIPIPLKYRENNGPGYQRAAATLGGPDTGGTKLWWDKK", "MKNLKAISLSRRQLKNISGGTQKTCADVPCRPATCNFNNSYDGYVACFINYTKVNGSVGFGPLGCWYEFCPGS", "MVRNFLSIALMLVFGLGLAQKGPYYQQKAKYKMDIDVDATKFTYLGKQSIQYTNNSPDELKVIYMHLYWNAFKSGSMMDERVRNQGKNSDKRLQKDGVSRLASIPADQEGRQNIHWIKQNGKDLKFEIQETVMKVILNEPLKPNSTTSLSMEWDANIPMQIRRSGRNNSEGVDMTMTQWYPKISEYDYDGWAAFDYIGREFHAPFSDFDVTIKIDKNYVLGAGGNLENPTEVKGYTAASNVKADQDGKATWKWTAKNILDFAWAADRDYSVDEFTVLGGPKVYFVYQKSEKTKYWEEAKPYVTKYFQIMNAQFGQYAWPSYSFIQGGDGGMEYGMCTMILGEAKSVKDLCGLMFHEGSHSWYQQMLATNESVRPWMDEGFTSYAEAYVSNQLFPPAKPQANPFVSSINNYIKFVKTGKEEPASWLGDHHDDGTAYTFASYVKGELFLVELGYIMGEQNLSKTMKKYYDDWSMKHPTDRDFIHIAQKISGMDLKWFQNYWINTTKTIDYGIKSVKYGDTSTTITLTNNGTLPMPIDFNVLTKDKKVLTYHIPLNMMRTAKTTDIYGPITVMPFWNWTMKDYELTIPYSKAQLQAIGIDFSQRLADVNPADNIIEVK", "MKSIVINIGNTNIRFGLFNGDNCEISWVINTKPYRTSDELFIQFLTLYQYNKIDIDNIEKIIIGSVVPQLTYDISRAVHKIHNMKPVLVDRSTPSGVQAKSKQMGTDIYANLVAAHNLYPDRKKIILDFGTALTASCVAETGETLGVIIAPGIITSLNSLINQTAQLPEIELKKPKSVLGLDTVTCMQSGMVYGFLGMVEGFVDRINNEVNDNCFVIATGGVSHVYKPLTEKIHVADRLHTLKGLYYLGKDL", "MEVPLKIETERLILSPLKESDIPLITEYLQEKIISDNTSNIPYPYSESDARTWIKMSDDALTAKTGYTFAIREKEGEIIGVIGLHDRNGDKAELGYWIAVPFWNKGYATEAAAAILSFGIKELKFHKIYATHFIHNPASGRIMEKIGMHKEAVLKHHIKKEGHYLDIQMYSIII", "MFTDEYFMKMAFQEAQAALEKDEVPVGCIVVYNERIIARAHNLTELLNDVTAHAEMQAITAAANMLGGKYLIDCTMYVTLEPCVMCAGALAWSQLSRIVIGARDEKRGFINKGLSLHPKTEIVSGILENECSALIKDFFKSKR", "MKRSLYYFCFLLFSVFCFSQQTEEFREVKNFYDQHQLKVNEEFKRRLGLVRNFEEKIKLESEYGFFIKKLDSVRNQAYLGVLIKTKNLEQLAKLNKEVPQKKEVIVEKNPDHAAEYPGGIDKLREIVSNSFYFDADVDRNAVLKSIVTFVVERDGSIAQVKSEGDNIVFNRQAEICMYLVPDKFIPGTLHGVAVRSRFTFPITMKID", "MAAKLNTYQTSQFTVLSISFEKADAVTRGRFAFFDDHIKSFVNHIHDREMGDAFVVSTCNRTEIYTTTHNYMFVAQLFCETVGVQLMDFMQFVTVKKGTDALDHLFRVAAGLESQIIGDFEIISQIKNAYNRFKEYKLHSNAYLERAINSSIQISKRIKNETGISNGAASVSYAAVHYILKTQKQLPEKNILLLGVGEIGQNTIENLVKHIYKPKVKIANRTAEKAEKIAEKYHIPHINFEDFPKELEQTDILIVATGASKPILYPENMPKKDILVIDLSIPNNVDKTVADVDGVELIDVDALSVQIQETMEQRKKEIPKAETIIKEMSKDFIEWEKKRKMAPHIHVFKNNLKRIEQNEIHKVARKNGEVDEEDLHLTRKMIQKITNRFAKYIIENPHRSEEVTKLLDDILNLQPKEDSYEDH", "MKTIKIGTRNSPLAMWQAERVASQLQTLGHKTELVPVVSTGDKNLQQPLYALGITGVFTKDLDIALLNKEIDIAVHSLKDVPTALPTGVIISAVLERDFPEDVLIRNPKAKDKDISELSVATSSLRRKAFWLNQYPNTHFSDIRGNVQTRLKKLEDGLADATLLSLAGIKRMEMDIEYEYLPFLLQAPSQGVVCCASLVENEELTSILAQINHEETYKCTKVERDFLRHLEGGCTAPIGARATISGNSITFEGRIASLDGSKEIDLKEIAEWNDDLGVRFAQQVLDNGGKAIMEEIKTQL", "MAIRILFTKELSKEYIQQQLDDYFDPSFLPVIGIRYTEDQIDIKDYHHFIFTSIQGVKAIKEKIIFPENSKFYTVGEKSRKYLEKMGFFVEIIAKNALELSDKIQELNPIKIMHFCSSKALSTLKNQLSKAGFDFAEKIVYETIPLYPEWNTPTDAIVFFSPSGVESFMKNNSVKNERLFAIGETTANYLKSFTDKEIIKSEQESLEDLLQVIKDTYRND", "MIKNDLYLRALKGETVERPPVWMMRQAGRYLPEFIALRDKYDFFTRCQTPELAAEITVQPIRRFPLDAAILFSDILVVPQAMGIDFKMKENVGPWLDNPIRTKEAVEQIIVPDVDDTLSYVFDAITLTLEHLNNEIPLIGFAGSPWTILCYCVEGKGSKAFDIAKSFCFQQPEAAHQLLQKITDTTIAYLKRKVEKGVSAVQVFDSWGGMLSPADYQEFSWKYINQIVEALSPLTEVVVFAKGCWYALEDMAKSKASALGVDWTVTPEIARKLTGGNITLQGNFDPARLHSSPETIRKMVHEMIDRFGKDKYIANLGHGILPNIPVENAEAFIRAVVEYKA", "LEKKPTIYDIAKALNVTTSTVSRALNNSSLISEETRKRVWEYAKKINYRHNKLASSLSSGKSNIVGIIIPNALIQFFSAVINSLEHELQQEGYNVLLYQTNESYSSEVNGIKTLLEAQVDGIIISPSLETYDFSHINKAHQEGIPVIQFDRIDDRLKLPSVSIDDEKAGYMVTKHLIDSGNERIGYISTNSEVQIFRQRFEGYKRALSEAGYPYRKSLVLLDEMSIKGGMYGARKMMESKHKPDAFIGGDDFTALGIIKELSKMNIVPPQISVVGFANQTFSEFITPSLSTIDQQAVNMGKECAKLFLKISKKKGGSNKTEKIVLEPILIERESS", "MLLLGIDLGTSSVKVSVVSAETQETIVSVSYPEQEADIISLQTGWAEQSPDIWWDHTVKAIQKAHSARKYNPQDIKAIGISYQMHGLVVTDKDNNVLRNAIIWCDSRAVEIGERALEEMGRDKILKSHLNSPGNFTAAKLAWIKINEPQLYQRIAKIMLPGDFLTMKLTGEINTTISALSEGIFWDFRENNISSIVLDYFGFDKNLIPEINPVFSNHGILTPEVVNLLQLSCDTVVSYKAGDQPNNALSLNVLNPGEAAATAGTSGVIYGVSNQLVSDPNSRVNTFAHVNYLPEDVKTGILLCINGTGIQNSWIKKILGTNLSYNELNTLGQQAPAGSKGLKVLPFGNGAERMLNNRIVQSHIQYIDFNKHGRAEIIRACQEGIAFSFRYGFDILRENGINSSVIRAGYTNLFLSPLFCSSFVNTTSTPVELFESNGSKGAALGAGIGIHYYSSPKEAFSNINKIHIVEPEHKDLYEDLYQEWKTTLNNIIKQ", "MNYIKEQKEFFNGIGKILYEGTESDNPFAFRWYNPDYIIRGKSMAEHFKFACAYWHSFNANGSDPFGGSTHIFSWDQKSDVIERAKDKMDAAFEFMSKLQIPYYCFHDVDLVDYTDNVVDNEKRLQVITSYAQEKQKETGIKLLWGTANLFSHKRYMNGAATNPDFHVLAHGAAQVKSALDATITLNGQNYVFWGGREGYTSLLNTNMKREQEHLAKFLHLSKDYARKNGFTGTFFIEPKPCEPTKHQYDYDAATVIGFLRQYGLADDFKLNLEVNHATLAGHTFQHELQVAADAGLLGSIDANRGDEQNGWDTDQFPYNINELTESMLIILEAGGLQGGGVNFDAKIRRNSTDTEDLFFAHIGGMDLFARALITADKILGESSYLQFRRERYQSFDTGKGAEFEKGNLFLEDLYHFAAKNGEPEVRSGKQEFLENLINRYI", "MNNILTTKDYIVFFIYFIIVSCYGFWVFYKKKAKTAGGSKDYFLAEGSLTWWAIGASLIASNISAEQFIGMSGSGFKMGLAIATYEWMAAITLIVVAVFFIPVYLKNKIFTMPQFLHKRYNGTVAMIMAVFWLLLYVVVNLTSILYLGALAVSSISGLNITFCMYTIAIFAIIITLGGMKVIGYTDVIQVFFLILGGLATTYLALNLVSDHYGNGNGIFHGYQIMTQKASEHFHMILKPDNESYLDLPGLSVLIGGMWLVNLNYWGCNQYITQRALGADLKTARSGILFAAFLKLLMPVIVVLPGIAAYVLWKDGLFQNEMLYDGEINPDRAYPVLLNLLPAGLKGLSFAALTAAVVASLAGKSNSIATIFSLDVYHKIFDRKASEKKLIRVGKITIIISMILAVIIAPHLGIDKKGGFQYIQEYTGFVSPGIFAMFILGFFWKKTTSTAALFATIGGFFFSVSLKFLPGYTDLSFLSKYKFAILNKASGLYEIPFLDRMAIVFIICVIGMFLISKTENARGVIPKGLEVDSKMFRVHPGFFIGALIITLITTALYMIYW", "MNKFLKLFILLFIPCSLAAQAVKKPSKPNIIFILVDDLGYGDIGILNQNQRKKEGKPYISTPFLDKLATQGAILTQSYSNAPVCAPSRASLLTGRTQGHSEVRDNQFDKALEDNYTIGNTLQKIGYTTIAIGKWGLQGTDANWSSHPLKRGFDEYYGYIRHSDGHEHYPKEGKYRGKKEVYDNYSNVAEDLDKSYTGDLWTARAKYWITQHNQNQKDKPFFMYLAYDTPHAVIELPTQEYPKGKGLHGGLQWLGKKGQMINTASGEIDSWYAPEYANATYKTNDGTEKPWTDVNKRYATVIQRLDAQVGDLLQLLKDLKIDQNTLVVFSSDNGPSQESYLKESYTPEFFDGYGPFDGIKRDLWEGGERMPVFVQWSSKIAPGQKIDLPNMLSDWMPTFLDAAGATAPQRADGISILPILTGMAKQQKDPIVYAEYFEEGHTPNYTDFETQRRKRTRRQMQLIRFGKYSGVRYNIKDAQDNFEIYNVVTDPKQKTDLAASMPTLQQNMKDFVLQIRRPDSSAVRPYDKAYIPGISNKSAKKGIPWHSYQTKSPWIPDTNNLKPLNNGTLTTPIIINKSIKGNVITIKGVLNIPQNANYTFYLKAFGKAFLRIHKTALIDADYNYNSGTEKRADILLKAGLHPFTLTYKKPEKDIDAKGFIFEWSSENFNRKAFTPSDFY", "MKIVVGLSGGVDSSVAAYLLKQQGHDVVGLFMRNWNDASVTLEDECPWIEDSNDALMVAQKLGIPFQVIDMSELYKERIVDYMFEEYEKGRTPNPDVLCNREVKFDAFMDVALSLGAEKVATGHYAQLSSVEKDGETIYRLLAGNDNNKDQSYFLCQLSQDQLSKALFPIGHLTKPQVREIAKEIGLVTADKKDSQGLCFIGKVSLPEFLKQQLQPKEGEIVEIFRDFPGFNQPQPEFSSKQEELEYLSSKIKYQKSDGKVIGKHQGAQYYTIGQSKGLGIGGHKESCFVISRDMENNILFVGESHSFPGLYKKALKINNDEVKWIRKDLQLQNGESREVMARIRYRQPLQKATIYQFEDAFYIEFEEPQSAIAEGQFAAWYDGEETLGSGVIS", "MKPRILAITGSLRSDSSNQKIVKKLEELLHPDFTFSYFNGLTNLPYFIPDQAFENTPEKVRLFREEIQYADIVLICTPEYIFSIPGLLKNALEWFVATDIFNQKPVVLITASASGEKGQKELHLIMKTLGAKFSEESTLLIPGVKGRFNTDGQLTDPDTIKVLQKLSENIRSML", "MKKLFYTTFLASTILSSCSGTFGIRGVYAQLWNIDAHKKYSLSSNSSRNHNFDLINTSTEDSSFKIYTPDRKLYQTIRKGEKAHFKDTSFNGIIIENMTSVNGNIQFYVYIQEKGYLTAPKVKLSEVNK", "MDTNKEKWVLLMVLTLIWGSSFILIKKSLQHFNPFEVGAMRILIASLILMPLAIKHLKSFPIKQLKWVVVVALCGNFFPMFLFPIAETRISSSIAGIINSMLPIFVIIVGPLLWGINSTKKQMIGVAISFVGACILMLASKNEGGSIEIFPILLLLLATVFYAINVTTVKAKLGSIPAKMLSAYVFSLVLFLPSLVSLAFAGFFTNFKADANFWEGLGFVSLLSVFGTGLAMMLNYRLVNISTPLFSSSVTLLMPIVAVGWGIIDGETLNMIQVAGALLIISGLIFLRTGK", "MYQLDPHDISFPDPALYNPEEGLMAFGGDLSVERIWFAYQLGIFPWFNEGEEILWWCPDPRFVLFPNEIRISKSMRKVFRDETFSFTENQCFTEVIRNCKDIYREDQDGTWITNEMEDAYIELHRLGKAKSIEVWQDEELVGGLYGLDLGNVFCGESMFAKVSNASKAGFIYFAQKYQGTYDVIDCQVYTAHLESLGARMIDKLDYLNFLK", "MELIGTVKKLFDVQTFNSGFQKRELILLTQEQYPQPISIEFLSDKIDLLNTISEGEQVKIGINIRGREWQAPDGQIRYFNSITGWRVEKATGDFNEPVQAAPMQANQSTASQDTNVFGNDDDDDLPF", "MRITSIDHIVLTVADIEKTVQFYTEVLGFELVTFGDNRKALRFGNQKINLHQKGHEFEPKALYPTSGSADICFITETNVEDILKELRAKNIQITEGIVERTGALGKIRSVYLRDPDSNLIELSNYLR", "MRTIKLIYFLFTLLVVTSCSRDDDSPSGNNGVATRTVMVYMAANNSLASDAYTNLNQMEEGFTGIDGKLIVYARIFGQEPKIYEIVHDTSPDIKSKVLKKYGDHNSSDPAVMKMIFEDMKSLAPANSYGAILWSHATNWVPANFGQLKTRSFGDDNSKSMDVQQLKSALPANLDFLIFDACSMASVEVLYELRNAAPYILASPTEVLSVGMPYHQIGRLLYTPDVKTGLTETAKAYVEYYRQKSGLEQSATFSVVDTRQLPLLAQETKKLLQNNPDVIAKIGRNGVQRLDLDPNTPVYAYDYADMLDKNFPQEQLVSVKSALSKAVVYKANTPNFLGKPINAFSGISCYIPVENEAYIAPFYRTLAWSQDSAYGMLLRW", "MIKTKSYKAALVFLIFLLQSNLMTAQQKHYQVYTAAFYNLENLFDTEADSAISDTEFTPAGSNRWTTEKYSKKQANMAKVISRLGKKYSQTGPAFIGLCELENRRVLEDLVAQPALASAGYGIVHYDSPDRRGVDVALLYNPALFKVKNSKTFAYHIKDIPEYRTRDILLVKGELAGEDLHVLVNHWPSRYGGKSSELREHAATIVRKVVDSLYAVDDKAKVVIMGDLNDDPTDKSVRVVLGAKKYQNEVEKGGLFNTMWQHYDRGIGSLGYQGKWNLFDQIIVSEPLLGEDKTSLKFWKSEIFNPEFLITQEGRYKGYPFRTFSGNVFQNGFSDHFPTLIYLVKELK", "MKTIKYLFFAICMLVLHVSCERDYTPPPLNEAKYTGPLDNISIAQLKQRFANITTPQLIEDKLVIKGIVTGNDESGNIYKQIFVQDASGGIYLGVDQNSIYASYQVGQEVYIQLKDLFMVKYGGELQIGMGSTNANRISWEMFKAKAFRNSWPNVANATPQVVELNKLTSDMVYKLVEIRGVYFVKGGKNAFTTGDAITSEQVKDASGTTLDVRTSNFSDFAKDILPVGKGTLVGMLGRYNGTWQLTLRTKADVKNFDGKPIEPEKPQTGSFFKETFGTGTYPSGNRPKINEFKDFDMKAPVVYTDDSGVADIRSVSGDNGAHIWLPATKDVIIKVTGINTQNKGDVSLSFQLAANLFDAGSAANINNIQLKVNGVVVALPNQPLTNAGGDNSKFYTVTIPGIAQTANLTLEFISAADSNKVGFRLDNIELTGGSSNGGNPGGPIIVTPTK", "MRKKLYVLSLLPICVQMVYAQQKAQLSGTLVDEKSKTPIIGAVVSLEKSKQRTTTGSDGGFVFVNLDAGEDQLVVNSAGIRPYRTTITINTGESKKLPVISLVQENQIDVQSILGVINDDVLRDDEDVNGQDIRSTVIMSNDLYLNKAGFKLSPFRFRVRGYEPLFEQTYVNGVVANDQYRRVFNYASIGALNDLTRNGDAVNYNNGGSYTFGAIGGAENINMRASSYAKGGKVTGSYTNRNYYARTMFSYSTGLMDNGWAFTGAIGGRYSDEGFIDGTSYQNISYALSIEKQWAKGAHSLSLVTYGSPVVRGQQGASFQEAYDLTDNNLYNPNWGYQDGKVRNSRMVTAYDPTAVLSHIWKIDEKTSLTSGALVHYGRYAGSALNWYNAMDPRPDYYRYLPSYFSMDASKTDTTTFDYYQNLWRSKDPNVTQVNWAEMYRQNMDPENRRKYNGAALYMVEKRHSDLLEGTISSTFNKLYDNNMRLTAGVEARRSRSYQYKTVADLLGADYVLDRDKFAERDFPGNNDTKQNDLLYPDRKAYVDDIFGYDFNLDINAVNAWVMNSYQTHALDFFYGAKFTYTDFQRQGNMMNGRFPDTSYGKGAKFSFTDFVFKGGATYKFNGRHFLTANISYGTEAPIPDRAYVMPRVTEQVVKGLSSSKIFAADINYVFSMPRLSGRVSLFQTNFYNQLERMAFYHDSQRTFVYHNLTGVDKVHHGIEAAATYRLNDNWSFDFMGTMAEYYYNNNPVGIMNSENGRVVDAEEKVYMKNLHVSGTPQFAGILSARYFHNYWFFELSANAVARNYISASAMRRLASNYENVNPNDEASYNAYKELTTQERLSDAVTMDASIGKLVYLKNKQALNLSLSVMNLLNNKNIRTGGYEQGRLDVTYPNRFASRYFYMQGINVFFNVSYRF", "MGKNQLLGLSTAFALALLVQSCKNDDYRDQGPGMGGNGKAQFTSSIGGTPATRVTGNTWDSKDAIGVFMKQGTGLSNVLASNKKYTTEGNGNFSGDGSEVINYPDTGSVDFIAYYPYTANLSGTTLPVSVATQTNLSAIDVLYSNNATGLNKASGTANLNFAHKLAKIEFTVKAGNGVADVNGLSVAYKNVNTTASLDLATGTLSGAATPKDVTAKTTAKAPGQFVEAILLPGDYSAKTVVFTLASGTYTWTLPANTTFDVNKKYTFDITLQTSSTGNQVAVTGAGTITDWTNVPGGSVNVDKDGGTDPGTGPGPNPGTGTEQTLFLETFGGSGTISNTPIASFTGWDNQNVTFTDSFGTASVRSAGTVAQAHNIWFPAANGTTVTGAALKIDGINTNGATKLKLTFDMLSNVTGAGKTFDLNAMTFKYNGITYTIPSTISTDNKTYYPITIDLSSATASATGTLEFITGSTNTVGMRVLNIKLVGTK", "MGSLFSDFKKLMKRGWLCMLGGLVLSLNSCSKEYEAVSNNGNTEKGVYFSSSIAGGYNTKAQGTQWSQNDSIGIFMFKNGSTLNESSIINNGFNKSFITSGNGNFSPKKATDRLEFTTGVKADFVAYYPYRNTSGLTLNLDVSDQKDQQFLDFMYAKNTSGSEAGQGPVKLAFDRQMAKLELKIKGTNLNGLKAVFTAMPASAVFNLATGELQPKTDVKDIPAKVSLNASNETIVEWTLFPGAVSTQQKIVFTKADGSTYTWQLAANTTFQKSYRYQYDVTLGKDGVDPVPTVKYMEQPVITAGENIQYNLKMFSPGRRNFSMLYDTNYKLAYWVAYPLSSSYLGSAPRTDAWGYDPSINPIYQANLSRGYPGNGLDRGHQMPSADRTASKAENATTFYYTNMTPQNSTLNQGIWANLEGKIRVWSAQTDTLYVVTGAMVTTKTDKNVDYVMDNSNKQVAKPKYYYKVLAMKQGGSYYTIGFRMDNAAPASSDYMQYTTTVSALEEETGFTFFPALSKDVKGTINTQIWRK", "MFTQEIAIDLGTANTLIIHNNKIVIDQPSIVAIERSTGKPIAVGEQAKHMQGKTHEDIKTVRPLKDGVIADFQASEHMIKEFIKKIPGIKGKLFQPALRIVICIPSGITEVEKRAVRDSAQKVNAKEVRLIYEPMAAAIGVGIDVQKPEGNMIIDIGGGTTEIAVVALGGIVCDKSVKIAGDVFTNDIAYYLRTHHNLYIGERTAERVKIEVGSAVEELDMPIEDIPVQGRDLITGKPKEIMVGYKEIARALDKSIIRIEDAVMETLSLTPPELAADIYKTGIYLAGGGALLRGLSDRIHRKTGLPVFVAEDPLRAVVRGTGIALKNMDKFNFLIK", "MSFLIRLFSKNGFFVFFIVLQIIAVILIFRKNSMQQSFIAAQTSAFNSRISGYIDEGTNYLKLKQINEDLVAQNKALMIELYGKDYSGPAKLTKVNDSVKGEQVYTFVDADVVFNSINRSDNYFTINRGSQQGIAPEMGVISPQGIAGIVINTTSNFALVQSVLSIHKIRINASLKNSGYFGTLTWRGEDSRTMHLSDVPKYVPLKIGDTVVTDGKSSIFPKGIMIGKIAGYDVDPKTGFWDISVELSEKLGQTQKVFIVNNLKKIPAKQIQDTLIKVQNGK", "MVSRNVITDLLMIALLIALQIFLFNRLAISGYIPVVYPIFVMFYPFYRNNYIYLFSGFLLGLGIDAFLGTWGINAFATCLIAYFRTQLFQSSISNEADSFSFQSIQWTQFLFFIFINIFIHQLLVQYLEFFKLSRILEVFINVIFTTIISFVFILLYALVFRIKQKV", "VKPYFRILAFISIIACIFIARLAYLQLFTDRYALNAANTSIKIEYIIPQRGVIFDRNGKIMVGNQPSFEISFTQALMKSDFDTISFCNLVGMNKTQFIQRIEEVKAEKYYSKLTPMTFIKDLGREDIARIQERIFKYPAFSIVSRPQRQYEINTSGNLLGYTNQVNPSYIKRDSTYYLPGDIAGMTGVEKSYEKQLRGVKGMKYIQKDIRLRNIGSYKDGKLDKQVVPGDDLTLTIDYDLQKIAEEMLVNKHGAIVAIDPNNGEILVLASGPDIDPNLFTGPEKNKNLYKLQVDTLYDNKPTFDRSLQAAYPPGSTFKLLTALAGMQMGVMDEKTVYPCGGGFNYRGLRIKGHGGADPLIPAIRVSSNCYFSYAFISIMNKYPGDPTRGVDEWKKIMNSFGVGEFLNNDLAVGSKGRIPSGEFYEKRSGTKNWFSDITRNGAIFNGMGQGDVLLTPLQMANAVAAIANKGWYITPHIVKLVNGKPNPDPRFKVKHKTLVDPKHFDPILKGMEQVVLAGTARSLKSSDFTQLAKTGTAQVPQGKDNSIFVLIAPADKPKIVVAAVMEHAGFGATWAGPAATVIAEKYITGELKREHLYKKMITSSFMPEYKRQWIVDLKRKGLYVEPSKQDSIQKKKKTEDSLKALRISAEQPKPQQQQNKTKN", "MKWAEGIDKLGLGLYFLLCIFAIANINSVDAELGKKQLIFFGISVFVGIIIFFTRNKFFENMASIIYVGGVLLLIGLFPFGKEILGQKNWYKFGSFTMQPVEFAKIGTALMLANYVAGPDFNIKNKKSLWTALAIIAIPAVVVLAIPDVGSLLVFGAFFIALYREGLSGWLFGLGFLFAVVFLVSLAINPLYVVLGILIITGLLIFFNYYKISWNIISIASIVGSVLVLSGLAMASPKILEKLPKHQRERIEVLYKGERMFRDTSGYNLLYSKTAIGSGGLWGKGYKEGSVTQGKFVPEQQTDYIFCTVGEEWGFIGSATLIICYVLYIGRIYYLAEKQKSTFNRVFGYSFASILLLHFCINLGMVMGLFPTVGIPMPYFSYGGSSLLAFSMMTFIFFKLNYADRNSLV", "MKKRISLYLIAIVGAMTLQSCVSNYVVSSPVQYKTDAKLAKLSPKKIAEAKKEIKENVGESVATFASLEKAGMEEALNASVKRNNTIDNVLKQAYTYLGTPYRLGGTTRSGIDCSAFVLSVYEEATGVELPRVAASQAHEGQPIAKENLQKGDLIFFAHSGRGRISHVGIVEEVTSTGEIKFIHASTSRGVMVSSLDDSYWRGKYRSAKRIISEN", "MKKQIILPAIAVLAYFPGYAQSVRDSGNTKNIDDVVIVASRKPMKISDIPGTVWVVPKDKIELQAKNGVPIKEMLSILIPSLDIGPQGRTNYGQNMRGRSALVMIDGVSLNSIRAISRQLDAIDPFNIERIEVLSGASAIYGGNATGGIINIITKTPSKKGLSGETELGVRTGFMGKDDHDYRAAQSIAGKGEKLFGRLGIAYQQNGGVYGADQKQLITDITQTDLQYNQSIDILATGGYKFNSKHKITASLQYYNSKFNGDRSVYLGENLSAFTKRDPKILSMRDGFSSEKNIGTERYMGTLAYTGNNILGGQDLYVQVATRGEKLGFYPFPGNLRLQTGTISYMSSSQQNTYYTGIKVLLSKNWNKLNITYGVDIDFERFDANQSVYNLEKTMSSGGLINTTQYELGRYPTNNSRSYAGYAQVKYDILPKLQLNAGVRYQRINVEVDDFVGATQQVQVAMGYGKSASAIPGGKSDYNMTLANAGLLYKINEQNQVWGTFSQGVSLADPSKYYGAGTYALDQKTGNWNVVSSINVKEQPLQGIKTNQFEVGYRINNNGFKAQVSGFLSNSDKNITVDKQTFQLIVNDLKLRNMGIEAEISYYMPNGVYFGASGLLIKSEVDNKGTWNKQEVYNASPSKMVSYIGYQIKNWNFRYQHLQNFKLKDDFGNVIDAYDTADLMIGYKLPWGRFNLGIQNLFNTDYQTIWSKRSQILYGAYGVPDLFYYKGRGRTFNLSYTFNF", "MKKQKMLWSNTISEQDYFIYISFQDLYPICNLYSEVVAE", "MELFNQIFQFVLSISILVILHELGHFIPAKLFKTKVEKFYLFFDPWFSLVKKKIGETEYGIGWLPFGGYVKIAGMVDESMDTEQLKKPAEPWEFRSKPAWQRLIIMLGGVTVNFFLAWLIYSCLSFFNGETYHDNAKFENGIAVSEEGRKLGLETGDKILKIDGKPAERMETSMINMLFANEATVLRNGKEVTFPVNENGVAEVIKSNEAKAYFSPRFPAVIDSIAPNMGAQKAGLLKGDKIISVNGKPALFFDEVSGEVMANKNKTITIGVERKGEKLEFPVNVDAKGKIGFTPDFKIMMASFEKTSVTKEYGFLQAIPRGFTRTIDVLVMQIKQFKIIFNQKTKGYTKVSGPIGIIKQMPAQIDWVAFWSFTAMFSVWLAFLNLIPIPGLDGGHVLFTLWEMVTGKPVPQKVLENAQMIGVIFLLGLMILIFGSDIYKLIFNR", "MKNLLKSLFAIVFLAIGATQAKAQIYKTSLGLSVDFGDGSTLVGPAVKHFFDGNNAGQAEVVFGNHVTMLQAMYSYNKGISGAKGLNWYLGIGPALGFVKGGDTQFAIRPMAGLEYKINGAPIAFSFDWRPAFWLTDGGDSNVGRFGFGFKFTL", "MKKVLFSIIGMFAIQMASAQAWNGEGDQKIQAGMNVWGKGGFGVKGSYDYGIADAISIGAGVGIYSEGKTVDGNKKTAISVYARANYHLKDVLELPDNFDIYPGITMGVLGDTFDFGAHIGFRYFFTPTIGAFAEVGNRGGIGVVFNLK", "MKNLKILGLQHDIQWKNKDKNFEIIEDLLPKEEKPDIFLLPEMFATGFCMDAEEIADQNNEVLIKMKSLAVDNNIAVGGSVAVKEGGKFYNRFYFIEKNGTLHHYDKRHLFSYAKEDQFYTPGKEKVVVEYLGWKICLQVCYDLRFPVFVRNTENYDLILNVASWPSTRIDAWDTLLKARAIENQCYVFGLNRVGNDGNKLQYNGSSHCFFADGSEIALLKDNLIYAELDKDLLIDFRTKFPFLADQDNFSISI", "MGLILKPIDVVDDISQEDFREKYLKPRKPVVIKNMAKKWPAYQKWTMEYMKEVVGDVTVPLYDSSKADPSAPINSSAAEMKFGDYIDLIQKEPTDLRIFLFDPIKFAPKLLDDYISPKDLMGGFLDKYPNMFFGGKGSVTFLHFDIDMAHIFHTHFNGRKHILLFDYKWKERLYQIPYATYALEDYDIENPDFSKFPALDGVEGIECYLEHGDTLFMPTGWWHWMKYLDGSFSISLRAWDKSWGVKAHSLWNLTVQRKFDNFMKARYKKRYMDWKEKKAVERANIALKKGLPK", "MKLKFLLLSCFSGLVFGQNTYLTPYEKGNGNQSVTYDEMNTFYKDLSKNFKNIQYLQKGEDDNGKPIYVVVFNPFKEKIEKLGKDKTVLMINNGIHPGEPDGIDATMMLMRDLATQKVKAPKNIVIAAIAAYNVSGMLNRGSYSRANQNGPEEYGFRGNARNYDLNRDFIKADTKNARSFQQIYQWLNPDVFIDNHVSNGADYQYTFTYISTHKERLGKSLGDYFFNEFQADNLKQMQTLGYESTPYVNIHGDVPEIGFAAFEDSPRYATGYTSLFNSIGTVVETHMLKPYDKRVDATYKYMLVNLENIDKNYKKIKELRKENLKQYAAGNKYPIRWKVDSAKYSTMDFKGFEAGYKPSGISGQKRLYYDRNKPFTKKIKLFNTYAPTGFVNIPRYYVIPQSEYRVIEELKRNHIKMNILKRDSIATVEAYKINDFKTVNNPYEGHYIHYETVVGKSNKQIKFLAGDYVVPTQQSGVKYILETLEPEALDSFFNWNFFDAMLGQKEYYSAYIFEDTATELIQKDIAIRTAFELKKKIDKDFAKNARAQLDWVYENSPYYEKKTHRQYPIYRIL", "MSRTLVVGDIHGGLKALKQALERANVTDKDKLIFLGDYVDGWSESSQVINALIELSQKQECIFIKGNHDLYCEEWLSFGHKPEMWLLNGGESTMESYADFSDKEIDKHLEFFSQMYNYYTDEQNRLFIHAGYSSMHGPEKEMHSSNYRWDRTLWETAVALDTRIEKSSVQYPKRLLLFSEIFIGHTPTLHLGVSHPLNKANIWNVDTGAAFTGAVSIMDINTKDFWQSEPLPHLYPNEKGRNP", "MNEVEIKRISKFLSLILRHQPQSINLKLDENGWADVQELIIKSTKNRIRFTIEELNEVVEKNNKKRFAFNEDHTKIRASQGHSIAIDLALVSQQPPEFLYHGTARANISSILETGIEKRNRQHVHLSSDKETAVKVGSRHGEPVVLTIRTGKMHNDGILFYQSENGVWLTDYVNTKYISK", "MKTIEIIKGDITKIQADVIVNAANSSLLGGGGVDGAIHRAGGKQILDECIQIRNKQGKCNPGEAVVTTAGNLPAQYVIHTVGPVWNGDKERCERLLGNCYNNALELAGNLGVKTIAFPNISTGIYKFPKDLAAEIAIKIAQNLESETIEKIIFVCFDEENERIYKQLLK", "MKNTNKEIAQETLNIITRKYYLNNDGEKVDIEKELEFCNDNTRFFTAEELSSLMKNETPQEKHDMQIEVWNCSSLEAILQLSSTENQDLIMCLNFASAKNPGGGFINGAIAQEESLARASALYASQLKAETFYKIHRGMKSCFYTDHMIYSPKVPVFRDDTGALLSEAVFCNIITSAAVNAGVVRQTEPDNATTIASVMNERIDKMLALALDQKNEVLILGAWGCGVFKNSPKEIAELFKNHLQGKYKGKFKRIVFAIFTKNEGILKPFESI", "MKMINYLKGDATNPEISGNKIIAHICNDVGGWGKGFVVAVSKRWKEPELSYREWYKSGENFNLGEIQLVQTTSDICIANMIGQHKINKSPHGIPPIRYEAVEKCLEKLAEEALSLNAVVCMPRIGCGLAGGKWEEIEKIIIRTLIHRQVDVYVYDFE", "MKTQKLYRPVGEKEMNLIIKSGYREFPPRLEWQPIFYPVLDEDYAAEIAEKWNTRDEFANYLGFVTCFNVSKDIIDQYPIQNVGARNHNEIWVPAEELGKFNQSIIGPIEVLKIFIGDQFQESKDQEIKNLIRSFADEND", "MKAEYAIIIKNKTRLELLIDRFNTKAQAQFYIESAGGNFQEYVEEHEIFYQSFLKVQTSLSRIIKNKVVEREFVASYIFSEKNIIVVVGQDGLVANVAKYSKNIPIIAINPDKERYDGVLLPFGVNDFLQGVQAVLNGDFKIKRMRFAEAVLNDGQRLLAVNDLFIGISSHSSARYKITLNGKEETHSSSGIIVSTKTGSTGWLSSIFNMAYGLLGSKKLKYPKLNEDELYFAVREPFKSMRTQTDICGGAVKQGNKLVVVSLMPNNGFIFSDGIEQDFLQFNSGTTAEIRLSDEEAILVLN", "MTYVLHFKNGKIVKEGRGLSFFYFAPNSSIAAIPMGSNDLPFIFSENTLDYQSVKIQGQISYKITDPKALSNTLDFTVNESGVYKKNDIEKLNQRIINLAQTSTSAFIHHLGLKEAIRSAQNIEGNILEGLKISETIQAMGIEIIGVNILAVQTTPEMARALETETREKLQQQADEAIYERRNFAVEQERKIKESELNTEIAVEEKQKQIDEKRMEADVQREENNKILREMKITADIAVENQRKQLIDQKTENDRKEAETQGYVLEASLKAYKNIDWKILTALNGGHDARTNIALAFRELAENAGKIGNLNISPDLLENLLTEN", "MQNIKVAVDAVVFGYFDKEDLQILLIKRKIDPFKGGWALPGGLVLDDEDLDTAVKRELYEESGIKPDFLEQLYSFGNVGRDPRNRVVSIAYLGLVNPSYHELFADSDAEDAQWFSINKLPKLAFDHKIIIDTALKRLRTKIQYQPIGFNLLAEEFPFSDLENLYKTIIGQEIDRRNFRKKIMSYGLLNETSNFKKEGSGRPGKLFTFNQDKYKKLEEEGFYFEIKVL", "MIIKRVDSTDKDFQKLVRSLDADLAIRDGDDHAFYHQFNKIDLLKNCIVIYMDNHAVACGAFKAFSENSVEIKRMYTCPEKRKSGLASKILHELEIWAKENGYKKCVLETGIKQPEAIALYQKNKYYKIPNYGQYAGIENSICFEKELY", "MKKLLLILGSAMLFIACDKMKNTSEKESEKTAPFYSKL", "VRKQPPSTANSDWKPVDSATANKAWMEYATPNELHKVLAKYDGNWTGETTTWMEEGGQPVKNTSDCTNKMIFGGRYQLSNYKGNFMGMPFEGMSIMGYDNAKKKFVSTWIDNMGTGMMTAEGEWNPSTKSIEFKGKMTDPTQANKECEIREVYTFNDDNTHTLEMFGPGSKTGKEMKTMEIKFVRKK", "MKNIRNFCIIAHIDHGKSTLADRLLEYTNTVSQRELQSQTLDDMDLEKERGITIKSHAIQMDYEYKGEKFVLNLIDTPGHVDFSYEVSRSIAACEGALLIVDAAQSIQAQTISNLYLALENDLTIIPILNKIDLPSANPEEVTDEIMNLIGCEYEDVLRVSGKTGEGVHNLLEQIVERIPAPVGDPEAPLQALIFDSVYNPFRGIEAYFKVVNGSITKNEKIKFFATGKEYGADEVGTLKLKQVPKKTIQCGDVGYLVSGIKDAREVKVGDTITSFDRPAAGPIEGFEEVKPMVFAGIYPIDSEDFEELRFSLEKLRLNDASLVFEPESSAALGFGFRCGFLGMLHMEIVQERLDREFNMNVITTVPNVSYFGYSKKEPEVPILINNPSEMMDPSIMDRVEEPFIKASIITKSDFVGSVMTLCIEKRGEIVNQSYLTSERVELIFNMPLAEVVFDFYDRLKSISKGYASFDYHPIGFRASKLVKMDILINGDMVDALSSLIHDSNAYHIGKKMCEKLRELIPRQQFDIAVQAALGTKVIARETIKALRKDVTAKCYGGDISRKRKLLEKQKEGKKKMKQIGRVEVPQSAFMAVLKLND", "MMIKTAQILSFLVLLGMFSSTNAQIKSNLTSGGNSETKEERMNDYHKARVDILVKNLELNENQEKAFREVYKDYEESQMYVMREFRNKYNKPELSEEETKEKIYTGFDVSQKLLNNKRIYTDKLLKVLTPKQLDKMFEMEKRLGRKIMDKKHQEEEKK", "MKKTDIEDFERRNIYDVPEGFFKDMQNNVLEKVAEHEKPKGKILPMRVLSGIAASLALIVGTILFYYSNNNTMQTKEKIVDREILAENIQPKIAENSYSKEEPSDEGSGEEIAENQKKSHDGFNFSENSASNSKKETLTDSKNTYNGPKVINVVDNKFDKALSNLSSQELAEQSKKYEIDTYLDLY", "MKIDEGLIIEKMKSPKTREEGLRMLMSAYQSRLYWHIRRLVVQHDDAQDLLQDTFVKVYHKFDKFKGGSQLYTWLYRIATNEALQHLNKIKKMQLTDEGAEYYLQNAVAENAKHNAEELEIMLQKAIQCLPEKQRLVFTLKYYDDLPYEEMSKILDMSVGTLKTNYHYAKEKVTEYLRQNIEEFE", "MKKAAYIGCLTLIGIISTEFGVISILPQISEYYNVSIDKAGSLLSIFSITIAITGSIITLLTSGFNRKKIMLIAMILFLVSDILSIMAPPFGVLLVVRMLPAFLQPTCIAAAIYAAVALGDDKYKNELIGIVISGIAIASVVTVPLSGYVSGVFQQWQAAYVLQAIISLITIVIIYKMLPSVPAPKETTSLKKQLIILKDPVFILSMGFNLFLITAWFCTYSYIAEYLQKSLGMDEKTTSYMIFLFGVMGVTSNWVARKMLNKRMMPAVVLFSLGTIILPLLLNFNTSPILTISIISLWGFWYGPCVMIAVVYMVSNAPSYALEFANSLQNSTGNLGVALGTSVGGWIIVSKGISVTPWIGAGFGIVSLAILAINSYLKKNREQNSNDKIHETEFLPEQESLCN", "MGKIKETSTNNINKQYIIECDTPYAISQIGGRWKLLILCQLEGGKKRFGELHKSICNITERMLTTQLRELEKSSIIKRTVYAEVPPRVEYEYTEMGLELVPILKQLGCWGARIRKIAKEESSEE", "MTTVIIGSGNVAWHMAKAFKEAGIDLIQLYGRNEQELKKLSLEINVEYSTNQLKQADFYLICTSDKAIAEVSKQIPYEQALVAHTSGSLSRDVLEGSYRKASFYPLQTFSKSRKLDYSKISLFVDAGWESDNILLTDLAKKISTNVMRINHEQRKQMHLSAVFACNFVNHLYAQAEIICKQNDISFNYLLPLIEETADKIKTISPKDAQTGPAVRNDQNIIKFQENLIVNPNQLNIYKILTESITKMYEL", "MSYKENLKNIKALVFDVDGVFTDGSIILQPDGSMSRIMNVLDGYAIVKAIKEGFVIGIITGGNDPMVKNRMQYLGVTDIYMKSHDKMEDFEDFVSKYQFENHEILFMGDDIPDKYVMEKVGIAACPINAVPEVKEISHYISNIHGGKGCVRDVVEQVMKAQGKWHDDNTQSI", "MKILLASQSPRRKELISALGYDFSTVKIDCEEIYPESLPATEIPAYLAELKAKAYTAIKNDEILITADTIVVQDGEVLGKPKGEEGAREMLRKLSGKIHQVITAVRISSGEKFFTYTDIADVEMEDISEEEIDFYIRNYKPMDKAGAYGIQEWLGMAKIKRINGSFYTIMGLPTHLVYIGLKEFGL", "MKILYLETSSKNCSVAISDDEKLLSLCEETSENYKQSESLHTFVEWALEGAELSLKDMEAVCLGKGPGSYTGLRIGAASAKGFCFGLNIPLVTVNSLDAMAEPYFNGEYDYIIPMMDARRMEVYTKVFNQEGEEVSPTEAKILDENSFSEYQDYKVLFVGDGAKKSQEILQLSKAEYKDEIYPSAQYLVRKGSEAVKNKNFEDIAYFEPFYLKDFQGVKKKSTGN", "MRTAFITGATSGIGKAAATRLAGENYRLILCGRRKEILEELAGELAQKTDVYTLTFDVRNFEEVQNAIASLPAEWQAIDILINNAGNAHGLEPLAEGKVSDWDMMMDGNVKGLLYVSRCIIPGMKERASGHIINISSVAALQTYANGVVYCASKKAVKTISEGMRLELTEFGIKITDLAPGAVETEFSEIRFKGDKDRAATVYAGYEALKAEDIADVVSYVINAPKHVTIADMTIYPSAQASPTQIFRK", "MAEHNDFGKLAEEQAVIFLKQNHYLILARNWYWQKAEIDIIARKGNIIHIVEVKARSSDDFITPEEAVNRKKRKLLIMAANEFVQNLEEEVEVQFDIISILSENGKFTLEYIDDAFESID", "MKEIIFPEKTKKGSPIAVITPAGAIEQGQLDKTLELIKSKGFEAVLGPNVYAKYSNGYNYGGTPEERLKDLQWALDGDFGAIWTTRGGYGCAQLLQHINLKKYKQNPKYLIGYSDVTVLQSYLLKNGFASIHGQSIKTSSQGVSEASYEDIFNILNGKKLKYEAEDIPFNKKGKAKGQLVGGNLAMIYSVMGSKYSFDFNDKILFIEDIGEQFYALDRMLMNLELNGVFGRIKGLIVGGMTGMGDEKTNEHYEASFDPMAYEIIAQKLDKYDFPIAYGLPNGHIFDNQPLIIGAEVEMNVKDKAQITFK", "MLELILSAVGLGIMLSLVFIGPIFFLLIETSFTRGPRHALALDLGVILADITCIVAAYYSSHDLVTIIDRHPSFYRITAFIVLMYAVFMILTKTKMHVEGEQKLISQNYFKTFINGFLFNILNIGVVLFWLVTVISVRKNYPRADEFLLYIGLVILTYLCIDVFKILLAKQFHNKLNDKVANKIRKLVGFILVAFSVFIFLQSFKKFNQFDKKLEESGYHKLDHNTDQKK", "MPKKRNISKKNNDKLHDIGRLIVRFMSKKTSKIYNYKQIAEGIEFKNPRQREQVIQSLHILLAENKIKEVEKGRYILNIEISDTLTGVIDFNQSGNAYVKVDGVSDDIFIHQKNVKNALQGDKVTIVPFHFKGKKLEGAVVDVIERSRTQFVGTFQYIASKDFGFVIFDKKIINTDIFIPKTKFNGAKDGDKVIVKMLGWDDKSKNPEGEIVDVLGHPGEHETEIHAILAEYGLPYNFPPEVEAEAQKIDKTLSEQEIAKRWDMRDILTFTIDPKDAKDFDDALSLRKLENGNIEVGVHIADVSYYVQPGTLLDQEAYDRATSIYLVDRVVPMLPEVLSNELCSLRPNEDKFTFSAVFELNDKAEIQKQWFGRTVINSDRRFSYEEAQERIETKEGDLAEEINLLDSLAKILRKDRMKHGAIAFDRAEVRFNLNEESQPIGVYFKISKDANHLIEEFMLLANKKVSEFVSLTRKNEPTNNTFIYRIHDDPDPTKLASLRDFVHTFGYKMNISNRNKISESMNKLLAEVKGKGEENMIETLAMRSMSKAVYSTENIGHYGLAFEYYSHFTSPIRRYPDVMAHRLLQHYLDGGKSPNVAEYEEKCKHCSQMERLAADAERDSIKFMQVKFMEKHVGETFKGVISGVTDWGIYVEIPENGAEGLIRLRDLTDDSYMFDAKNYAIVGMTHGNTYQLGDEVEIKVVKANIIAKQLDFKLIQ", "MKKIAIAGDHAGFEYKEIIIKHLEGKYEVKDFGTYSTDSVDYPDFVHPAASAVENGEFELGILICGSGNGVQITANKHQGIRCALCWEVEIAELARQHNDANMISIPARFVSEDLAKQMVDTFLTTDFEGGRHQNRVNKIKFC", "MKSINEFNFSGKKALIRVDFNVPQNEEGKVTDNTRIVAAKPTIDKVLNDGGSVILMTHLGRPKGQKDPKFSLEAIVEEVSNVLGKPVKFAKDCIGTIAEEAVAGLKPGEVLLLENLRYYNEEEEGNKDFAGQLAKLGDIYINDAFGTAHRKHASTAVIAEFFPENKTFGFLMAQELDAIDKVLHNGERPITAILGGSKVSSKITIIENILPAVDNLIIGGGMAFTFIKAKGGHIGNSLVEDDKQELALQILKSAAENNVKVYLPIDVVAAKEFNNDAESKQFDAYDIPEGWMGLDAGEKTSEKFNDVVLSSKTILWNGPLGVFEMSNFATGTIKLGDSIAEATELNAFSLVGGGDSVAFAKQYGYDEKVSYVSTGGGAMLESLEGKELPGVAAIRN", "MKIKDLFLTQEEFEVKEISKGILKTFPVPENLSKYYESKDYISHHQEDKSLKTKIYKFFQQFNLKYKKSILDAEVKTGNKILDYGCGAGEFLNFIKTSYEIYGIEPNESARNAAKQKTGEANIKNSLSEIEDYSLDAMTLWHVFEHIDNYEGFLEEVYKKIKPKGKLIIAVPNYKSHDAEYYKEYWAAYDVPRHIFHFSKEGIKSIFNNGRWSLKKISPLLLDSYYISIISEKYKKNSFSWLKGGIRGAISNNKALKTGDFSSLIYIIEKK", "MIDQIYDVIVVGAGHAGCEAAAAAANLGSKTLLVTMNMQTIGQMSCNPAMGGIAKGQIVREIDAMGGYSGIVADKSAIQFKMLNLSKGPAMWSPRTQNDRMLFAAEWRSMLEQTPNLDFFQDMVKSLIIENNKVAGVVTSLGIEIKAKAVVLTNGTFLNGLIHVGDKQLGGGRMGEPRAFGITEQLESLGFEAGRMKTGTPPRIDGRSLDYSKMEEQPGDENPQKFSYLDTPKLKEQRSCHITYTNEIVHDILRSGFDRSPMFNGTIQSIGPRYCPSIEDKINRFAERNRHQLFVEPEGWNTIEIYVNGFSSSLPEDVQIKAMRHIPGFENVKVFRPGYAIEYDYFPPTQLKHTLETKLIDNLYFAGQINGTTGYEEAAGQGLIAGINAHNKVADKEEFILSRDEAYIGVLVDDLITKGTEEPYRMFTSRAEYRLLLRQDNADIRLTEKAHKLGLASDERLQKVENKVSKSSELEEFLKATSVKAEDINPILEENSSSPVNQSFRAAQILTRPNIDLNKLCAIEEIKNKASEYADEVREQAEINIKYKGYIDKEKENVAKLHRLENIKIPENFDYSKVNSLSAEAKQKLSSVRPETIAQAGRISGVSPADINILLIFLGR", "MINYFYENVAPISDEEKRAEWLEKLILEEGKKPGDINYILCDDEYLLEINRQYLDHDYYTDIITFDYCKGKIISGDIFLSLQRVLDNASMLETKQEEELNRVLAHGILHLCGYKDKTEEEQKTMRSKEDYYIGKY", "MKKLFLFLGVIISIFSYSQVKENLVIPPHPKIGLSLSGGGAKGFAHIGVLKVLDSMGVKVDYIGGTSMGAIIGGLYATGYTGKDIENIILNTDFYNVLSSSSPRQQTSFFSKNVDKYLLKIPIQKGKIVLPSSISSGQKNLAMFKELFQNYSSVQDFSKLPIPFFCIATNIETGGVKQLESGDLSQAIMASSAFPGLLDPVKIGDSLYVDGGITINYPSGPLKKKGMDIVIGVNLDQGFEKRDQLNNIVSILNQIITFGISKETRKQLPYTDVNIQPVLTGVNVTSFEEKDKTIKAGYDETLRYSGILRQLPKKDLPVVTDKKLILSEVYKIDAFEVENDNIYNKDYIKGKMGLKIPSRYTYSNINNKIDQLYATNNYSFINYDIVNENGRNILKLNVAEDQNRIFMKFGLHYDEVFKTGLLANLTAKRALFKNSNASLDVVFGDKPRYYFNYLMDNGYIPGFGIYSSGMKLDLKDQDANVYQNWNWFRNEIYIQSVWKDRYAIGGGLSYDIFGQKIGTNRNTFHYYNPYIFIKSDTQDNTEFPTRGIYIDILAKALDLFKDKQLEDKGAQISGNVKLNIKFSERLTYRVSGFMGVTFGEVPEFYKYRLGGIFEQNLGSFVSFDGYQFGQKTDNNVLRITNSFQYRILKNYYLIASYNTASLFPNIKNTEFLSFRNNSLGLTAGYSSPFGQIKLNYSLPLNQGGKGIFNVVLGHWF", "MKYTAQELASMTNSRITGNKNEVVEQLIFDSRLIFSSKNNAFIALKTQKNNGSKYIKEAIEKGIKVIIADEIEPSGQDITWIITSDTQNFLRQLARHHLQNINIKTIGITGSNGKTIVKEWLYQCLWDEMRVVKSPKSYNSQIGLPLSILQANNNDELGIFEVGISKPHEMEKQSEVFSPQIGVFTHLGSAHSEYFENEEQLLNEKLKLFEKSEVIIYNGDHPLVKSKIQSLYNNKTLISYGLDISNDILIESPIDQKEAFNIKLLDGYIQIPFTNRDQATLHNALAVIAVLNFLKIPPSKIVDKINNLQAVEMRMESIKGERDNLIINDSYNLDLDSLKIALSTLNQYGNKSQKVLVLTDIHDVKDHSEHLYHIVADLVNEHLLGKIYLIGTEITKFANLFNSKTFTFDNIQELIEDQEFNSIENSLILLKGARKFELENLKKELELQSHDTVLEVNLNAILHNINVHRNFLKPETKMMAMVKAYSYGLGGYEIAEFLQHHNINYLGVAYADEGVDLRKNKVTLPIMVMNPELNSYDTIIDYQLEPEIYSFKVLDLFLKALRKKGIHERYPIHIKLETGMHRLGFRKEDLDELLFKIKENNLRIASIFTHLSSADDPDEREYTLAQIKLYTDNSDYILARTEDKPLRHCLNSPGITNYTDYQFDMVRIGIGMIGYTTNPAIKPLLQSAVCFKTVITQISPLHPGESLGYNRRFKATNDTNIATIAVGYADGIPRLLSNGKGFVGIKGKLYPIQGNICMDMLMVDIGNDPIEEGDDVIIFNGNPSLEKFAEYCQTIPYEVLTSVSRRVKRIYIKD", "MFLENTINHAKQSGWMEVICGSMFSGKTEELIRRLRRAEMAGQQVEIFKPKIDVRYSDEDIVSHNDNKIRSTAVDTPNEILLLGSNCDVVGIDEAQFFDESVVEVANQLANSGTRVVIAGLDMDFMGRPFGPMPNLMATAEYVTKVHAICKRTGNLANYSLRTSASKDLVQLGETDSYEAVSRRIFWEEMQKQDKNK", "MMQNSHDIQDRILEDVKKIASDIASVESTAGLITNYQKVQELYEKVAFLKMLEVESIDIHQRKNELEAMIEANPAHDKSEEALEKEREVEAEFEEDLSKDEASAEIAEIADEEIPTEKVNAVVPKTENIIENDYSEEEEYVNTLEKDQHESPEEIVAEDEQTEPVAETEEISEESKEDASITETEPVITEEETVVAVAAAAAEEEGRGNETETTKEAISTEEEHADEEKEVAKEPEYQIKELFVREEEGSAQMDEEAAHKVKLASIKGLKPISREEITNITENNEVPEKANQYVNTEENTAFTPTNANTSVQTGQFRLDLNDRMAFLKKLFNNDEVEMKYVLERLNEARTLDDAKEYLSDLYYDRDWKPVDEYAQRLWSLVESRFR", "MSGILYFVPTPVGNLEDMTFRAIKVLKEVDYILCEDTRTSGILLKHYEISKPLKSYHLHNEHQATEKVVQDLKNGQNVAIITDAGTPGISDPGYLLAKAGRDNDLEMICLPGATAFVPALVVSGLPNHDFYFAGFLPQKKGRQTKLKQLAEEKKTIVLYESPHKINTTLEQIKEFFGEQTQISLSREISKKFEETKRGTIDELIEFSKSKTLKGEIVLIVNNSI", "MLKKTLLTVLLGGSLLGFSQQKYQPTQANLKARTEFQDEKFGMFIHFGLYSELGRGEWVMNNDKIPVNDYAKLKDFFNPIGFNAKEYVSMAKNAGVKYITLVTRHHDGFSMWNTKYSDFNIMNTPFKRDLVKELADECHKQGVKIAFYYSLLDWTRTDYSYWTGRTGKGTGRTEKDNWNDYIQFMKNQLTELLTNYGEVSGIWFDGYWDQMEEEKAGRSEKTYLDWKMPEIYELIHKLQPQCLVGNNHHITPLEGEDFQMFERDIPGQNEHGLSFQKPSQLPLETCATLNDSWGFDLKDNKNKTFKEFLNLLVNAAGSNANLLMNIGPMPNGKVPQPFINSFKEMGEWIRVYGESIYGTRGGYLPLQKWGAITQKPGKIYVHILKNNEAKAITLEKFPFKKINSAYLLKDKKTIKYTLKNNTLTFDSYAVDDQNPDTVIVFEGK", "MKLLEGKVALITGATRGIGRGIAEVFAQQGAKVAFTYAGSVDKAKELEAALSSVTQIKGYQSDASDYDAAQKLVDDVMAEFGQIDILINNAGITRDNLMLRMSKEDWDTIIKVNLDSVFNLTKAVIKPMMKAKSGSIINMSSVVGVKGNAGQANYAASKAGVIGFSKSIALELGSRNIRCNVVAPGFIETEMTAVLDEKTVQGWREAIPLKRGGQPEDVANACVFLGSDMSSYITGQVMNVDGGMLT", "MKKITFGKQLILSCLSILFISFSCSRSVTDSPEEKPVIIEDIPKITTLYPGGFGVTNVTLGGEIKEKGNAKIIKKGVCWSINGDPAIENNNYKEDKVDSEGQFYFALTNELKPATKYFVRAYYETAKGITYGNTISFTTGATSTIKLPVNIFPTSVTLRGEVVQGETESRTVGFVYSTKSNPTVNDNKITKDIFGSASYEMVLESGLKPNTIYYVRGFVINRNGEYSYTEEKQFRTTGYFGPARGYVVYDKGETTDGWRYLETTSDLSIYNGKWGNTDKSVTETSSDLGKGLGNTERIVLSIPQGECAARTCYNIILNGFSDWFLPSTEELLIAMQSLKSINIYIKGRLWTSTERDRFNAYSIIYNETSKVYEVSTGHKELNLGVMPFRRY", "MKQITISGKTYHNPVFVFAMEKEAGNEFKDLQLVFTGIGKVNAGYYLMKYLSVNNPDIIINLGTAGSTAFRRGDVVCCTQFVQRDMDVSPLGIERFKTPFSDDDVVLDYGIDIDNLPKGICGTGDSFETEHNTDLYNVLDMEAYALALIAKREQIPFLCLKYISDGANDDAVEDWTQEVDKASKILREVIATRF", "MIDFPATLHLFGKDILLHPVLESLGIFLGMRYYFFLKRKSPEKLPLTISLAIIAGATAGALLGSKIIGNLENPYTLFSTDFSIKRFWSNNTIVGGLAFGLIGVELVKKIVGHKESTGDLITFPLILAIIIGRIGCFFTGIYEETYGLPTDSIFGMHLGDQYLRHPVALYEIAFLVLLWGILKVIQKKHFPSGFVFQIFMLSYFTFRFFLDFLKPRIEIVGNLGAIQLTCLLVIIYYIYKVNITLKSKYLAPNNLI", "MNHSLTILEVGGLGGIVVMIISMIVVVAFVFGLVVAAIIKLIYESGGDKKYSKSNFWLTVLIVMLICGLISGVICGGM", "MPVRNYTYYDYTTSLCPDCLKRVGAKIIIEEDEVFMTKRCPDHGFFKTKIATDVHYYKNIRNYNKASEIPLHFGTDVAYGCPYDCGLCVDHEQHSCLSIVEVTDRCNLTCPTCYAMSSPHYGSHRSLEEIEAMFDVIVKNEGEPDVVQISGGEPTIHPEFFRIMDIAKSKPIKHLMLNTNGVRIANDPGFAEKLATYAPEFEIYLQFDSFKPEVLQDFRGKDLTDVRMKALEKLNELNLSTTLVVVLQQGKNVDEIGEIIDFALKQKCVRGITFQPVEIAGRNRNDSAHEKITLTEVRQEILNQFPILNSDDIIPVPCNPDALAMGYILKLQGEIIPLTRYINPADLLNNESKNTIVYEQDEGLKMQLIDIFSTGISVDKVQPKVNQLLCCLPEVCAPEMEYENLFRIIIMNFMDAHDFDVRAVKKSCVHIVNKDLKLIPFETMNLFYRDQKQEYLEELRKDTRVLF", "MKKPLILVTNDDGITAPGIRNLVSFMNEIGDVVVVAPDSPQSGKGHAITINSTLTYEEISMDGPQKDYSLSGTPVDCVKFALDKILTRKPDLVVSGINHGANSSINVIYSGTMSAAVEAGVEGLQAIGFSLLDFSWDADFSQAKTFIQSIVKKVLENPIPKGIVLNVNIPKLPSEEIKGIKVCKQANAKWEESFDERVNPHGKKYYWLSGYFNNMDKSEDADETALSDGYISVVPVKFDLTAYEYLKDLQNVLTF", "MFKKFFGFNKLLLLSSLGTLIFCFNSPQNDDEKMQTIMVSVSNTLSYLSYNAKPINDAYSQDVYKEYFEKLDPSKKYFLQSDMDEFAKHKTKLDDYLKTGDISFFKLTNDRYLQRLADIEKISQDIFAKPINLDENEEIIMEPKLKKNPTNQKEMYAEWKKFIKYNILQEMQTLNEKEESQKKKKDSVIAKKQKDTIKYEPLTLEQKKIKATGEIKDLVSSMFNRIKKRKKMDWFSVFYMNSYTEVFDPHTNYFSPKDKEDFDANFSGKIIGIGAQIAEKKGRLFIGPLVIGAPAWKSKQVNEGDEVLKVKPDPKKEAVNVVGMLVDEAVRLIRGAKGSEVTLTLRKKDGSVKEVKLIREEVEMEDTFAKSIVVNSPNGKKYGFIYLPSFNADFNDSKGRNASTDVKNEITKLKAQNVEGIILDLRNNGGGSLTEVVDIMGLFMNNGPVVQVRSQDGKIQVLKNKNNAPVWTGPLVLMQNELSASASEILTGAIQDYGRGVILGAPHSFGKGTVQTFVSLNRFLNTNDDYGDLKLTIQKFYRISGKSTQLEGVKSDVVMDDYFTYDEIGEKYDEHALPWDQIKSSDYKPLNTIDMAGIVKRSTDRIKANKPYQLLVESAKWRQALGKEETVTLNQTKFFELMKKRKEELKKFESLTKYDNGLQFTQYQSEIERMKKDEAFSVKSKNWIKNLKRDLYLQEAMNVISEIK", "MELTLKEILEYHIFALGQYSLTVYQLISSAVIVLVGLGIVRVFKSLIYKSEKIDVGKKFAFAQILKYIIIVFTAILAFRTLGVDVSPLLLGGSVILVGIGLGLQNLFLDFISGVIILLDRSIKVDDVVEIENVVGKVQQINIRTSTILTRDNKSMIIPNSILTKNKIVNMSYDDDVANFGISIGVGYDSDVDLVMKLMLEAAKEHPEVFQEKPAVARLSNFGDSSLDFSLFFNSRYLFKAEAIKSDLRLSILNKFRENNIDIPFPIRTLYTPDLLKQKENQ", "LKNLDSNKLCCFPMDRTGIIVDYDNNNMEMPGKIIEMGLLPNTPFRILYQAPFGGPLYVEYGEERSKVALREEEARYIIVEELADGK", "MENKKKSTKILLVGNPNVGKSTIFNQLCNRNQKTGNYSGVTVSSLKGDYIYKENKVEIIDLPGTYSVYPTSEDEVICIEYLINERSNYDGVLYVADALNFKRSLLLFEQIRDLGIPVIMVANQIDEAEKRGYSLDVQKLSEEIGVTVNLTNAKKNSGIDAVKESIHHNKFTVAEEQLFQVPAEHKSKVEEIAQKTGDKNLYKAWFQLSSTRKYVSGQHHVFQNDTNTEIKGVVPKRLQTQETLRRYDNIDQIIEKVRSKRTMLKDLLTEKLDRLMVHKFWGYVLFLVVLLVIFQSVFFLAEYPKGWIEDLFTWFSSLAATYLPEGPLNSLIAEGVLPGIGGIVVFAPQIGILMYFLYIMEDSGYMARVIFLMDRLLRPFGLNGKSIIPLVSGTACAIPAIMSARNIENTKERLITILVTPFMTCSARLPIYSIIITLVIPDEYILGIGYRALALLAMYMLGFVTALVFSFFLKKGIKNTNKSFLILDLPTYKMPLWGYNFKMALLKAWGFITGAGKVIFAVSIIIWFLSYFGPHDKFDITSHKSNVELNDSYLALMGKSIEPAISPLGYDWKMGVGILTSFAAREVFVGTMSTLYTLGDDAEDGRLIDKMKADKKADGTPLFTLATGISILVFYAFAMQCISTIAIVYKETGSKKWTFIQLIGMSGLAYLASLFVFQVLK", "MNTLVIQYIVIGALLLFACFRVWKIFSKNFSKKKSGGCDKNCGCS", "MSLIKSISGIRGTIGGKTGDNLTPLDIVKFASAFGAWLQNKNNKKDITLVVGRDARISGKIVSNLASSTLQSLGINVIDLGLSTTPTVEVMVPELKADGGIIFTASHNPKEWNALKLLNEKGEFINAQDGADVLDIAEKEAFEYIDVDHLGNYSENNEGIQIHIDKVLELPDVIPGIVKEKRYKIVVDAVNSTGGIAIPKLLERMGCDVVKLYCEPNGQFPHNPEPLKEHLSEICELVVKEKADLGIVVDPDVDRLALVDENGELFGEEYTLVAVADYILRNKKGVAISNLSSSRALRDVAKSLDSEYFASAVGEVNVVNLMKEKEAVIGGEGNGGIIFPELHYGRDSLVGVALFLTHLAQQDKSVAELRATYPDYYMGKKKIELTPEIDVDKLLEKVKKEFKKEDISTVDGVKIDFPTNWVHLRKSNTEPIIRIYTEASSQEEADKLADDMIAKIKSLI", "LEEFFENEAVKRFEDMLENNEEVFFDTEEYEDIISYYLEIGDYQYAETAIKYAQKLYPDSINIKVRRLEFLLEKEDNANAKTLMKELAGIADDNLDFMICCAKYYSNMGNPRKAIELCEKALEKEEDEDFIHNFIADEYKNLDDPFSALKHYKLALNYEPNDEYALESIMLCYTEMNRNEEALTFINDYLDNYPFSETAWYEYGIFHFNKKNYQEAIKGFDYLLAINPNSMAVYTNKAACYEALNEWEKAIETYIDSQEYEFTKSYSFYKIGQCYQKMEQLIPALNALQKSLHEDPQFHPAMIAISDIYEELGNLNEAAHFALEASRLSENNLDIQKKLAFLYISLGKLEEALICLKKMADTEPGRFYNWYAYTEVLMLVGDYDKAEEKLLTALKRHQRAELYYQLSNCYFNLKRETEARAALDQALRLDPTLMKDMQMKYPYIDAEIEKERSKTK", "MVIYSVFGWFIADQFRLVYDDRVPWDAYFSFDNYSIVTTGGGWERHPFSNYFFDLIREAALWLSGGKTDATFRIVLSLFSATAISFTMLQFYKYFRNIIKLPLSVNLFLLLFFSLFTTPILLSFTPETYTFSFFLLVLFNYFAALKLSQEKRIGLLPLTGFGILIGGLTITNLVKVYIPVLFEKNLFGKWKNIGKAILNAIISAGIFIFLYLWRLDFRIQLIIEQTSTQYEKFSKPKVTPLWDMMTSWFWGGSMLFPNFIVRDYKSKTGFQYKGLFMDVYSSWVSYLFIAIITVLIIWGFVANYKNKLVQVLGLSLLCDVIIHCVLKFGLHTSYIYGGHFIFVVPMLLGWLFYSQRGNPKTISALWTVLIILFAYLGFNNIYRLTEFITFANQYYR", "MKSLKYIIAGAFTIVFLVSCDTYYDGYAYRRNPNRYKDSYYYNGREYRKLPPGQAKKMYGGSAKDYAPGQRKKYYRYDD", "MKTIKYLTAFALATVLLGSCEARYNNGNHGMPPGQAKKIYGGSAKDYAPGQRKKQRSNTTIIVNP", "MKKLTLLFVLTFGVMVMAQETANRFIYEMTYKPKKDSAKLEKELMVLDISKSKSIYQDYTNVSQDSILKDAMEKMRRAGAFNPDFSKNMKKAKISYRVNKSYPSMKIQYVEVLMSMGKFTPIAYSEDLKFNWKILPDKAKIGEYNTQKATTEFGGRKWTAWFSTDLPFQDGPYKFYGLPGLIVKIEDETKDYSWVLQANKKLSDYNEKTYMEQTFMPNATVAELSKEKFDKTFNEYKKDPFGSMRQYLTPEVMKQKMPGSEKTIGDMMKEGERDMNRLYNSIDNPIEVKSTVQMGKGTQEVVK", "MKNLKILSLGILGAVFTVSCATKEVSYAITPKSGTATGGTVTFKQMGKNVVMNVDAKGLTPGVHAIHIHDKPDCSAPDGTSTGGHWNPAGSDHGKWGAEHFHMGDIGNLNADDKGNAKLTFKTSKWCIGCTDETKNILNHGLIIHAAADDFHTQPTGNAGGRVGCVEIK", "MLLRLLLSIFVFVFSLLQAQVTISGKVTAKGKALKNVSVTLKDTYDGATTDANGNYTFQTSEKDSHTLVFSNSDSADVVKEITLASQNLVVNAEMKSTFNEINAVVITAGSIEASDKNRASALLKPLDIYTTAGADAQITSALGFLPGVQKVGESEGLFVRGGTGTESKIFMDGNLINNYFTNSVPGIAGRDRFNTSLFKGNVFSSGGYSALYGQALSSVLTLESIDLPERTSADFGLSPIFATGSFQKVDSERTHSYGIQAAYSNLELMTKVFKFNTNFDNGPAGFSTNANFRIKTKKGGFIKYYGSYDSNDMSLKQESLESKYNETGVKMKGDNTFHNLSYREKLGRYTLNLGSTFTYNKNDMNLDFLNNGQRVYGIGIENHGSYFNAKAVLERKINKASIIRGGVEFQNSIEDMTYQPENAPRFKKHYQDLISSVFAETDLALDQHFSARIGVRAENSSYLSKWNFSPRLAIAYRISKEWTSSLAYGIFYQNPESKYINYPAPLGFQRADHYIFQIQRSANERNFRLEAFYKDYRRLTKTYNYGDLQNQTSFNNIQSAINVDGDGFAKGFELFWRDKKSIKNVDYWISYSYLDSKRDFLNYPYSLNPNFASKHTLSFVAKRFFTSLKTQVNASYTFNSGRPYYDIISQNGQNIIRNEGTIKDFSALNLSINYLPNLGRKDAKSFPVFVLSVNNVLNTKNIYGYNFSSNGQSSALRPPVNTFVFIGVFISFGIDRTQDAINSTL", "MKKLFLATALLLTGFIAFAQSAYEKVMTDKIGKIVQCKTNDDFTALSNDFSRIGDKEKTQWLPYYYAALSAIQGGRVLMRDQKTDGLDVSGDAALNYISKAESLSPNNAELFLLKKMAHGIKMMVDPMSRYMTEGQEAQKALGEAIKLDPNNPRVYVLQAEDLYFTPEQYGGDKKKAKETFLKAKELFKTNKPKTAIDPNWGESEADYFLSQMK", "MNRKFFIICIWITLICTIIFGIIEPGYFSWDNIWKSFVISSMYCIFLSMSQGYLNGYLDKKWSWIEDTKKRLIYGVSLTVVVTIATVILCNYINFVLIQNVSTEKFFSRDYNFVNWFFINFALLISAIFHARGFMNAWKSAAKQAVTEQKIIASSANAQFESLKNQLDPHFLFNSLNVLSALIEENPDNAQKFTSSMSKIYRYVLEQKDKEVVSLGEEINFARTYIELLKYRFEDSIIFHLNIEDDNSKDFVVPLSLQLLLENCIKHNHATSAKPLQVEIFAKEGYLIIKNNLQKRELPNEKSGIGLSNIVQRYSLLTKRNVFIENGENTFSVKIPILTQKNTTMQVNYENDEREAYKKASRRVKEIKDFYSNLISYCCVIPFIVVINLMTSPKFLWFVFPMLGWGLGVAIHGFTTFGIGKSWEERKIQELMNKDKNIQQWK", "MEINNQLEAYSEARRQVRREARLYGNVLMCCAFWGFAGINDIFDFVNIPRWIVIVFIIISIFTALKFVKLIFSGMIFNKSWEENRIRELMRKNN", "MKSYLNNDSESFSDEEIEFLEAQRRVKEIRGFYIHFLIYLTVNIFILISNFYEYHQIGWTNIYVPVLWGVVILIHAGSVFLPGIIFGSNWEKKKIKKLMEKYKSQNKYD", "MIKTLIIEDEKPAARRLERMLSTFPELEIQKIIHSVEDGIQWLSENEHPKLIFSDIVLGDGLSFDIFEKIPTKSFIIYTTAFDQYTLKAFKLNSIDYLLKPIDEEDLAKAIDKFKSFIPSGEITPSYEVKSMLGKEKTTLSRILVKIGYNLKIVMTSEVSCFYSENKIVYLQTAERSFPTDFSLEELENVLDESQFFRVNRQFMINLNYIKSIHTSPVYKVEMMFQPDAEITVSRDRVKDFKDWLVR", "MKFLKLAILLGVFGVLFTNCLGDNRGDNVIYGFLVTTKIEQKDIKPVGEQSKLNITYTTTNTCQAFVQIQVAKNENNVVDLGVVGSQKSGDMCADKVEDKTVEYAFTPTKAGTYTFRFWAGKNSDNTDKFIEEKVEIKALEPAK", "LDKELIEIIERCQKSDRKAQELLYRRYSNVLFSICLRYSNSYENAQDVFQEGFILIFKKITQYSFSGSFEGWIKRVMVNLNLEKYRQKEIWLTEIEENMPLIDEEDTDLNDFQNINYQDLIQYVQNLPTQYRQVFNLYVFEEYTHNEIAENLKISPGTSKSNLSRAREILRKELLKLKHKAE", "MKNIDKIFKDQLNQPQNPPAGAWDFIQSALDGEKRRKPAGYIWLPVSGIAAMFLIGVFIFKSNDSSSERKSENKIVKQTLPQNPFIDEPEGEKTNEVIQKNEASSHISEIKNDVIAWTKNIFTPKNKAIDISETNKELISQYKNEITKGNDGNEKTKIVEQDKNGNITENKYEQTFPNKNNDSDLLTGKSKILQQTNKGTQSGGDKFSVSAFFSPTQVNSFGGKSLLSNDFNNLDIQNSVNMSYGARVSYAINDKIKIRTGAGMLDIEQRTKNVPITVSVSGGKGGVILYQLAPIPPAHNISYSGDLRVGSIEPVSNLQADGAFAKSSLQGDIAQKIRYVEIPLEAEINFAKLNKLGFNATLGASSYVLTHNSISAVTPGSSVKQDLGTATNLNDLSFSANAGLKIDYEVSKKMKLNVEPTFKYMIKPMNKVNDTKPYIIGVSTGVTFSF", "MQRLNLLEETRFEKIPVTVYSSEAEATKVVADRIAKLIKDKQAKGEKAVLGLATGVTPIKVYQELVRLHKEEGLSFNNVVTFNLDEYYPMLPNEPQSYVTFMNENLFNHVDVPKENIHIPDGSIKEEEVAEFCKEYEQKINSFGGLDIQILGIGRTGHIGFNEPGAAPNSGTRMVTLDDLTRKDASRDFGGKENVPKKAITMGVGSIFKAREIILMAWNKKKAPIIKKAVEGEVSSDIPATYLQLSQNVEFIIDEDAASLLTRFDKPWLAQDIEWDDVITKKAVVWLSQKLGKPILKLTDDDYNTHGMDKLITEKGPAYNMNIKIFNELQHTITGWPGGKPNVDDSQRPERANPAKKNVLLFSPHPDDDVISMGGTFIKLADQGHNVHVAYQTSGNAAVWDDDVLRYLEFAEDFSKLVGFDDQKVKNIYKDNVEIFEQKKPNQTDTEFVRKVKFLIRKGEAIAGARFVGLNEDQIHFQDLPFYDRRKFDKSSSYEDDIQQTIELLRKVKPHQVFAAGDFEDPHGTHKVCFDIILEALKRLKETDEWTKDCWLWMYRGAWHEFPIHEIEMAVPLSPQEVYKKRLAIFKHQSQKDLPVFPGDDAREFWVRAEDRTSETAQLYNNLGLAEYEAIEAFVRYKF", "MNKSIGVLLASAVGLVTVLGVFGLRKLVSKHDHDDYYDYLTDRNSQKNDEADSVELNAYL", "MAIDPVKGQGAQQNVHNRFDRYSFDSEDEDVDLRKTNFTEVFPKTIVNQVKSPDLPMEYSLNPYQGCEHGCSYCFARPTHEYWGYSAGVDFERSIMFKKNAPELLEKFFKKRGYQPRPILVSGNTDCYQPVERKLEITRKLLQIFLDYRHPVNIITKNALVLRDIDILKPLAEQNLVSVALSIPTINEDLRRAMEPRTSSSKNKLHAVEELTKNGIPVHIMVAPIIPGLNSDEILSIMKETSEAGALSAGCTLVRLNDTVEPVFVKWIETYFPDRKDKVLNLIRSMRGGNLGEKRFYNRYEGEGNIAEMIHNTVKLGKRKFFAGRERIILDTSNFTGTKDQQLRLF", "MEEIIFRQAEGKDKHIIWEILQQAIERRRNDGSNQWQDGYPNLQTVENDIKKGQGYVLILNDEVITYAALIFNDEPAYEAIQGKWLTDGDFMVVHRVAVSEKAAGKGIVKKLFGMLEDFAKSHQVYSIKVDTNFDNLAMLAILEKLGYTYCGEVIFRENFRKAYEKVLTA", "MKQYSSKRSIQILAHVLKQYGINHIVLSPGSRNAPITIHFSEDDFHCYSIVDERSAAFVAMGMAKSMHKPVAVSCTSGSAAANYYPAIVEAFYQNIPLLILTADRPENYVDIFDGQTIRQKDLFHQHSYGDFQMKEDLEPDADEYNFETVKKAVELCLEKQGPVHINIPLMEPLYEMVTELMPMPEIEKHIQETNYEIPSNVVAEWNTSKRVLVLLGTLDPSPELNVLLEQLVKNHSAVVLTESTSNQYHSKFFNHIDRYIFDFTEEDFKKYAPDLLITVGQNVVSKKVKLFLRKANPARHWHVDPYWQPDTYFALTEKIYADHEVFFSQLVKRVNLEPQAYYNLWDARRDKKDARHEEYSQQVPFSDFMVFRDLADSIPENYSVHVSNSSAIRYTLLFNFSEKHEVYCNRGTSGIDGCTSTAMGFAMMNDNPAVLITGDLSFFYDINGLWNKYIPPYTRIIIVNNGEGNIFRIIPGPGDTNAIGEYIATSHKMNASNLAKHFKFDYFQIETKDEFHRSLENFFKPSVQPKILEINTRSEDNADVQKDYFKFLKF", "MFRFIETIRIEDSQIFLADLHQARMDRVFSHYGKENPHLIKDYFVQQNHNEHGLYKWRIIYDLDGSISCQMVPYAVEIHNNFELVNGDHLEYFFKYENRDNINKLKASADAEAVIFYQNGMITDTSYSNLIFRKDNEWYTPETFLLNGVMRQHLLKSGKIKPLEISLKNIKEFSHFQMINAMIPFNTQEYPIALIQNLYSIRTLEI", "MKAINAKEFSEMDKLSEKGEPFIFIIDFLKQNILLFTEEELNKKTDILVHFQKYRNYTPKTELNKKIYLQSFPETFESYKKGFDIVMKNLQLGNSYLINYTRKTKIETNLTLQDIFYHSEAKYKICYKNNWVFFSPEVFVKIENQKISTFPMKGTIDADIPDAENILKNDPKEKAEHYTVVDLLRNDLSIVAEDVKLVDFQRIDYLQTLKKNLYTMSSEIEGTVKPSFQNKIGTIMQKLLPAGSILGAPKDKTQEVILKSESYDRGFYTGVCGYFDGKDLDSGVMIRFIENENNQFYFKSGGGITHQSDASAEYQEMINKIYVPVY", "MMQPREVISFSEIPGKAFEYWSKTIMFQVLFSICYFAVYLGLTFFLFSYYGVMEQIHNLSAYFNTDQDLAREKYMEIIGSENFRYVTLWIVVITALLFPLNLGLFNIYRKMDKAEEISVGDLFVGFEGSCFFKYIGYALFWGGIYYISKMTLFLAPVWVLLTLFVGPLMFFNNLNIQESLKLSFQAVGKNIVGCLLALLLAVFGSYIGAALCGVGILLTFPFWNAVLYAYYQKIFPESH", "MSEFEEFDSSAKQPQRDFGDILSHAFNLYKGIIGYAIVVTLLIMAVSYFLSFLTGGWSQMVSMSQNSGYGYNAEAYKEMYTSGPVLWWAGSSTLFFILVSPIIIGIIYMMHKKNSGQVLDFSDLFIGFKQNTVNIMLYSLIYVIVATISTNLCYLPAVFIMPLFFLGYPILLFENAGAIEAISKSFNIVKENYGAFLLLNLVAFLLSGLGIIACCIGIIVSAFFYYATMYSAYVAYNGVPKQLTHTT", "MQIKQDKPISEVAIKQIALISIIIILAGLICYNLSMFIPSLLGAITLYIISRKYLLYLIEEKKWKPSLAAIVIIVATLLILILPVYLIIDVLIDKLGNAQAYMEKFNVFVDKIHDFVFKEIGIDLLSKENINKLKDTAGKLSTSLLNTTFNALTVIASMYFVLYFMLISPRKFERLLENAAPFKKSNNFLLGEKIRKMVIANAIGIPVVALGQGIAGLIGYIIFGAPSPVLLFALTFVTSMIPIVGAAIVYVPICIFMIAEGQTGAGIGLAIYCLVVVGLIDNLLRFTLLKKLEDIHPLNTVFGIIAGMNIFGFLGLIFGPILVSVTILLMQVYKDEFSGRKNSLPEDGLLLEKDE", "MNPEILKDIVTQKMPFGKYKDTIIADLPVSYLEWFQREGMPPGKLGMMLSTIYEIKLNGLEYLLTEIKRHV", "MNFQIQSEYKPTGDQPQAIEKLSKGLLLGEKYQTLLGVTGSGKTFTIANVVQEIQKPTLVLAHNKTLAAQLFMEFKEFFPDNAVEYFVSYYDYYQPEAFIPTTNTYIEKDLSINEEVEKLRLSATASLLSGRRDVLIVASVSCIYGIGNPTEFHKSVISLDVEVPISRTKLLHTLVSSLYSRSVNEFIRGTFRVKGDVIDVYPAYADSAIRIQFFGDQIEKIQSFDPVSGNVTSNFDKINIYPANLFVTSPETMQNAIKEIQDDLVKQIAFFQEIGKPYEAKRLEERTELDLEMIKELGYCSGIENYSRYMDGREAGSRSFCLLDYFPEDYLMVIDESHVTIPQVHAMYGGDRSRKEVLVEHGFRLPAAMDNRPLKFEEFEMLQNQVIYVSATPADYELQKTGGEYVEQIIRPTGLLDPIIEIKPTQNQIDDLIEEIQKRTEEDERVLVTTLTKKMAEELTKYFTRFGIRTRYIHSDVETLERIQIMQDLRSGLFDVLVGVNLLREGLDLPEVSLVAILDADKEGMLRSRRSLIQTIGRAARNLNGKAIMYADKITKSMQAAIDETSYRREKQMNYNKEHGKEPQALNKKISESLVRRNPDFPDQKYIQKEILQKVAEVQANYSSDEIEKIVAKKQKEMELAAKNLDFIAAAKLRDEITALKGS", "MDSSKIILVLGISFLCWLIYKLVLADHKLFVFNRFFLLGAVIISLAIPFIQIDIARENNPVTRTVNLYYIQEVIIGKAPQPSFNWYKIIAYGYIVITTFFIIRFILGILQIVHRIYKNKKKTVDNIHYILLDNKQIPYCFLNYIFVPREDFINRNIESEILQHEQAHLFQKHTLDIMFIQLVLAFAWFNPFFWLIKQSITANHEFLADEHTLRLSKDIQHYRKLIISKTMAPYHNQFASNFNFLLTKKRFIMMTKQTSKNKVRILKLSGAALLIAATAFGISMNAKEKESITTSLTAKLDEISDSALALAISKSDTTKQERKAIISKKIEELNADTAIKRAEIIKKYNKSGELKNSDFPPTPPVPPTPPADPPTPPIPPDPMAKLIPISPSEKVDKEATFSEGINGFRDLFVKNLDNSKIEGKGVIKTTALLTIDKNGNVKNVTATGPNESFNVEVKKAIEITNSQKRWVPAQQNGENIESQFRFPITISFEGTKKL", "MSKIKLTEAEQFIMEVIWSKEKAFMKDIMEAYDDPKPASTTLATVLKRMQDKNLIGYETVGNSREYYSLVKKEQYFSGEMQSMMKKFFNNSVSQFASYFTANAKLSEKQLKELRDMIDLEIEKKQKDG", "MKLPYAEPYRIKMTEEIRQSAPEERAEWIKNSYYNLFNLKSSQVFIDLLTDSGTGAMSDKQWAALMTGDESYAGSRSFEQLYNTVNKLTGFKHLLPTHQGRAAENVLFSVLVKEGNIIPGNSHFDTTKGHIEFRKAHAIDCTIDEAFDINNLYPFKGNIDLSKLEKVYQEYGKEKIPFCLITITCNSSGGQPVSMENIKAVRELSNQYDIPVFFDSARFAENAYFIKKRETAYQDKSIKEIVRELYSYGDGMTMSSKKDGLVNIGGFIALNNDEVFQKASNFTIIYEGFITYGGMAGRDLAALAQGLDEATEFTYLESRISQVEYLGNRLIEYGIPIQRPIGGHAVFIDALSFLPNVPRAEFPAQTLAIELYKEAGIRGVEIGTLLADRDPETRENRYPKMELLRLAIPRKTYTNNHMDYIAAALKNVFERRNEITKGYKITWEPDILRHFTVHLTEA", "MEKRGINYYIGLCFRSLLQGLVILGPIGATIGLIWYLVSSIDNIIPSISERFPGLVFISVIVITALVGFIGTKFLLGRILVDAMDNLLEHTPGIKYIYSSLKDVMSSFVGDKKKFSNPVWVKTNNNPEVWRIGFLTQHDLSPVGLSGKIAVYLPHSYAISGWVILTEPDNVREVEGMNSVEAMKFAVSGGVSGFHSDENIFKAPE", "MFRLKLPTDPRWANIAEGNLGEILTDHAWCEQKAATNAITLITLLPEYPEIVTELLAIAQEELDHFNQVHEIIKQRGYTLGRPRKDDYVNDLFKFIVQGSREDLIIDKMLFAAMIEARSCERFKVLTENIKDEELKVFYNDLMISEANHYTTFIKFARQLGDPEKVNQRWEEWLEYEAKIIQSYGKKETIHG", "MKTVFLLGALAILSSCQKNEYLSAKLPAKDNSVIQEHYVDEKSNTLKGRLLVPKGFSRQITNENTWEHFIQNQALEKYGSPILKYDGTKISDQIHHVGTLKYDVGEKDLQQCADALIRLRAEYLFGQKRYSEIGFNFTSGDHFSWKSYAEGVRLLINRNNVSFVKRAPENTLSSHSDFRQYLDIIYNYAGTISLSKELKDSKSITELNIGDLIITPGSPGHAVMVADKISDGKNKKYALIEGFTPAQTIHILSVNGNPWFNIKPGVIIETPRYTFQNAVIKRFE", "MPVIELRTHINADIHLVFDLSRSIDLHSISTAKTNEKAIAGITSGLISNGETVTWQATHFGIRQTLTSKITAFDMPFHFRDEMLKGAFKYIKHDHYFEKTNTGTLMTDIFRYDSPLGILGKIFNNLILTRYLRQFLLERNQIIKDFAESDKWRQILK", "MKRDLYIDFAKGLATLSIIFIHTAFWSGQFYIPSEFRLISLLIDVPIFFALSGITSSGNIEKTLYRLLKLQITYMIFVTGLFFADWLFKAGVITFWDTDTLKSFYSTFGGKYVPQNPSTAINWAQLGNWYLHSYSNCDTFPVVMGSFWYLKVYYIVTVLGVLILRFFKQHIPWFIALCVVLTLIFNIDLNNYPSGQVGYVVYYLAIFLIGFQLKGKTIKKTYIPVLYVLAAISIITIGYIYGPDIYYKINKQKFPPQIPYIVWSLLSLITVFVLYNRLKITKDTFINNIGRNAIFFYFAQGISSSLVYFIVVPLKENIHWAILMVLIYVVNVILAILIAEVLKKADALGWRILEKLRELTARRV", "MNPRDPLNDIFKKLEHSESNDLSSKEKVWAMLENKLEQPARKETKEFSLNRKWLAAAAVILFAGVTYLFVKPTDKQPPVMAKTESVNVADSPVETSTPPQKIVQNDITTGQVEKIKEEKKKLNAPKDIIAYQEPAKTEAYYAVPSLGTSPSASYAPPPPANTGVGKNVEAPRIQDERRMARKLSNADGTNDMNLQGKVAGLSISPENNMKEVVITGYSTKAYKRASVAEESVVSSAMGIKRSPVNTDMNNVVIRGVSTPSQTNNPLYVMNGEVVNNSYLKVLSPNNIESINVLKGEKATALYGAKAANGVIVITTKDLSKRELRKIKRKAKKLEKENPIITLPQPKIEVSKEEYPEFIENSFESPATDPLSTFSVDVDKAAYSNIRRMISYGQTVPKDAVRIEEMINYFPYNYPQPTDQHPFSISTEYSIAPWNPKHKLLRIGLQGKNLDLGKAPKSNLVFLVDVSGSMDQENKLPLLKKSLALMTEKLSSEDKVTLVAYAGSAGLVLPATPGSEKKKILEAIDRLSAGGSTAGGAGIQLAYKIARENLIKNGNNRVIIATDGDFNVGISSRKDLTSLIEEERKSGVFLTCLGYGMGNYKDTTLETLAKAGNGNYAYIDNLQEANKFLVKEFAGTIYTIAKDVKIQIEFNPQNIQAYRLIGYENRLLKDEDFVNDAIDAGEIGAGHQVTALYEIIPAGIEDEFSPKNINLKYSKKTEATNNFGKELATIKFRYKKPDGDKSIEIIKNIDNRNIALNNTSDDFRFAADVAWFGMKLRDSQYLKDKETKNILNLGKSATKHDPDGYRNEFLKLVELVK", "MNEEILQQCIGNDRIAQRKLYDAYYPKLHFVCKRYLKSQEDIEEVLADAFFSIFSKLSQLKEILAFDAWARRITVNQCLSFLRRKELASISIDEFELNIPDPAIQDFPYNEEELLRLMDTLPTGSKTIFNLYAIEGYSHKEIAEQLGISEGTSKSQLNFAKNKLQKLVHQFYFSKTN", "MIRNFRKNEYLALAYRLFLAYFFYFVTRVLFAVFNWDLLKIDSIGELLKLCFHGIAFDTTAILYINALFILFSILPLFVNTRKGYQKFLMILYFACNLVAISFNFVDFIYYKFTFSRSAVNILESVQHESNKGKLFADFLKNYWYVFLLYFVCAFLWIFLYTRVKVKEKKYEQKGMYVLTSVIGVCIITVLAVGGIRGDFKKSTRPINLVDANKFVDKYVQANVVLNTPFCIIRTIGTTSFKKLTFMPQEEADKLAHPVKQYENNAPSKPNIVIFILESYSREYIGAFNKNSGIKDYVSYTPFLDSLSQKSMIFTNAYANGYKSIHGMSSVLSGIPSFKDAFTSSPYPNQKIESLVSVLNGEGYDTSFFHGAPNGSMGFLGFGNILGFKHYYGKTEYNNDADFDGVWGIWDEPFFQYMNKTLTQKKGPFMSTVFSVTSHEPFQVPAKFKGKFPIGHVQMHQVVGYTDYALKKFFESASKEPWYKNTIFILTADHDNQSYYKEYQEGMNRQAVPIIIYKPDGSLQGVNDEWAQQIDIYPTVLDMIGYQKPFRSWGVSLFGDKNQQPFTVNFLDNTYRYASGNYVCIFDGHKALGFYDKNDKGFKNNLIGQRNAEMNQLELQCKAFLQDYFHRIVDKKLN", "MFAIVEIAGLQYKVEQDQKLYVNRLKGDKGNKVSFDKVLLTVNGSITVGAPAVEGIAVEAEIIDHVQADKVIVFKKKRRKGYKVKNGHRQQLTQIQITSITGFDGAKPAKKAAAKKTTKKAAEETSEEAAGE", "MAHKKGVGSSKNGRESHSKRLGVKIFGGQEAIAGNIIVRQRGTQHHAGENVGIGKDHTLFALVDGKVVFTKKRDNRSYVSVAPNA", "MKYKKLSVAVAAFAFAAVSAQNSNSLKYPETKKVNHTDTYFGNQVADPYRWLEDDRAEDTKAWVQQEVKFTQDYLAQIPFREQIKKQLLDIWNYEKISAPFKKGKYTYFYKNDGLQAQSVLYRKDASGKTEVFLDPNKFSDKGTTSLANLSFNKKGTLVAYSISEGGSDWNKIIILDAETKKQIDETLIDVKFSGISWLGDEGFFYSSYDKPKDGSVLSGMTDKHKVYFHKLGTKQSQDELIIGGDKFPRRYLSGYVTEDQRYLVVSAANATNGNELYIKDLKNKTDFIPIVTGFDSNVGLVDTDGDTLFLHTDKNAPNMRMVKTTIQNPKPETWKDVIAETSEPMRVNSGGGYFFATYMKDALSQIKQYDKTGKLVREIKLPGNGTAGGFGGEKTEKELYYSFTNYITPPTIFKFNVDSGKSEVYQKPKVKFNPENYVSEQVFYTSADGTKIPMMISYKKGLKKDGKNPTILYSYGGFNISLQPAFSVVNAIWMENGGIYAVPNIRGGGEYGKKWHDAGTKQQKKNVFNDFIAAGEYLQKNGYTSKDYMALSGRSNGGLLVGATMTMRPDLAKVAFPGVGVLDMLRYNKFTAGAGWAYDYGTAEDSKEMFEYLKSYSPVHNVKAGTCYPSTMVITSDHDDRVVPAHSFKFGAELQAKQACKNPVLIRIETNAGHGAGRSTEQVVMENADLLSFSLYEMGIKKLK", "MENNDMTTLVQVMNTLKRRGVDKEIQMNENKKFVLQNSDKEYQPEDLTIIKVYRFEGDSNPSDNAVLYLVEDTTGQKAYIIDSYGAESNYAGPEFNNFLTGIAVDEREGRNLSI", "MKLNYKRFLKIFAFGIGILAILSFAASYGFNYWLKNNLPEIIKKRSPYNITYQHLDVDIKTGNITAKKINISNKKPNNQNEIGLDGSIGELKISSLGIWDALYNKVINTDDVTFVQPKLRVVLAKPKDEKTSNNSKQPILFKNIHVQNGDIDILKFNKDSLVTVKNLNLELTNFRMTEKDVKQKLPVVFDSYSISGENFIYRDENIYDYKAKRIATENGQMSIKGFELKPLLTQQQFAQKYPNKTNLFAVVSREMTFKDIGFKDNKISLAEVKFDSPDIKIMSTNGKSPKSQKNFSYDIELDNISLKNGNILMLKPDGSQHFQLKRVSANMNKIMMNEETSKGEIPFKYGAYRFETHDFNYNPGKYYKLSLSSLVLDNHKITVSDFSFLPTMNRTQFNKSIPVQEDLYTVKIPKIELAGYKVSNLNNHLQVEANNLNVYQMYMNIFSSNLPPQDPTPRTFFSEKFRKIKFPLTIHHTKVINSLLEYEETDKGALAPGKLSFANLNVGIDNINTAKLKGKNTMVTVNGKTSFFGTSPTTVLWTFDVANPHDAFSFKANINNLDASRINDFIRPYLHVSTSGMINSVDFDFKGNKYGIKGPFLMTNTNLKVELLDDKNQKKKKFLSFLTNWFIKNNTGNAPKQIDVDYTRTEKRSLFNLLWRGIETGLKGSLIGDTSKIEKTVDDIKEKKEKIKQKIDARKQKNQAKKGLFNGLFKKKETATD", "MITENGKPIQYYLNLSGDLISMNQLFDKEIKIKHTGYQCVSCGQDLPIFRMGFCKKCFFESPYASETILRPELSTAHLGIEERDLEVEKEIQLVPHVVYLAYTGDVKVGVTRESQVPTRWIDQGATFALPIARTENRYEAGVIEVEMKNHLADKTNWKKMLQTLESDIDLADFREKIKEYFPKDSQKFYSSDEEIWRLDYPYEAPNDIKVFTLDKKPEFTGVLKGIKGQYLYFEGGNFINVRSHEGYVIDFSAG", "MNYLVTGGSGFIGSHLVERLLREGHSVINVDNFDNFYDYQIKVQNTLESVGDKSVFDFDHKLTDIAKLIDRTKSEQYRLYYQDIRDKDGLEKIFQAHSFDMVIHLAALAGVRPSIEKPLEYEEVNIRGTMNLWELCKDFGISKFVCASSSSVYGNNEKVPFSETDFVDEPISPYAATKKCGEILGHVYHSLYKIDIIQLRFFTVYGPRQRPDLAIHKFAELLYLNEKIPFYGDGTTARDYTFIDDIIDGVAKSISYLHNHEGVYEILNLGESDVITLQEMVSVLSEELNINPVLHRLPMQAGDVLRTNADILKAKTLIGYQPKTKFQNGIKIFVEWFLRKKTKK", "MYWTLELASYLSDAPWPMTKAELIDYAIRTGAPMEVVENLQAIEDEGEIYESIEEVWSDYPTDEDFLWNEDEY", "MGFLDTILKGFLGNKNEKDLKEVKKVVEKIKKAEPAIGELSDDGLREKTREFQQKIQDATANVRKQIEDIQSKIETTENVDEKEALYGQVADLNKVAYQQEEKVLGEILPEAFALLKETARRWAQNGEIRVTVSDRDRELAATKDFVVIEGDQAIWKSEWDAAGTAVKWDMVHYDVQFIGGTILHQGKIAEMATGEGKTLVGTLPIFLNALPGRGVHVVTVNDYLAKRDSAWMAPIFEFHGLSVDCIDNHQPNSESRRKAYKSSITYGTNNEFGFDYLRDNMVNSPEELVQGELNYAIVDEVDSVLVDDARTPLIISGPVPQGDRQEFDLLKPSVDRIVEVQKKTITGIFNEAKKLIAAGNKKEGGFKLLQAFRGLPKNRQLIKFLSEEGNRALLQKTEAQYMADNNREMPKVDKDLYFVIDEKNNQVDLTDKGVEYMSQGNSDPGFFVLPDIATEIAELEKQNLPKEEEFAAKEELYRDFAVKSERVHTLSQLLKAYSLFEKDDEYVVIDGEVKIVDEQTGRIMEGRRYSDGLHQAIEAKENVKIEAATQTFATITLQNYFRMYNKLAGMTGTAETEAGELWEIYKLDVVVIPTNRPIQRHDKHDLVYKTNREKYNAVIEEIEKLTAAGRPVLVGTTSVEISQLLSKALQLRKIQHQVLNAKLHKKEAEIVAGAGQPGVVTIATNMAGRGTDIKLSKEVKDAGGLAIIGTERHDSRRVDRQLRGRAGRQGDPGSSQFYVSLEDNLMRLFGSERIAKMMDKMGHKDGDVIQHSMISRSIERAQKKVEENNFGIRKRLLEYDDVMNKQRDVIYKRRKNALFGEHLKFDIMNMIYETAGSIVNQTKADNNFKEFEFEIIKNFTMDAPVSESEFKNMQAPALIDKVYNTAVEDYKQKLALLKEKAFPIIENVYQNQGSMFKMIQVPFSDGHRTLTIVTDLQKAYETHCESLITDFEKNISLGIIDENWKNHLREMDDLRRSSQGAVYEQKDPLVIYKQESFFLFSEMVDKINKEIVSFLYKGEIPA", "MNKALVCASLLGSVLFFAQEKDSLKSKNIDEVVISGKYYQKYKLNEVSGSLRLQTPIIELPQNVQSISSQILADQITLNMSEGIVRNVSGARKVEHWDNVYSNVFMRGASIATFMNGMNVSSTWGPINPDAAIIDRIEFVKGPAGFMGSMGDPAGFYNVVTKKPTGKFANSVRFTTGSYNLFRGETDLDGVLVKGGVLDYRLNLMGSTNKSWVENDKTNKIIVAPSITFRPTTTTTFTAQYNYQYLKFAQPGAYLMSNDGYASLNVHTNFNDPNFKKTEVKDQSLFLSLDQRLFNDWVWSTQYAYMDLNYDGGSWWGTFDKDNKNILNRTLRNWQAVGKNHIFQTYVRGSLKTGNAVHKIIAGFDFGDRKYNADFSSFQGNFPIDIYNVQYGVDPSKLPSSDFYTLNTKAPFYNDQGVKYTSYYAQDQIELFDNKLRITLAGRYTNGTTYAAYPNLSPGTPIVPDKAGEFTPRVGISYSIMKDLSVYGVYDKTFIPQSGTGINDTTITDPLRGQNLEIGLKKDWFGGKWNSTFAMYEIRRQNILVAGNKNQNNGKDFMIATGEQRARGFEADIKGEIFKGLNVVINYAYTDAKTVKDTDPTRIGVQSPGNAKNVQNTWLSYRFGNGFLKGFGLSAGYQYQGGRQSWYGTNAQLDQRLPDYFDTNFGISYVAKKFDVNLLLNNVLNRKLYSGYRGDQGEYAWIYNAPRNFRLSIGYKF", "MKKKHHQKKKPGFFKTWSAKLHLWLGLSVGFIVFIVSLSGTLFVFKDEVQNIIRKEAIYVKSQTIKSQALPIEVLRKKVIANVPEKYPVSAVEIPLDKNKSYMFSYYEKSKKGWNYFGQVKVNKLIYVNQYTGDIQAVYNEKYDFFMLMKYLHWSLLLNSEWGEYVIGIPVVLFIFMLITGIVLWWPRNKKARKGRFSFDWKNVKTWKRKNYDLHNVLGFYASFIGLIMAVTGIYFTYPYVKNVFNYTLSGSAELKKEKDIKSPDSLTIKNSSVYDLTAQQTRLHYPGSSSFRIPLSGKNKKGKELKNIPVTVYQKEGRYSERHQIIFDKYSGKILLNKPHESLNAAEKYSNANYDIHTGSYFGLFGKIIWFITGLICTSLPVTGFLVWWGKQKKIKKI", "MNKITLSVACFAAVGIFGQQKDSLNTKSVDEVVLTASRKRENIKEIPSSVTIVGEKQVQSQLTVNSDITSILQYTVPSLGPSAGQTSNTGQTLRGRQVLVLIDGVPQSTPLRNGGRDIRVIDPSSIERIEVIKGASSIYGNGADGGIINYITRRSKSDKKISGISQVGFTGQPYGGTLGVRASQLLSGKLSDKFDYVASLAYERTGYMKDANGVNLSPSYSTAKMDNYNGMLKLGYNINENQRIEASYIGYASKSDLNLGLKTGKYGITPTIGEGKGLGLETTPQGTPRNHNYKLSYDNKNLFNGTSLNVNLYYQDFRTVYGYSDTFLNGGQSNVISKKKGARINLDTQLWNTANSQAEVIYGVDILNDQTVQKLEDGRYWTPDMDMTNIAPFALIKIDLLKKLTIKGGLRYENMKVKVGDFNTLSTLKSDGTFTKSIFVKGGNLEYNALVGNIGVRYNVQPYINLFASFSQAYSINELGRILRTSTASTIASLETKPIIVNNYEFGATGQLAKWINYEITSYVSTSKLGATFVQSPDRALTIQRAPEVVYGVEGFLHFTPARWINFGTSYSWMEGVTSLKDDGDYSAKINNSRISAPKVLAYVQVRPIQALSVGLDMMHSFKQDRFQPNPKTGQFTYGEGFVPEYTIFNLKSSYEVNKNWKLSLGIENLFDKLYQPAVAWWNARDNEFVNSMGMRGTFMIEYKF", "MKKHHHKKKPGFFKKWSGKLHLWFGLSIGFLIFIISITGALYVFKDEVENYTRKDVIYHNELNIENKQILPIKVMEKLVVEQVKEKYPVHWVNIPIDKKQSYMFFWYEHNPKAWNYFDEFPVYKQAYVNPYTGKVLRVYDEKNGFFNIVKMIHWSFLLKQEWGSYVVGIPVIIFMVMLITGIVLWWPKNKAARKQRFSFKWKNIKSWKRKNYDLHNVLGFYASIFALIFSITGLFYAFFVVQAMIYVVFSGGNTKYPDFTHIKTKAPIEMRTDKTLDKIINTVKEKYPESYGFALDLGHEHMDDHEHANFEVYVKHLSYSYHKNSSLIFDENSGELLHTHDPKDKNFGEKVVGANYDIHVGAILGLPTKIIAFIVSLICASLPVTGFMIWWGRRKKKKA", "MKKAVLFFCLSASIAVGAQQQSEKEKEIEEVVVSGNTFEQKVKEVPIPIKVIDKKQIQQSGSVRLSDILAEQTGLIITPNHGTSLQMQGMTGEYTLILINGEPLVGRTAGTLDLSRITVNNIKRIEIIKGPSSSLYGSDALAGVVNIITETATKDSGSLSLRYGTNTNIDFGGDINIRRDKFSVNLSANRYSSEGYSLNSGADSYGKTVNPFENFTYSTNFNFTPNSKWKFGLYARYYYDDQDGKMLSQGQKVDGYSKNKDYNIAPQATWTPNDKVTSRLRLYMSASKNDSKYTFVDSGQLLDETFFRERYVKAENFTDIKWSKKWQTTLGAGIIYQDIEANRYNERKSSNQFYGLGQIAYTPMQGWNIQAGFRYDNNSVFGSQFSPKLATDIQVFKFLSIQASAGRGFKAPDFRQLYLNFTNNLVGYSVLGTQEVGVELAKMIAQGIVKQENVLIDPSKVSELKPESSWAYNLGATLKPAKGVVAKVNIFRNDIDNLIQTVPIARKENGQSVFSYQNFNKVFTQGIETELSVSFLNNFTLAGGYQYLEAKDKDVLDRIKAGQLGGNNDRGNYIILGPGDYYGIPGRSKHTFNAKLFYEDKNGWFFNVRGIYRGEYGFADTDGNGIINNKSEMAPGYFLLNASLGKKIFKNYHISIGSDNITNFKNIQFNPEFAGRLLWVSFKITY", "MKKLLFTTAIGLLSLASCSSNDRGSEPAPVNPSATVKTQDVKDVDGATVATDKFTLYSLRENKVIASTEQDTDKWDIGFNKTKIIINGGTARKGKGAATIVKGTSFDAIKTAPEDAAFKTDSGNSGDDLAIATGTGKGWYSYDPVKHLITPIAGNIIVIKTGDGKYAKIQITSYYKGAPATISDQAALNDSGYYNFKFALQTDGSKTFSK", "MKKVFFLILSLLLVSCQGQEKRKSTVKQNKMENKLAYATIGGGCFWCVESCFNMLKGVDSVISGYSGGHKANPTYEEVCTGDTGHAEVVQIAYDPAVISYKQLMEVFLFLHDPTQLNRQGNDIGTQYRSVVFYNSEEQKKETEEALKESEAKQQWNGKYVTQVVPFEKFWPAEAYHQGYYKENPNQPYCSAVVGPKIQKFKKYFGEKGWLKPEEN", "MEIPVWKVKAFEELTTTELYQILLLRAEVFVVEQDCPYQDVDNTDQKALHLWAEMEGKVVAYCRMFDQGIKYPESSIGRVVTHPEYRGHKLGRALLNLTMETIKNRYANPDIRISAQNYLLKFYGSLGFEPVGESYLEDNIPHTEMLRKHS", "MLIKSVEFVKSSSKWQECPEANLPEYAFIGRSNVGKSSLINAMMDRKDLAKTSQTPGKTQLINHFLVNETWYLTDLPGYGYAKVSKTLRKSFEKLITNYILNRNNLVNLFVLVDIRHAPQKIDIEFMQWCGESGIPFSIIFTKADKLKPKAIENHVKAYHDELLQFWEELPQSYITSAEKKEGGDAILDFIGETNIILEKNKVKF", "MIFKTKKEKKFTYMEAGEGHPMVLLHGLMGGLSNFDDMSAYFSEKGFKVFVPQLPIYDLPVLNTNLTSISKYVIRFIEEHIKAPVTLVGNSMGGHVGLITALARPDLVKHLVLTGSSGLYERTFGDSFPRKSDKAYIKRKTEEVFFNPEVATDELVDEVFAVVNDRMKGIKTVMLARSAIKHNMQNDLPDIKCPTCIIWGKQDNVTPPEVAEEMHKEIPNSDLFWIDECGHAAMMEKPKEFSDILYNWLKDKI", "MNNFIGTYECKIDDKGRLKVPASLVKQMENFADQPFVVKRSVFQSCLEVYPMSGWEKLMHKINSLNRFVKKNADFIRMFTAGVKTVEVDNAGRLQISKDLVQYSGLKKDVVVTSAGELFEIWDKETYEQVISTNDVDFAALAEEVMGSINPEDVS", "MYHNPVLLDESVSGLITNPDGIYVDCTFGGGGHSREILSRLSDKGRLFSFDQDLDALENKINDERFTLVNQNFRFLENSLLMYGVSQVDGVLADLGVSSHQFDEADRGFSIRTDGPLDMRMNTMQGLDAKKVVNEYEEEQLADIFYLYGELRDARKLARELVAARKKGEIKTTEDLKKVFFYIPTHKSNKFFAQIFQAIRIEVNQELEVLKEMLEQSYRVLKPEGRLSVISYHSLEDRLVKRFLKNGMFEGEPERDIYGNYKKSFELLKNKAIVPTEEEIEENSRARSAKLRIGAKV", "MAKKASTPKQRKRLTFIDVVKGNFLNRDEVKEHYKYFTLVFILMMVMIYSNHLVNQKIEIVNGLKEQSEEYKSRNAYAQSRLIHIKMESELSKEMGKDSLMTLESHPTKLLIKMDSIDGKKRK", "LMVRKGSEYNEKRSKMLFWGYAFVGVALLTFVVFVARIIILQNTNVEEYKDNIINKNYREATLKAARGNLFASDGSILATTVMRYDIYLDFKTMRDTIYKNNAGKLSDSLGAMFGKPASYFRQRLDQQKKAENQYYPLVKGLDFDQYDRIRKFPIFNRGKNKGGFIVDREYRREIATAQIGAGTIGMDRDGAKSGFEGAFSKFLTGTDGTRLEQRINSTQWKPIDFWKVKEPVNGMDVYTTLDLRVQDIAHSALEKQLVEFDADHGSVIVMETETGKVRAMVNLRRTEPGIYVDAYNYAIKDATEPGSIWKTVTLLAAMDDGFVDENTKINIGGIEWTYAGQRITDSHSGGVYDISDIMAQSSNIGAAKVITSHYADNPQILFDHLKKWKLYEKMNIELPGVTRPRVLTPANKKWSKGALASIAFGYGVNVNQLQLTTFYNGVANKGKMVKPLFIDKIMKEGKVVYEAKPEVMVQKMASDKAIEMMTHSLTKAVEKGTAKSIYTPNLKIAGKTGTARFEYWKPGPMKYIASFAGYFPADNPKYTCIVVINQPDNSKGFYGARVAAPAFKEIAGKIFLKTPLNVNKEMLVNKKVDMSRMIEPTRKVSVDSNKMPNLVGLMGRNVIPQLENLGYRVEYKGAGKVLEQFPAEGTKINGNQKIYLSLQN", "MLLEKVLHRISVLNSQGELSREISKIVFDSRKAIDSAMYVAMKGVVADGHQFINAAIEKGANVIVCEEIPSDKKENVTYIQVKDTAVALGHLASNFYGNPSEKLNLIGVTGTNGKTSVTTLLFDVFTQLGHKCALISTVENRIGEKIIQSTHTTPDVITLNELLSEAVYEDCEYAFMEVSSHGIHQHRTEGLHFKIAGFTNISHDHLDYHKTFLEYLNVKKSYFDNLPDTAVAITNIDDKNGMVMLQNTKAKKKTYALKTIADYHGKILESDFNGMLLNFNGKEFWTSLTGRFNVYNLLLVFGIAVELGIEEGEILRAVSLLKRVKGRFETLKSRTGIFFVVDYAHTPDALENVLNTINDIRTKNERLICVFGCGGDRDHSKRPEMGDIASKNATLAIITSDNPRTEDPLAIIKEIEAGVQPQNYSKYLSVPDRKEAIKMSIKFAEGGDIVLVAGKGHEDYQEINGVKHHFDDKETIQELLTIMGK", "MLYYLYEYLTAHGIHIPGMNLLKYISFRAGLSILMSLAIAMIYGKRIINFLRRKQMGELVRDLGLEGQKQKEGTPTMGGLIIILATIVPVLLFTRVWNVYIVLLIVSMVWMGAIGFLDDYLKKVKKNKDGLRGIFKVIGQVGLGLIVGVTMYFHSDITVQRKYANASPENRQNIEKNFAPPEKAVVSTVPFAKNNEFDYSKILFWMDDAQAQEWAWVVFIPVVIFIVTAVSNGANITDGIDGLAAGTSAIILSTLAFFAYLSGNIVFADYLNIMFLPNMGETTIFAIAMVGAVIGFYWYNTYPAQVFMGDTGSLMLGGVIAVLAIILRKELLIPVLCGVFLIELVSVMLQVAYFKYTKKKFGEGKRIFLMSPLHHHYQKKSYHESKIVNRFMIIGIMLAVICLITLKVR", "MEKHAEIQSGRMKIVILGGGESGVGAAFLAKKKGLDVFLSDQGSIRDNYKKILLDNAIDFEEGSHDEDRILQADWIIKSPGIPKKAEIVGKIHQKGIRLSSELEFAYHFTDAKIIAVTGSNGKTTTTSLIYHILKNDGYNVGLGGNIGKSFAYQVATEDFDYYVLEVSSFQLDDIQNFRPYISLLLNLSQDHLDQYNYNYEEYALAKFRIAENQENDNYFIYNKDDEMSQKILQSLEINATMIPFSLKEKLNEGGYSVDNELVVKLQEDFRMKISDLSLVGNHNVANSLAASIAGKLLNISNESIRNSLMTFQAVPHRLEQVAVINDVKYINDSKATNVNAAYYALESVKYPAIWIVGGIDKGNDYTEIEDLVKKKVRAIVCLGLDNEKIIEFFRNKKDLIFETSSMEECVKLCKSIAEPGDTVLLAPCCSSFDLFKSYEDRGDQFKKYVLEGEEVKED", "MENNNDNKFEFLKGDKVLWMVIILISIFSIFPVYSASSNLEYIVNNGTTTGHVIKHIFFVLLGLGIMRLVGVVKYEYIGKLSSILLGVTVFLLLLTTFTGQKIDGASASRWLKIPGTPISFQPSTFAYLMLIIYICRYLTKKITRERLPIENIIYLFGPTLLVFGLVAKDNGSTALMIMFVSLIVMALGRLPLKYIIGFSGAMGIFAGIFLVVALNTNLIGGNRVHTWKSRIEAFSKKKDEALTEEDKAKNYQVMQAKAAIVHGGAIGMGPGKSALKQTLPQSVSDFIFAIIVEEYGAIGATILITLYFIMIVRIVMIASKIPMFFGSLLVLALGIMIFVQLSVNIAVAVNLIPVTGQPLPLISYGGTSMLVTYLQLGIILSVSSRILTNEEEGMGKKQTVEEINDIA", "MTSPRVLLSGGGTGGHIFPAVSIAQEIQKRFPDAEFMFIGALGKMEMEKVPQAGFKIEGLDIAGFDRGNILSNFKLPFRLLSSISKAKKIIRNFKPDFAIGTGGFASGPALWAASQLGVPTFIQEQNSFPGVTNKILSKKAKAVFTAYPGMESFFPNTEVRFLGNPIRQNIIDDKLAPEVAKEKLGLQNKLTILSVGGSQGSRTLNNGWKDNLDKLTEKGYQLIWQTGKLDYEALKKNQEIQNLSSAEGQISLNEFIKDMGTAYSAADVIVSRAGAIAISELAVAVKPILLIPLPTAAEDHQTKNAQSLVDQKAAFMVKDVEMKDKFWNTLEHICENEALRKEMMVNLEKFGKPEATKQIVDEILKIYKTN", "MKTYYVYIVKCSDNSYYTGMTNNLTRRIDEHNEGNNPDSYTYIRRPVELVFYYEFNDVDQAIAFEKQVKGWSRKKKEAIINDNWAMLPELSKNRMKK", "MADVNTYENYYFIGIGGIGMSTLARYFHSVGKQVAGYDKTHTKLTNALQTEGITINFDDVLTNVEENLIPENTLIVFTPAIKNLKILDYFTEKGFTIMKRAKVLGILTETTECVAVAGTHGKTTTSTLIAHLCKVANLPFSGFLGGIAENYGSNFIFNGTDISVVEADEYDRSFMNLRPKWAVVTSMDADHLDIYGDKNTIEESFRDFAGLVPEDQQLFVRKGLDIGRESVSYAVNEEADYYSDHIRMEGGTLRFDFHAGDETIEDFEWHLPGIHNVENATVAIAIVHQLGASYEDLKKGIKSFKGIKRRYTKHFFENGKIYIDDYAHHPTELNAVIGSIKTFYPDKKLLIVFQPHLFTRTRDFVDGFAESLSKGEELILLDIYPARELPIEGVTSDWLLEKVKSEKKEISSLQDAFEKIKNKEFDILLTVGAGNIDTLYDPIMEWMGKEKS", "MTENEISNIVFDAGMRIHRKLGVGLYENVYEECLAYELNGRGLLVEKQRDVKIEYEELIIDKAFRIDLLIENKLIIEVKAVSEINDYHSFQLYNYLRLTGLKLGMLLNFHSPLFKNGVKRVVNKL", "MKNKYRILKIFVVIVLLAFLLNFSLKRFSTKEQKLKIDLTQETPVYFIDEATVKSIVKKSNPSGKVGTLDIPALEKKLNALKAVDSANVYLNLNGVLNVDVKQRVPFMRINNGAKQYYVDSNGEEFPLSDKFSYPCMLVSGDIPKEDYKGLSELIAKIGKDDFNKRYFVGINKEGGNYELLTDEGNFKVQLGDLENIDFKLKGFKTFAEKYLIYQDPMKYRQVSVKYNNQIVTTLRKGFKSDADSLLTQKLPNNIIARPVLAPEKKTPEKKKAPPEKKPENKKEKGKKTQAKDKKKEKK" ]
[ "GGTTTATGGTTATGTTTCTGTAAAATTAATATTTGTATTTCGGATTTTATATTTT", "TTTTATATGCTTAAGAAATTATAGGTG", "GGTTTAAGTTTAATTATTAGAATTATTGTTTATTAAATTTTTATATAAATAAGCACTACTAACAGCAATTATCTCCGGCAAATGAAGACGCAATTAAGTCACTTTCCAAGCAATTTTGTAATACAATATTGACATACTTTAGTATTAAATTATCCTCATCACAACTCGCTGAAAAGCACTCTTTTTCCCATTTATTTTTTCTGGTATATTTATACTATACACAACAGAGAACAACTAAAATTTATATCTTTAATGCCCCAATATTTTTTGGAGTGCTGAAAT", "TCTCTATCGCTTATA", "GACTATGATATGTTAGTACATTTCATAAAAATGAAGTACTCAATACTATTGCATTTATGATCCAAAACAATAGTTTTAAAGAAATGGTTATCAGTTTTCATTTTTACTATTTGTAAATTTTATGCGTCAAAATTGATCAAATATTTACAAATAATAATTTTTTATGTATTATAAAATTGTTAATCCAATATTAAATTTTCTTATTTCAGGGAACGGGCAATTGCACAATCTCTGCATAAAAGAAGTAGCCTGACTTTACTCAGAAATTCATCTTTATTCTTTAAATTTGTCACTCATGTTGCCTTTTTTAGCAAAAGCCCTTTAGCCCTATAAATT", "TGACAACAGATT", "AAAACTTCTCCATTACGGTTTCCCGTAAGGTCATGCAAAATTTCATTCTTAATTTTGCGGCTGAATACAAATGCAGAAAAATTTAATTCTAAATTCATTTCCTATAGAGCCCGTCATTATTTTTTAATGACGGGCTTTTTTATTTTCAGAAATATCCTTTTCCCTGAAAGTATATTCATTTAAAATATCTAAACAACTTATTATCAGCTTTCAGAAAACAAAAAACTCAAATCTGTTTATCCATAAACATTACAGCGGTTTTTCGTCTCTGTTTTCCAGTTTTAATTTAATGTAAACTCAATTTTTAAAATCAGAT", "AAAACTAAAAACACAAG", "ACACTAAAAATACAAAT", "AAAACGATTAACTAAAATATTAACTACTCAAAAAAATACAATT", "ATCCGCTATACGCGGGCTTCTCAAACTAAAAATTAAAAACACACAAA", "TACCCCTGAACACTGAAAAACAACTTTTTACTTTAGTACATTTGTAGAAAATATACAGATT", "AAACACAAGTATGAT", "TTAGGTTAATATTCATTTAAATAGCTATAAAACCTTATAGATCTTGAAGATCTATAAGGTTTTATGCTTTACAATCAGTGAAAAATAAAAGAGCGCTACCCGAAAGCAACACTCTTTTTTTGAAAAGTTAAAATAATAATATGTGTGATGAAGTTT", "GTT", "TTT", "TGTCTTTTTTTAAAA", "TCTATATTTTTTTAAGCGCAAAGCGCACAACATTTTTCTAATTCTAATTTCTAATTTCTAGCTTCATAC", "GATTATGTTCTCTGATTATTAACGAAGTTTTATCAGCAGCTAAAGCTGACCTGA", "GGTCTATAAAGTAAAGGTCTTCGTGGTTATTAGTTTTTCGTTTTTCACTTAAATTTTCATGGTTATTAGTTTGTTAGTTTTTATTTAAGCTTTCATGG", "TAATTTAATTA", "AACAATTCAATAAAGAATCAAATATTTTTTTAAACTTTATTAACACAAATTAATGTTTTATTTAGAAAATAAAATTTCAGTATTTTATCCAATTATTAAGCTATATTATCCAAAAAGATGTAATTTTATGTTTTAGATACTGATATAACTAAATA", "TATTTTTTGTAACTTCTTTGGCAAAACACAAGTGTT", "CGAAAATATATTACA", "CCATTTCGTATAGAATTACAAAAGCCTTATCAAAGTTTGAAATCTTGATAAGGCTTATTAAAAGGTATAGATACCAAAAATGCGGACTAAGTCCGCATTTTATTTTTATAAAGTATTC", "AGTTTTTTAATGTAATAATCTAAGGATGTAATTGTTTTAACAATATGTGATTTGGTGAAATTT", "TCGTCTACTTTTAATTTACTGCTTTTGCAGTATTCTTTTCCGAATGGAATGCTTTTTTAAAGGCATTTCTCATTCTTAA", "TTGAATTACTTGTGATGTTCTAACAAATTTACAAAAAATGAAAATTCAGTTTTACCCTATAACTACCTATTTTTCTATACTTTAAATCAATTATTACTATTTATAAAATCTACC", "ATTCGTTTATTTTTGCTCTTCGGTTCATCAGGCTCACTACAAGCTAAGTTTAGAGTGACACTTCTAATACTTAAAATTGTCAGGCTGAGCTGGGTCGAAGCCTTATAAAATTTAAACAGA", "TTTCAAAAAGTTTACCAATATAACAATGTAACAGTCTAACAATCCATATTGTGGTGGAATTTTGGTACATTGCTAAATTGTTATATTGTTACATTATATATAATATT", "G", "TTTTATATTTTTTGATCCTATAAAATTGAAAATGCCCAGAAAAGGCTGAAAGAAATTCAGTAACGTTATCTATCCCATAGTGGTAGAATGTAGCACCTTCTTCGGCAAGCGAAGGGTTGCTAAGGCGTCATAGGGTCTATTCCCTCGGCCTTTCCTGATAACATTTTCAATCGTGTTAAAGAACTAATCTTGGCTGCAAAGATACAAACAATATAGATTTTTGCAAAAAAAAGATAAAAATACTTGTTAATTGTCCATAACAAGTAATGGACAATAAGTAATTCTTAAAGTATTAATCACCTATTGCCCATTATTGTTTTAATACGAAATGTATTT", "TATTTAAGATTTTTTTAAATTCTTTTTGATGAACAAATTTATACATTTGTTATGGTATTATAGCATTCAAATTTTGCATATGGTTTGTAAAATTGATTCTCAAACCACACCAATAAACACAAATTGTAAAAAAT", "TTTTAAGTATGAATTATGAAGTTAGAAAAAGTATTAATAACTTTATAATTAACGACTTGCTACTAACTACTATGATGAACTATAAATAAAAAC", "AAAGTAACTTA", "TTTTTGCAAACTCATAAAACCTTTATAAACAAAAATACATATATATAAACATATAATAATTAGGTTTTTACAAAAAATGTAGACATTTTGTATACGCGAAAAAATAATTATGTAGGCGCATTGTAATGCGGAGAAATTCAACCATAAAAAAAATAACTGGAAATTTGTAGTCAGAAACATACATGATAAAAAAAGAAACAAAAACACACTAGCTGAAAGAGAATGGACATTGTTGCCCATTCTTTTTCTTTTATAGTAAAAGGGAAAAGAATGCTTGT", "AAATCTATTTCATCATTAATAAATAAAACATTGCTTCCTCAGAAAGGAAACAAATAATGAGCACCTGAGTTAATATAG", "ACAATTTATTGGGAGTTTACAGTGTACAGGTAACAGTCAATTTCCAAAATACTATTAACTGTAAACCGACAACTGCGCACTACATAAT", "ATTC", "ATATTTGCTAATGTAACAAAAAATT", "TTTCGTATATTTAGTAAAACATGTTGTAT", "AAAAACAAAAGAGCCTGAAAAATCAGGCTCTTTTTAGTTATATAAATCACTTC", "TTCTGAATTTATTTACGTGCAAAAATATCACTAATCAGCAAATTATACAACGTACATGCATAAAAAAACACCCCCACTAGGTGGAGGTGTTTATTATATTGAAAAAACTTTAA", "AGAATTAAATGATTAAATAATTGATAATGCAAAATAAATATAAAAATACGTATTAAACAAAGTTTTT", "ACCAAAATTTAACAAAACACTAACATTTTTCCTCGAAGACATATAATCGGGAAATCTTTCGTATTTTTGCACAAATTTACAAAAGTAT", "TCAATTCCCTTATAAACCAAAAGGATGATCAGTTGATCATCCTTTTTTATTTTAATT", "TTATAGTAATTTATACGTTATCGGGTGCAAGATACAATTTTTAAACACCATCTTGTGATTTAAATAAATGGTTTTATTTTAATACAAAATTAATTTTGGTAAAACTATTCAGACATACACTTTTTATTTCCTATATTTGTTACCCT", "TTTTTATAAAATTTCAAT", "TAAAGCCAGATTCTCTTCTTTAATCACAGAGATGCTAATAATAATCAGCTGCTGATTCTGATAACTAATCATTATATTTGCAGCAGA", "TTTTTTCACTTTACATGTAACAATTTTAACCTCTCAATTGTCTAATTGATAAAGAGGTAATTTTTAGTAATTAATTTTTATCATTGATAATCAACAAGTTAAATTCAGTATTAAAAATATTTTACTACTTTGTATTGCATAATACTAATTTATAATTATATCTTTGTAATGTAAAATATTAGATAATAAAAAATACTAAGCACTAATTAACACCTAAAATAAACTTGCT", "ATCAAACCAATATCAAACTATATTTTTCACGAAGTGCGCCGCAGGCAAAGCCTGCGGCGCATTTATTTTTATAAATATTTAGTT", "AATATTTATTATACAGCAAAAATAAAAAAGTTCCGTCGAATCTCTTCAACGGAACTGTTAATAAAAGTAAAGTAAGTAAGAATATT", "AATATCTTGTCTTTTAGTTATCTGTTATTTCAGACTTATCCACAAGATGAGTAAATGCCGGAGAATAAAAAAACTCTTCCGACAAGTCGAAAGAGTTTTGAGTATTTTAATGTAAAAATTTCAAACTTTTTTCAGACTTATCTTTCCCTTTTGGGGTTGAATGTGGCACCTTACCTTTGCAGGTTGCCAAGACTTCACCGGGTCAATTCCCTCTGTCTTTCTGGATAAGTAAATGGACTTCTATATAAATCCTCGACAAAAGTAGTAGATATTATTTATATCTGCAAATAAAATT", "AAATAAAAACTAGAAGCGCAAAGCTAAACAAATCAAAAATCTTCTACAAATGGAGTACTTTAGGACAATAATTCACTTTTAGAGTTATATTTTATACTAAAAATGCTACTTATACAAACCAAATCATGTTTTAAACAAAACAAAAGATATTTTATCTGAATTTAGATCAAATTTTAACTTTATATGAATTTTTTATCCATAAAAATACTTTTTACATGGCATTTTATCGTGCTGTACCTAATTAACAGTGCCTCTGTCATTCAGTATATTATATTCAGAGTTTCTAAATTCATAACAACAAGTTCTCAGCATTTAAAATAAAAAGAATCCCAAAATAAATTTTGGGATTCTGATTTATGATATTCTTTGAGGAATA", "ATTATTTTGATTTATTTGTCTAAAAATACTCTATTTTGCAGAATACTGCAAGGAGAGATACTTTTAATTG", "TTTTAGTTTTTTTAAAGTCTAACAAATTTATTAAAAACAAAATGGATAAGGAAAAATCTTATCCATTATTTTATGTATAAAGCAATAATAT", "AGGTTAAAAAGTTTTGGCTAAGTTATGGAAATGAAAGGACATAAAAAATACCATCCGGAGATAGTATTTTTATAATCATGAATTTGTAGCCCTATTCTAATATTTACTTTTTATACGAATATTTATTAAAATAGTATAAAGATTAAATTACTCCACCAAAATCTAAATATTTTATTTAAATTCAGCAAACCAACCAATTAATTCAAAAGTATTATC", "CTTTAGAGAATCTTGTAAAACAAAAAAACCGCTCAGAAATCTGAACGGTTTTATTTTTATATCTGAGAGAATA", "TGTTTAGGA", "AATGATTGGTTGAGCAAATTTTCAAAAAGA", "TGTCTTAGATTTGTGAGATTAAAA", "GATTCTAACGA", "AATTTAATTGCTATTAACCTTGTCTTTGTTTAAATTTTGGGTTCTTCTTGTTAATGATATAAAGACGTCCTTTACGTCTTACAATCTTACAGTCCGCACTCCTTTTCTTAATTGATGCTCTAACTTTCATATTTATTGCTTTGCGCTATAAGCTTTA", "AAAAATTCAATACTT", "ATTAATGGCCGGTAGGGAAATATAAGATGCGAATCTATACACCAAGATGAGCGTGAACGTAAAAACTAACTTGTTCCTAAGTTCTTCTAAACTCCAAATGTTTTTAAGTGTCTGTATAAATCCTTTCATTGTAATGA", "AATTCTGATAGATTTTAGATTTACTCACGAATCTTTATTTCTAAAGTTGTTCGTGAAAAATACGTTTTTCAGATTTTATATAATCCGGAGAATTTTTGGAAAATTCGGGTGTGAAGATAACAAATATTCTCCGAATATTAAAATTCTTA", "TGTTTTTAATA", "ATTGTCCGATTTTTAAAA", "TTTCTTAAATT", "TTTCCTTTAATTAAAAA", "TTCTTTATTTTAAATTATTGGTTAATAACTGCTAGTTTTGACTTTCGACGTCAGATTTCAGATATCAGATTTCAGACAAATGGTATGTCTGCAATCTGACATCGTCCATCTTATATCTTGTAAAGCCAACTTGGCAATCTCGATTAGTTAATAATAA", "TTC", "GTTCTGTCTAAATA", "TTTCTGTAAAATTTTAAAAA", "TGTAAGATGAAATA", "AATTCTTTAATTAGA", "AATTTAAATTTTAGATTTTAGATTTTAGATTTTGGATTTTAAAAAAGTAACGGTAATTTAAAATTTAATGGTCTAAAATTTTTAA", "ACCTTGA", "GATTTCTGCTC", "AA", "TACTATTATTTATAATTAA", "AGCTCTTGA", "TATTAAATTATATATCTATTATTTTGTTTAAAACTCAACATTTTAGCGGGTTTAGTTATGTACTATGTTTGAAATAGGCATACTTCTTCCCTAAAATGAGTGTGCAAATGTAGGAATATTTTTCCAATCTGCAAATAAATTTTAAAAGAAATTGTTTGATTTTTTGCGAGTTATAAATTTTAATACTCAAAAGTCTCAACTCGTTTTTTACATGCTCTAAAAAAATAATATTGAAAGAAAATTTCAGGAATTATTCTTAACATTGTAAAAACTATATAACAATC", "AAACTCGAAAATTAGTTTTTGGTATCAACAGATAATAATTTTAATACTGTATACGATCAACTTCAGCAGCCAACAGATTTATTAAAAGATTAATATATTTATCGCTTCAAATCTTAACTATT", "CCATCTGATTATTTACTTAAAACATAAAAACGAAAGCTCCTACTTTGTAAGGAGCTTTTCTCTTTTATAAACATCATACCTATATATTATAAAGTCACTAAGGTAAAAACACGGAAATGTAATTTGTTACAATCATTATAATACTTGCCAAACTATAAAATAACATGGATTAAAAACACTTTCGAAAGTAAGGATTTTTGCTTTAGACAACTTCTGTATTATATTATGCAACTAAATCATTGTATCTGGATTTAACATGAAGAAATTCATAGTAAAAAGCTTTCTCCAGTCAAAATGAAGTAGTACTTTTGCGCAATACAATATTTAGAATAAATAAAAATAATAAAA", "TCTGTAAAGGTGTAGGCTATTTGAAAACTCAATTATAGCAGACTAAACAGATCATTAAATAATAATAAAATAAACAG", "TAAAGAAATCCAAAG", "ACCAC", "AACAAAAACGAGCCGGAAAATTTTCCGGCTCGTTTTTGTTAATCTCTTGGCCATTCATATAGTTTATAAATAAGAATCATTATAATTCATTATCTTAGAGGCCGTTATCTGCTTACAAACAGATATACACAAGCATAAACTAATACCAAG", "TAAAATATAAAATTAATGCATAGCAATAAAGGCGATCTCTGTAGGGATCGCCTTTTTTCACGTTTCTGTTGTTTATTATAAATTGAATAATTTGCCCTAAAAATTTACAATAATTCAATACATTTGAAT", "AAACCAATT", "TCAAAATTCCACTATTAATAAACATGGCGCTAAGAATCTTAGCGCCATGTTTATTTGATTTGTAGCCACGAACATAAGCCTCGGCATACAACACTATT", "TAGTTAGTTATTAGTTAATTATTCTG", "ACCATATTTTGTTGCACAGGATCCTAAAACAAAAAGTATGATCCCTAATAATATTAACTTTAAGCTTCTCATTTGCAATGGCAAATATAACTATTCCGCAAAAAATAAAAACCTTACAGATTTTGTTTCTGTAAGGTTCATAATTTATTTGGTGATATTCT", "AGTTTATGGTTATTTGTTTTATTCTATCAAAAGTACTACTCTTCAGCTAATTAACCGTTATAAATTGTGACATTTAATCCCTGAAAACAGTGATTTTAGCAGTTTATCTGCTCCAAAACATATTAAATATTCAGGCTTTTCGCTACTTTCACCACATAAAAAACAAAT", "CTTTTTAGTGATGATTATATAAAAATTAAAATTCCTATGTACAGGAGCATAAAAAAACACCACATTCTTTCGAATGTGGTGTTTCTATTATCACGGCAAAGTATGCACGTTA", "TTTTAATCTAATTTAAAA", "CTTACAGA", "TGTACTGTTCCTAATTTAATGA", "GTAATTGCTTTATTATTTTTTATTTGAGGATGCAAAAATATAAATTATTTTTTGATTTACAAACAATTATGTTTTTATTTCGTTCAGAAAAATAACTATTAAAATTTGTAAACCATATCATTACAAATCCAACACTTGTTTTTTCTTCAC", "TATAAAATATTTTATGATTTGTATTTTTACATGACTGCAAAAATAACCTCCCTTTGTCATTAAGACAGTGAACAGAATGTTACAGAAACATTAAAAGTATTTAATACTCTTTTTACAATTATTATAATGACCTTTAGTGCTTATCTTTTAATTAGATTTAATCTATTGAAATGAAATTTAATAATAGTTTTTATTTATTAAATTTGTACATCGAAATATTAACATTAATAAAATAATACAA", "ACTCACCCTGTTATTCATTATATATAATGGATATATAATGGCATACAGAAATAAAGCAGCCCTTTTATTTAAAGAGGCTGTTTTTTATTTCGGTAATCTTTCGTCTATCTTCCTTATATTAGCATAAATAAACGAAG", "TAGCT", "TGATTTTCTTTTCTATTTTTGAGTAAATTTGCACAACCAAAGAAAAGAGCAT", "ATATTTTTACCTTCTTTCTTCTTAATTATCAGCAGACAAACCATTAATTTACGGTTTCCAGTAAAGAATACCTTTACAATTAGTTTTATATTGCCAAACAATATTTTGTAATTTTGAAAG", "AAAGAATCATTATCCTGCTTTTTGAAGTAACGAGAAAACCTTGCTAATGATAAATTTTGCCTAGTTTTGCAAA", "GAACAACCTGAATAACAAAAAAATCACTTAACCTGTTTTAAGTGATTTTTTTATATAGCTATATTAATGCATAAAAAAAGCCGCTCAAGAGCGGCTTAATAATTATCTTTGTAAGAAA", "AATAAATTAAATTTAATTTTGTTAAAAATATTTTTCTAAATTGTAATGTGTTCTCTATTTGAACAAGACAAAGGTATGGCAGCAATAAAATTTGTCTGAGAAAATTAATTGTAATACCTTTGTAAAACTATTTTACAAAATAATATAACTGATAATCAATAGATTAAATAAAAAATAAATTT", "TTTATACTGATTTAGCAGAGATAATATTTATGGAACAGGCTTTCACTACTTTTCCCACCGGATCAAAAGTATCTTCCATAGAGATTTTTACTGTAAAGAAGCCTTTTTATCATAACCATACTCTATTATAAAGCTTAAGC", "GCTATAAACGTTTTAAGTGAGAAAAATATTTTTGCAAATATAGTGATTTTTCAATTGAATATATTTGATCCGATACCACTACAATTTTCAATCCCCCTAAAATAGAGGTAATTAATTGAGTAAATATTTTAATAATCTAACAATATCGCCTTAAGATTGCATTCATAACAATTAAAATTTCAAAACACTTGTTAAACTTCGTTAAAATAAGCCCTTTTAAGAAAAATACAGTAACATTTGCCTTAAATTTGATTACTCATTAATAAATTAAAAAAGTACTATAATAAA", "TCTGAATAAGGATTAATAGAATAATTGACACATAAAAAACCCCGGAAGCAGTGCTTCCGAGGTTTTTGTTTA", "GATTTTTTTACTTT", "AGATTATTACTTTTTTTTAACAGTTTCAAAAGTACAAAAAATGCCTATATAGGCAAGAAGCTCTCTTAATATATGTTAAAATATTGCTTTACAAGTAATTAAAAATCACATACAACAAAAAAAACAGAGACTTAGGTCTCTGTTTTTTT", "GATTTTTAATTTATTAGA", "AATTTACAGGTTATTAATTATTCGTTTTATCAAAAGTATAAAAAAAAAGCACATATAATAATATGCACTCATAATTTTAGTTAATTTTTTCAGAAAAATTTATTTTTCAATATATATTGAAATTATTTATTTTACATAAAAACAGGA", "GCTCTG", "GATTATTTTTCGTCAAATATATAAAA", "GTCAGCAATCTTCTCTGTTTTAAGCAGAGAATCTGACTTTAAACTATCCGTTTTAACCGCTATAGTGTCTGATACAACCTTTTTCCCCTTAACAGTTTGCTTAGTATTCTGTGCTAAAAAACTGTTAAAAATTAGGAAAATTAAAATATGTAAGGTATTTTTGAAACCGTTTGAACCCAAATCTATTCTATAATTATTCGGGTCAAAATTAGCAAAATTTAAAAA", "TTAAAAAATTATTTTGCAGTATCAAAAAAACGTTCTATATTTGCACTCACAAAAATCAAATGGCCTATTCGTCTAGTGGTTAGGACTCAAGATTTTCATTCTTGCAACAGGGGTTCGATTCCCCTATAGGCTACAAGTTTTTTGTAAAAATTATTTTGGTAATTAAAAAGATTTTTATATCTTTGCAAAAGTTTTAAAAAGTT", "TATAAAATTTAGGGCCCGTTCGTCTATCGGTTAGGACTCAAGATTTTCATTCTTGCAAGAGGGGTTCGATTCCCCTACGGGCTACCAAAGCTCTCAACAAATGTTGAGAGCTTTTTTTATTTTAATTACATCTTATTCATGATTTAAGATTTTTATATTTTTCAGAATGAAAATCTAGTTATTTAGACCTCCCATTCTTTTAATAGTTAATTCATTATTACCCTAATTACTCTAGAAATACAATTGCGCTATCTTTTATATTCATCGTTGATAAAGTTATATGGTCTAGCAGGAACAAGATTCATTCATTTTTAGTCTATCATTACACGTATCCTGAAAAAATTGTAATTGGTTTCGGCACCAATTACACACAGGCTGAACAGAATGCGATAAGAAAATGTAAATATTAATTGCAATACACACAAATAAGTATTACTGTTTTTCATACTGAAAATATTCTCCCGAAAATTTAGCTTTACTTCTTCTCAAATTTCCATCTGACTTCAAATGTCTTAGACTCATAAAATATAACACACACGAAGCATATTAATATATAGTTATAAAACAATTTTGTTGCTAATCAGAATATGCATATTATTAACATTGACTATCTATTAAGTATAATATTCCATTGTACGCAAAGTATCAAAAACAGAAGAATACGATCAGCAGCCAATCTAAACAAATTAGATATATTTCATATTTATTAATATACATTAAATAATATAAATATTAAAAAGATATATTATTTATTTTATTATTTTTATAACAAAATACAAATATCAATCACACAAACAAATAGT", "ACCTAAAAAAACAAAAAA", "ACTATCAGGCTATAAGAATTAATTACACATAAATAAACATCCCGGAGAACTTTCTCTGGGATGTTTATTTATGTGTAATTCAGATGTAACATATTTATGCTCATTAAATTATTATAAAAAAGAAAAAAATATCCATAGAAGACAAATATTTTCTATCTTCGTACTTTCTCATATGT", "TTTTATTTTTTTAGCTCTCAATATGTTCAAACATTCTAACATATAACCATAAAAAATGAAGCTCATGTATTACAGGTATTTTCTTTTGCTAATGTTCTTTTGT", "ATAAAATATTTCAGAAAGTAGTGTAAAGCATTATACACAGTCAAAAATAATATCAAAACTTGTTATGACTATCAATCCGCGGACAAAAGGAATCGAAACAGTGCAAATATATGTATACTATGAGACCAACTTACTTAGCTAACATCATATAATTCTGTATAAGATCATACCATACAAAGCAAATTGTGGATAAACTGTTAGAAAATATGAAAACCTCAACAACAGAGGGAAAATTCCAGAATATTTATTCACCAAACACTGTGGATAAGCATGTAAAAAGAACCCCTTTTGTGGATAAAAATGTAAAGTTCAGCTGTATGAATAGAAGCTTAGTGTTATACCAGAATTAGCAACTTTCAGAGGTAAGGATAATGTCAAAATAGAAAAAGGAATTTCAAACAACAATATCGCCCCGGATTTCGTATCTGAATATGGTATCCGGCAGAAAATACCCCGTTTGAAATTCTCATACAGGAGAAATTGTGGATAAACTGCCCCAGTATCAGAAAATCCACGATATAAATAGATCAGAGCTTATTTTTTATTCACCAAACATTGTGGATAAGCATGCGGAAACAGCCCCTTTTGTGGATAAAAAACAGCGTTAATCCGTAAATAAAAAACTAAAAAA", "ATAAAAGAATACAA", "ACGAT", "GATTATAAAATAAGAACCAGAGAACTAATGTTCTCTGGTTTTTTCTAATACCGGACTTCTGA", "AATCTCATAAAGTTTAATAATACCGGTTTTCTCATCAGAATCTGATAAGCCGATATATGATTGATAAAAAGTATGTGTTAAATAAAACTGAGATTTCCCATATTACGACAGGGTATAATGATAGTACAGACATTATTGTCTGCAAACATCCCTTAGTAACTTCAGATACTAATTCGTAATATGGTATTTACGCGGAAAGAAAATACATGATACAGTATATTCCGATATTATTGAAGCAACAAAATTATTCTTTTAGCTTCACAATTCCAAATCAGATAGTTACAAAAAATTCCGGGAATTATCATTCCCGGAATTCGATTTAAAAGTAAAGTAAGATAATAA", "GTTCTTTATTTTTAA", "ATTAATATTTTTTAATTATCTATCAAAAAAATTCCCTTTGCATAATAGACAGCAAAGGGCATTTATAAATACAAAATACCTATTGCTTATCTTTCCTTAAAGGCTGAATGTAACACCTTGCATCGAGCAGGTTGTTAAGATATCATTGGGTCAGTTCCCTCCATCTTTCTTTATAAGCTTGGTTATAATTATGCGACAAAGTTATAAATAGAACATCTATCAAACAAGTAGATTTTTAGATTTGTTAAGAATTTTTAACTCATTTGAAATTGAAAAATTCACAAAATACTGTTAAATAAAGAGATGAGGAAAATTCAATAAAATTGAAAACTGATAAATATCATGTAAAATAAGCGATTAACAAACAGTCGTTAGCAATAAGTGAATACAACGTATTATTTACAGTTAATTTCTGCTTTTTAGCATCATTAATACATAATAGATATTGGATTTTGGTTGTTTTTATAAAAACATCTAAATTTATCCT", "AAAACAACTACTTTTACAAATACAAACAAAAAATCCTCAGTTAAAATTAACTGAGGATTCTTAACTTAAGAAAAGTTTATC", "TACTTTACTTTTCAATTGGCTAAAGTTAATAAAATTTAACAAGTAGCCTCAATGATATTCATCATCTTACAAAAAAGTTTATATAAACCTGATTA", "AATGGGTAATTAAAGAAGAGACACAAATTTCTTTGTGCCTCTTTATTTTTATTCTGA", "TCGTTTAATTTATTTTGTTTGTAAAACCTACCGATAGTAGTATAGCGCTTTAGTCTAA", "TAGCGTATATTATTATGCAAAAATAAACTTTTTTGTTT", "CCTTCAAAA", "CTTGTTGGAATGAGGTACAAAAGTAGAGATTTTTTTGATAAAGAATTACTGATTCTTAAAGTCAACCAATTTCACTATGCGATCTTCTTTACTGAGGTCTATCTATAGCCTAATCAGCAAGAGCTTTTGTTCAAAGACAATCTCCTTTTCAGCATACCAGATAAACATTTATTATTATCTCACTAGTACTGCTTTTGGTTTTATA", "TGTTTTTTGTT", "ATTAACAGATTTATTATATAACTATTAGGTTTATTAAAGCAACAGGAATCTGGTATAGTACAGGATTTAAATGTTATACCGGATGAAGGAAATGGCAATGATTGAAATAATTACTGAAACTAAAATGT", "CCTTATTATTTGTGATCGATCGTATATATACTACATCACATCAATGCTATTTCCTAAAATTATTTCACTATCAGTAATACTAATATATTTCTGTTAGTGATTGTTTCGCACAGCAACTATGATTTTAAATTTTCCTCTTGGTGCCTGTGCGTTTCTTTACACATCCGATTTTTCCGGATCATACTCTTTTATAAAA", "TGCTCGTTTTGTTTCAATTAAGAAACAAGTATACGGAATATTTTCTAAATAATAAAGTTTAATTAGTAATAAATCTAAATTTTAACGTT", "TGTACTTTCTAAAAATATAGTAAATTAGCTACACATATTTTTCTCATTTTATTATCCGTTAAAAAGTAAGTAAAACTACTTTCACCTTTCAACATATCTTTTTGGATATTAATCACTTAATTATTTATAAAATAAAAAGACCGGAAGAAATTTCTTCCGGTCTTTTTAGAGCCTGTTAAAATTTTATAGTGAATACTCTTCGACAAGCTCAGAGTGACATTGCCAGTCTCAAAACATCTTCTTTTTAGAGATGTCAGACTCAGTTCAGTCTATAGTGAGCTTGACGAACTATCTAAGCCAAATACTTTTTTGATTTAAAATCTATCAGTCCCTTATCAGTAAATACAAAATTATAATCAAT", "TAAATAAGCGATATCTATAATTTTATAAAGAAATAGGGCAATTATTAATACATAGCATAAAAGTGAAATGGGACACAAAAGCATCCCATTTCATTTATAAAACCTTCAATA", "TTTTCTAATTA", "ATTTAAACAAATTTTAGTTTCAGCTTTCTATTCTTATCTACTTAAAAAGAGTTTAGCACATGAAACAATTGATTTCGTATCCTTAGTATTTATGACAAAAAGTGAAAAGTAACTTTAGTTGAAAAATAATTTGCTTTATGAATGTCATATAAATGCAGAAAACCGGCTAAGAACCAAATGCACAGTGCCAAACTCAATAGTATTTACTATATCATAAGTAATATGTGCTAAAGATAATTTTGCCGTGTTGATTGTCATAAAAATTAGCATTCCATTACCTTACTTATAAGCATACGATTTCTTACTAATTCAATAAAACATTATTAAATGAGCATTTCATAGAATAGTAAAAAATATAAATCAGGGAAAATTTACAACTCTTTATACCCAGTGACTCCTCAGAAAAAGCTTTCGTATGAAAACGAATGAAATAATCCACCCTGTAATGTAAAATACTTATTACTTTTATTTAAAATTCATAATC", "AGTCATGAATGCTATATTACACCTTCATAATTCTTGCACCCCTTTTTTTTGTCTAAAAGCAGAACTACTAAAACAACTGATAGGGAATATATTAGTAATCTTCTGTTACAATAACAGCAGAACTATATACAACCGTATAAATAAAAATACTACCAAAACAATCACACTGTATATACATCAGGTTTTATTTCCTCAGCTTCATATTAACATTAACGACTATTAACTAAATTGTTAATATCCTGACATATTGAAAAACCCACTTTATCACCTTACCTGATAACTGCATGACATATAAGCTTCAAAATCACAAAAAGACTATAGTGCATGAACCAGTACCCCTCATACTTATGACAAAAAAAAGTAAAAAGAAAATAATTTGCTTTATGAATGTCATATAAATGCAGAAAACCGGCTAAGAACCAAATACACAGTGCAAAACTCAATAGTATTTACTATATCATAAGTAATATGTGCTAAAGATAATTTCGCTTCTTTAGCTTTCATAAAAATCCATATTCTATTACCTTACTGTAGGCATGTGATTTATTACATGCCTAATTAATTATTTGAGGGGGATTCTAAAAACAGAAACAAGTGAAGGATTTATATAGGCTAACAACACATAGTTGTTCAAAAAATGTTTTCGTATGGGAACGAATGGAATTTAGCCCCTTAAATTTATGTATATGCCGTAAATTATTTCAAAAATAAAAAGCGACAGATTAATCTGTCGCTTTTTATTTA", "TATTGAATAACAAATA", "ATTAACAAATTTTAACTTTTGAGGATTGCAAATATGTTAATATTAATTAATATGACCAAATTAAATTCCGGATAAAGTAAAAAAATAGATTATTTAACTGCTATTGCTTATCCATAAAATAATTAATCAGATTAAATATCTACTATCTCACAATATAAGCTATTAGATTAAAGTTAAAAATTAAAAGCTCCATCAAATTGATGGAGCTTCTATGCTATTTTATATAAACAGAAA", "ATGATTAAATGTTTAAAATATGGAAAACCACTTAAAAATCAAGTGGTTTTCTTAATA", "TTTTTTCATTTT", "ATTAACAAATTTTAATATTTCAACTATTACAAATATGTTAATAATATACAATATAACCAAAAAAAAACACAAAATAACTCTATTAAAAATAAACAAAAACACACTACAACCCCCATCATTAAAGACATTATATAATTTTAATTTATTTTCAATTCAAAAAATTTAACACAATACACAATCTCATATTTTAAATTTTTCTTACTTTTAAGTAAAATCGCATATCAATATTTTTATAACTCA", "AAAAACGAGCTCATAATGAGCTCGTTTTTTTATATAAAACCTTAAGTC", "TTTAATTCTCAATT", "ATTAACAATATTTAATATTTCAACACACAAATGTGTTAAAAAATATTAATATGTGCAAAATTCATTTTTTTTACACCATTTTAGCATGTAATTAATAAAATAAAAATATGAAAACAAACTAATATCATTAATAAATTAAAATATTAACTTATATAATATTTATATCATTACATATAATGAAAACAATGATTAATAGTACATTTTTAAAAAAAATAACTATATAGAATATTTTTTTATACACAATAAATACACAATAAACCAAATTAAATAGACATACTATATAAATACAATAAACAATAAGTTAATTTAGTAACAATAAACAACCAAAACACCCACTACAAAAGGAATATAAGCAATTTATAAATAGCCATAACAATATTTAAATTTTTCTCAATATAGACTAAAATTAAATTTTCATAAAAAAAAAGCCCCTGTTAACAATAACAGGGGCTTTGTATTTATAAATTGAA", "AAAAAATATTCGTTCTTTTGAATTTTTAAATTCGTAATTATTATAAAAATTGGGTTAAGATCCAGA", "CTTTTTTATTTATCTTTTTCAA", "ATTTCATTGTTATGTCTACGAAAAAAGGCTTTCTTCCGAAGGCCTTTGCATTGAATTCCGTAAAACTTCTGCAAATATAGTTTTTTATTTTGAAAATCACAAACATACACACAGAAAACCAACATCTTAATAAAAAATAAAGATCCGAAAAACATTCAAAACCAAACAATTATTCTTCAAAAGGCTTTTTTTGTAACTTCACACTTCTAATTAACCACAAACAGAAAACCAC", "GCATAAAAAAGCGAAATATAAGAGAGTTTATTTAGTATTTTTGCATATTATCTTTTAAAGACAAAACA", "ATCATATTCTGAAGCAACAAAGTATTGTTGCTTTTTTTTATGCGAAATACTGACTGGTAAAGTGATATCATGTTAAAAAAATATTAAACCTGATAAATTATAGAATTTTTCTATCAGATTTTGCATATTTTTAGCCCCGATAAATTTTAATTTTTTATTT", "TTAAGATCAGTGAATTAATTTTTGCATTATTTTTGCATTGTAAAGTATTCATTTTCAGAAAGTGAAATATATAAGTATTATCATATTAGGAATTTTTCTCAATTTC", "GACACTTTCACAGCTTTTTACACAAAGTTTTTTATCCAAATTAATTTTTCTTTTTATTGATTTATTGAGAATCGATTTTCATATCATTATTTTTCAAGCGAAAAAAACTAATTCCATGAATTCTCAAATTTTTCAATAAAAGCATACTTGTATTCACTTCAGGTATGATGTATCAGCTATTTCAATATCCAAAGTATTGAAACAATTTCTGATTCAACATATTCTATATGCTGGCAAATATTTTATGATTTTACATAAGGTATTGATCCATCATTAAAATCAATATT", "TCAGAACT", "TGTTTTTATTTAAAATAATATGAAAT", "ATCGGGTAACAGCGTATTTTTTATAGAAGTTAATTGCACCTGGTATTAAATTTCATTTAAACGACGAAAAACGGATTCAGTACTTCCTGAAACCGTTATCGAACTGCAAATTTACGAATAATATAGTTATTCTTTAATAGAAGATAATTGAAAATGAGGCTATAAGTAAATATGCACTGTTTCGCAGTCATAAATCGTATCTATTTTTTCAGCCTTACTCCATTTAAGCCTGTTTTAAACTGTGATAAAGTTTTGTTAAATTAATTGTCGCCGAAATTTTTAGCACACAAGTTGATACGTTTTTAGTGTAACTTCACAGAAGTAAAAATTTAAATTACACATACAAAAAACCATTAAAAA", "TAATAATCAATCCAAATTCTCTATAACACCGTTTAGTATCAATACTAAACGGTGTTTTTTGTATGTCTATGAAATATTGAACCTGACAGCTCCGACAGAAGATTATATTATTACTGAGTCATATTCAAAACAAACTCTCATTCATATGATAGAAAATATCTTCTGATCATTCTCACTTTTACAATATTGGTTTCAAGTGGAATTATGTAATTTTGCTCTCGATT", "TCACAGAATCAATTTCTTCAATATTTTTTATACT", "CACCAATCGCACACCATCAAATATTAACCGAAAAAACATTCAAAATTATAATATACAGTTAAGACAAAATAAATATCATGCTCGGTACATTAATACATGAATATTTATATTTCTTAACACGATTTTTTCCTAATTTAGAGGAGAAATCTTTACTTAAAATTTATTTGTGAATAAAGCAATTGTGCTTTTAGTTTTTTAAAATTTATCTTTGCCTC", "GTTTATACTTTATTAACGAGAAGGAATATAGACTTCAAATTTTACAAGCT", "A", "A", "CATTTCGTTAAACTCTGTTAAAAAAAAAATGTGCATTTTGCAACCTGAAACTATCTGCGTATAAAAGTAAATCAATAAT", "TTAGAATATACAATAATAAAAGAACGGGGCTGCCTTTGTAAGGCAGTCTCGTTTTCTTTTTTTGAATTTTATGGTTCTTTAATTACTGTAAATGGTTCTTTTTTATTACCATCAGATTGCCTATTTCTTATTTTACAATCAAAAAACAGCACAATAAATATATGTTTTTATTAACAAAATTTGTGAGGTCTGATAATTCCAACAGATTGCAAAAACCTTTGTAGCCTGCATTTCTACGGGCTGTAGTTCAGATGATTTCACACGAAATACAATCCTGATTTTCAAAACTTTGAAAATTTAACAATTTGTTAAACTACGTTAAAAAAAAAATATGCATTTTTGCACTCCAAAACTATCTGCATAATAAAAGTAAAGTAAATCAATAAT", "TCAAAACAACATTAGAATATAAAAATAAAACCCGCCAAATGGCGGGTTTTATTTTTGCTTTTTTCTTAAAAAAATTAACATCATGTTAAAGAATGTTAAAGAATAAATACTCATATTTGCAGCGTTAAAAATCAACACTGTTATTT", "CATTGGTTAAAAATCATCTGAATGATAATCAATTAAACCCGCCAATCTCGGCGGGTTTTGTTATATTTGTTCACATTTAAATTTAGATT", "TTATAGCTAATCAACAGCAAAGAAAACCGATAGCTAAAAACTATCGCCTATTTACTGTTATATATTAAGTATTAAGCTTTTATTTCTTAATTTTGACAATTATTTAACCAATATATCATACTTTAAA", "AATAAAAATCAAGGCATCTTCTAAAGATGCCTTATTTTTGCAATATATTTTTCACGATAATATATCAATTG", "ACCAATAACAAAAAGCTTTTAAAATATTATTTAATACATCTATATCGCTCTGTTTCCGCTTCTATAAATATCATTTAGTATATTTGTAAGGAGATCAGACT", "GTAATTAAGAAAATTTAG", "GTGATCCCAATGGTTAATGAATATTTCATGGCATTGCCTGATTAATAAAGCTTAATTCCCTAAATATCTTAATGGTTAAAAATAATATATTATAAA", "TCT", "AAATGTAAACAC", "TTAATTTGTGGCATATATTTTGACTCTTATTATTTGGAAATGAAATTAATTCTCTTAAA", "AATTGAAAGAGCTATAAATACCAAGCCCCTGAGCCTTCTGGTTCGGGGCTTTTTACTTTTACAATAAGCCTAAAGTTCTTCTTCGATTGACGCTGTTCCGGAAATACGGATCAGTTACATTTTCTGGGGAGATACAGAGAAAATTCCAGCTGTGAGATAGTATTCCTCCGGAATACTTACTTTCTGATACACCTGTTTTTACACAGATTAGGCTCCTCTGTAGCATTTTTCTGATTTCTTGTATTATGAAATATGGTTCATCATAATGAATAGGAGCTCCGTTAGGAGCTTTATCTCTATAGATTATAATTTATGAATATTCAGGGCGTTCCAGAGGAACGCTATCTTAATAAAGAAAGGGAATCTCATTCAGATAAAAAATCAAAAACTTCAGAATCCAGATCAGAGGAGTAAAGGACAGCGCCTTTTTCTATTCAGATTAACTCAACTTTTTGCGTAGTCCCCAACTTTGCACCTGATCCGGGAATACCCTGAACCAGTAACGAATCAGAGACAAAAGTTGAGAGGTTAAGCAAAAAACAGACGTATCAGAAAGTACATATCCACCTCACACTAATAATATTTCCTCTATATCTTCCTTAGATTTGTAATTGCCTCTAACAATATGAGAACTCCTAAATCTGAGCTCAGACTATTTTAAAATAAAACCGGAAGAAAGTTTCTTCCGGTTTTATTTTTTGAATAATCAGATTATA", "TTTTTGAGTTTTAAAG", "ATCTTAAATATTGTTTAGTAATTATCGAGATCATTTTTCGCAAATTTAGTGTAATTTTATTTAGCGGAGCGCATTAAGCCACCCCATTGATGTCATGTAAAATTAAAGATTTACTTAACATGAAATTGTTCGGTTAGAGAATAAAAAGATTATCCAACAATCTTGATATTTCGACATATACAAAGAGGATGAAAAAATCCACCCTCCTGATCACATTCATTTCATCTATTTATACTAATAACCTATAACACAGTTCTTATTATAGCTAATCAACAATTTTTATTACTAATAATTATAAAGAGTTAATTTCCTACAATATATTCATAATAGAAAAACACTTATAAATTCCATTTACAAAATGTTATTTCAACATCTGTATCA", "ATT", "ATTATTTAATTTTTAAAACAGATTGTTTAATATATTTTTCATGTAGCTATACCACTTTATCAGCCATTT", "TGGTGAGAATGTCCAGTTAATACCATCTGC", "CCCGAAAATCATTGGAATCTTAAGACGGCTTTTTTCTACAGCTACTTTCTGTACGTCTCTTATTTTACCAACTCCTTTTATGTTGAATAATCCTCCTA", "ATTATTTTTAATTGATTTTATACAACAATAATAAATATACAAATTATTATCTAAAATAACATTTTTTTTATATTATATACTATAATTCTACAAAATAAATGAAAATCATACAAATAAAATTACCACTTCAAATCTGCTTATACTGATTATAAACTATTCTTGACCATCTTGAATCGTAAAAAGTTTTCACCAATAACATACTATGCTTATCAAGCAAGTTTTCAAAAAATATAAGATAAGAACACTTATTATTAAGTGTTTGAGCTATTAAGAAATATAAATTATTAGGATGCCTGTCAAGACGAACTCATTAAAAGACTGTATAAAGAATTTCAGATGCAATTATGGAACGATTGCCTCCGATAGCTTATTTTTTTTCTAATCTCAGAAAACACAACGTTTCCACTTTTCATTAGACCTTAAAGGAAACAAAATGCAGATAATATTTATAAACACAACTCTATTTCGTAACAACCTAATATTTATATTATTAGGAAGGTTTAAATATTAGATTTTTTTCACATGTTAGATTCCTTCTTATAATGCAACAATTTGTGCTTTCGTATTTTTAAGCCTTTCTAGTTCTGAATAATTTAATGTATTTAGGACTGATACACGTGCGGCTATATAAAGTTAAAGATTTATTTCAATTATCTTTGTAATTTCAAACCAATCTGAC", "AAATTTAATAACCGCTAGTGAAGCGGTTATTTTTTTGCACTAAATTTGATATATCTATAAAAATATTGATT", "AATGTTTTCCCTGCTCATATTATAATAACAATTGCCGGATATAATCCGGCAATTGCATTGAAGTTAAAGTAACTATATGAAAAAACTTTCATATTAAAATTGAAA", "TTTTTTCATC", "ATTATTATTATCTCTCTTGGAGACAATAATAATAAATTTTAGGATATGTTAACAAAAAATTTAACTTTTCTTAATATTCATCGGTTATAAAAGCTTATTTTTGAATACTAAATATTCGTTTTTGAGCAAAAAAAATTCACTGAAAACAGGGCTCGCACTGAGTTTTTTTACATTTTCA", "ATACTAT", "AAAAAGGGAACAGATTCTGTTCCCTTTTTTATGCTAAAGAAAAGTC", "TTTTAATAATTTTAAAGTTAATAATCAAAATTAAGGAATAAAACCCGGCAAAGCTAATTTGATTTTTAAATTTTATAAATCTTTAACATAGAAAAAGCCGTTTACTAGAAACGGCTTTGATATGGT", "AATACAATATATTATTGTGTTAAAATACTGGAATATGCACTGATTGAAAAGACAAGAAATACCGTTTTAGCATTTTTTTAATGAGGTTGCAATCAGCACAAATTTTTCCTCTCAATAACTTGGTTGCAAATTTACATCTTTTATTTAAAAAACAATTAAACAAAAGATGCTTTTTATCATACTAAGTTAGTAGAGGTTTAGC", "AGAATATATAAATTAAAAGTATAACAAATATAGCTATTTTCTTTTTGATAAAATGCCTAATTTTACTAATCCAAAAATACTTAAATAAAAATACAGTT", "AATATTAATTTTTTACAGATAAAGCCAAACTCCTATTTTGTAGTTTGGTTTTTTTTATTACTTTTAACTATTATTCTTTATTTTTTTTCAAAAATTAGGGATATAGTTCTTACAAAAAGAAGTAAAT", "AAATAATATCAAAAACACACAC", "T", "ACTTATAAACGACTCTGAAATAGCCGGAATATATAAACATCATAGGTTTTAGAAACCTATGATGTTTTTTTAGTCAAAAAAATAAAAATGCTAATAAGCTTTCTGTCTTTATCTGAATTCATGGTCTTAAAAGTCATCAGCTAGCTGATACAGAAGCCCTTTATTT", "GTTTATAACTTTTAAAATTGACAATAGAAGAAATAGAAGTTATATAATAATAACTCCTAAAAAGTGGTGTATGTTAATTTAGAAAAGCTAATGCGTTAATTTCATAAGACAAATTTATAAAATATACCGAAACCATGACTAATAAAGCAT", "AGG", "ACGGCAAATTTAGCAATTTT", "AAGTAACATTTGAATTAAGGAACGGCAAT", "TACAATTGGGAGTTTATTAGTAAAGGGAGATAAAACAACCAGCAGATTTAAATATTAAATCTGCCGGATAATTTTTGTTATTTAGAAGAT", "GGGCAAATTTATTATTTTATTACAGGATTGCAAAATGGAAGTAGAGAGTCATTTGTATTTTTTAAAATATAATCATTCAACGCATTATCTATCTCACCTACAGTAAAAACTACAGCACACATAAAAGTGTGCTGTAGTCGAAATAAAGTAAATTAATATAGAAGATGAT", "TCTCACATATTAGTTGTGGAATAAAAGGATGCACCTAAAATGACCTGGTCATTTTTACTTAGTTTCCCTTGGCAGCATTACCCGCCCAGGTTCATAGGGTATAATCTCAGCCTCTTAGAGGCACCCCTTTTTCCGGGAACAAAGCTAAAGCAAATTTCTGATATTAAAAAATTTTAACACAGCAGAATATTAATCATTTAGCCTGTTAATTTCACATAAGAACTAAGAGCCTGCTTTAATTTTAATTCAAAACATGTTAGACTTCGACAGGCTCAGCCTGACACCTCTAAAAGAAAGATATTTTGGATAAACAATGTCACTCTGAGCCTGTCGAAGAGTATTGCACTATAAAGTTAAGCCGATTTTAATATTTATAAATTC", "TTGCGTTGTGTTTACTCACAAATTTGAGAAAACTTCTTCCGTCGGACAAATTTTTAAGATTTT", "AATAATTTTAATTCCCCGTAAATATAGTGCAAAACAGGTAATTTACACTATATTTATAACCAATCTAAATAATATTCACCTTAAAAGCCCGTATAAAATTCGTATTTTTGTATACTTTTTTACACCAAGTGTGTGATTTACTAACGAATAAAACTTTGTAGCAT", "TTAATTTAATTTCTCATGGAAATAAAAAAGCCTCGCATTTTGCGGGGCTTTTCTTTGAAAATAATATAGAATTATGGATTGAAGGAA", "TGTTATTCTTTTTAGTTTAATAAA", "TTTTTTTGATTTTAAAGTTTAATAATAAAGGCCTTTGTATTGAAGACGAAAGAAAGAATAGCTTTACTTTAAAATTTTTGAAAATAATTTTAATTTCCTCCGGAAACATCTTTAGACAGGAGAGAAATTAATTAAATAAAAATATCAAGTAGACATTATAAACCAGTATAAAAAACCTCACAGGTTTTGAAAACCCGTGAGGTATACTAAATAGAAATCCCGAAACTTAGAATTCCGGGATTTTTATTTTA", "ATAC", "TTCATTCAAATATAGCGAGTCCATATTTATGAAGACGAACCAAAAACAAAA", "AT", "GCTCAAATTTAATGCTAGTAAAACATTTTCCAATAGCCATTTTCGACAAACCTGCTTTTTTCATCGACGAAAAAAACAATCATCCCGTAAATACCCTGCTTCGTCTAAATGCTATTTCAGAGGGTGTTCACACACTATACCTTTGGCATATCAAAACGATCAGATAAAATATTATTTATAAAAAAAACAACT", "AAGGTTTTCTGAACAAATAAAGAATAATTAATGTAACAAAAACACACTTGTAATTGTCATTCTATAAAACAATACTT", "AATAATCTATAAAGCCAGCATCCTTAAAATGACCTTGAAAGCTATTTTATTCACTATAGTTTGAATTTTAAGAAACTTTAACAATTCCTACCTGTAACAATACTGCAATTCTTAAGTCATATTGATAAAAAGTAAGATAACAGT", "TATTTTTTCTGATGGTAATTTGATTAATAAAAGATAAAATCACTATCTTTTCATTTTAATTCAAATACAAA", "TTTTCTTATTTTGTTTTTATAACTATGTCATGAATTGCCCACAACAAAGGAATTAAATTTCATTTATTCAAGGCTTCGACGGGCTCAGCCTGACGGTATACAGACAATGTCATTCTGGGCCTGTCGAAGGATATTGCTTTTATCTAATTATCTTGCTAAGGGTTTGAAACCCTGACAAGACTATT", "ACAATTATATTACAGTTTTTTATTTTTTAAAACCTCATAGGTTTTGGAAACCTATGAGGTTTCTTTATTTTAATAACAGGAATTAATCCAATCTTCTGTTAAGCGCTCCCCCTTCAGGGATAATATTCGTTAGATAATGGTAAGAAAAAAACACCTCTTTACTGAATATCAATACACTTTTACTATCAGGTTACTTTTTAAACCTCATAGGTTTTGGAAACCTATGAGGTTTCGTTA", "TTTTTGTTGTTTTTTAAACCACAAAAGTGACAAAAGAAAAAGCAACCTTTTAAGTTAGGCAAAAGTTCAAAAAAGCTTACAGTTTTGAACTTTTGAAATTCTTGTTTCTTTTGTGGTTAAAGTT", "TTTCAGATCAAATTTTAAAAACAGGGGACAAATTTAGGTAATTAATTTGCAAAAATGCAAATTAATTTAATTTTAAATCTTTGAAAATTATATACAGAAGATAATATTTTGGAATATCAAGTTTAAAAAGATAAAATATCTAAAAACAAAAACTCTAATCTTCGAGATTAGAGTTTTTATTTATTTTCGGGTAAAAA", "GTA", "ATTTGTTTGTGCTAAGGTTGAATGGGA", "CTTTTTGAAAGCTAATATGATAATAATCAGACAAATTTAGCGTAATTAAACTGCTCCTCAAAAGTTTTTGTCATAATTTTGCATGCTAAAGCTATAATAAA", "CCAAAACCCCAATATACGCTTTTGTTATTTAGAAGCGTTTTAAATTAACGCTTTTTAACGTTCAATGACTAATCTCATAGATAATATGTAAATTTGCAGAAGCAATTGTTTCATATATTTGCACAACTT", "CGATTTTATAAA", "AAAATAATAAGAA", "GAAAAAACGAT", "TAGCCCAGCTATTACTTTTTATTTTTTATATAGGACTGAGTT", "TT", "AATTTATTTTGTTAATTATAAAAAGAAAGCGACCAAATATATTGTTTGGTCGCTTTCTGTATATTATTGAATCTGA", "AATATAAATTGTTTTGCAAAGTTAAAAAAACCATGCTGTAAATTATAAAATTCTGAAAAGATGTGTGTATTATACCGGAAAA", "AAGCAAAAAAATAAAGGCTACCCCGAAAAAAAATTGCCATTTCCTCATATACAAAACTAACAGCTATAAT", "CACAATACAATTTAATT", "TTTTTTTAGTTTACCAATTTACCAATGTAACTCTTTACAATATTGCTACATTGTTATATTTTTACATTGTTATA", "ATCTAAATTTAATA", "GAATATATATTTTAATGAGCAAATATAAGGTTTTTTAAAGTATGAAAAATTATTCAATAAATAGAAAAAGCAACCGCTATCGGTTGCTTTTTCTGTTGTTTAGAATATCTTTATTT", "TAATAATGTATTTTCGTTTTAAAATTATTTGTAAACTTTTATTGAATATCAAGGTGCGAAAATAAACATTTTTAATGGAATAAAGAATTTTTTTTAGTATCCCCGTATAAATTTGAAAGCAGAAATTTTGTCCTAAAAAAAAATATATTTCATAATGGCATATCTATTGTGCCATTTTATCTGCTCCCGAAAATTCAGCTGTTTATATACTAAGCCGAAGCGGAGTTTATTTTTTTATGACAAACTGACATAGT", "TCATTCACAACATAAAACCTATTATAATTATAAACTTCACATGCTTTTGTGAAGTTTATTTTTTATAACTTTGATATACACTATTAAATCATAAACTT", "ATACACTAATCACTAAATATTTAAATAGCCGTCCAAATCTTTTGGGCGGTTTTTTATTCCTGTACATTGTTTAAAATCCCGAATAAGAGAAATATTATTTTACAAAACATTAATTATCAATTGGTTAAATCATAAAACTCATTTACAAAAGTTTTGCGATTAATTTTCAAATGCACAGACAAGAACTTTTGGAACTTTGGAATAACTAACGATCAAAAATTCTTGTT", "TCAAAAGGGCTGCAAATGCAGTCCTTTTACTTTACAATCTATTCATACTCAAAAAAGTAAAAAATTCAATACGATTGCGTAAATTTGCGTCTTAAAACGATTACGAAA", "ATCGGATAAATCTAAATAAAGTAAAAAGAAAGAAA", "TGAAA", "ATAAGACATTTCAAAGATTATTGCTTCAGCGGTTAATATAGAACCAGCAAACGCAAAAAATCTTAATTTAAATAAAAACATTAATTTCGCAGC", "GTT", "ACTAACCTTAGAAACTAATAAAAATAAAAGCCGGATTG", "ATTGTGTAATTTAGTAATCCTCTCCAAATATATGATTTTTGAAGAGGATTAATTAGTGATCA", "AGCAGTAAAATTTATCGTGATTTCGCAAAATTCAAATTCTATTCCAAAAAACAAAAACCAATTCAGGAATTATGTAACTTTATTTAATAAAATCTGAATCAGATCTTTATTTGGTATAAAATTAGTATTTTAATAAAAGCAACGATCATTTAAATTCCAAAAAA", "G", "ACTATATTCAGTCTGAACAACAAAAATACTAAAGAAACAGTAATTTACAGAATCCTGTCTATAAAAAGAAACCGGAAGAAAATCTTCCGGTCAGTTTTTAAAGCCTG", "TTCTTTATTTTTTTTGTTCACACATTG", "TGTTTTGTTCTTTAAATTCGTGATGCAAATGCTTTAAGCAACGCATCGACAATGCTGGCCACAAATTTTAAGGCCGCATTATCTTAATCAACTATACAAATAGTCGAAAAAAATTATCACATTAAAATTTGTGATAAAAATTAATTTTAAAATAGAGATTCGGGGAAACTAAGTTTGAAATATTACGCAATAAAAAACCCGAAATCTCTACGACTTCGGGTTTTGATATTTCATTGTACTGTTATTCTAAGAATGTACCAAACCACGAAGCCTTACCACCATAAGTGGCAATCCAACTGTAGAATTTTCCTGAAATCTGAACATTACTTCGCTGTTTTTAAATGGTTAAACTTTATTTTGAGCTGCAAATATATTAATTTTTATAAAAACAGATATATTTTTTTGTTATCAGCTGCTATACATCCTATTTTTTTATTCAGACTAAATAACAAATGCATATCACGCAGGCTTTCTCAGGCAATTTTAAGGCTAAAACCTGTACATTTAAATAACTAATTAATAAATAATAAGAT", "ATATAGTAAAAGAGCTGCCCTTATTCAGAGCAGCTCTTTTATTATGATATA", "TCAGGTTTAA", "TTCATGAAGTTTATGTCCGTAAATTTAAAAAATATTACCAATACACACTCAGATTACCAGATATGTTTTAGTACTTAATACCTTATTCAAGCCTAAAAGAATCATTTCACTGAAAGTCAATCAGTAAATCACAAAAAAAACGGACTTAAAAGTCCGTTTAAATTT", "AATAAATCATTTATTGGTGAACTACATAGATACAATATTTCTGCGAATAAAAAAAATCATATACTTAAGTATAAATTGAATACAAAAAAAACGGGCTATGTAGCCCGTTTAATCTTTATTCGAAAAGTATATTT", "AATAGAATTTTAGGCTGCAAAGATACGGATTTTCAGTAGACAAGAAAAAAGTAAAAAACGGCGGATTTATACATAAAAAAACAAAAATCCGTTCCTCAGAAGAGAAACGGATTTTCTTTATATAGTTTTGCTTTAAA", "TTCTTAAATATTTTATAGGGCAAAAATAGACTTTTTTTACTTTTTAGCAAAGGATTTAGACGTCTATTTTTAATTTAAACTCATTTTACATAGAA", "TTTTAATATTTTTCTGAAAAATTAGAGTCGTAAAAATAAGAATA", "AATAAGAAAAGATTTCTATATTTGCAGTGTGTT", "AAATTTTTTAACCATAAACATACCGATTGGTATGTATGTGAATTTAAAGATAAAATC", "TCCCTTAATACAATAACAATCAACATTATAAATACAATGTTTCGTTCAGCATTATTTTATCAATCATGATTATTATCAATTGCATAAAACCTGTTCTTTAGCTAACTTTATAAAAAATTAGATCACA", "GGTTAATTTGTTTTTATCATTCAATAGACTC", "TTTGTCTTTTTGATTATCCATAAAAGACACTAAGGAATAATAAAAAGCGGCCTCAATAATTTTGAGGCCGCTTTTATATGCATTTATTTTTTAGATTT", "TTTATTGAATTTGGATATTAATTTATAGTTATTTGTTAAACTCTATAATGTAG", "GTTTAATTAGCTTTACCTAATTAGTTCACAGTCTGCAAAAAATGTTACAAGAAAA", "GGTATTAATTCGTACAAAAATAGAGAAAACCCTGCAACGTTGCCAGTTTTCGGAAAATGAAA", "TTTTCCTTCGTTTACGCTATTTGT", "GCTGAAAAATTTGGAGTAATAATACGACAAAAAGATGTCTTAAAAAAACATCTTCCTGCCAATATTCATAAAAGTCGTTGTAAAACGC", "ATCTGATTTACTTTAATTTAAAATT", "AAATATTTCCGGGCTTTACCTAAAGGAATCTTTTCCTTATTAGTTTGCCTATTCGATCTGCAAAAATAAGATTTTTTTTACAATAGAATTGTTTTTATGATAGTTTAACAGTATAGTAATCTCTTTTTAAGAAACCACTACACTGTTATTATCTGTTTATTAAGATTCCGGCACCTGC", "TAATCAATTAAGTATTTAGAACGGAGAAGTTTCCGTTTCTTTTATTTATATA", "TTCTATGTTTATTATTTGCCGTTA", "TTTACGGATGCAAATTTAATTCACTTTTATGTTATTAAACAAACGTTTAATTAATTTTTTTTCAATTATTTTATTTTCTAATTTTGGAAT", "GTCATGAGCCTAAATCAAATTAA", "TCATCCTATTTGTTTTTTGCATACATTTAATAAAATTTATGAT", "TCTGATACTGATATCAATAAAAAGTCCGGAAGATTTTCTTCCGGACTTTTGTTTTAT", "TA", "TTTAATTATAAGATTAAAGATTTTTGGTTTTGCAACCATGTTTTAGCTAAATAGAGTATTCGATTT", "TTAATTT", "GGTTCTTAATTAATTTGATAATCTAAAACACGAATTCTATCGTCCATAGCAGTATCAAACAGCCTGGAAAATATCAACTCCTGTTTCGGATTAGGTTCAGTTTGCAAAGTTACGCTTTTTCTGCCAAAATGACAGAGCATTAATCTTTAAGAAAATTTATTTTTAAGGTATACCCGGCTTTCCTCAGTTTTATTATACATTTCACTGATTAACAACAGGCTTAAGACTTATATAACATTTCTCTATTTT", "ATCAATTTGGATTTAGAGTTTAGACAAAAGTAAATAATCTCTGATTTTATCGGTGAAAATTTTTTGCTCCTCCTCATTATCCGTATTTTAGCAGGGTTAAAAATAAACCGTA", "TTCTTTTGCAAAGAAAATATAAACCTCACAATATTGTGAGGTTTTTTTGTGTAAATTCGTTACACCTGA", "ATTCATAGATTTTTTGTATATTGTGACTATAACAAATTGAAT", "TTCCGAAATCTT", "AGTAAAAGACCAACTCTAAAGAGTTGGTCTTTTTTCATAGATTTATATCTGAGTTTAAT", "AATAAATTAATTTGTTATTTGGTTTATTCACATGATTAAATATGACTTTTATCATGCGATTGTAAATCTACATTTATTCTACCAATCAACTATAATTTAATATTTTTTATTATTATGTTAAACATAATAATATTTCCACACTCCCTTTTACTCCG", "ATTCTTTATTTTTGTTTAAAATTACTACTTCT", "CACTTATCTTTTACATAAAAACTTCTTTTTTAGAAATTATAAACCAGCCCCGATAAGAAAATATTCTTATCGGGGCTTATGTTATATGCACTAATAATTATTTATAATTAAAACACTCCATAATTAAAATTAATTTAATAATAAATAATTATTAAATAAAATATAACAAATATTTAAACAATAATCCCGAAATAATATTTAATTTAGAGGAACAAAAAAGAAGAATTAATATTTCTTTTTTAATGAAGAATAATAACACCAAATCACAGTACA", "AAGCATAACCACAAATAAAAAGAATCAGGCAAAAAAGTTAGTAACTAAGCGAAAAGCCCAGCTAATAAAAAGATGAAAGACTTTCTCTTCCTTGATTAAGGGAACGCTCTGAATTTTTACACTTCTGATCTATAGAAATAAAGCTCCTAACAGAGTCCCCTATTCATTATAGCGACATAAGTTCCGGAGGAGCCTGATCTGTGTAAAAACAAATGCTCAAAAAGTCAAGTATTCCTCCGGAATACTATCTCATACAGGACTATCCTATCCATATCTCTATCCAAGATTCACATCTGACCAAATAAAGACCCATTTATCTGGTTTCAATCAAGAAAAAAACATCATAGGTCAATACCAATGATGTTTGTAAGTCCGGGAACAATATCTGTAACT", "AGAAAGGATTGTTTACTCAAAAGTAACCATTCTTAGCATGATAAAAAACAGAAAATCAATATTTACCTGACCGGATCGTTTAGAATGAAGTTTATTACATAA", "GATGAAAAAAGCCGGATATTATCCGGCTTTTGTTT", "TTAATATA", "ATTTTAATAACATTTTCTCAAATAATAAAACCTATTATTAAAAATATAAAAACAGTACAATTTCTCAATACTGCGCTAATTTAACAAATACAAAAATTAAACAAAAAAATAAGACATCCTAAACTCACCTAATATAGTATATTTGCAGTTAAATAGTATTTTAAACATAGTTTTTCTTCCGATAAAACCTTAAATATTAACGAAGAATTAACAATTGTAAATAAAATAATTTTATATTTGAGAAAATTAATTATTCCAGTAATT", "TCTTGAATAAC", "ATCAAATATTTAAATATTAATTATAAAAACCACTCGAGCAATTGAGTGGTTTTTTTTAAATTTGCACCAAATAAAAAGTAAAG", "AAAATAATTAATATCTTTGCACTCGAAAAATTTTAAACATTTTAAAATTCATTTATA", "TCATTCCTGTATTGATAAAATAAAATCCCGCATAAAGCGGGATTTTTCATTTAGAACCTTATTTAAAAAATATTTGAAGAATAATTTTTTCTCTCAA", "AGTCTTGTTTTACTTCAATTAGACGTATAAAATTTTGGTTTGTTACAATACTTCTGAAAA", "TTTTATTATATTTATTGATACGAAGCTAAAAAAGATATTTTAATATTTTACTAAATTAGCGCA", "TTT", "CCTGAATTTCTTATCTTTACCAAATAAAAATTTTAAATAACCTACATCAAATAAAAAAATAAGAAA", "TAAATCAACAGAAACAGAGTTAAAATTTTAGCTCTGTTTCTTCTCTTAAATAAATTAGAAA", "TACACAAGTTAATGAAAACCCTAAAAGTTTTTATTAATACTTTTAGACAATTAACATTTAAAAAATTTATAAGG", "TATTT", "TATATATTGTGTGAAATGGATTTAATGCAGACAAATAGCTATTATACAATCAAATATAAGTTTTTTAACGATTATGAATAATAATTTTTCAAAATTACCGCCATAACCTTACTGCACTTGCGAATAAGAAGCAGAATCAATATTTTTTCCTTATTTTTACTAAAATTTAGCATAA", "ATTAAAAAT", "AATAAAAACTCCCTGAAATTTCAGGGAGTTTTTTATG", "TCCGTGAAAGTTTTCTATCCTCTGTCCCACGTAAAAAAGCCTTTATTAAATAAAATTAATGATGCTTAAAGATCTCTTAAAACTATTTTACAATAAGGTAAATAATCCAGCACTTCACCAGTAAAACAAAAAAGCCCTTACAGTATTTTGTAAGGGCTTTGTACTTATATCATTAAGA", "AATATTATTTAAAGTGCAAAAATAAGTTTTTTAGGGAGTTCTTTCAATTTTTTCATAAAAGTCATTATTATTTAGATACTAATTAGTACTTCTTTTCCGTTTCAGAATACTAATTTTACCGAAAATGAAATTTTTTTTCCAAAATCTTATATGTATTTTTGACATTAGAAAAATAAAAATCTACA", "AAAAT", "ATATCATTTTTTTGCGTTAAATTCACATCTCAAACATTTAATTAACTAAAT", "TCAGATCTTCTTTTTCACAAGATATAACGGGCATTTCAGTTGAAATGTCCGTTTTTTAGTTATAGTTATTACATTTATCTATCAGAACCTAGCATTTCCATAAATGAAATTTTTCATATTTTTGACGACAATTCTTTATTCTTCCAATACTCAATTTAATACAATTACCAA", "AATAACATCATAAAAAATGATCGGAAAATTTCCGATCATTTTTATTTTATAAGAAATATTTAACTTCTTGTT", "TACTT", "TTTACAGT", "CTTTATGTTAA", "TGTAATGGTTTAAGTTTGAATTTGATTATGATCTTCTTATCTCCTTCTAAGTA", "GTTAAATGTGGTAAATTATTGTTGATTATTTTT", "TATTTATTTTGTTTTGGAAATTATGGACAAAAAAGTCCTAATCTCCGATGTCTCCTGTATTTTATAAAT", "TATTTCAATAATTTAGAATAAAT", "TTCTATGAAAACCTTACAATTATTCATTTTTTGTATTTCTACATTTTATTTTACACAAAACATGCGGTTTGTTTATCAAGTCCGG", "ATATTTTTTCATTGTCATATTTAACATTGTCACACTTTTATAATCCAAAAAGGCAGAAATAATTACA", "AAAAGAGCGCCGATGGGCGCTCTTT", "GTAATACTATTTAAGATGTCTTTTTTGAAAATTGCTTCGGCAAAATTAGAACAAATTCAATATCAACACTTTAAATAGACATAAGTTTAACTTTATTTAAGAAACT", "AGAATTGGTTTTAACAGGCACTTTTATTTCTGAGCATTGTAAGAACAAACTCAGAATGCATAGATTAAATATAAGGTGCCAGATTTTCATATTCCAAATTTACTAAATTTGTTCAG", "CTGTCCATCGACAAAAAAAATCATTGAATTATTTTCAATAATATATTTAAATAATGTAATTAACAATTTAATTTATAGTCACTGTATTAAAAATTAGATAATAAAACTAGTATAAGGCAATTTTTACCATAAATATATTTAAGCTGTTTTAATTTAAATTTTAATGTCATAATTGCAAAAAATAAAAAATTTCATGCTTGCAATAAATAAATAGACTAATTTTTTTCTTATTTAACAAATCATTAGATTTTATTCCTACATTCGTTAACATTGTGTTAATATAAAATTGACATAAGAAGTTATTAGTCCAAATTATAAAATTTAAGTTAGT", "AATT", "TTAAAAAAGGGACTCTTATGGAGTCCCTTTTTTAATGA", "AATAAATATTTTATTGATTAGTCCAACCAAAATACAACTTTTTCATTATCAGAAATCTAACATCTAAAAAAAACTTTATTTTTCATAAATAAAATATCTGATAGTAAAAATACACCAAATACCTTCTCTTTTTCACCTGTAAATATTCTCTTTTTATCACTGAATGAACAGTATCCTTTCAAAACAGCAATCCCTTTATCATAATTTTTTATCTTTGGAAAAAAATTAATAAA", "CTTT", "A", "AACCAACATTCCTCGAAATAATAGTATCAAATATAGTCAAATAGTCTGTAAAGCACAGCATCTTAAAGCACTCCTAAGCACAAATTATGGAGCTTATATAATTTGTAACTATTCTAAATTAATGAAATCTAATGAAAGTCATTTCACCGTTTATACATATCAATTCTTTTTAACAATTAATAGCGTAAATTTGCACCCTGATATA", "GAC", "TTGTTATAATGAATTAAATATATTAAGGCTGTCTTTTTAGAGACAGCCTTAATTTTTATAATCATAAATACAGATAGAACTTCGGATAAATCCTGATTTAAATTGATTTGTAATAATTTAAGTAAAAAACAGCTGTTTTGCCTTCCTTACAATAAGAAATATCAGCAAATGACGCAGGATTTATGGACTTCATAAAAGCTCTTTAAAAACACTGGGAAATCAAAGATTTTCAAACATCGGAATATGCCACAAACCTTTGTGGTTATTGCTAAGCACAAACGGCTTTGAGAGCCTTAAAAAAGACTATATTACGTAAAAACTTTGCGCTCTTTATGATATGATATCAATCAAGAGCACAATTTATAGTTTATAGAAATTATTTTTAAAGACACAGGAAAGCAAAACACCCTCAAATAACAGATTTCGCAATTCTACAGTTTTTGTCTAATTCAGTTATATAAATA", "TTTGGCTAAACTATTTTTGTAAATATAAAAAAAAACAAATACATTTATGCAATCGGTTGCATTTATAATAAATTTAAT", "TCATAAGCTAATAGCTAAAAATTAATATACA", "TTTCTTAATTTATAATCTAAACGCATCACAAAGCC", "ATCAAGAATATAAAACCCAGCCCTGTAAGCTATTGAAAAAATTCTGTTCTGAGGTTATTTTTATATATTTATCAATAACTTATAACC", "AATATAACATAGTTTTTCCAATTACCGGTATTTGATGCTTTCAATAACAATACGTTTTTCAATAGATTAAGTTGTTGTAACTTTGCAAAAAATTTTTGTAGA", "GC", "CTTTTTCTATTTTAGATTGTCTTTATCAAAAAGAACTATAATCT", "TCTTTCGCTCTGAAGAACAGAGATTTTATCTGATACAATTTACAGGATCCTACTATGTATTTTGGGAATAATTATGTTAATTCATCTTGTTTCTTCAATGTATTATATTCTTCCAACATCCTTATTTTTAATACAATTCAGAATTTGAAAAATAAATTTGGAAGCTAAATAAAAAAATACTTACCTTTGTCGCCTTTCATTTTTACTTTTTATTAGATTTATTTCAAACTGCAGCGGCGTTCCGCTAGCAGTTTTTTATT", "AGGAAAAACAAAATCCTACTCAATAAACAGTAGGATTTATATGATGGTATAAAGATTTCTGA", "TTCAATATTTTTTACGAATTGTAAAGGTAATAAAATTGGAATGAAGAGTGATGAACGGAAGTGAAATTTTGTTGATTTTAAAAAAAAATGAAAAATTTTAAAAAAAGATTTGGAGGAAATACAAATTGTCTCTATATTTGCACTCACAAAAACGATAGAGAAGTTCTTTAAATAAAGCGGATGTGGTGTAATTGGTAGCCACGCCAGACTTAGGATCTGGTGCCGTGAGGCGTGGGGGTTCGAGTCCCTTCATCCGCACTATGCGAAAATAGCTCAGCTGGTAGAGCACAACCTTGCCAAGGTTGGGGTCGCGGGTTCGAATCCCGTTTTTCGCTCCACTTCACACCCTGGTGGTGGAATTGGTAGACACGCAGGACTTAAAATCCTGTGCCCATTTGGGCGTACGGGTTCAAGTCCCGTCTGGGGTACAAAAAGCATTGTTAATCTTTGATTAACAATGCTTTTTTCGTTTATAGCCTAACCCTTCCCTCATATATAGATATCTGAAAAATAAAAATCCCTATTTTTAAACTTATTTATAAAGTAAACATAAA", "TCAAAAATTATAAAAGGCTGCCCAATTCTTAGGCAGCCTTTACTTTATATCAAAAAGATTACTTA", "AATTCCTGCAAAAATAATAAT", "TGTTCTTCAGT", "ATTCATAGCGTA", "AGTAATTGTTTAAGATAAAAATACAAGGGAGTCTAAAAGAACCTTTAAGACTTTCATCAACATTGTTTAAAATGTATCAGAAGTAAAAGCTCTCTTTAACCCCCTTGCAAAAATGTTATTAATCTTCTCTAATAATGA", "TTTTAAAGGTTGATTGTTACTAAATTTA", "TCTATTTATAAAAAATTATCATAATTAACTCAGCGAAAATAAGCTAAAAAGAAAATATGGGTGTTAACTCGCTATTACAAATGTGTTAACAAAGAAAAAAAATACATCAATAATAGAATTAAGTTTACAGAAATTAAAATATTGAATTATAAACGAAAATAATTACATCATTTCTATACTTCTTGTTCTATCAGGGATTTTATAATATTCACTCCTAAAACGGATAATCCACTTTTTGGTGAAAAAACAATGCATTTTTCTTGTTAAATAAAATTTAATATTAATTGCCCAGTAAGAGACCCCTTTTGAAAGATTAGAAAATTTTAATATTAAAACATTTGCAGTAAAACACAAGAAATTTTGTTTTTTTGCGTTAAATTTATGCTAAAATTATTGCAGCAGACAGAAAGTCTGCTTGTTTAATTTGTATCTTTGTCAACTTAAAAATCAGAAGAAAGAAATGGGTTTATTTGAT", "TTATAACCCTATATTCCCTCTGAAAATTAA", "T", "CCCGTTTTATTTTAAGCTCTACATTCCCTGACAATCGGAATTATCTACTCCTGCCTACATATGCAGGATCAGGGCTGTCTTTTAATATACTTTTGTAAAGAATTCTAGTCGTTCGTTAATAGCCAAATGAATATATTAGGATTATGATCCTATAATTATCTTAAAACTTAATAAAGAACATAAAAGCCGTTTACAGTCAATAACATTGTTTTTCCGGGAAAATATCAACTATAAAGATTAGGGCTTAATACACCCTATAAAAAACAAAGCCTTTAGAAATCTAAAGGCTTTGTTTTTGTTATTTTCATTTATTCTACAAAATA", "ATATATTTAATTACGTGTTAAAATGTACTCTTTTTTTGAAAATTGCTTCACAAATTTAGACAAAGGTGTTTTTCGAGCACTGCTCAGGCACTATGCACCCCGTACATTTTAACACTTTTTAACGTTTATACAGGGAGTGTTAAAAAAAAAGAAACCGCAAACTGCCTTTTTTGGCGATTTGCGGTTTCTTTTTATTAAGATTCTTTAACAAATTTATTTAAATCAGTCTATAAACCCCATTGATA", "AAACAGAAATAAATATATTTTAACTGGTAGCAAATATAGTTTTTATTAATTCTAAATTAAAACAAAATAATAGTGAAATTTGTCAGTCTTTCTTTTCTAAGAAT", "GAACAGAAAGGTTATGGAAATACTGTGCATAAAAAAAGCACCTACATTTCTGTAAGTGCTTTATAAGAAGCTCCTCCTGCTGGGCTCGAACCAGCGACCCTCTGATTAACAGTCAGATGCTCTAACCAACTGAGCTAAGGAGGAATGTCCTTTGTTTTAAGTGGTGCAAATATACACACATTTTATATTCACTGCAAATATTTTTTTACATTTTTTTGCAATAAAATCT", "TTGTAATATTTGAAATGCAAAAATAGGAATAATATTCGAGCCTGAGGGAAATAAAAAAGTTGCCTTATTTTAAGGCAACTATACTATTTTTTAATGTTAAAGGA", "AATCGTCTATAGTTTATTTATTTGTTTTTAATTTTTA", "AAATTAATCTTATATTCCTTTATTATCAATAACTTTACTAACGCAATTTTAATAAATTTATTCTTACTGTTT", "AGCAAAACAAAAATTACAATAATTTATTGAAAACCAATTTGATAAAAGCCATAGTATCAGCTCAATTAATACATAATTGTCTGATTATTAATTGAGAAATAGACAAAATTAAATTAGCCTATAAAAACGATAGATTTTTTCATTGGTAATTATTTATAATTATTCTAAACAATACCCTTTTCTTCCTGCCTGTATTAAGAAAATTTAATATTTTTGCATGATAAATAAAATGAA", "AATAAAAAATCTCAGCAATGCTGAGATTGTTTTGTTT", "GAATTTATTATTTTTCAAAACTTAAATTTACAACATTGTCATCATAATTTATTTACAGA", "ATTCTGTGTTAATTAATTTCCGGACTATCCTACCCAAAGTTAAGGAATGATCCTTGTTCTAAATCAGCAATAACTGATTTTATGATATATATTAGGGAGTGCGTTCTAAAACCCAAT", "GTTTTCTAAATT", "TATTT", "TTCCTTT", "TGAATCGAATTTAATGTGTCTGAAACCAAACATAGCTTTAAGTTTTTATAATTAAATTTGTGTTGTTTTTACGCTAATTAAAAAGAATACAATATTGCTTTGTTTATGTATAATTAAATTTAAATTGCGTTATTTTTACACAAATATAAAAAGACATTTGATTATCTACAAAATATATTTGCGTATTTTTTACATTAATTAATTTAAACTACTGATTATCAGGCAATAAAAT", "AATATAATTTGCGTTATCAATACACAAAAATAAGATTTAAATTGATTTCTATTGTATCTATATTTGTATATAAATAAAAATC", "TTTAATAAAAAATAGATTCAAAAATTATATAAATTAAATAAATAAACAACTTAATAATAAAAATTCATAATTTTAATATTGAAATATAAACCTTTTAAAATTAAACAATT", "CAAAAAAGACCGGAACTATTTCCGGTCTTTTTTGTAT", "CGAATCTATTAGTATCTGCAAAGATATTGATTTTGAACCAAAAACCTAAAAATAAAAAACCCTGCCGAAGCAGGGTCTAACACTAAAACTACTATTAATGAAAAATGCTATTTTACAAGCGTTTATCCAATTTTTAAGGAGGTTATGTTAGAGTCATTCCTCATTGACTTTCTACAC", "CTTTTTTATTTCCTTTT", "TCCTTTATTGGATATCAATTTCTATGAAAAGTTAAATTTTTTTTTTAATTGTTGCTCAATTTTATAAAAAAAGCCCCGATCATTTTCCGATCAGAGCTTATAAATTAAGCATGTCAATA", "TCTGATTTTTCTTTCAAAATTAAATTTATACGTCTAAATTTGCATATAGTACGCTAAATTGTATGTTACTGTAAAAAAAGTAAGTA", "GACCATATCCTAAAATCTAATACCTATATTTGTAGT", "TAAAGTACGAAAAATAGAGAATAAAAAAAT", "TTCAAAATTCTACACAGGTATCATTACAAAGTACTGGTTCTGTAACGCTTTTTGTAGTTTTGATTTTATATTTTTAAACCGATTTGTAAAATTATAATACTCCAACGCAAAGACAAAATTTATCAGCCTTGTAAAGGTTTGAAACTTTTACAAGGCTTCAAATAAACGATTTTACAATTTTGCAGCTTGCATAATA", "CCTGCAAAGTTATAAAAAGTTAAGAGGTTATGAGAATACTGTAATCCAATAT", "AAATAAATGTAGCAATGTAATAATTTGACAATGCACCAATAATTGGTATATTTTGACACTGTCAGCTGGTTAGTATAATAT", "TCGTT", "AAATTT", "AGTATAGTGTTTAAAAATTATAAACTATAAATATAGTTTA", "TTTCCTTTTTATTCGTTATTATTAGTATAGATACAAATTTACTCTAAATTTAATTATAAAGAACCAATTACAAAATATGAATTATGTGTCATTGGTCTATATATAATCTTATTTATACTCACTTATCAACTATTTATTAATATAAGTAAACTCCATGGAAACGCATCAATAAAAGGTTTTCATAAAAGCACCAATAAAATGTGGGAAAGTATGTTAATAACTATGGAAAACTATCCGGGAACTTTATTTTCTATTTTAAGAAAAATTAACTAATGAGAATTTTAACGGAATAAAAAAAAGTTTTTTCATTTTCTTTTCAGGGATAAAATAAAGAAGTTATACCCAGAGAAAAATAACAACTTTATCCCTATTCATAGTTATCCACAGAGTGTTGATAACCTTATAACTCATTGATTTTTATATATTTTTACTGTTAATAAAGTATTAGTTATGTTAAGATTAAATATGAATAAAAAAAGGCTTTCTTTTTTTCCACAAATCCTTCCACTACAACAGTATATCACATTCTTTTTTTTTCTTTTATAAAATTTAATTGTTAAAATCTGTGAATGCTTTGTTGATTTCTCGTTTGGCTTTCTTTGTTTTTATTCGTTGAGATGTCCTACATTTGTGCAAATAAGTTTTGTAT", "TTTACAAGATTTTAAATCTACTAAATATTGAATCCTTCAGAAATGAAGGATTCAATACATATATATTTTTTGGCAGCTATCAGTTTCTTCTGTTTGGAAAATATTTTTTTGAGAGTTTCTGATTTACTATGTTAAAATAATTACAGCAATATTTCTTTTTTCAAAATTTGTTGAAAAATACATGAATAAAAAATATATAAGTTATCATAGATAATTTTCTTCCGAAAGAATTTTGATAATAGAGTAGGAGAGGATTCTTACAAATCATGAATCTTACTTTAGAAATCATAAATCTGTTTTTGAAAATAATGATATAAAATTTCCATTTCTCTCAGTTATTGAAATTGTTCAATTAGAATCTGAATTCATAATTGCTTCCCAATTTTTTTATTCTAGGGAACTGTTTGCAAGAACAAATTGAAAAAGTAAAAATACTTTAGGACTTGTAAAATTCTATTTTACTTTTTCAAAATCTTATAAAAAAAGAGCAAAATTTTATTTTTTGCTCTTTTTTGTCTAAAATCGACCTTCAGAAACAGGCCTTATTTTTATTTT", "CTAAAAAATCTTCTTTATACAAACATATAGAAAAAATTAAAACAACCATAACTTATGATTGTTTTAATAGTATTTTTAGTTTATGTTTCACGTGAAACATATATAA", "TTTTGAAATTGTTTCACGTGAAACAT", "AATTTTTTATTGACAGTTTAAGAGGGTAGGAGAGGGGATAGTTTGTTGTATAACTGCTAT", "CCCTATATTGTATTTAATTTTATCGATGAAATAGAGAAAAGTAATACTTTATTTCA", "TTGTTAACTTAGCACATATTATTCCACATCAAAATTACATAAAATA", "ATACAAAAACTAACCAACTAATAACCAAAAACTCAATATT", "ACATACATTAAAT", "TATAAAAGCGATATAAAATTTTAATAAAGCTATACAATTTTGTATAGCTTTATTTATTTCTAATAAAAGTGAGATAATAATTTGCAGGAATCCTAACATCAGTTTATATTCGCTTCGAAATTTAAAAATACAAAAACA", "GCAAAAAAACAATATTTATTATACCT", "AT", "AAATAGCGGAAT", "TAAAAAGCCACCTGTCAGGTGGCTTTTTATTTATGT", "GTATTTCAAATTATTGTAACAAAATTAAAGAAAATAAGACCTATTTTTATAAATAAGATATTAAATTTGATCTTCGAAATTTCGTAAAAG", "TAAAACCAAAGGCTGTCCGTTTAAAAGACAGCCTTTCTTATAAAAACACAAACAGAAACAA", "TATCTCTGGTTTAAAAAAAGCTATAGCTGGTATTTATCATCCCAATTTCAATTTAGATTTAATTAAAATAAGGTATATTTGTATTTTTAAATACTAGCC", "TTAAA", "ATCATGTCTGTAGTTGAATAATTTTTTCTTATTTATTCCGTATTTTTGCATAAAATTTAGCAAACAAATTT", "TTGGTGATTTTGGAAATTTTTCCGAAATTCATGATATAATCAAAAATACAT", "GGAATAGAAAATTCTGGAATAAATAAAAAAGCAACTATAATCAGTTGCTTTTTTTA", "AAAAAACAGTAAAACAAACAATTCTGTTGAGCTTACCGGAAATATGGTCTTAAAAAAATTGATAAATTTTGCCTTCACGCGGCAAAAATAATGCTTAATGCTGATTTATAAAGAAAAA", "TTTCACTTTCAA", "TTTTCTGATTTTTATACCTGATATTTTATTTCAAAATGGATGCCATTACTGGTAATCAGGTAATTATGCTAAACTTCCGAAGCTTTAATATTAATCTTAATGCTATTAATAACGAGGAATACATAACAAAAATTGTATTTATCTGTAACAAAAAAATATTTGTGAATACTAATTGTATAAACAATACTACA", "TATTCAATTTCATATCAATCTAAATGAATACGCTTCACTGAAATTTTAGTGAAGCGTATTTTATTTTCAAGA", "AAGCTCAGAATTTGAGGGTTTTATTATAGGTTAAAGGTACAAAACTTTGAAGAACTACCATTGAGCATGAAAAACCAACCTTTCAGCAAGCTTTTTTTATAAAATTCGGAATTCTGACATTTCTTTGTGTAATAAATCATAACAAA", "TAATCTATATTTAAATTTCTAAATCTCAATACT", "ATATAAAGCCCGGGTTTTAAATCCGGGCTTTTTTGTACCCCTTTGATAGGAAACCGATGACTCTTTTACCATTCAGTATATAAAAATAACCCCTCAGGTATAAAAGTTTTAAATATTTACCAATTCCTGAGTATGTTTGTAATAGAAATTAAGAAA", "TTTCTGAGAAA", "AAGGTTTATTAGTTCTCTAACAATAAGAATAAAATATCTGTATTGAACTTGCAATAACAGCGTTTCACTTCAAAAAGCGAAACGCTGTTATTTTTAATATACCTTTGTATATAAAGCATATAATTTCAGAAAACATTAATTATTAATATTTTTCTTCCTGCAAATCAATCAATTGTGATTTAATTGTGAAAAAAAATATAATCAAACGCAACCATTCTTATTATGAGCGTCTTATAAATAGACAAATAAAAAAATCAATATT", "CATAACTTAATTTCT", "CATAATATTAATTTAATTTCTTTTTTTATTCAGATGGGGGTTTGGCTATATACCAGCCCCTTTTTTGGTTGGAATTCAGGTTTACATTCAGTACTTTTGAAAAAACAAAATAAAC", "CTGTCTGATAATGAATTAATATAGAGAGCTGAATTTTCCGCTCTTTTTTGTTTAAATAAACATTTATGCGTAATTTAACAGAATATTAATAGACCGTTTTTCCCGAAAGGAACATTATTTGCAGTATTTGTATCACTTAAATTACAATGAAA", "TAACATATTCATATTATAGCAAAAAAGCTTTAGCCATCGGTTAAAGCTTTTTTTGTGGAAAACTATCAGACTTTTCACAATATAATTTTAAAAAGATTGATCTAAATTCGTACACCTAAACACAAAATTATA", "TATATTTGTTAGCACAGACATTAATGTAGAAA", "GCTTTGAAGGCTAAGCCTTTTTCTTTAGCTTTGTACTCTGGTTTTTATCCAAATTAATTTC", "GATGCAAAAGTACGGAAGAAGATGTGTAATTTTGCGCAAAATTTA", "TTTTATTTCGGGTGATTCATAACTCATAATAAGTTAATATATTTGGTATAAACAACAAATCTTAATTTATT", "TTCTGA", "TAAAATTGAATAAATAA", "AAATTATAAAACCTGCCATTTGGCAGGTTTTATTTTTAT", "GAGACAGGTATTCCTTACAAAAATACAAAATAGGAAAGAATTTACAGTGGTATTATAAAAAGCATAGAATACATTTTGAGAAGTTTTAACAGTATAATTGTAAAATAAAATCTCTGGCAAGAGCCAGAGATTTTATTTTACAATTAT", "TGGTTTTTATTTTTAGTTGCTCTACAAATGTAGAATTTATTTTTAATATTCCAAATGAAAAATAAAAAAACTCACCTTTTAAGGATGAGTTTAAAATA", "GTTGATAATATTTAAGATTGAAAAGAATTTTAGAAATTGTAAACGAAGCCTTTCAAATACTATGGAAGACAAAAGTTTGTATTTGTAATACTTATACAAAAACAACAGACTCACAATTTTCTAAAGCA", "TAA", "GAATTATAATTTTTCACAAAATTAAGAATTTGGAGGATGAAATCAGTTATATTTGATAAAATGAGTTCT", "AT", "GAATTTATTTTTTCAAAAATAGAAAATAAAACAGCCGCTTAAAACTTTTTAAGCGGCTGCAGCA", "T", "TTTGGTGGTTTCTATTGATAAAGACTCTGTTTCTTTTCAAAAGGTTGGAAAAGATAACTAAGTTTTATCTTTTAAAAAACACTGTCATGCTTTTCAGTATGAATTATTTGCTAATTTTGTCATTCTCTATTTACTAAAA", "TTGATTTTGTGTGCAAAAAATGTTGATAAACATATTGCTAATTGCAAAAAAATAAATATCTTTGCACCTCTAATAATTATAAAAAATATATACA", "TATTTAACCCGAAAAAAGTTAAAATAAA", "TTCTAGCGTTTTATAAAAATTAAAACCCCGACGAAAGTTGGGGTTTTTTGTTTTTTATTAAATAATATTACAAGCTTTTAGAAATAGATTTAATTAGAATTCTTAGAATTAAGAAAACTTCCCTATTTTTGGTAAAACCAATTCT", "AATCATTTTCCAGTTTAGATATAACCAGACAAGCCAAAACTTTGTCTGGTTTTTTATTGTGTTAAAAAGAGGATGGACAAGTTTTATTTGTCCGGAAATGCATAACTTTAATATACTAAATTACAGACT", "CCGTGCATTGTGTTGTCGG", "TTTTAGTATTTGTCCGGAGAATTTCATGTGTATATAAATTATGTATATGTAAAAGTAAGTAATATTTCAGAAATTTATTTATTCCGAAATTAACATAAATGTCTATAGAAAAGGTTATTTTTGTTAGGAACAGAAAAAAG", "TGAGTTAGAGGTGGAAAATTAATTAATATACCACCTAATTTTTTACATTTGCAAAAAATTCAAAGT", "TAAAACGTTTGGCTGATTGCATAGAGCTATCGGCTTTTTTAATGATTAACTAATGCAATTAGCCAAATGCTATTTGCCAAATGCGATATAA", "TAATCTTTAATTTAAATATTGTTGAAAACCGTCAGCCTTAGGCTGGCGGTTTTTTTATGATCTATCTCATGTTTACTTCTGCAAAGGCTTAACTCACCTGTGGGTGATAGTCTTTTCAGCCTGTCTTCTTCAATATTATTTATATTTATAATAAATAAAAGAAATTTGATAGATAAATAAAATTTATTTAGAATTGTTAAAGATAATATATTTGCAAAAAAATTACACAC", "ATAATAGAAAGATAAACCGGTGGAACTCCGCCGGTTAACTTTTTTAGA", "ACACACTTTAAAA", "TTAATCTAAATAAAAAAAGAAGCTTATCTTTGGGCGAATTTATTTTCACTGACAGGAT", "ATTTTTTAAAGATTATAATAATTGACGAAGCCGGAACCTAAGTTCCGGCTTCTGTATTTCAGTATAGGATCATTGTTTACTGAATGTATATGTCTGTTTTAAATCAGTTTACTACTCAATTATGTAGCCTGAATATAAACTATTTGCTTCCCTGAAATTTGATACATCAAAGTTATTTAAATTTAGTCTAAATAAAATATGATTAATTTCATGTCTTAATTTAGAATAAATAAATATAATTTTGCACAAAAGAAGATT", "ATATTATAT", "GAAATACACCATAAATTAAATAGTTTGTTTTTTTACTTTACTTTTGGCCAGCCGGATTCCGGTTGGCTTTTTTTGATAAAACAATTGATAAAAACTAATAGATAATAATCTGAGAAATAACTAACTTCGTATAAGATT", "GTATTTACTGACCATAAAAAAAACAGTAAGAAGCATTTTTACTTCTTACTGTTTTTTTTATGACTATTGAGAGATTAAAATCTTCACTTTTTTAGATGCAAAATTA", "AATTATATGTTGCCTAAACCCTGCAAAAATACGAAAAAATCAAGTCTTGATTAGGGATAAAGTTGATTAATATCGTAGAAATTACAAAATTTTAATATCAATCACATCTCTTTTTAATAAAAAAAATAAAAATATATGATAACCAGTTATAAACCAAAATATTAGAAAACATAGGAAACTCCTTAAAATTTTGAAATTCAAAAGTTATCCACAATAAACAAAATAGTGGTATGAAGTGGTAAAAAGTGGAAATATTTGTATAAATTTGTCCCAA", "GATAAAAAACACAAAATTA", "AAAACCT", "A", "GCAAAAGTAAA", "ATAAGGAATAAAATCAGCGGTGTCATCCTGAGCTTGTCGAAGGACAATAAAG", "CGAGGCTTCGACAGGCTCAGCCTGACAGCCTTAGAAGAAACACAGTTATTATTAGGAGTATCACTCTGAGTTTGTAGAAGAGTAAATAAATACTAAAAATATAAAAATTAAAAAAGTAAGAAGTCAG", "TCGCAATGAGCGCAAAGAATTATTTTAAATATTGAAAATATCTTTCGATCGCAAAGGCGTTTCACTAAGCAAATAAAATTTGAAA", "TTAAGTTTTGCTAAATGAAATGCTTTTGCGTTCGTAAAACATATGAATATGAAGAAAAACATTGCGAACCTTGCGATATAAGAAACA" ]
[ false, false, false, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, false, false, false, false, false, false, true, true, false, false, false, false, false, false, false, false, true, true, false, false, false, true, false, true, true, true, true, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, false, false, false, true, false, false, false, false, false, true, true, true, true, true, true, true, false, true, true, false, false, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, false, false, false, true, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, true, false, false, false, false, false, true, false, false, false, false, false, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, true, false, false, true, true, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, false, false, true, false, false, false, false, false, false, true, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, false, false, true, true, false, false, false, false, false, false, true, true, true, true, false, false, true, true, true, true, true, false, true, true, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, true, true, false, false, true, true, true, false, true, true, true, false, false, true, true, true, true, false, true, true, true, true, true, true, true, false, false, false, true, false, false, false, false, false, false, true, true, true, true, true, false, false, true, false, false, false, true, true, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, false, true, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, false, false, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, true, false, false, false, false, false, false, true, false, false, false, false, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 16, 18, 20, 22, 24, 26, 28, 30, 31, 33, 35, 37, 39, 41, 43, 45, 47, 48, 49, 51, 53, 55, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 156, 158, 160, 161, 163, 165, 167, 169, 170, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 17, 19, 21, 23, 25, 27, 29, 32, 34, 36, 38, 40, 42, 44, 46, 50, 52, 54, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 157, 159, 162, 164, 166, 168, 171, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 204, 206, 208, 210, 212, 214, 216, 218, 220 ]
[ "2841813427|Ga0349638_01|CDS|2841814433|+|1092356:1093717", "2841813427|Ga0349638_01|CDS|2841814434|+|1093782:1095614", "2841813427|Ga0349638_01|CDS|2841814435|+|1095710:1096156", "2841813427|Ga0349638_01|CDS|2841814436|+|1096403:1096813", "2841813427|Ga0349638_01|CDS|2841814437|+|1096924:1098441", "2841813427|Ga0349638_01|CDS|2841814438|-|1098484:1101021", "2841813427|Ga0349638_01|CDS|2841814439|+|1101203:1101430", "2841813427|Ga0349638_01|CDS|2841814440|+|1101483:1101902", "2841813427|Ga0349638_01|CDS|2841814441|+|1101899:1102870", "2841813427|Ga0349638_01|CDS|2841814444|-|1103228:1104472", "2841813427|Ga0349638_01|CDS|2841814445|-|1104488:1105363", "2841813427|Ga0349638_01|CDS|2841814446|-|1105373:1105774", "2841813427|Ga0349638_01|CDS|2841814447|-|1105782:1106477", "2841813427|Ga0349638_01|CDS|2841814448|-|1106577:1107038", "2841813427|Ga0349638_01|CDS|2841814449|-|1107132:1109867", "2841813427|Ga0349638_01|CDS|2841814450|+|1110101:1111504", "2841813427|Ga0349638_01|CDS|2841814451|+|1111486:1111863", "2841813427|Ga0349638_01|CDS|2841814452|+|1111869:1112168", "2841813427|Ga0349638_01|CDS|2841814453|-|1112202:1114229", "2841813427|Ga0349638_01|CDS|2841814454|-|1114339:1114689", "2841813427|Ga0349638_01|CDS|2841814455|+|1114842:1116371", "2841813427|Ga0349638_01|CDS|2841814456|+|1116395:1117618", "2841813427|Ga0349638_01|CDS|2841814457|+|1117650:1118849", "2841813427|Ga0349638_01|CDS|2841814458|+|1118936:1119676", "2841813427|Ga0349638_01|CDS|2841814459|+|1119695:1120477", "2841813427|Ga0349638_01|CDS|2841814460|+|1120474:1120911", "2841813427|Ga0349638_01|CDS|2841814461|-|1120908:1121183", "2841813427|Ga0349638_01|CDS|2841814462|+|1121296:1122513", "2841813427|Ga0349638_01|CDS|2841814463|+|1122551:1123825", "2841813427|Ga0349638_01|CDS|2841814464|+|1123832:1124563", "2841813427|Ga0349638_01|CDS|2841814465|-|1124560:1124940", "2841813427|Ga0349638_01|CDS|2841814466|+|1125037:1125528", "2841813427|Ga0349638_01|CDS|2841814467|+|1125655:1125930", "2841813427|Ga0349638_01|CDS|2841814468|-|1126019:1127383", "2841813427|Ga0349638_01|CDS|2841814469|-|1127569:1128678", "2841813427|Ga0349638_01|CDS|2841814470|-|1128716:1129483", "2841813427|Ga0349638_01|CDS|2841814471|+|1129651:1130772", "2841813427|Ga0349638_01|CDS|2841814472|-|1130779:1132311", "2841813427|Ga0349638_01|CDS|2841814473|-|1132510:1134252", "2841813427|Ga0349638_01|CDS|2841814474|-|1134285:1134506", "2841813427|Ga0349638_01|CDS|2841814475|-|1134618:1136192", "2841813427|Ga0349638_01|CDS|2841814476|-|1136469:1137551", "2841813427|Ga0349638_01|CDS|2841814477|-|1137541:1138137", "2841813427|Ga0349638_01|CDS|2841814478|+|1138212:1139345", "2841813427|Ga0349638_01|CDS|2841814479|+|1139428:1140123", "2841813427|Ga0349638_01|CDS|2841814480|+|1140143:1140475", "2841813427|Ga0349638_01|CDS|2841814481|-|1140534:1140935", "2841813427|Ga0349638_01|CDS|2841814482|-|1140968:1141816", "2841813427|Ga0349638_01|CDS|2841814483|+|1142014:1143270", "2841813427|Ga0349638_01|CDS|2841814484|+|1143422:1144273", "2841813427|Ga0349638_01|CDS|2841814485|-|1144356:1145414", "2841813427|Ga0349638_01|CDS|2841814486|-|1145419:1145913", "2841813427|Ga0349638_01|CDS|2841814487|+|1146033:1147247", "2841813427|Ga0349638_01|CDS|2841814488|+|1147312:1147818", "2841813427|Ga0349638_01|CDS|2841814489|-|1147878:1148252", "2841813427|Ga0349638_01|CDS|2841814490|+|1148270:1148647", "2841813427|Ga0349638_01|CDS|2841814491|-|1148689:1151277", "2841813427|Ga0349638_01|CDS|2841814492|+|1151452:1151982", "2841813427|Ga0349638_01|CDS|2841814493|+|1151982:1152509", "2841813427|Ga0349638_01|CDS|2841814494|-|1152537:1153400", "2841813427|Ga0349638_01|CDS|2841814495|-|1153436:1155517", "2841813427|Ga0349638_01|CDS|2841814496|-|1155587:1155970", "2841813427|Ga0349638_01|CDS|2841814497|+|1156015:1157481", "2841813427|Ga0349638_01|CDS|2841814498|+|1157512:1159113", "2841813427|Ga0349638_01|CDS|2841814499|-|1159158:1159646", "2841813427|Ga0349638_01|CDS|2841814500|-|1159650:1160339", "2841813427|Ga0349638_01|CDS|2841814501|-|1160504:1161040", "2841813427|Ga0349638_01|CDS|2841814502|-|1161047:1162249", "2841813427|Ga0349638_01|CDS|2841814503|-|1162326:1163648", "2841813427|Ga0349638_01|CDS|2841814504|-|1163660:1164355", "2841813427|Ga0349638_01|CDS|2841814505|-|1164357:1164878", "2841813427|Ga0349638_01|CDS|2841814506|-|1164865:1166262", "2841813427|Ga0349638_01|CDS|2841814507|-|1166282:1169335", "2841813427|Ga0349638_01|CDS|2841814508|-|1169357:1170727", "2841813427|Ga0349638_01|CDS|2841814509|+|1170907:1171464", "2841813427|Ga0349638_01|CDS|2841814510|-|1171516:1172202", "2841813427|Ga0349638_01|CDS|2841814511|-|1172221:1173768", "2841813427|Ga0349638_01|CDS|2841814512|-|1173975:1174319", "2841813427|Ga0349638_01|CDS|2841814513|-|1174322:1176385", "2841813427|Ga0349638_01|CDS|2841814514|-|1176471:1177214", "2841813427|Ga0349638_01|CDS|2841814515|-|1177234:1177905", "2841813427|Ga0349638_01|CDS|2841814516|+|1177960:1178676", "2841813427|Ga0349638_01|CDS|2841814517|+|1178673:1179026", "2841813427|Ga0349638_01|CDS|2841814518|+|1179030:1180166", "2841813427|Ga0349638_01|CDS|2841814519|+|1180170:1181264", "2841813427|Ga0349638_01|CDS|2841814520|-|1181258:1181977", "2841813427|Ga0349638_01|CDS|2841814521|+|1182046:1182408", "2841813427|Ga0349638_01|CDS|2841814522|+|1182446:1184419", "2841813427|Ga0349638_01|CDS|2841814523|+|1184466:1185044", "2841813427|Ga0349638_01|CDS|2841814524|+|1185056:1185928", "2841813427|Ga0349638_01|CDS|2841814525|+|1185929:1186591", "2841813427|Ga0349638_01|CDS|2841814526|+|1186670:1187050", "2841813427|Ga0349638_01|CDS|2841814527|-|1187502:1189313", "2841813427|Ga0349638_01|CDS|2841814528|-|1189423:1189812", "2841813427|Ga0349638_01|CDS|2841814529|+|1190023:1191735", "2841813427|Ga0349638_01|CDS|2841814530|+|1191738:1192220", "2841813427|Ga0349638_01|CDS|2841814531|+|1192389:1192979", "2841813427|Ga0349638_01|CDS|2841814532|+|1193030:1193164", "2841813427|Ga0349638_01|CDS|2841814533|+|1193203:1193898", "2841813427|Ga0349638_01|CDS|2841814534|-|1194061:1194984", "2841813427|Ga0349638_01|CDS|2841814535|-|1195064:1195777", "2841813427|Ga0349638_01|CDS|2841814536|-|1195817:1197136", "2841813427|Ga0349638_01|CDS|2841814537|-|1197140:1198594", "2841813427|Ga0349638_01|CDS|2841814538|+|1198761:1199771", "2841813427|Ga0349638_01|CDS|2841814539|-|1199824:1200789", "2841813427|Ga0349638_01|CDS|2841814540|-|1200868:1201545", "2841813427|Ga0349638_01|CDS|2841814541|-|1201548:1202405", "2841813427|Ga0349638_01|CDS|2841814542|-|1202390:1202881", "2841813427|Ga0349638_01|CDS|2841814543|-|1202952:1203440", "2841813427|Ga0349638_01|CDS|2841814544|-|1203512:1206037", "2841813427|Ga0349638_01|CDS|2841814545|-|1206066:1206821", "2841813427|Ga0349638_01|CDS|2841814546|-|1207157:1208236", "2841813427|Ga0349638_01|CDS|2841814547|-|1208245:1210785", "2841813427|Ga0349638_01|CDS|2841814548|+|1211023:1214409", "2841813427|Ga0349638_01|CDS|2841814549|+|1214492:1214872", "2841813427|Ga0349638_01|CDS|2841814550|+|1214970:1215602", "2841813427|Ga0349638_01|CDS|2841814551|+|1215632:1216276" ]
[ "2841813427|Ga0349638_01|IG|IG_000857|+|1092327:1092355", "2841813427|Ga0349638_01|IG|IG_000858|+|1093718:1093781", "2841813427|Ga0349638_01|IG|IG_000859|+|1095615:1095709", "2841813427|Ga0349638_01|IG|IG_000860|+|1096157:1096402", "2841813427|Ga0349638_01|IG|IG_000861|+|1096814:1096923", "2841813427|Ga0349638_01|IG|IG_000862|+|1098442:1098483", "2841813427|Ga0349638_01|IG|IG_000863|+|1101022:1101202", "2841813427|Ga0349638_01|IG|IG_000864|+|1101431:1101482", "2841813427|Ga0349638_01|IG|IG_000865|+|1102871:1103227", "2841813427|Ga0349638_01|IG|IG_000866|+|1104473:1104487", "2841813427|Ga0349638_01|IG|IG_000867|+|1105364:1105372", "2841813427|Ga0349638_01|IG|IG_000868|+|1105775:1105781", "2841813427|Ga0349638_01|IG|IG_000869|+|1106478:1106576", "2841813427|Ga0349638_01|IG|IG_000870|+|1107039:1107131", "2841813427|Ga0349638_01|IG|IG_000871|+|1109868:1110100", "2841813427|Ga0349638_01|IG|IG_000872|+|1111864:1111868", "2841813427|Ga0349638_01|IG|IG_000873|+|1112169:1112201", "2841813427|Ga0349638_01|IG|IG_000874|+|1114230:1114338", "2841813427|Ga0349638_01|IG|IG_000875|+|1114690:1114841", "2841813427|Ga0349638_01|IG|IG_000876|+|1116372:1116394", "2841813427|Ga0349638_01|IG|IG_000877|+|1117619:1117649", "2841813427|Ga0349638_01|IG|IG_000878|+|1118850:1118935", "2841813427|Ga0349638_01|IG|IG_000879|+|1119677:1119694", "2841813427|Ga0349638_01|IG|IG_000880|+|1121184:1121295", "2841813427|Ga0349638_01|IG|IG_000881|+|1122514:1122550", "2841813427|Ga0349638_01|IG|IG_000882|+|1123826:1123831", "2841813427|Ga0349638_01|IG|IG_000883|+|1124941:1125036", "2841813427|Ga0349638_01|IG|IG_000884|+|1125529:1125654", "2841813427|Ga0349638_01|IG|IG_000885|+|1125931:1126018", "2841813427|Ga0349638_01|IG|IG_000886|+|1127384:1127568", "2841813427|Ga0349638_01|IG|IG_000887|+|1128679:1128715", "2841813427|Ga0349638_01|IG|IG_000888|+|1129484:1129650", "2841813427|Ga0349638_01|IG|IG_000889|+|1130773:1130778", "2841813427|Ga0349638_01|IG|IG_000890|+|1132312:1132509", "2841813427|Ga0349638_01|IG|IG_000891|+|1134253:1134284", "2841813427|Ga0349638_01|IG|IG_000892|+|1134507:1134617", "2841813427|Ga0349638_01|IG|IG_000893|+|1136193:1136468", "2841813427|Ga0349638_01|IG|IG_000894|+|1138138:1138211", "2841813427|Ga0349638_01|IG|IG_000895|+|1139346:1139427", "2841813427|Ga0349638_01|IG|IG_000896|+|1140124:1140142", "2841813427|Ga0349638_01|IG|IG_000897|+|1140476:1140533", "2841813427|Ga0349638_01|IG|IG_000898|+|1140936:1140967", "2841813427|Ga0349638_01|IG|IG_000899|+|1141817:1142013", "2841813427|Ga0349638_01|IG|IG_000900|+|1143271:1143421", "2841813427|Ga0349638_01|IG|IG_000901|+|1144274:1144355", "2841813427|Ga0349638_01|IG|IG_000902|+|1145415:1145418", "2841813427|Ga0349638_01|IG|IG_000903|+|1145914:1146032", "2841813427|Ga0349638_01|IG|IG_000904|+|1147248:1147311", "2841813427|Ga0349638_01|IG|IG_000905|+|1147819:1147877", "2841813427|Ga0349638_01|IG|IG_000906|+|1148253:1148269", "2841813427|Ga0349638_01|IG|IG_000907|+|1148648:1148688", "2841813427|Ga0349638_01|IG|IG_000908|+|1151278:1151451", "2841813427|Ga0349638_01|IG|IG_000909|+|1152510:1152536", "2841813427|Ga0349638_01|IG|IG_000910|+|1153401:1153435", "2841813427|Ga0349638_01|IG|IG_000911|+|1155518:1155586", "2841813427|Ga0349638_01|IG|IG_000912|+|1155971:1156014", "2841813427|Ga0349638_01|IG|IG_000913|+|1157482:1157511", "2841813427|Ga0349638_01|IG|IG_000914|+|1159114:1159157", "2841813427|Ga0349638_01|IG|IG_000915|+|1159647:1159649", "2841813427|Ga0349638_01|IG|IG_000916|+|1160340:1160503", "2841813427|Ga0349638_01|IG|IG_000917|+|1161041:1161046", "2841813427|Ga0349638_01|IG|IG_000918|+|1162250:1162325", "2841813427|Ga0349638_01|IG|IG_000919|+|1163649:1163659", "2841813427|Ga0349638_01|IG|IG_000920|+|1164356:1164356", "2841813427|Ga0349638_01|IG|IG_000921|+|1166263:1166281", "2841813427|Ga0349638_01|IG|IG_000922|+|1169336:1169356", "2841813427|Ga0349638_01|IG|IG_000923|+|1170728:1170906", "2841813427|Ga0349638_01|IG|IG_000924|+|1171465:1171515", "2841813427|Ga0349638_01|IG|IG_000925|+|1172203:1172220", "2841813427|Ga0349638_01|IG|IG_000926|+|1173769:1173974", "2841813427|Ga0349638_01|IG|IG_000927|+|1174320:1174321", "2841813427|Ga0349638_01|IG|IG_000928|+|1176386:1176470", "2841813427|Ga0349638_01|IG|IG_000929|+|1177215:1177233", "2841813427|Ga0349638_01|IG|IG_000930|+|1177906:1177959", "2841813427|Ga0349638_01|IG|IG_000931|+|1179027:1179029", "2841813427|Ga0349638_01|IG|IG_000932|+|1180167:1180169", "2841813427|Ga0349638_01|IG|IG_000933|+|1181978:1182045", "2841813427|Ga0349638_01|IG|IG_000934|+|1182409:1182445", "2841813427|Ga0349638_01|IG|IG_000935|+|1184420:1184465", "2841813427|Ga0349638_01|IG|IG_000936|+|1185045:1185055", "2841813427|Ga0349638_01|IG|IG_000937|+|1186592:1186669", "2841813427|Ga0349638_01|IG|IG_000938|+|1187051:1187501", "2841813427|Ga0349638_01|IG|IG_000939|+|1189314:1189422", "2841813427|Ga0349638_01|IG|IG_000940|+|1189813:1190022", "2841813427|Ga0349638_01|IG|IG_000941|+|1191736:1191737", "2841813427|Ga0349638_01|IG|IG_000942|+|1192221:1192388", "2841813427|Ga0349638_01|IG|IG_000943|+|1192980:1193029", "2841813427|Ga0349638_01|IG|IG_000944|+|1193165:1193202", "2841813427|Ga0349638_01|IG|IG_000945|+|1193899:1194060", "2841813427|Ga0349638_01|IG|IG_000946|+|1194985:1195063", "2841813427|Ga0349638_01|IG|IG_000947|+|1195778:1195816", "2841813427|Ga0349638_01|IG|IG_000948|+|1197137:1197139", "2841813427|Ga0349638_01|IG|IG_000949|+|1198595:1198760", "2841813427|Ga0349638_01|IG|IG_000950|+|1199772:1199823", "2841813427|Ga0349638_01|IG|IG_000951|+|1200790:1200867", "2841813427|Ga0349638_01|IG|IG_000952|+|1201546:1201547", "2841813427|Ga0349638_01|IG|IG_000953|+|1202882:1202951", "2841813427|Ga0349638_01|IG|IG_000954|+|1203441:1203511", "2841813427|Ga0349638_01|IG|IG_000955|+|1206038:1206065", "2841813427|Ga0349638_01|IG|IG_000956|+|1206822:1207156", "2841813427|Ga0349638_01|IG|IG_000957|+|1208237:1208244", "2841813427|Ga0349638_01|IG|IG_000958|+|1210786:1211022", "2841813427|Ga0349638_01|IG|IG_000959|+|1214410:1214491", "2841813427|Ga0349638_01|IG|IG_000960|+|1214873:1214969", "2841813427|Ga0349638_01|IG|IG_000961|+|1215603:1215631" ]
[ "METNEFAVGLDIGTTKIVAIVGRKNAHGKIEIMGVGRSKSLGVHKGIVNNISQTISSIQAAVSEAEKSAGVKIKNVTVGIAGKHIRSLQHSDYIMREHPDRYITEDDIEQLKNQVKKLVMLPGEEIIHVLPQEYKVDSEGEIQEPIGMHGKRLEANFHVVVGQMGSIRNIARCVKEAGLDMEALTLEPLASSEAVLTKEEKEAGVAIVDIGGGTTDVAIFKDNIIRHTCVIPYGGGIITDDIKEGCSIIENHAEKLKVKFGSAVPELEKDSTFVTIPGLHGRPDKEISLKTLAQIINARVEEILEMVNNELKGYGAYEQKKKLIAGIVLTGGGSNLRHLRQLSNYVTGFDARIGYANEYVANDKNQFLKGPEFATSIGLLMESLKIRDKRAFEEPEIVEQVTETVTDKKETVTENTTEAVAQTPVAEVSKNKTKPTLGQSIMEKVRKFFEEVE", "MENISVQGFQFDLPKGNSSIIKVIGVGGGGNNALKHMYERGIHGVDFVICNTDAQTLDNNPVANKVQLGVTMTEGLGAGADPEVGEKAAIESIDDIKAAMGQNTKMVFITAGMGGGTGTGAAPVIAKVAKEMGILTVGIVTIPFSFEGKRRLEQAELGLEKLRNNVDSLIVINNDKLRQQFGNLGFKSGFSKADEVLTNAAKGMAEVITGYFDVNIDFRDAKSVLQNSGTALMSNGIASGENKAEDAVKKALDSPLLNDNKITGAKNVLLLIRSGNEEVTMDEIGIIMDHIQKEAGHTADIIFGVGSDEELGDSVSVLVIATGFAKEHQKYSGPTEKIVHSLSEDKSAPQIKRESPFKTSEEQVVSSGKDLFRLDDEDDAPRPEFPVNSVERSADRAQFFVEETPTEIQFLDKEEEGFGDQNWKLENDSNEFNLFSFTGESQAKEDQVQDFQFNGELFEEEEKPVAFTFNFADDRVEVQEPASRIEETQINEVVEAEVTQTPVETPSEVTIENITIVEKPYEEEISIVNKAPLNENQLKIEERRNKLKEFNSRFVNTEAENAFETIPAFKRKNINIDGENASDHTINSFFSENKGQMNLRENRFLNKDVD", "MSLEIQVMDAMKTAMKEKDKVALDALRAIKAQIILVKTDGKGADVTPEQEIAILQRMVKQRKDSMEQFNAQNRQDLAEVEEAQTKVIEKFLPAQLSTEELEAEIKKIIAESGAEGAKDLGKVMGAASKALAGKSDGKSISEMAKKLLS", "MYPSDLVLPMKAELTSNGFEDLTTPEAVDEAIKQQGTTLLVINSVCGCAAGAARPGVIASLLGDKKPDHLTTAFAGYDTEAVAEARKLLAPFPPSSPAVALFKDGELVHMLERHHIEGNPAGAIAANLQAAYNEYC", "MATKALFNSVVNWFIGRRIDQIERFIAHPYETQKGVLFSQLFLAEDTEYGLKYGFKSISSISDFQNRIPIVSYEDFEPYIEKARQGVPDIIWPGQIKRFAKSSGTTNAKSKFIPITEESLEDCHYKAGKDLIALYVNNHPESELFQHKNLRLGGSSEMYQDFNTKFGDLSAILIENLPYWVEVINTPSRKVSLMGEWESKLKAITAEVKSQDVGSLTGVPSWMMVLLLRLLKETNADNIGQLWPNLEVFFHGGISFIPYKDQYKQLMGKDINYYEIYNASEGFFGIQDRSGADDMLLMLDYGIFYEFIPMEHFGESHPKTITLEEVEVGKNYAMVLTTNGGLWRYLIGDTVKFTSTNPYRIKVSGRTKHYINTFGEELMIDNVEVALKKACDYTNAEIIDYTGAPIYMNGNESGAHEWVIEFSRKPSEIEAFARIFDDTLKKVNSDYEAKRYNNITLKEPVIHLARPHLFYDWMNSRGKLGGQNKVPRLSNNREYIDPLLKMNKY", "MEYQFSKGMNQVFNNSRNEAKRLQSEFLNTEHLLLGIIKSENSSAYAILEDFNADLTQIRRKIESLNITSNNPNAMVTEKIPLTKMADQAIKRAALECRLYKSTEVNTVHLLLGILYKTEDPTTSILESYDIDYQAVSKHYKSMLKENGDLPKNQAFDDDEDKDDAFSQMKKPSGNLGTGKSKTPVLDNFGRDLTGLARDGKLDPVIGREKEIERVSQILSRRKKNNPLLIGEPGVGKSAIAEGLALRIHQKKVSRVLFNKRVITLDLASLVAGTKYRGQFEERMKAIMSELEKNRDVILFIDELHTIVGAGSSTGSLDASNMFKPALARGEIQCIGATTLDEYRQYIEKDGALERRFQKVMVEPTTIEESIQILNQIKDKYEEYHNVTYTDDAIKACVNLTARYITDRFLPDKAIDALDEAGSRVYIKNMKVPTEIIEYEKAIEEVKEQKQKAVKAQDYLEARKLKDEEERLQIELNLAQENWDKEVKEKKEVVTEENVAEVVSMMSGVPVTKVGKNELDKLSQMDAMLNGKVIGQEDAVRKVVKAIQRNRAGLKDPNRPIGTFIFLGTTGVGKTELAKVMARELFDSDEALIRIDMSEYMEKFAVSRLVGAPPGYVGYEEGGQLTEAVRRKPYAVVLLDEIEKAHPDVFNILLQILDEGHVTDSLGRKVDFRNTIIILTSNIGTRDLKDFGDGVGFGTSAKKSTSDTRARSTIENALKKAFAPEFLNRIDDIIIFNSLEKDDIKKIINLELGKLYSRLEKLDYHVELSEEATEFIAEKGWDKDFGARPLKRAIQKYIEDLLAEMLVNKQMKEGDKIVLKLNEAKDALEAEKSPKKKEKEPKTS", "MNESWVEIVGYLASVLIVLGFIPKDVLKIRLINLAGCICFVIYGIYRGMLWPIIIPNAIICFIQVYYLLQKKVKK", "MTIQEKQKALVDDFAFLEDWEQKYEYIIDLGKELKGLSEDKKTDENLIRGCQSKVWIDAEFKDGKLFFQADSDGILPKGIVAMLTQVYSGHSTQEILDSDFKFIEEIGLQEFLSPSRANGLMAMTKQIKFYAVAFQLKS", "LKTILAYRFSAFGDVAMTVPVFREFLEQNKDVRIVMVSRKGFSGLFEGIERIEFVGINIDDYKGILGMRKLAKELLKKYNPDFIADLHDVIRSKILYLFFKRRGFKVYKINKGKEDKEELTDVWNLNKHQLKKTTERYADVLRSMGYSVNLSHTLRPLVKDKSGIGFAPFAQHRGKMMPLEKSFELVRELAKSEHIYFFGGGASEVEVLSKWEKEIPNTTSLAGKLSLSDELNMISKLRVMISMDSANMHLASLVGTRVVSVWGATHPYAGFLGYGQKEEDVVGVKDLTCRPCSVFGDKECYRGDWACLEELKIQQVIDKIKK", "MTKSEYQEALEWLFVQMPNYQTDGIKAYKPGLDNIIRLCEYFGNPQKELKMIHIGGTNGKGSTSNMLASVLQEEGYKIGLYNSPHLIHFTERIKVNGKNANDEFVYNFIQKLKNLPEEIKPSFFEFTTIMAFEYFRQQKVDYAIIEVGLGGRLDATNIILPILTAITNVALDHTNILGNTKEEIAREKAGIIKAGIPVVSGDENPSVRNIIQQKANELNAKFIDATSLNPELDSDLKGAYQKNNIRVVLALVEELRLQNISISKESSEKGLLHVHKNTNFIGRWFEFSKNPLTICDTGHNQAGLEYVFNQLKTYSQSKHIVLGFVNDKNIDDVIPLLPKNEKFYFVKPSIHRGRNPQEYEDLIKKENLNYQIFNDVQSGYLAAKENCTADEIIFIGGSNFVVGDFLEKNLAVHE", "MSYFSVNKKEERKDKLKSAVITAIVWTTLLLFIALYSVKVNFPKEAEVINTMLVNFGDNRNGNGTEEPKEQEGSFAPLETKPVVEEEPVKEIAKPEPPVEAKEKIITGKNEKIPVAKVEKAEKKTATKSSVKETKKSDAKKTTTGNTKADGPNKKQGATGDGKGTAAIGNLLKGRGTKDGSQGDGGKAGNAGDPLGGDGNGDSKIGVDRKLTGYIPGTMGRGGAQPVHSCKASGSITLAYTVDKAGNVISVRRSGGISDPCIVSTSIQWVKQYVKAEKANFSSTGTYRITF", "MKISRRNKANPEFSLAAMTDVILLLLIFFMITSSAANQNAIDVKLPKGESEAMDIPNPLSVSIKPDGSYFIDEKQVQRNELESLIVNRLQNATSPSFTIRADKDCLHKDVVFVMEIAEKHKYNIAIATTTEAE", "MAFLEISTILQAQTQVAATTQEFSFWKIMFHGNIFANVVMITVLLLGIFSFYLFLERFFFIKRMSSNTDPNLMRNIEDFLKDGKVDAAIDYCSRQDSPEARILEKGLSRIGRPVSDIVNAMEGQGQAEVANMEMNLNLLAAVPSIAPMLGLLGTVIGMILAFFNLSNSTGSFSPKTLSEGIYTALGQTATGLAVAIPANFFYNLLLTKIDKFILKIQNLSTEFLDIINKPL", "MKGILKIYHPEETLKYNIKSSYCKAVYSNKQNFLEVEIITDDDLDHVDDDSLQYNFPQLSLSISDFPIDTDKLAGQTFNVNDSEEETYCGVDLYDDEDAFIHDNELKFSKDENGDLQLIWQGNIDDFYTFSDEPIAFKLKCHFRQDTPEADDE", "MKKLLTLLFFMATFFLFAQKTISGKVSDTSGNGIASASVTIENPDNPVIIAYGITDSKGNYKIVFNTDLSKINIKVKAFNQKPQTKEVSNQDQTVNFSLASDVTEIKEVVLKTKLITKRGDTIAYDLKAFANKNDRVLADALKKIPGIDVNKDGTILYQGEPLNKFYVNGKDLMEGGYGTINNSLPIDAIGKVEIMENHQPVKILQDKVPSDRAAINIKLKKSVTMTGRGEIGLGASPLLWNVKLTPMLFTDKVQWLFNYKSNNNGDAVENEGNILSFGSRYEGIRRNITQNSWLNVDNSDAPNIPQKRYLMNSVHYLSGNLLTNLNKSKEWEFKANASYTNNAVERDSYNETQYIQQVNDGAIVKNDIKNNFYTDKVKGEVIFTKNAKKGFFKNTTTLSQFWNGDRAVIDRSITQNSNSIANPANEALESPTTSFQNSLSTIIPWKEKLINVRSFINYQTDRQTLRVDPIKFTDRQSFDSLGKPVFTPIFSYSPNAKIAEQYLRMKTFETSNAVNVSFSAKKWTFTPEVGFSYVTNKLNTTLFGVDNNGYRENYGDNYSNNLEFTNTTPTASTQINYKGANFSMYLTLPVNFNNIKATDPVRKVAIDLQKTTFEPSLFAQYEFASFWKASVNGNINYNFGTISNVYAGYILQTPSSLIATAADGVLSQNRVQSSGARIEYRNPLNNLFFNIRGSVSNTRNNLMVNTTYNGGGATLSYIVRDNDINTNSQSFEVGKYFPKFKTNASVSFTNSNNKSLSLNNNLLQRSKNNNENIAFKFNNAYFSWMSLDYTMSYGWGNNLYTTQGRETTVKSNNWTQNLNLIFYPIENHSIGLNWDQTNFSQGGQSFQNPFYDLTYQYTWAKKKIDFEVKWLNIANTKVYEQIGNSSVGTTYRRMFIRPSQIMFTVKFNFK", "MKVEQIYTGCLAQGAYYIVSQGEAVIIDPLREVRPYLDKLQQDNAKLKYILETHFHADFVSGHVDLSDKTGASIVYGPTAKPEFEAIIAKDEEIFEIGDIKIKVLHTPGHTMESSCYLLIDEKGKETALFSGDTLFLGDVGRPDLAQKGKDLTQEDLAGMLYDSLMNKIIPLSDEITVYPAHGAGSACGKNMQKETVDTLGNQKKTNYALNQPDKASFIKEVTEGLTPPPGYFAMNVAMNKKGYESFDQVLEHGLKPLSAEAFEAMADETGALILDTRPAAEFHKGFIPQSVNIGVKGDFAPWVGAMIVDVKQPLLLVTDEGSEEEVITRLSRVGFDNVVGYLKGGLSAWQSAGKETDSVERITPEEFAQRYTEDAKIIDVRKEGEYAAEHIAEAYSRPLAYINTWIKDIDPKEHFFLHCAGGYRSMIAASILQARGYRNFTEVEGGFGKIKLTEVPTTDFVCQSKL", "MPKQIIGAILIILLSCGMISCSVTPKTETQKISKLVNDNRTFLVDVRTPEEYNAEKIEGAVNIPLDQIENRLHEFQGKKNIVVYCRSGVRAGKAKDLLQKSNIPDVYSGTSYQNVLELKKNKTKN", "MSAKFNELIQSERPVLIDFFATWCQPCKVMSSVLNTVKRNVEEEARIVKIDIDQYQAIAAEYGVRSVPTLLIFKKGELLFRQSGVMDVNSLTAELKKHI", "MILSNKNIAVLAFLSFGVSNLYAQKSDSILQQNIEVVRILKNNTSKKVSASHSDFLNHDAGNFLTNLPEIGGRRIAGSYATDPVLRGFKYEQLNIVNDGSLTSIQACPSRMDPPASQVNLNIVKEAEIYKGPYQFRFGNSFGGTINFVSIDPKFTEKPVFSGRLSTGYETNGYGTRNELITRLSNRKFNIDLFGSYQKADDYKDGNGNKVPSAFERYSMGSRVSYQWNESNITTAQITTNQARDVKFATGMMNLLKDNTWLYSLKHDMTFQDSVFKKLSLSSYLSEVDHTMGTPDMSMISRIKSRSGGGRAELKLQWNNNLLYTGTDFRHDGEKDVPQQKMEMPNHGSGHSMAMGHNNAWQNGQINRLGWFNEYQRHWGRNKITASYRLDYSHATANDPSHYFMMKYGDNLSANQTTHSFSFSYNRVLNQHQQITVLIGRGERSAGITERYINFFPLGNDNYQYIGNPLLKSEKNYQVDIVYSYKSDKLNFQADGFYSRLKDFISSQIAPDIKPTSMMSKGVRQITNIDNAYKAGIEGSVQWNITNYLRTEAGAAYTYAQNMSQDVPLSEIAPLETRLRLEGNFNNITLGAEMRYTGQQNRTNPLFGEFATKDFTLFNLDARIEVFKNFNVALQLRNIFNRAYTEYLNKTMYSSGYTQRFMSPGRNFSLTCSYIF", "LNRLTPYLFSFICTLLVFQKTWVWVEYKINMDWYLENCTNKNKPELHCNGKCQLNQEETRNPNFTWLKIASEFIGLPALEASVSIHKISTVFQQVSHKIQNPLFGFLRLNLRPPVL", "MADKGKFIQELTARYQCNGEHIVLGKGIFNGEVVPEVDVCIPLSTVNRHGLIAGATGTGKTKTLQVFVEQLSRNGIPSMVMDIKGDLSGLGAAGENNAKIQERYAKTQLPYSPQAFPVEFMSISDEKGVRLRATVTEFGPVLLSKILGLNDTQSSIMSIIFKYCDDKALPLLDLDDLKKVLQYVTDDPQGKSELSSNYGSIASASLGAILRSIVALEQQGAREFFGELSFEVEDLLQTRNGLGVINILRVTDLQSRPQLFSTFMLSLLAEIYDKFPEVGDKGRPKFALFIDEAHLLFKEAPKALLSQIETIVKLIRSKGVGIYFITQVPGDVPDGVLSQLGLKIQHALRGFTAKDKKEIDKAVENYPTTEFYKASELIQNLGIGEAFVTALDEKGIPTPLVDTFLISPESRMDILTPQEIDNLVASSSLVQRYKDAINRESAYEILSDRMNQVIQNQQQAPSSNGRQPKPEPGMFDQVLKSPLAKSVGNTIVREGMNMLFGMLGLKKRR", "LYSIIDIESNGGAFKKESIIEIAIYRFDGHEIVDQFISLVNPEDVISPYVQKLTGITAKMVKTAPKFHEIAKRIVEITEGTVIVGHNVEFDYRMIRQSFHRLGYNYERETIDTIPLAKKLIPNEESYSLGKLSKSLGIPLTDRHRASGDARATLELFKILLAKDQEKEILKHQKETQVSNNLNKKIMALTEFLPAENGIIYFQNANGEILYTDYSSNIYQTATKIFHARTKKWDKLKAETTQIHYEFTGNELIAKLMMLQKTKKKTPSLPFGLYYKNEKYIIERTKGQTGDLLKFKSFSQGNKVKTFINEQERFQDNPTALKEFLSLDNRNEIWISEGRTKSEKSFLVLEKGKLTGYGFYELHHQIKSLNKINKLKIEIHAVNNMIYNELKLSLLRNNYEIKNLPIT", "MTNQELLKIAGEYGTPVYVYETDKIREQYEKLTKSFDDKTRFFYAAKALTNINILKYIQKLGANIDCVSINEVNLGIKAGFTPEKILFTPNCVDITEIEEAMKLGVHINIDNISILEQFGNKYGNTYPVFIRINPHIFAGGNYKISTGHIDSKFGISIHQLRHIQRIVKTTGLNVEGLHMHTGSEIKDIDVFIQGLEIMFEMVEDFPNLKYIDMGSGFKVPYQDGELETDVKTLGKKVLKAVNNFKKETGKDFELWFEPGKYLVSESGHFLVRTNVIKQTTATVFVGVNSGFNHLIRPMFYDSYHKIENLSNPKGPERIYTVVGNICETDTFAWDRKINEVREGDILVFRNAGAYGFEMSSNFNSRLKPAEVMVMDGNVHLIRKRDVFEDLLKNQIEVL", "MKKILLFTLLGSLFFAQQKEEAAPVIYENYPKGQSDYNGGNIQFYKELHQLILDKKIQPCENKNELYNVKFVVYPDATIKFVKEENPEKAEKNKCAFDLTRRLFKYLDGWKPAEVDGQKVAAITGMIIFPDALFDKYVESYDAVNYYGPPAEFPGGVQAFRKKFMQNVNASRFNWNQGATLIMRFTVDESGKTTDFKMDPGSGNVEFDDMLIQAAKWVKDKWKPATLHGVNIKTGFRFPITLGDNY", "MKSQLLLVFTLMCNLFFSQSSEKLKEFVLDKYPDDQVFYNGGLKAFYSDVHNVIVQNKIKACGQDEPNQYYKLKLKIYPDGRTEKLKNVDSLINAKNECARVLANNVVKDLKNWNPGVYHGRQVPAIAEIYIFPYDLFGNYKDGLNSIENFKLPEFPGGKQAMINTIHREFYTIFRDFDIQGSILLNFDISEKGEMNNLTVWPKIMEQNFVWETMRTFKRIKTTWKPAMRNGIPMAYHFEYGMGMSVGYNYDRTNKDNNQ", "MKRILTIIGLMLITMSITGCAVTQKEMSTNLKRKWMLISMKEFSKDELMHAKASLDLASKEGPNSNYSAFMGCNNLRFQINEINGNKISVSGFSGTKMFCPNHLDLENQFIALFPTMKFYSIEGHFLTLRNRDGEEMKFVAADWD", "MRDEIQILKDYLQKILKLKYLPEDNPGNLCFVHENPELRNEFRTGFSNSDLLKYFKNVTICEKDTDIFLVSPHEIMLPRNADIFWQIVSSD", "MLEKKEHQYEKAVLVGLITKDQDEEKLKEYMDELEFLAYTAGATVEKRFTQKMSQPDSKTFVGKGKAEEIRDYVKENEIGTVIFDDELSPSQLKNLERDIEVKILDRTNLILDIFAQRAQTSYARTQVELAQYEYLLPRLTRMWTHLERQRGGIGMRGPGETEIETDRRIIRDRISLLKDKLKTIDRQMATQRNNRGKMVRVALVGYTNVGKSTLMNSLSKSEVFAENKLFATLDTTVRKVVIGNLPFLLTDTVGFIRKLPTQLVESFKSTLDEVREADLLIHVVDISHESFEDHVNSVNEILQEIDAHKKPVLMVFNKIDDFSYEKKAEDDLTPATRKNISLEEWKNTWMAKSKHPTVFISALTKENFPEMKKMIYDEVLKIHISRFPYNDFLFEYYDDEEVVE", "MELYYSFSALIVLASIFAYINYRFLKLPSTIGIMVIAIVVSTFLVLFGESVLPKTFVRLNRLMDSIDFTEVLMGAMLNFLLFAGGIHININDLKEQFRPVFIFSTVGVVISTFVVGFGVYYIFPLVGVHIPFLYCLVFGALISPTDPVAVLSILKQAKVSKSLETKVAGESLFNDGMAVVVFTVVLQLAVGKEVDLGIENISLLLLHEAGGGLLLGVLLGWVTSRLMREVDDYIISVLVTLSVVMGGYLIARQLHISGPLTMVAAGLFMGNFNVNFKMKSVTQDYLIKFWELIDEILNAVLFLFIGFELLMIKDLQNFIIPGMIAIVVVLLARVISIWGPTQFMSFRSRFSPQTIKVLIWGGIRGGVSIALAMSIPKNEYSTAILSITYFVVVFSIIVQGLTIGKVANPKKIANEEKEQEQITE", "MKGKADHIGQQIEEALQMLSTPERAVQMPRYFKTGKGEYGEGDIFYGVSVPDQRSVAKEFYKEISLEELSDVLKSEVHEMRLTAILIVVAKYEKAKDITEKREVVDFYLNHLEYVNNWDIVDSSAHKILGDYAFRNDEEEILYRLSSDENMWKKRVAVVGSFWFIKHKHYELTQKLVLNNLKHSHDLMHKANGWMLREIGKKDESVLLSFLDKYYKEMPRTSLRYAIERLDEDLRQDYLKGNI", "MNDLENRADIELLVNKFYHKVQSDSKIGLFFNDIAKVDWNKHLPKMYDFWESILFGQMTYKGNPMRMHFPINEIKAIEREHFNHWIKLWTETVEENFSGKNADLAIYKANNIASLMTYKMEIARRL", "MDLKYYSEQAKLKHKEHKKFLDSLKKKPPKNLDYVVQETHDEVFEEIDCLQCANCCKTTGPLFTEKDIERIAKHLRMKPSDFEDKFLRVDEDQDKILQALPCFFLMDDNKCSIYEVRPKACREYPHTDRKKIYQINNLTLKNTVICPAAYTFVEKIKRNLEKK", "MKKVFLSAILLALGTGATFAQSTTQDTTKKTTTTTEVATTPSTSTTTTAPAKTSTEVSTSTTVSTDDKKQPETNDPKATHEKKKKKEKKIE", "MEQFSAEVQQEIDRFMAYIEAKNPNEPEFIQAVREVAVTVIPFILSKDQYKGFKLLERMAEPERVIIFRVPWFDDKGEIQVNRGFRIQMNSAIGPYKGGLRFHPTVNLSVLKFLAFEQVFKNSLTTLPMGGGKGGADFDPTGKSDNEIMRFCQAFMTELCKHIGPDTDVPAGDIGVGAREIGYLFGQYKKIRNEFTGVLTGKGLAYGGSLIRPEATGYGVVYFCEQMLKTVGESISGKTFAVSGFGNVAWGVIKKIDQLGGKAVTLSGPDGYIYDPEGISGDKIDYLLELRASGNNRAEDYAKKYPSATFVAGKRPWEVKCDVAIPSATQNELDLEDAQNLVANGCICVTEAANMPSTLDAINYFLDNKVLFSPGKASNAGGVATSGLEMTQNSLRLNWSSEEVDARLKEIMIGIHKACRDYGKEEDGYVNYVKGANIAGFVKVAEAMLAQGVV", "MFSKEHLYSIALRHCPQIGDLHFKKIVTTIGSAKEAWSLPRRELVKLYGIGNKIVEDIGKDSHLQFAERELEFCYKNNIQILLSHQGNFPELLYQCDDTPAILYQKGKFDHHRTNISIVGTRKNSKYGKEFITEFLYSLKDNNIQTISGLAIGTDTCAHEESLKNNIPTTAILAHGFHILYPNTNRKLADKLLENGGCLFTEFNSSQPPIRESFIQRNRIIAGISPSTIITETAYGGGSVSTANFASIYNREVLALPGSVNDKYSQGCNLLISQNKARIIVSISDTIDYLGLVTKPVEQLPLFHKKEILASLTSDQQLILSAITDSPNINPDEIAEKVSLPIYKILPIILDLELLGYIKASSGRQYFPS", "MFKGVIHPKAIIMPLLFLAAIWLGFLVQNLGLIEGCDGAIIPLVPSGLKGIFFSPFLHGSWEHILGNSLPLVVLSSLLYQFYGSVADRVMLYGWLFSGLAVWMTPSINLFDHQTYTSCIIGASGVIYVLAFFLFASGVIRWNLKLLTVSLIVALYYGSMIWGMIPEELLFTLSEPSRISWQSHLSGAVIGVIMAFIYKDKGEKRKKFIWEYPEYYNEKDDILWQKYIQENPEDFRELPYRKKEDIWDFLDEIRNK", "MNRVLIGTLFFFAILVSAQHAKTKAVIDSINQAKWKENSVNVDSLSNFSMVKIPRIKIDTIIIQQPAVIAALTEPIPVTPYQIMKSPSLRRWYVYGQNNVLFNQAAFSNWNAGGNNNVGINAKVNYSLIYKKGRHFLDNNFQLGYGLVSSKGQSSRKTDDYINIMSNYGYDLRKNYYLSAGIQFLSQFSPGYNYSATPEPVYNDRISKFMAPGYLNIGLGISYNPKENFQVIFRPATGRFTFVLDPKLQVAGNYGLERNGQSIRTEFGALANIMYKIQVMKDLSFTNQLNLFSSYTSHPERVDIAYTGVVNIKFNKFISTIISVDLLYDHDQIQKLQLKQTLGVGISYNLGLQAEDRPDGKKFIKPFGTGVRG", "MLVKVYGSAIHGISARCITVEVNIDKGVGYYLVGLPDNAIKESSHRISAALKNVGYKLPGRKITINMAPADLRKEGSAYDLTIAIGILAANNSIKAENLDKYIIMGELSLDGGLQPLKGILPIALQAAEEGFKGIILPKQNAQEAAITNNLDVYGVTNIKEVIDFFDQDKPLPKTEFDIQKEFEQKIDRFSSDFSEVKGQEMAKRALEIAAAGGHNIILIGPPGSGKTMLAKRLPGILPPLNLKEALETTKIHSVAGKIENDASLITIRPFRNPHHTISDVALVGGGSYPQPGEISLAHNGVLFLDEMPEFKRSVLEVMRQPLEDREVNISRAKFTVNYPASFMLVASMNPSPSGYFPDDPNNTSSVFEMQRYMNKISGPLLDRIDIHIEVDKVDFEQLSDKKKGETSSEIRQRVIRARTLQSDRFANLDINYNAQMGPKEIDRFCELNETSLILLKTAMEKLNLSARAYDRILKVARTIADLENSPYLSSAHISEAIQYRSLDREFWNI", "MNTFIHKNLIKATSSFILTGTLLLSFGSCSSRDEETKNPDGNAGMQGTFLSFNIAGIEEEENITNLATASIKNTEKSLLTSIASEKVISTENFDALISAEGQITSDKTKALSASLTPSYTGAMAAVTNSPMITGTKYRLLIYDAASNTLIKNVDATSGTNPSIQVDAGKQYKWYIVSTNDNSTPSVNTATGIVSAASLANKDVLWNQGIIDAQYGQNNMNIVLKRNTARIQLDLDTRGMFGTINNTTSVEVGTGTGSAFSSIIKTGDLNVLTGQYSNLQNVSAVTGGSMVNKAGAGGAAGATKTATFYTVGSTPIPANNLRIRLNQLDLNMDDNSTRSFSNSIVPYSNIPVTPTLGNRYTLNVRLIESGVRLKGLLWARTNLIYSSQADKYRFRSDNEYSQPDKDTEYWNWMAATPTGSSSDNTDACSKVYPEGMWRMPTSTEFSNLGQPDDKKENYGLFLGANFSAVYNLDAGNNLNTSFPTNSQRLFLSFYGYRTAPGFLGGTSVSDSPGGIFLGALGGGGAYYWSSSSANTNNANYWYMSYSRFAWFVGWSNAEVRSGAKTEGRMVRCVRTTSTPNT", "MKKEKDKQALPEIYVSGKKTYTPPALEVILIEMEHGFAATSASLSPGDAGSPNTPQVEDWNDGGNLGGKDFDL", "MMIKCFYAMVLFSALTFAQATDSFNISGYIRKIYYLDTNSHSLKPRTNQERAWAYMQVADSLYKQIRYTEAIKLYEQADYYALKNQHNKERFVINYFLSDIYRSIGFSNKANEYWEVACSFFNSLDRIDSAIMTNLYKARRMEHNNQFYLAILYHQENISLLEKKYLNLPESKPLIFQQKIDLALEHNIIAYDYLKNNNLHEAKKNFEKTEFYLKDINIATQYQTPYYDLCKAIIAIKENKREEARKWFDSAEKIAEKKAYQVFAKRISEEKILSKIDQLDNHSSKSFKDFFKKILTEIQKVNELEIEREEKKVTDQSNQIEHWKSFFILLTATLTLYIIIKDKKTHKKRSPNQSENARITFTKEIKHVSENDIQPPENFSFINLNYENITRTSTLISEAKESELLEKLNQFETGTDFMAKNFTLSNLASILDTNTKYVHYLLKAHRNKNFNDYINGLKIKYIVHCLCKEPKFQNYKISYLADIGGFSSQSRFAYIFKKEVGLSPSDFIRTLKRKNKTSQNTDI", "MMIENRYCVIMAGGVGSRFWPISTSKFPKQFQDILGVGRTMIQQTYDRISKIVPAGNIFVITSSEHVSVVQNQLPELKPENIVGEPVMKNTAACNIFMGMKIAEINPNAVITVLPSDHLILKEDVFLNTIELAFEEANTNHTLVTIGIQPTRPETGYGYIQFLEKKGQNVFKVKTFTEKPTLEVAKTLIESGDFLWNAGIFVWNVQDILKAFQENLPEMYQQFTECEYNNESEKTCIETIYPKVQKISIDNGILEKTKNVAVIPADLGWSDLGTWTSVFENAEKNEHNNAENSKYVLSYASTGNIIHIKNKNKAVIIDGLNDYIVVDTDKALLICPRSHDQEIKDYVIDLKTTKKGDKFI", "MKVMSLQVLQKYLPEGAIFYIEKWLKPYSCHIRITKKRNSKLGDYRYRSGETQQISINGDLEPQLFFFVLTHEIAHLITFSADRKILPHGKEWKICYRNLLLESLNIYEEDFRPMVMAFSKTPKANYMATPEIVRYFSKNTTEDFIEDLNLGHIFEYQNQTFEILEVRKKRYICKNLHSGRKYLFRTCVQVKKLIHDD", "MLSIIVGIVILLIIICFVLGYGYLFTAVRKTYLKGKTGAHIFDGQDFSSNIIENGNASPWEKAEDYNRRPLSQSLISHLNKTKSVSFLVIKEGKLLSENYWQGNNQASRTNSFSMAKSITVMLLGCAIQDKKIESTATKLSYFYPGFAKDPNGKDCTLGNLSAMESGLDWDENYANPFKPNAKAYYGDDLADFMLKRKFKAVSGTQFEYQSGTTQLLGFAVRKAIGESLSSYASEKLWIPLGMEYSAFWNLDRENGMEKTYCCINATSRDFAKFGQLLLNNGVYDGQQLLSSEFVQKMITGTKLSQESYGNGIWVNNDAQIKHYYLRGLYGQYVICIPDYNMIVVRTGSSRDEAKDSKERPEEVELFVNEAVALFGK", "MIQNIPFEKILFLDIETVPQAGNWSDLDEATQKLWDKKTRFQRKEDVSADEFYEDRGGIMAEFGKIICISVGMLAKSGKLKIHSFSGHDEKKLLEEFGEMFNNPRMNQVVLCAHNGKEFDFPYISRRMLINKMQPPVPLQMFGKKPWEIPHIDTMELWKFGDWKNFVSLELLAHIFGVPTPKDDIDGSMVASIYYIEKDLERIRVYCEKDVLTLCNVFRKMRQEDLLQRED", "METPYTDEFIAEIGDNIIRVLKTIFDPEIPVDIYELGLIYDVQISETGEVKVLMTLTAPNCPVADTLPLEVEQKVGIVKGVTKASVELTFEPTWTKDMMSEEARFELGML", "MTSAIKYIGQLRCESQHLQSGSIVITDAPTDNHGQGAAFSPTDLCATSLGQCMLTTIAILGKGKNIDIEGATCDITKVMNPAPRKIAEIICDLKFPTNYSDEEKQFIEQTALNCPVALSLHPDVKKTVSFTYG", "MFITECPRDAMQGWPELIPTNKKIDYMNSLMDVGYDILDCGSFVNARMVPQMADSGEVVDNIDKSRSNTKLSVVIANFRGAEKALEHEKIDYLGFPFSISETFQHRNTNKSREEAFTEVQRIFDLTKSKNKDLILYFSMAFGNPYGEMWKWQDVEEWAQRFSDMGIKTVMLSDTTGVSDAETIALLFSKIPALFPNIEFGAHFHNRYEDSYKKLKAAYDNGCRRFDTAIKGIGGCPMAKDELVGNMPTEQLINFLQIEKINNRLNLLNFESSYNKAKDIFHF", "MNKVELNKEWEEKLLERFLTYVKIYSTSDPESEKTPSTEQQWDMVNYLYEELQRIGLEDVSKDENGYVYGFVPSTLDKEVPQIGFVSHFDSSPDFNGKDIKPIVWENYDGGDLLLNKETGFTLSSTKFKELANYKGKTIITTDGTSLLSADDKAGIAEIVTAAEYLIANPEIKHGRISIGFTPDEEIGRGADKFNVAHFNAEWAYTMDGGEIGELEYENFNASGAVVKIHGLSVHPGYSYGKMVNAGLLAAEFIQSLPANETPATTRSYEGFFHLTDVKADVSEAKLQYIIRDHDDAKYEQRNEFLKQKVAEFNQKHGEGTAEVEIKEQYLNMRKHIEDKMYIIDFAEEAMKISGVTPDIKAIRGGTDGAKLSYMGLPCPNIFAGGHNFHGPYEYVPLQSMSKATEVILHLVQLVAEK", "MRNSEVVIISDVHLGTYGCHAKELISYLKTIKPRLLILNGDIIDGWAFSKRYFPASHMEVINEFFRLLKEGTKIVYITGNHDEFLRRYSDTQMGEIWLTDKLLFELDGKKHWVFHGDVFDNTTKGYAKFMAKLGGKGYDLLILLNRAINYTFSLFGKSKISLSKRVKNSVKEAIKFIADFEQTAAELAIENKYDIVICGHIHQPVDKMIETEKGSVRYLNSGDWIENLTALEYHNGEWSLYKFDEKNYKEPIISKEDIGINIDELIRPSQIAAFLGKKNNLPI", "MLEDKKPQLTPVSQLGEFGLIKHLTENFPLENSSSELGVGDDAAVINPEGKKVVVTTDILAEGVHFNLGYAPLKHLGYKAVVVNLSDLAAMNAVPSQILVSLAASNRFPVEAFEELYAGIALACQHYHVDLIGGDTTSSNAGLVMSITAIGLQDEQKIVKRSGAKPNDLLVVTGDLGGAYMGLQILEREHAVYLANPNMQPEMEGYDYILQRQLKPEARTDIRTTLEEMDIVPTSMIDISDGLASEILHLSDQSKVGFHLYEEKIPMDTQMINTAEELNFNPVIAALNGGEDYELLFTISPDSYDKIKNHPDFTIIGHAVEANQGNYMVARGSNELIKLTAQGWDAFLNKGE", "MSAFYYKFEVRWSDIDANRHLANSSYVMYCAQTRMAFMNAHNMGVSKLVHWGIGPVILHEDYSFYKEIMADQTVYVSLEISGMSEDSSIYSFTHKFYLPDGTHCATSKVTGVWIDMMLRKMTTPPDDILVSLLKYKTDQTELLTKEDLKKLSNRPENIDPSVFK", "MNLEKKKLVIIGGGAAGFFTAANVDGEKYDIHILEQASDVLQKVKISGGGRCNVTHACFDPRELTSFYPRGNKELLSVFTKFQPGDTMGWFEERGVALKIEDDNRIFPESNSSLSIMNALADAVIANGTKVSTKQVVKKIEQQGEQWIITTSSEEFTADIVVFCTGSSPKSYQLLKPLNFKIVDLVPSLFTFNIKNKSIEGLMGTSFPNAWVKLPALKKEESGPLLITHWGLSGPAVLKLSAWCARELFAMDYNFDVVVNFLGIDISIAEDTLSQFKADNPKKSIGQSKIFDITNRFWNHLLDINNIDPQKQLGNISNKEVQLILESLCQNKMQVKGKSTFKDEFVTAGGVDLKEINFKTMQSKKYPNFYLAGEVLNIDAVTGGFNFQACWSEAWLIAQDLNAK", "MLNKLTFLFSLYLITYSCKEKQPITNQKEYFVLSQYLKEQDSLHNVKLKRAEDNKEIPPPLKRDTTAVGSLNFLIKNNDSAYFYKKSLDVNFYMCGNGIEEEREFRKPILEEDLFIKISTVEITSIINLYKVPFSKYSTRRNNIPLVVFALMRDSINNDMMKKLHINS", "MKFNLYYFIATIILFITEVLIATVFKDLFFVRAYLGDVLVTILIYTFVKSFFNINPGKLLIRVFIFSVFIEVLQYFNIADVLGLQRGSIAYIVVGNSFSWIDIICYGAGCLIAFAVERLRNSTN", "MSHKYILLFSILFFGFAFSQEVKNTPTVTTRQVESPDNLAAFYRDLSQSFNTRNFVGKGDLYCVLSFTIEKDGSMTRISAAGDNQKFNDEVIKTLKRIRTKIKVRLENGQPVAANYRLPFRFNDN", "MAKEKKETPLMGQYNSIKAKYPDALLLFRVGDFYETFGQDAVKTSQILGIVLTKRANGSASHIELAGFPHHSLDSYLPKLVRAGMRVAICDQLEDPKMVKGIVKRGVTELVTPGVTFNDQVLNAKRNNFLLSLHKEKEKYGIALVDISTGEFLVSEGNLEKLLHIVNTFDPSEIILQRSTEVPAVLKNRNTFKLEDWAYQYDYAYEKLTQHFKTKSLKGFGIDDQKLAIVASGAIFAYLVEDTHHSLLNHITKIQSIPQEDYLMMDAFTLRNLEIVFSSQQKGKSLLDIIDKTSTPMGGRLLRRRLILPLKNINEINRRLSLVEFLNKEDQLKYEISLRLRTISDLDRLMGKLAAEKISPKELGYLRQSLESIQEIKKLLIPHPDVLAWLDPLNDLDEILQYVYQHLNEELPAYLNKGNVIKGGVSEELDRLRNLQSKGKGFLDEMRDREIERTGIPSLKIDFNNVFGYFIEVRNTHKDKVPTDWIRKQTLVNAERYITEELKEYESQILGAEEKISLLESELYRATCTYLLQYIDLIQENSALIAQLDCALGLSELSVQEQYTKPVLNDSFVISISEGRHPIIEKSLPLGEKYIPNDIYLDQDSQQIIMVTGPNMAGKSAILRQTAIICLLAQIGSYVPAKHAEVGLLDKIFTRVGASDNIAAGESTFMVEMNEAANILNNISDRSLILLDEIGRGTSTYDGVSIAWAIAEYLHQHTTKPKTLFATHYHELNEMTVNFERIKNFSVSIQENKGNIIFLRKLIPGGSEHSFGIHVAKLAGMPSKVVHRAEEILKTLEDSRAQSGSSEKIKRVTEENMQLSFFQLDDPVLENIREELTKIDINTLTPIEALMKLNSIKKMIGG", "MVEKLKLEELGRVDIETFKQSEKIPVIVLLDSIRSMNNVGAVFRTADAFIIEKVVLCGITPQPPHREIHKAALGATESVDWNYEKDVTEALQKLKSEGYKILAIEQTTGSIALNEQVISKDEKYVVIMGNEVDGVSDEALALCDGFIEIPQLGTKHSLNVSVCAGIIMWEFFKNLK", "MEFPVLETERLILRQLTLADAEDMFEYFSQDEVTEYYDLYTFKGIDEAEKLIQDFNKNFEYKKGIRWAIQLKDSGKMIGTCGYHNWAHEHFKTELGYELNPLYWRQHYMEEAITTILPYAFSEMNVHRIEAFTDPDNLASERLLLKLNFKEEGLLKDFFFEKGRFVDAKIFGLVP", "MKWTDERGGNVEDRRGMGGGGMMVGGGLGTLIIAAIIFFLGGDPSAILNSGGSATPVRTEQRQPASEQDKQLYELVSMLDAWNTKTWTQIFQENGMKYVAPKIIMFSNSTNSGCGPAQSAMGPFYCPADQSVYVDMSFFNELQSRYGAKVSEFTVAYVLGHEIGHHVQTLLGTTQKVDQLRRSGRYSEAEMNRVSVAVELQADFYAGLWAKKNNERVQGGILEPGDIESAVSAAQAVGDDNIQKRSSGYVNQESFTHGSSAQRVEWFMKGYNTGDIKQGDTFNALLR", "MYLKRLQPFFCLGALYILVSFILRFVFIFHPITTSNFSIWECTKVLSIGLVNDFFIFVLASSLFVVYTLFLSDSKYSKPWGKIILAIFVLAILYVAFYPNNIFKQYGGSVSEIVLAFLGIKTLLFALMLFLSRKRFAIRNVLYFFTIFLYVLLIIFNAVSEYFFWNEFGVRYNFIAVDYLIYTNEVIGNIMESYPIVPLFSIIIAITSIITWLIYKKTKENLVELPTFKQKLVLLFSYVVLATGCYFLIAPFQKIKDDNNFAEEIQSNGLYKFYYAFTHSELDYFKFYPTLAENKAEKLYLSQFVPPTMPRPVTSDSTEQHKNVVLISIESLSADFMQHYGNKDKITPFLDSLANKSLMFTNLYATGNRTVRGLEALTLNIPPTAGESIVKRENNKNKFSTGSLFKSKGYHVKYLYGGYSYFDNMEDFFKGNGYDIVDRNNFKPEEISFANVWGVADEDMAKKAIQVMNQEAQTGKPFFNHWMTVSNHRPFTYPDGRIDIPGTAKSRDGGVKYTDYSLRKFFEMAKKQSWYNNTVFVIVADHCASSAGKTELPMDKYRIPAMVYVPDGSVQPQQFGKLMSQIDLMPTVFGLLNFNYTSKFLGQDVLKPAYIPRAYIATYQDLGYIKDNYLTIISPTRKVKQYQLQQKPSDLPAEFNIYYEEIPVKQPKQPFVDETIATYETVSKWLKENKLNR", "MKKRLIYSLFFLGTLFFICESCKNNVPNDARDVKNATYKMYDIGGERGYNVTFDVTGKGAEPVAVVINRIRKEINPSDKKDNTYHINVIAETRKIHGYRPQGTSQENGVIYKINYTEYFKPVKFTLK", "MRFINKLLFVSVTGAMLLTSCNRNNPDPIEPEKAKEENDFVWRGLNSWYYWQEKVPELADSFKNSAQYVSTINSKTPDALFYSLLYQYRTIDRNSWIISDIDKQLANSNGVSKSNGMDFTIYSKVPNGDTKVGIVNYVVPNSPAYNAGIKRGDVITRVNGAVLNNFNYGQLLSDSFSVTFAQSASGDASSGVITTTGEKAAITLTAVDNLVEHAVAAQQVFNEGNKKIGYLVYNAFQNNDSELVTAFGNLKGQGITDLILDLRYNGGGFVDTAVSLGGLITGQFSNAPFVIMDFNKKHQDENETKYLGSAAGSLNLNKIYVLTSGGTASASELTIDCLRRYISVITVGEETYGKFVGSNTIYDSPTMYSSAGRNLSHNWALQPITFAYYNKDRVAPQVGSKGGLLPNYTIQPAQYAGQLKEFGDRSDVALDSAIKLVTGQLQPSAASAMASTRSRGAYSLASGADSFVASKRTMTPFGTDVYAKPKNR", "MKNLNKLSLVSLIGLLSFTSCSRDTINTDNNGGGTNTVAVKAENDFVWKGLNSWYYWQKDVPGLADSFKNSSDYATTLNAKTPDALFYSFLYKYKVVDRYSWIELNGSIQRSASLSAAVTKSNGLDYSLFGTGNGGVVGVVNYVTPNSSAAAAGIQRGDAIIEVNGATLSTSNYTTLQSDSYSITFRSVGRNANNELVPTSDKKTANLVSVEVNENPVAYYKLFNTNTNGKRVGYLVYNGFKADFNGELNAAFAQMKRDNVTDIILDLRYNGGGSVETAVALGTMISGQVDKPYINLKYNDKHTRENGAWNLKSTVNLYQKGNTLVKTGSEAVNALSGVGNVYVLTNEGTASASELTIDALRVYTNVTTIGDPTYGKFVGSITLYDSPGSDYTDNATKNTKHNWTMQPIVFAYWNSRNDAHPVPSTTTDTKSPDYNPNGGILPSAQNIVREVDCFGRMKEFGNTADPALAKALERITGVVQPSALVLSASTVKNGTASLKPMISSDTNLRFVASRKTLTPYGTDVYINNFKQK", "MATTDLSAYKPLHITNADEMIIGIVFSEWNDFVTHNLRDGAIETLKKEGLNENNIHVFPVPGAFELSYAAMQLAKTGKYDAVIAIGCVIRGETAHFDYVCSGVTQGITNINLMTDTPAIFCLLTDDNKEQSIARSGGTLGNKGIEAAVTAMEMVEFKKNLNK", "MTKNNNSGKETVEFFEDLDKRNLNSEKFIERYSKQIGIALGVILLGILGYFAYDQFVLGPKNEEATTEFLAAQKNLSQGKEDVALGGKSAANPGFIGTYNEYSGTKVGKLAAYNAAVLEFKKGNFQKAYDMMDNFSSSNKILMALKYGVMGDCLANLNKGDDALSQFEKAVSSSDDDYTSYYFTRKAGLLSLSLKKNDAAKKYFSSIEEKYKDYDNGASDAYIEMVKYY", "MASESLIQKLEATIENIPDFPKPGIQFKDITPVFLNPKLYEEVIDDLAEFSRGKVDVVCGIESRGFLFGIALAVKLDIPFVLIRKKGKLPPPFISQKYDLEYGSSEIEMKADQLKTGQRVLIHDDLLATGGTTEAAARLVEKQGAIVSQFSFLIGLDFLNGEEKLRPFNAEVYKILSY", "MRYLKYLFLLSFLFLVSCKKDKVDGESLKSFQSSVNDMASSLTTIKQIKFNEALYIIKKFGTNAEGDIDQMNATAKLLNGKNVAEILALADSIAQKQGIEWASTAPPSLGEMNIFEESKPTEVDPNDIQASAISLSVLPASVDSISGPKALMIIPRLVDAQGNKIEFSNAALETVLEVSSGGAKISTSKNLMVNNNFKGFYLKLSSLPFDRIMDNSIDVKVSVKTAKKTLQMTKTGISLNPNALNKPVVTEPTEPVTNPDGTVTNPDGTTTTPTSPDGTTPPPVAPVEAPKPLGDPKASVSKFIGSIGAKNLKAAYEMSNNPSWGSYESFSNANSGFGAIKSISVKSVNTANKTADQATVNANYTVTDNAGKNTDLNVTYTLKASADGWKITGYKINSAK", "MYSFSPKLRLYSIILIVVGLVLFGIGYAVNHSIDEAKVEQMVAAKHVDLEHGPKSNSMQEHDKTHNEHIETALHQVHNEPMAALLQVSVFAFGIACAALFFYCVQNASHAGWPIIILRVMEAVASFIPYGGVLMLIIVIANTIGWSHLYHWMDGSLVDPNSPHFDVILYEKSKYLNIPFYLVRTLIFVGGATFFVFKLKSLSKQVDETKSRAVYQKYYNWSVGYIAFFGFASAAWAWDWMMSIDPHWYSTLYIWYTMVSCLSTAVGIIILISVYLKKKGVLPQFNDNHLHDLGVFLFATSMLWTYLWFAQFMLYWYANVPEEVNYFYGRFEYYRWTFLPMLIPNFLLPLLVLVSSSIKRNYKVVSTMAVVVICGHWLDYFNIVMPGTVGPFWNIGLLEIGATLFIIGLFIFAVMSALSKLKLIPTGNPFLKESEIYEYPF", "MKKNFLKIAGILSVGVITLNSCGDKTEPPLVYFPDMYFPVAYDPLMKAQDPYSDHENEIPAFASRNGQTGLIPVEGTVAQNKDGVLPSEAPKNTEDYNRLYDESKKITSSPLNPANKEKDLERGKKLFDHTCAACHGTGGDGKGPIVESGAYSGVPNYADREITVGSVHYVLENGRNNMGSYAGQLNAGDRWRVAMYVMNEFKKQAAAPAAAENKDAAAKDAPADNANTKK", "MSTTKRIYGLYGDDDDLMHGVKLFRDKGIEIAEVYTPFPVHGLDKALGLKKTRISDAAFIYACYGVSIGALTTWYMMNHDWPQVIGGKPNFDWAHNMPAFVVPMFELMVFCAAHLMSLTYLVRNKMYPGAKPQNPDPRTTDDKFLIEFVSDDVETIKQLLVDTGVEEITIKDA", "MSGHYEAPIREPLIIGHKTYHDITEDIARPIEERAGKLWWYSLYAALVLFIYGVGCIAYTIGTGIGAWGLNRTINWGWDITNFVWWVGIGHAGTLISAVLLLFRQRWRMSVNRSAEAMTIFAVVQAALFPVIHMGRVWVGYWVFPLPNQFGSLWPNFNSPLLWDVFAISTYFSVSVVFWFMGLIPDFAMIRDRAKTPFTKKIYTILAFGWGGKAKHWQRFEELSLVLAGLATPLVFSVHTTVSFDFATSVIKGWHSTIYPPYFVAGAIFSGFAMVQTLLLVARKVCHLEQYITMYHIEIMNIVIIVTGGMVTVAYATEYFIGWYSGSRFEDFTYLSPGAAVGPYWWAFWALIICNLVVPASFWFKKLRTNIIWTFIVALIINIGMWFERFDIIVINLSRDYLPSSWTMFKPTIIDVGVYLGTIGFFSVLFLLYARTFPVIAQAELKTILKISGETYKAKEGDEHH", "MASNKIQFRSIHELKDPTLTNKLAQKEFAEEIPVDEFLGNEEKMNGSSTSRRDFLKLLGFSTAAVTLAACEAPVIKTIPYVVKPHDIIPGVPNYYASSYFDGFDFASVLVKTREGRPIKIEPNPAAGNLGKTNARAQASVLSLYDNDKIKQPKVNGKEATFDEVDNTVLKALADAQASNKKVVLLSHSMPSPTFKKLFGDFKAKYPNAELVTYDAFPYAAALDAAQEVFGSRNIPVYDLSKSQLVVSFNADFLGDFNGASLETSYAAARVPGDKMLRHVQIESNMSLTGANADTRIKQKPSQLYKTLVEVYNGLNGGTSDKIAASIVKELQAKGSNAVVFADGSKAAHVLAHLINQKLASNAFTGKASYLKEFDGARYNEFLSWLNGGQVGVVITNNIDPVYSSNKGAQFKAALSKANFVVAIADKNNEVAKAAKVVIPATHWLESWGDVMPETGVYTLMQPTIQKVYKSRQVEESLLIWTNGKGAPNNYYDYLKANAVTILGGTSFNQALYNGFNNVSGGSNLSYSGGNASQAISELQAFKASDLELVLNTNTAIGDGTQANNPWLQELPDPITRMSWDNYITISPADAKKLDIDNDLNARMQLDGSIVNLTVNGVTLKDVPVFIQPGQADGSIGLALGYGKTDNGEVAKTGINAYPLFDGSNLALSGVKLEKTGEMHQFAGMQLQNTLMGRYEIAKEVPLQTFLHKDVNDWNKPLEMHTYGGTLPMGKIDLWDNNDDTDGPHFNLSIDLNSCTGCGACVIACQAENNVPVVGKEEIRMSRDMYWLRIDRYYSSTKKVEVAEGLQEGMAVPGLYGSKVLGIEGALENPAENPDVIFQPVMCQHCNHAPCETVCPVAATSHGKQGQNQMAYNRCIGTRYCANNCPYKVRRFNWFNYALNDKFDYNMNNDLGRMVLNPDVVVRTRGVMEKCSMCIQMTQASILEAKKDGRRVADGEFQTACSKACSTGAMQFGDMNDNGSHVRSLFGSKRRYYLLEEIGTKPNVFYHTKIRNREEKEV", "MINWRKHYKKGLIAIGILLSTSALYNAQEGDPAKGAELFKTNCTACHKLDSKLIGPPLKGIVEKVKAEEGLGRDWFQKWIKDNKALRASGDKYANKIFEENGKVEMQQFPNLSEKDIDDILAYTSNPPAEPAADAKKDAKATDSPDLNAVNAAKASEGNAKIVMISLFAIAGLLIWILFKIRQLVKLNQSEEITALNATRIQSFSELYAKYKIVGQGVVALLAILAAYGVWNWLMWIGVYKGYKPEQPIYFSHKIHAGINKIDCQLCHSGAKYGKVSEIPSLNVCMNCHKAIPEYKGEYLEPGHDRDFYNGEIKKLYAATGWDPAKMAYTKEATPVEWTRIHNMPDFVYFNHSQHVIAGEQAIINSFNKKHPNEQIDVVCKACHGKIDTMNVVQMANDFTMGWCIECHRTTEVDMGNTYNQAYFEKLHDKLKKQYGSGAKITVDAIGGIECGKCHY", "MKKIFQIGAVMMLFCFQNIYAQKIISKKDSVGGNVYTTQMDSRINDLLTKSEESCNRPAGPKIVNNTGGGSVISEDRIVTSTPRVINTKTLSTADICRNRPKLSGYKIQVAVTNNSNDANKIRYEVRQSFPDLRTELDSSLRPNYKILAGSFFSKQSGSEDLRRVKRLYGSAVLIPYRIFCVEAK", "MNNRILLVEDDQSFGAVLKDYLTINNFDVTLAADGEQGLKEFTENEFDICITDVMMPKKDGFSLAEEIKKIDKNIPIIFLTAKNLREDILKGYQIGADDYITKPFDTELLLYKIKAILQRSSSIEDEEQEQFKISNIFFDSMLRQLRVNDQEYKLSPKENELLKLLCIHRNDFMPRDLALRKIWKKENYFTARSMDVYIAKLRKLLKDDEGLEIINVHGEGFRLLVKN", "MNNKFIPIISVFMTISLIVFVSMQLYWLKEYYRALEQEFSNKVFASMENIREKVNDIEVQRYYANNKTNFSEAIKSTSGQATQQYIQSTTDSTNNKRVIAFSKNIIEKKDIPLPTPGDNVELTNLYGDEGLIKLKNAAPKPLTSEMNQDLSSNNFTLTQLVKINASNMPIQQRINTKELDSLIKRELSMKGISTPIGFAVLDKNNKPTKVANNNFLAQPDKEPYTFELFTDNQYKTLYTLALIFPSKDYSLVENNLPMLLGTMLSLLTILGIYIISINYMSKQKKISEVKTDFINNMSHEFKTPLATISVATDSLNNDKIATNPEKVKYYSSLIKQENLRMKKQVENVLNMSKLERNEMKLFLKTTNVRELIKEITRSFRLIVEQREGTLTEEFKAEKYNFKIDEFHISNALVNLLDNANKYSPEKPEIKIKTRNEGNWYVIEISDKGMGMETENKSRIFEKFFREETGNIHNVKGQGLGLSYVKKIIELHKGQIIVESQKEKGSTFTIKLPLIV", "MTEQELWKKVEAFFQKNFDMEPNPPIETILFLIGIQELGSGQQKYSKDDKLNLIHIAVCRLLEPYGYYKFNGYDDGWPQYEQLQEVPELKPNEQSVLMRKSIIQYFIDEDLFED", "MKAPKAKKIDKILSKHGDQRIDPYYWLNERENPEVIAYLNEENQYTAEVMKDTEDFQNFLYEEMKSRYKKDDSSLPYFFNEYWYIVRYEEGREYPIFCRKHLTLEANEEILLDSNILAEGLDFFEIGSLSVSENNKMIAYSYDNLSRRIYTIYFKNIETGEILEDKIENTTGKAVWSADGQNVFYIRKDKSLRAYQIHRHKIGTDANEDVLIYHEKDDTFDVNVFKTKSSEYIVISSSSTISDEMRFVPANDIFAEWKIIQSREADLEYSVEHFENDFYIITNVDGATNFKLVKTPVNKTTKENWTDVVPHREGILLEGFEIFNDYLVIEEREEGLLKINIRNWKTGETEYLPFHDPTYTAYISINLEFNSEVLRYGYTSMTRPSTTFEYNMKTKTNKILKEQEVLGGTFKAENYISERIWAPARDGQKVPISLVYHKDTPKSKDTPLLLYGYGSYGYTVDAAFSNVRLSLLDRGFIYTIAHIRGGEYLGREWYEDGKMLHKKNTFYDFIDAGKFLIKENYTSAEHLYAMGGSAGGLLMGAVINMEPKLFNGIVAQVPFVDVVTTMLDEDIPLTTGEYDEWGNPNDKEYYDYMKSYSPYDNIEEKEYPNILITTGFHDSQVQYWEPAKWTAKLRELKTDNKLLLFKTDMSSGHGGASGRFESLKDDAFEYAFLLKLENKTEVELQKN", "MKVKSVLVSQPAPNLATSPYGEIAKKEKVKIDFRPFIHVEGADAKELRSQKIDLSQYTGIIFTSKNAIDHYFRLAEEMRFAVPDSMRYICQSEAVANYLQKHIVYRKRKISFGEKTAKDLLPLLKKHNTEKYLLPSSDVFTEDIPNVLNEAGVEWKRAIMYRTVCSNLQDVNIKEYDLVIFFSPQGVRSLFENFPDFKQEDTKIGVFGLTTQQAAEELKLRVDLMAPTKESPSMTMALEKFIKANNK", "MCVLLQKKSLIRIVENNDWVIYSILGIVIIYIISSRVLNKDISFVEHLRLSIEDSSNIFINWFISGFIYVFILSVFLSQYIPVVPRFINDHINLGGYTLNKFGFIFITYLLLYGIKCILSYLFFASSGNADRWKSYTFNINKFFRIIILLFCALTLVHYFYPIDHFQVFNYYIGMLIFIFAGKIAFLLFNRNPTLPKEWYYKFLYICTLQILPHLVVWKFLFF", "MKKLVVIPTFNEKENIADIIKAVMELQQDFHILVVDDSSPDGTANIVEDLTLAYTGQVFLSVRQVKDGLGKAYIHGFKWALEHGYDYIFEMDADFSHNPNDLPKLYEACQDADMTIGSRYSKGVNVVNWPMGRVLLSYFASKYVRFILGVPIHDTTAGFVCFSRKVLEEIGLDKIKLRGYGFQIEMKFRTYKKGFKIVEVPIIFTDRTKGESKMSANIFQEALFGVLNLKWKSIINRL", "MRKLFFFIFIVFLSACSQVDKPKKLISKDEMADIFVEMAIYDGALNINPQANMEGTSKYILQQHKITGTVFMDSYNYYLSQKQMESIFDSAEKKLMKKDPKLEAYIKKKNKGTEVPK", "MEKFFEVEQFSAKGKARAGVITTDHGKIQTPIFMPVGTVATVKTVHQRELKDDIKAQIILGNTYHLYLRPGMDVMQNAGGLHKFMNWNGPILTDSGGFQVFSLASSRKMTEEGVKFKSHIDGSYHFISPEVSMEIQRKIGADIFMAFDECTPYPCEYNQAKVSMELTHRWLKRCIEWTENNPEYYGHKQRLFPIVQGSVYSDLRKASAEVIAEAGAEGNAIGGLSVGEPEEEMYRITDEVTDILPKDKPRYLMGVGTPWNILESIGNGIDMMDCVMPTRNARNGMLFTWGGVINIKNEKWKNDFSPLDEFGTSYVDQEYTKAYVRHLFSAREYLGKQIASIHNLAFYLDLVKVAREHILAGDFYEWKDSIIPQLKSRM", "LKIIDKYIIRKFLGTLGFMLALLSIIVLVIDVQAKIPRIESNGFTTSYFLIHFYPYWLIYLVITFMSILVFISVIFFTSRMANNTEIVAIISSGASFHRFAKPYLIAALLLAFSALAINHFILPWANIKKNKLIIYTYSAANRSKFTDSRQISTQLSPTEYVFINSYNPKEKRGFSYLYQKFDKNRRLIYQLTSSDMVWEEKKKSFMLTNYYEKWVNKDDTEKLAKGDTKYQKLGASPEEIFPDELVGENKNTPELINFINNERRKGNANVAAYQNELHLRTAMPFSIIILTFLALSLSSQKKRGGLGANLAIGIALAFVFVFSFEVLKLVSSSQTLSPLVAMWLPNIVFAPIAFYLYWKRANQ", "MNSLLYLTSIGSTNDIVSELANPNATGISSVYTFDQTKGRGQYGNTWKIPKDKNVAYSFILPTKLVRLSPNLFNFYTALLLRDFIVKITDKEAKVKWPNDIILQNKKIVGILIEKITVEHVDYYIAGFGVNVLQDNFEEITKAGSIKTQTGLSFDLHQFAEGMHNHFSEQLVQFPSDEEVLARYNEALFRKDQISVFEINNIRQNGIIRYVDKDGYLNVELENEDEMKKFFHKEITLLY", "MSKNTEKQQLIDKILEAIQDTKGEDIQVLDLSHIENTVADTFIICSANSNTQVSAIAGNVEKKVRNELQDRPWHVEGAENSLWVLVDYVSVVVHIFQRHIREYYDIESLWGDAKVTKIES", "MKSKGFNWFYLIFAAVLLMLFLPGLMSSSDTRKLDEKSFYALLSQNKIKNVVVLKDTDVAQVFLTPEAKNDPALAKKKTTPSPMMGFMKENPDFTVNIGDLKYFQERYNAITDKDSNIKSKLTFDTESSPWSSFLMNILVWVGIMVLFYYIFFRKIAGGSGGAGGQIFNIGKSRAKLFDEKEKVNVSFKDVAGLEGAKEEVQEVVDFLKNSDKYTKLGGKIPKGVLLVGPPGTGKTLLAKAVAGEAKVPFFSLSGSDFVEMFVGVGASRVRDLFAQAKAKSPAIIFIDEIDAIGRARGRGNITGGNDERENTLNQLLTEMDGFGTDTNVIVMAATNRADILDKALMRAGRFDRSIYVDLPELHERKQIFNVHLKKIKLDSSVDVDFLAKQTPGFSGADIANVCNEAALIAARNGHESVNKQDFLDAVDRIIGGLEKKNKAIKPSEKRRVAFHEAGHATVSWLVEHAAPLLKVTIVPRGRSLGAAWYLPEERQLTTTEQMLDEMCATLGGRAAEQVVFGNISTGALSDLERVTKQAQAMVTIYGLSDAVGNLSYYDSSGQQEYSFGKPYSEETAKLIDKEISKIVESQYQRAVEILSTNRDKLDALASKLLDKEVIFREDLEEIFGKRAWDPELTEQPLSATTENNTVSPINEEAKEL", "LSIFKKIVNKLFNKDEEEEITSVRLGDQLKNADLDYKFAQLFTHSGGYFNYCADEAEALQALNSILKLEQVKSVFCCDEDLQNFLDVVKVPYTESLELVNDTAFISCEYLIAFDGRIMLSYNNIRHFPSSSLPEKIIIIANVSQIVANLNDAMMKVKRRGTLKNLTSISGNISKLDSPNPDNTKLFLLLLED", "LDKNLIQRLISGLIYGLVIFLCTTHYGSTLILKTFSVSVNQSYLYYGLMTFFVVVGVFECVKITKLKSWIWILVTIILGGYIYYRFSYKFFYQYFYLGINMMDIFGIILMALAMITIFKFPQEIKNDNGKMVFTILYVTIPFGFALGLPDFLPYDTHFSWEVFMLFVLIWSSDSFAYFAGRMFGKHKMAPTISPKKTWEGFAGGVICTVILGYFIEYKFPEMKGNWMVVGLLVAIFAPLGDLVESQLKRTFNVKDSGNIIPGHGGVLDRLDSFILCAPVVYVYFMILTRF", "MKFHKEGKGTLLTVLLAIIIISGVSIYFLKMWSLLIIIPLLVLYGFVMWFFRNPERNILDQVENVIAPVDGKVVMIKKVFEGEVLQQECLQISIFMSPLNVHVCRYPVTGEVTYKKYHKGKYLVAWHEKSSELNERTTMAVKTLTGTNVVFRQIAGYVARRIVFYPEVGDSAKAGHEYGFIKFGSRMDVFLPLDTEVICKIGDITKGGIDVIAKLPAESK", "MAKALISQQNYYTDVELDSYRVHVDEPKNVGGQNLGPKPTELLDAALASCTAITLKMYADRKQWDLGDLYVEAKRIVNTKGESTFRISLSTNVELSDEQKDKLLEIADKCPVHKMLDQNEMKTSWI", "MNLYFRILKFAKPHQKYIYGSLFFNILYSLLQIASIGTLLPVLGILFGTEKQEIKGDGFSDNLKRTLYTFINNEIEKHGSLNVLLWLCIITGVAFFLRNIVRYLGAYLLIFYRVGVTKDLRGAMYRKILTLPVSFFSEQRKGDLMSRMSNDVGEVENNILGSLVDLVNSPFMLIGTLASLFFLNPQLTLFSLLVLPVMGTLISLIGKSLKKDSHKAQNELGNVFSIVDETLKSAKIIKIFNADKLLDNRFTGSMTKWINYSISLGRKRELASPMSEFLGAITFLIITWYGGYQILVNKNMQPQDFLVFLGMFFQILPPAKSLATSISNIQKGEASLIRVMDILDADVKVDEIANPIPVSELKDRIEFKNVGFYYNKENLILKNFNLSIPKGSTVALVGQSGSGKTTIANLLARFYDVTEGTITVDGNNIKDLKLKEYRAILGMVTQESVLFNDTIYNNIAMGKENATREEIIEAAKIANAHQFIESLPDGYETNIGDDGNKLSGGQKQRVSIARAVLKNPPIMILDEATSALDTESERFVQEALEKMMENRTSLVIAHRLSTIQKADWIVVMERGVIVEQGTHHDLMDNNSVYRKLVDLQNFD", "MLQRIQTIWMFLAVLAAVFLFYTGQDVDVLGTTPVITIATVILILISLLSIFSYKNRKRQILLNNISIFINALLIGLLVYWLLNLPGGISFPEKGIELTFPLIAIVGLIIANVYIKKDEKLVKSVDRFR", "LTKISKDLGIGVKKTSDINEKIYAILDFQASNPQTIKDYLGPQDKGNDAGNEGAAQPKKRGRKPAAKAEVVKTTEETAKPEAPVKEARKKVEAPKAEVPVEEATKVTEETSQPEKKQRKRVTKAPQPAEAKTTPEEKAPEASEAAEVVAPAKKETQAIPQQKNNNQNNNNNNQNRQHHHNKERNNGDVKNSEPQKKEYSFDGIVTVEGVLEILPDNYGFLRSSDFSYISSPDDVYVSTSQIKNYGLKTGDTVKGIVRLPKEGEKYFSLQRPTEVNGRDLAYIKDRVAFEFLTPLFPQEKFNLAGKNATLSTRIVDLFAPIGKGQRAMIVAQPKTGKTMLLKDIANSISANHPEAYMMVLLIDERPEEVTDMQRSVNAEVIASTFDEAADKHVKVANLVLSKAQRLVECGHDVVILLDSITRLARAYNTVTPASGKILSGGVDANALHKPKRFFGAARKIEGGGSLTIIATALIDTGSKMDEVIFEEFKGTGNMELQLDRKIANKRIYPAVDLISSSTRRDDLLHDEVTQQRMWIMRKYLADMNPVEAMEFVKKHMQSTVNNEEFLMSMNK", "MKIFFKILPAFLLLLSVATKAQLTVHPMVHAGYAYQNQSFGEVGGRLLFLTNDDTLFRVGAAAMMGETNGKFAVMPKVQADILLNFEKNVDIFHSWYFLLGAESTNKYIAPKAGFSLFGIIDLTGGYAFNYGDATLNGKQLKGFNFNLTFNIPLVALSKK", "MKTLPDSKLIADFHKGNEAALEILLKRHQRDIYTFIFYKLGDEDLANDIFQDTFMKIIITLKEGRYKDEGKFSLWAKRIAHNLVIDHFRIKSKNFKISESSYDNEEFSIFDIIKEPDANIEDKLIQIQINDDLYKMIECLPENQREVLELRFFKELSFKEIAEHTNSSINTTLGRVRYALINLRKMADEHQIILTK", "MKQLDSLGMAKLKPRKSTIDFLLSYSKNIKIVDTRIIKVVFSKN", "MKFRHIFFDLDNTLWDHRKNAYLTLKDLFNRKQINSLYGIDFEEFHHKYDEINEKLWEQIRDSEIDKEYLREHRFYDTFLFFGVDNAELAEHFEVNFLDEIVGYNELVEGTKEVLDYLKDKNYNIHIISNGFYDVTHRKIKGSGLTPYFETITSADDVGVRKPNPKIFEYALGKANAQKEESILIGDDWIADVKGSQAFGMDVIFFDALKEDKKEDELKSVKHLSDIKSFL", "MRENRIKVACYGEVLWDIFPGGQRRAGGAPFNVAYHLSRMGVEAHMISSVGHDDLGNELLEKIQNWGMSIDGVQINDQYPTSTVVATIDENNDAHYDIVQHVAWDYIETRSADLNLLTDTDALVFGTLAARNEKSKNTLFELTEASNYNVFDINLRPPYYDIHLIKDLLHRTQLAKFNKAELRMMLDFMGKDYVTEKDSIQYLQDVFRMNEIIISKGSKGALYANGNNFHLYPTVPVEVKDTVGSGDSFLAGFLSKRLETGTNAHQIMHQAVALGAFITAQKGACPEYTLDDFTKFRDQHLVSALPL", "MIIFSMITGNILLYSQEIHSALQLRNNHLWRGIEVASGLVYTGDIHLDYKNFYAGFWAGGTANGDYKEFNNYIGYKNKHLTLELWDIYNFSPNATYNNKEFFNYNAKETGRFMDFRSYYTISDRIPLMLSWNTVISGRDRNKENTHNKYSTFVFAEYPVYKKDDLEVRGRLGYSFALNNPGEQSNFFSKKAGFNEISLMISKPLTIGSYKIPLGLWGMWNPVDNRALLQFSAQVYSF", "METKHRNSLLPFAIITFIYFIVGFLTTVNEQLQAPLKFTFLAEAGSLKNTFTTLISFFFFLGYLLNGTLGSKWVNAYGYKNTILRGLLFMMSGLCMYLCSSWFGYQYPHLAIHFGNAALPYGFIIFVIGSYLMGTSAAIIQVVVNPYAAAYELPGTQPVQRLNILTAINSIGTTSAPFFVTVVMFSGVSIANIEIRQLILPLVILITSVLIVTLITKKLHLPDIANTRATTGEKLERSIWSFRHFVLGVLAIFFYVGTEVAIGANINLHAFELAESGNPMTFFGKSDIIIGGMDLGIHALLSTLYWGGFLVGRAISSFFSKISAKTQLTVTTLLATILAIISMLTQNLWYLVAIGLLHSTMWSCIFSLAIKGLGKYTSKASGVFISAVFGGAVFTLIQGGLADIFGSWRWTWCLTVICELLMLSYALFGSRIRPKDIIQ", "MKVLDYYYNRKAIQTGILHIGVGNFHRAHQAFYTNQLLRDEDQSEWGICGASLLPSDEKIVNNLRSQNLEYTLTVCGRDGRDEVYKIGAINDLIWTVEAPQDLLNKIADSSTKIITLTITEGGYNLDKATNEFILENESIQHDLKNPEAPTTVFGFITEGLRLRKAKSSGSITILSCDNLKHNGNTARNAFTTFIAAQDKDMAEWVQQNVTFPNSMVDRITPVTTPEDVKRLNEKSGITDKAPVYCEDFVQWVIEDNFIAGRPAWERVGVTFTKDVTAYENMKLSLLNASHTLLSYPSFLAGYRKVDEAMRDKDILNFVQSFMDIDITPHVPAPKGIDLNEYKQTLTERFANRSVSDQISRLCSDGISKFPVYIMPNLIKMINHEQDLSRVTFLFAAYRHYLKYKVDDNGLSYEIAEPWITSEDQELISSDNVLDFLNISAFRSISLGTVIPFTTLYISFTERIKNEGIKPVLQSIIVQPKISS", "MKRITIKDLSKYLSISKSTISRALMSDKNVHPETREKILAAAQKLGYKPNPAALNLRYGQSKSIGFVVPEMTTPFSSKVLRGIQNILYPLGYRVIITQSDENPLIERKNLLLLEEFNVDGIIINPCHENYNKDIYQEIIGRGTPVVFFDRIPDNSLDASKVMVNDQLKASLMTEHLVSTGRKRIVHITGPDTIRNAAERIAGYKRILTKYNIFDPELLIKPEGMMFEHGRNAAKQLLDREIEFDSIFAFTDTLAIGAMNYLLEQGIKIPDDIAIASFSGTELATIVYPQLTSVEQPLTEMGEAAAGLILDKIKDTSTLSRTIIMDAELVYRASTGK", "MRILTGIQATGTPHLGNLLGAIIPAIELAKKPENDSLFFIANLHTLTQIKDAAQLRQNTYEIAAAWLACGLDTEKTIFYRQSDIPETCELTWYLDCFFPFQRLTLAHSFKDKADRLQDVNAGLFNYPILMAADILLYDAEVVPVGKDQLQHLEITRDVAEKFNRQMGEVFVLPGAEIQESTKYVPGTDGHKMSKSRGNIINIFLPEKELKKQIMSIESDSKSLEEPKDPETDKTFIIYALIATPEQTEALRQKYLAGNFGYGHAKTELLNLILERFAKERELFSYYMSNLNELEEKLQQGAEKARVIARATLDKTRKVLGY", "MKQFLFIFSLLSFTMIGAQSVTISKMTNIHENKDKHLYKIEDTNKAEYLGEIEIGGFSNDDALMFSNIYTKAKKMGANAFKLRPIETIDGKLEPFDPNHYFLSVYYVPSESSEKEENNIAFLIGSPSVSQKIGVNKERITLPERSFKKIKLNPGEIYTISTLKFLGSSIKLTASDNENTNYFQVSGFKVKSNPYGQAGINLKSGDIIRLEKSYGMFLTTIYQEIK", "MEKILVTGGNGQLGNCLKKLEEKYSDYEFLFTSSSELDITNEDSVKKIFEDFQPQYCINASAYTAVDLAEKEKEKAYAVNAYGVEILAKAAAENNAVFIHVSTDYVFDGVTNLAYTEDDFTDPIGVYGNSKREGEILALEANPSTIILRTSWLYSEFNKNFVKTMLNLFSTKDELGIVADQYGQPTNANDLAKAIMTIISASKKVFGIYHFSNYGETTWYDFAYKIAELSGSSIRLKPLTTAEYPTPAKRPERSTMCLDKIEQDYKITPEYWENSLEDCINILKN", "MKGETTTQTKIRFNDCDPIGHLNNVKYLDYMLNAREDHVVETYGFTYEEHIRLTGCTWVAIQNQIAYLKEVRPNTVVNISSKIIKLGERTSVVEILMRDEKNEAIHAVLWTTAIYFSMKERRSVPHSPELMEFFKDFWVEIPEQHFEQRTESLRKENKQWRKS", "MKRLSVLFAAVLMMVSFTVIKAQKLAHADIAGILTAMPEMKKANEQLEALGKMKQAELGKLQQAFQEKVQAYQKAGKQDAAKEAELQKEGDNIQKMGQAAQKDVADKQETLYAPIDKKLNDAISAVAKAKGLEYVFDANGQGLVYKGGLDVTADIKKQLGIQ", "MKFRSLPIFLFIASAHFYGQVTPPQTTQSSEAVAQHEGTYVLKDIVVDGVKKYTPEQILRFTGLVKGESVEIPGQRLSTAIKKLWDSQYFSEVEVYVQSIEGQNIVLKFSLQDLKELGEVKFTGKGIKKSKNEKLIKDNNLKPGMKITENLVTNLKHNVPQQYITKGFPDAKITIEDKINAKDPNLIDWTINVDKGKRIKIDRIDFEGNNSVSSSKLRKNGFKNTKQKRFLLGLLKPSKFIKDKYEEDKKTLVDYYNSLGFRDMRVVSDSVTRNDKGYNIKVKVDEGKKYYIGDITFVGNTVFSTEALTKLLGYKKGDIYDSVGFKKKVGEEGGKEDNSDIASSYMDSGYLFSNVNAVEKSIKNDTINMEVRIHEGTKATWNRVTWGGNVTTHDHVILRSLRTRPGDLFSKANIKRTYFDLAGMSYFDPQQIGQDIKPNAVDNTADIHWTVVEKGSSQVQVQAGYGGNSFIGTLGLTFNNFSLKNFLRFKDFRPVPQGDGQILSLQAQAGQYFQNYSISFTEPWLFGTKPTALSVGFNYSRVKYTDQYGAAQKLNIFSANAGLNRLLRWPDDYFSLYTGLSYQRYEFNNYPFQFGTETLYNGEANNFAVNIGISRNAAGPDPFFKTSGSDFEISAKLTPPYSLFSKKDYNNMSAINKYRWLEFYKIKLKADSYNQIIGKLVLRSSIEMGFLDGYNKQLGAPPFERFYMGGVGLFNGRFDGRELIPLRGYEDASSTGGTNQDITPYGGGTIYNRINFELRYPISMSQTAKIYALTFLEGGNTWQGWGSYNPFQLKRSAGIGIRVYMGAFGLIGFDFAYGFDKTIGGTQPNGWKTHFLMNQQL", "MEELKSKIDMTKLPKHVAIIMDGNGRWAKSRGEERTYGHRSAIQSVRNAINACNEIHIPYLTLYTFSTENWNRPEDEVSTLMSLLSETLLNEADEIYTKGLRLKVIGDISKMPEMVREQLQNVMDLTKDNKGGTLVLALNYGSQDEIIHAVKSIAKDAKDGKINPEDINNQLFESRLYTKDMPPVDLMIRTSGEIRISNFLLWQIAYAELQFLDIFWPDFTKDDFFNCILNYQDKERRYGKTSEQIEADKA", "MNIEDLLIPAPKIATEKWQLGAMIKPEIREGGIALIFCSDERGSGGTATPKNFTEVRKQLYQLSRHDWEVPIADLGDFISGKTPENTHFALQELLTYCLRKHVLPIVVGGSNDISYSLFSAINHIYKNLTYVQINNMLSLDHSEESLTEKNFLAKILSSEINPVGKYHHLGFQKHSNEYDAVKLMNEVNFDIIRLAEMMNSTEPIEPYFRKADLVTISCNAIESIAEPFSVQPQVNGLNRREICAYMKEAGLSENLKSIGIFNYQTVSKNYLNQQLLAQMIWYLLEGINIQRSHPKEREYETFWIMIGDHEVAFKRDTFSNLWYFGKSPNISECLPCAKSDFENAKAGHLNSRLLRFEE", "MSKNLVIVESPAKAKTIQKYLGNDFEVTSSMGHIRDLPKKGMGINLETFTPDYEVSPDKKKLVTELKSLAKKADMVWLASDEDREGEAIAWHLAEELKLKDDKTKRIVFHEITKNAILKAIENPRKIDNNLVNAQQARRVLDRIVGFEMSPVLWKKVKPGLSAGRVQSVAVRLVVEREKEIHSFQSQASYKVEGTFLNDEKKEILAKLKKDFTTEKEAESFLNQCSTAEFKVLNVEKKPGQRSASAPFTTSTLQQEASNRLGYSVTSTMRVAQRLYEEGYITYMRTDSVNLSQEAINSAKATIIKEFGEEYSAPRNYTTKNASAQEAHEAIRPTDFGVKTVGDAQLNKLYQLIYKRAMASQMANAKIEKTVIEIGNQKLPQHFEATGEVIIFDGFLKVYGITKNEEEEDDNDDKLLPKVNIGEALKYKKIIATEKYTKAPARYTEASLVRKLEELGIGRPSTYAPTIQTIQNREYVDKREVIAKEREIVQLTLTSSLKKETLTEKYGADKNKFLPTDIGVVVNDFLVNNFNEVLDYGFTARVEEAFDIIAEGNRAWKDVMTEFYGKFHPRIADVEENADRATGDRVLGVDPKTGKNVHARIGRFGAMIQIGETDDEEKPIFASLMPNQNISTITFEEAMELFKIPFNLNDYEGKEVIVGVGRFGPYIKWGDAYISIPKTEDPLSVDNDRAIEIIEEKKRADAPIATYKGEPVTKGTGRFGPFIKYKDIFVNVPKKYDFNNLSQSDINELIDAKLEKEANRYIQQWEDQKVSLENGRWGPFIRFGKKMLKIPLKGKGEKYTAEDLQDISFDEVKKWIIAQDETAFKEKPKAKKATAKKTTAKKPSKK", "MKKFTEYKNLDLIGSAENVLKFWEENDTFEKSVENRQGSPEYVFYEGPPSANGMPGIHHVMARSIKDIFCRFQTQNGKQVFRKAGWDTHGLPIELGVEKELGITKEDIGKKISVEDYNKACREAVMRYTDAWNKLTEKIGYWVDLENPYITYEPKYMETVWWLLKQLYNKELLYKGYTIQPYSPAAGTGLSSHELNQPGTYRDVSDTTIVAQFRVKKESSDLFNDIDGNVDILAWTTTPWTLPSNTALTVGRDIEYVVVKTFNQYTFEPINVVLARVLLEKNFGKKYVEGTEDDFANYTSESKVIPYRILKEFTGEQLAGTHYEQLVPWFTPEENAEKAFRVIIGDFVTTEDGTGVVHTAPTFGADDARVSKENDIPPMLVKDENGNLIPLVDLQGRFIYGENVPELFAGKYIKNEYYENGQAPEKSWDVELAILLKTENKAFKVEKYVHSYPHCWRTDKPVLYYPLDSWFVKMTAVKDRLVNLNKEINWKPKATGEGRFANWLENVNDWNLSRSRYWGIPLPIWRTEDLREEVAIGSVEELMQEIQKSIEAGFMTSNPYEGFEVGNMDEANYAKVDLHKNIVDQIILVSASGKPMKRESDLIDVWFDSGSMPYAQLHYPFENKELIDERKAFPADFIAEGVDQTRGWFYTLHAIGTAVFDSVAYKNVMSNGLVLDKNGQKMSKRLGNAIDPFKTLETYGPDATRWYMISNAMPWENLKFDLEGIDEVRRKFFGTLYNTYSFFALYANVDGFRYEEADIENRPEIDRWILSELNLLVKEVKSFYEDYEPTKVARAINTFVNDNLSNWYVRLCRRRFWKGDYTEDKISAYQTLYTCLETIAKISAPIAPFFMDQLYQDLNNATQKESVGSVHLTDFPVVDENKIDYSLVEKTHLAQQITSMVFSLRKKENIKVRQPLQKVMIPVLDVATGEQINAVSELIKQEVNVKELQLINAEEASHLIVKQIKPNFKALGPKLGKDMKTVAAEISAFSDDQIAALEKEGSLTIQGYEITTDDVEILTKDIPGWTVASEGKLTVALDLTITDDLKAEGIAREFINRVQNLRKEKNFEISDKILIELEENNPFLQDILKNKDYISAEVLANDIAVKANVSGEEVEIDEQGFSINIIKN", "MAEERVRYSDADLEEFRKIIEEKIEKAEKDLSLIRESFINDQNNGTDDTSPTFKAFEEGAETLSKEQNALLASRQEKFIRDLKNALIRIKNKTYGVCRVTGNLISKERLKAVPHATLSIEAKNMQR", "MKKIVLITLLVLFIDQASKIYIKTHFHLGESVDVFKWFKLAFVENPGMAYGLHFGGAIGKYALSLVRIALVIGIIVMFKKWLKEGASNYLIIPMSMIFAGAIGNLIDGMFYGLLFDTGTIFESSIGRWVGYDGISKLGGGGYASFMNGCVVDMLYFPLFKFNWPTWIPGIGGTEFEFFRPVFNIADSAITVGAAIILIFKKKAFPNGLNF", "MNKLIKRVFLLLIIIGLLGATFIIYANWKIESETENYITSDPNKLPIEHVGLVLGTSKLLANGNINPYFKYRIDAAEELYKAGKIKNIIVSGDNSRKTYNEPEDMKNELLKRGIPEIDIYMDFAGLRTLDSVIRAREIFGQHEYIIISQEFHNERAVFIARENGINAFGFNAQDVNKAIGIKTMIREKFARAKVFWDFLFSVQPKYGGEKIFVE" ]
[ "TAAATCAAACTGAATAATTAGAGATAGTG", "TTTTTTTTAAAATATCATTAAAGTTTGCTAAATTTTGCAGACCGTTATATAAACGAAACAAAGA", "ACTATAACAATGTAAAATGTACCAATGTAACAACCTTCCTCAGAGTAATTATTGGTAAAATGTTATATTGATACATTGTTAAATTTTAAAATAAG", "ATATGAAAGAAAGGAGGGTAGAAATCTGTTCTTTCTTCTGAATTCATATACTGCATTTAATTTGAGATATCATTAATCTGTATATCGGTTTGATTTAAACCCGGAACTACGAGTTGGTTCTGGGTTTTTTGTCATAATGTCCGATTACTATTGAGCATATTTTTCATAGATGTAGTATATTGATACATCTGTAAAATATGTTTACTTTTGTATGAGATATTTCAATTATTATAAAAAATTAAAATT", "TTAGCTATTTGTAATGATAAATTTAAGCCATCTTTTTAAGGATGGCTTTTTCTTTGCCAAAAAATCTTTACAAAAGCTAAAAAACATTATATTTGTTTTTTAGGCATTTT", "AAAAAATAAAAATCCCTCAGAATTTTCTGAGGGATCTTGTTT", "GATTTTAAAATGTATACTAATTTAAAAGTTATTTCTGAAAAATTTCTTGTTTTGTTATAGAAGTTTTCAGGCAAATGAAATTTGCAAACATCTTACCAAAGAAAAAATGTGCCGTTATGGCACAAAAAGATTTGATTTTAAGCGAATTGTTTATTAGGTTTGCGCCAAAATTAGATTCGTT", "ATTTATGATCGTTTCTTACAAAATCTTTACCTTTGCATAAAGCATTTTAATA", "GTAAAACTCCTAGTAAATTGGGAGTTTTTTTGTGGATTCTTAAGGATTTTAAGAATCCTTTTAGTAGATTATAGATGAGGAAGGGAGATATTAAAGCATAAAAAAAACTCTCAGGAAACTGAGAGTTTTTTTGTGGGTCCTGAGGGATTCGAACCCCCGACCCTCTGGGTGTAAACCAGATGCTCTGAACCAACTGAGCTAAGAACCCGAATTTTTTAAAGGATTTCGAACCTTTTGTGGGTCCTGAGGGATTCGAACCCCCGACCCTCTGGGTGTAAACCAGATGCTCTGAACCAACTGAGCTAAGAACCCTCTTTTAGAGTTGTTTTCTCTTTTTGAGTGGTGCAAATATACAAC", "GATGGTTTCATCGAT", "CTTTTGTGT", "GATATTC", "TAATGAATTTGTTTAAATTTTAAAACGCAAGTTTCCTATAGATATTGTTAAGAACAATATAATTAGAATACATGTTTACGGAAAAGTTAAATTTTACGC", "AGGATAGTTTAAATGCTTTTAGTTAATTAATAATCAGTATATGGAACAAAGATAAAAAACATTTTTTTTCAGACAAGATACAAGGCTTTAAAA", "ATCAAATTTTATAACTAAATAATTAGTAAACGAAATTGTGATTTTGTTACAGATAAAAATAAATAAATTTCATAATAGTTAAGATAAATGATCCTGCATAAAGTTAAATATAGTCTCAGAAAATGTGGAGAATAGCAATAAAAATCATGTCATATATTAAGTTTACGGTATGGTCTTTGACGCAAAATTTGTACTTTAGATGGCTATTTTATTCATAATAAATTAAATTAAAA", "GAAAT", "GAAAAGGGCCTGATTTATCAGGCCCTTTTAAGT", "AATGTATTATAATAAGTTTAAAGATGATATTGTCTGATTATTAGAATAATCAGATTGAAATAAACACTGTACAGTTTGTACATGTTTATATTTTTCGGAAAAATTAAAC", "GAATATTAAATCTATGCAAAATTAACGATTAATATTCCCTTTGTTATGAGGATTGTTAATGATTTTTATCAAGAGAAATATTGCTCAATATCAAAAAATATGTCCTGTTCTCACCCAAAATTTCTAAATTTATCCGTCAAAAATATTTTTAG", "TTTTATTTAATTAATAGAGAACA", "TGTTAAGTACAAAAAGTACAAGAGAAGCCAT", "TTTTTAACATTCGGATAATACGATATAAAGGCATTAAAGACTATATTAGCAGACTCTTTAATGCCTTTTTTAATGAAATATTTATG", "TAAACCCAACACCAAAAT", "AACACTTTAAAATTAATAAAAAGTATAATAACAGATCGTTGTATTGATAAGGGTTAAAATATGTTTCGTATTTAATTCTGTAAACTTTATTTCACAATTCTTATTTTTGTAT", "TAAATAAACTAAAAACACAACAAGAAGAAAAAGAAGG", "TAATAT", "TACCAATATTTTTATCAAAGGTACAATGTGAAGTTATGCTTTGCAAAATTTTAAAGAATGATTAATAGCATATCCTTAATTTTGTAGTTTCTTATT", "ATGTATTCCCAAGGAGTATTAAATAATGTCAAAGTGCGTTAAAGTTATACCCTGGGTGCAATTTCAGATATATAATGTTCGTTTTAAAACTGAACACTAAAATGTAATTTTTAAAAACGAAACATT", "TCCAAATCTTCACTATTTAGTTTTTCTTCAAAATAAAAAGGATGGTTCAAAACCATCCTTTTTATTTCTTCATATATTACTTTTGTTT", "TAGAATTTGATTTTTGTCCGAACAAAGTTAAAATTTTTCCCATATCTACCAAGAAATATTTTGAATTTCAGTAAAATTTAAAAAAAATACGGGTTTGTTTTAAAAATTTTACAATTTACTTTTGTTTGTTAGAAAGTTTCATTCTTTATTCATTAAATAATATAATATTAAGAAATTGTTAATTC", "TTCAAATGTATTTCCTTAAAAATAAGAAAATTATTAA", "AGCTAAAAGGTGCGCAAAAGTTTTGCCATACTATTTACGATTTATTTTTGGCATCTTTTGACATAAAATGAGTATTTATTCGTTTTTAAATAGTTATAGAAGTGACAAAATTTCTTTTTTTATAAAGAAGTTGTATTTTTGAACGAATTTTAAAAAGATTGGAAAAA", "GCCTCA", "ATATTTTCAATCATTTGTTAGTGAAAGGTATAAAAAATAAATTAATATATAGAATTGTGTTTTATATTTATGTTTATTTGGTTTAAAAAATTAATTTAAATTACATTATTTAATTAATAAAGGCGGGTAACCTTTTTTGACTTCAGAATTACCCGCCATTTACAAACAAATGATGAAGTTGTTAAACTTATTTTTTAT", "AGTTGTGTTTTACCTGATTAATGAGCTTTTAA", "CACTCTGATTTTTAATGTTTTATGCTGTAAAATTAGAATGATGACAGGCTTGGCTGTTAGTATTGTAAAAGCAGAATTCTGGAAAATTGCTTTTATTTAAAATTTAATCTA", "TCCTTTGTTTGTGTGAAAACAAAGAAAGGAAGAAGCTTTCTCTAATACAGTGTTATTTGCATTAAATGTTGGACAGTTTTCTGGAATATTGTGAAAAAAGGAGGACTTAATTCCAGAAAACAGGCCATAATTTGACGGAATCTCTGAAAAATAAAAAAAACAGGGTGAAATTCCCTGTTGTATATTTAGCTATATCTTTATGTGTATTGCTGAAAAATGCATTGAAAAGATATATTTTATAGTATTCCCAGTCAGTTGAAGAAAATAAAAATATGT", "AACAAAGGTCTTAAATTTTTCAATAAAATCAATACCTTTATTTAATACATTTGTAAGAAACAACGACAGAAAAT", "GTTTATATTTTGTTAAATTGCATAATTATAGCAGTAGTGTTATTCTGTTTAAGAGTTTCACGCTGGTGGAAAATTATACAAT", "AAACAGGAGACAATTTATA", "TCCGTAATAAACTATTTTTATATATAGAAAGGGCCTTTATATAAAGGCTCTTTTATTT", "ATTTTTATATGATTTTACAGTTTTACATTAAA", "ATTCTAAAACTTTTATTAGGAAAACCCTGAAAAACAAGGGCTTCATAAAATTTGTCATTCGTTCTATAAATATATAAATTTGTTCAACACAAACAAAAAGTATGAAAACCTCAAAGAATCTGTAAGTTAATCGGATAAAAGAGGCTTTCACAGAATGTAATAACAGTTGCCGGAAGAGGCAAATAAAAAATAGTAGA", "GAATAGCGGGCAATTGCCCGCTATTTTTTTGAATTTTTCTTTTTCAAAAGGAGCTTTTATTCTCTTTTATTAACTAAAACGTAAAGAAGTATTAATAATTCCTTAATCATAAATATGTTTCAGGTTTTTAATTTTGTCAAAACCTGTTACA", "CAGTTTACCAATGTACCAATGAGGAAATATTTTCTTTTAATTGTTACATTGATACATTAGCAAATTGTTACATTAATTATAA", "AATA", "GCTTAAATTGAATTTTTAATGAAAAAAAGACTTTGTTGATGATTTTTATCTAATCTTCAAAATTAAGGATAAATGATGGTTTATAAAAATTGTAATTTTGTGCCTTGCATTGTATTACT", "CGGCTAGAGATAAAAATTAATTATCTTTAACTATTATCAAAAGTTAACTAAATATTGGTTCTTT", "AGCTAATGGAATGAAGAGTTATAGATTTCGTAAAGTCTCGAATTATGAAAAGAAAGCTG", "TTTGTTACATTTGTTCT", "AATTTCAATTACTTCATTAATAATTAATAACATACATTTCG", "TTTTTATAGGTATAGGTTCCAAATTTAAGGATTAAATTCAGGCTTTGAAAGTTTGTTCCTTGTAAAACTCATTTCTACATTTTTATGATTTTGTACTTCTGGTATTTTAGGTTCCGGAATGTGCCTGTGTACCATAAGCTTTTTCGTAAATTTGTAGTTGAAAAATGAAGTTTC", "AAAATCCTGCAAGTGCAGGATTTTTAT", "TTTTTATTGTTTTTTGTGATGTTAAAAGTACAAAA", "GAAATAGTTTTATATCCCAAAATTAGACAAAAAAAGGAACATTTTGAATGTTCCTTGCAGATATGATAT", "ACTTTTAATTTTGGATTTAAGATAAACAAATCTACCTGAAAAAT", "ACAAACAAACCCAATATTATAAATTATTAT", "CAAAAGGAGCCGAAAGGCTCCTTTTGTTATTTTTAAGGCTAAGA", "TTT", "TTTCTGATTTTAATGAATTGCAAATTTAATGTTTCTCTGTTGATATACAAATAATTCATTTGAGATTTAAAACCGTAAGTTAGAAATTCTTTTATGAGACTTTATATTTTATCAGGAAACACTTTTTAAAGCTCCTAATTTTGTTATTAGTACTTTATATTTAG", "ATTGTA", "AATATAAGTTTAGTTTGTGCAAAATTAAAAAAAAATCCCGTCGTTGGACGGGATTAAATTTGTATTGATCAAAATT", "CTTTTTTCTTT", "G", "TTGTTACTTTATTTATTAT", "TGATACTTCTAGTTTTCGTTA", "TTAATTAATCTTGATTAGTTAATCTTTGATACTATATCATTCAATTCGGCAAAAATACTATTTTAACACTACATTAACAGGGCTAAAATATGTCTAAATGTCATTTTTCTTTAATTTATATCAATTCTAAATTAGTTTCAGGAATTAGTCTTATTTTTGCATAAATTAACTATTAATAG", "AAATAAAAAAAAGGAGGCTGATCAGCTTCCTTTTTTTATTGTAATCAGTAC", "TTTTTTAATATTTTGTTG", "TGTTTGCTCAAATATTTGTTAAAATTAAAAATAAATTGAATATTAAGGAAAATAATTTGATTAAAATTGTGTTTATTTTCTTAAAAAAATGTTTTTTAACACAAAATTTAGATTTTACATATGCGCTAAAACCATGCCAGAAAATACTTTTGCTACTTTTGATTAAATAAAAAAAAGACATTGCTTTTAAACAATGTCTTTTATGT", "TG", "TTATAAGTGAATAAAAAATTAAAGTTAAATAAAAAAACCATCACAACCCGAGGGTAATGATGGCTTTTGTATTATTTATAGATTT", "CAATTTATTTTTATAATGA", "TCATCTCCTGTACAAAATTTAGATAAGAATAACTTATCTTTGTGCTCGATTTGT", "CAT", "TCC", "TTTTTAAAGCTAATTAAAGAGGATTTGAGATAAAAAAAAGTAACTTTGCAAATATTACAATTTTTTGA", "CAGAGAGAACGCCTCTCCTAATTATTTAAAAGAAGTA", "TTAAAAATAATTTTTATTTTTGTTAGGCTAATTAAAAATATTCAGA", "AAGGCATCATT", "TTTTTCGATAGCAAGATTTGAATAATAAAATGACAGGTCCCGTTTTCAGGACCTGTTTTTTTGTTGTATTTTTAACTC", "AAAAGGAACAGTGCAAACTCCGGAATTATGCGAAAAGTTTAGTTAATTCAAGATAAAAAGAAGATTTATCCTGAGTTTTATTATCCGTTGTATTAAGTCTTTTAGTGTTGAAGATTTTAATACCCTATGCTAATATAACATTCCTCTGGAACGCTCTGGTTTTTAATCATTAGACTCTATAGAGATGAAATTTCTAAAGAAAGTTCTGTCTATTATAATGAACCTTTTTGTGTAAAATTCATAATATTAGATGTTAGCTCCGGAGGAGCGTAATCTATGTAAAAAACAGATGTGCCGGTAAGTATGTATTTATCTGAAAATAGGAATTATCTGTTTCTCTTCAGAATTTGTATCTGATTCACCAATAAAATACAACCCAGGCTAAATATAAAGGCACAAAAGAAATTTCTTTTGTGCCTTATAATATTGTCAGAATTTTCGACGGATTTGA", "CAATTTTTAGATTAAGCTACAAATGTAGTGCATTTACATTTACTATACAGCATACATGAAAAAACCGTCCCTTTCAGGACGGTTCTCACTCAAAAAAATCGTTGTAAGT", "CTATGCAATTAATTTATGCAAAAGTAATAATATTTTTTTGGTTTTTATAAAAAATGTGTAGATTTGCATTACACAGAATCGCAAAATGGACATTATCAATCTGATAGGTTCACTCTCATAAAGATTTTAAATTACAATTTTATACCTTACATACATTATTAATTTCATATGTTTGACATTGAAAGTCTAAAGTCAAAATCGGATACCGAA", "TC", "CACGATTTCTTTTATTAAAAGTTGGTGTTATTAAATAATTTTATAATTTAGCAGTTCAAACAAACAAATAATTTTACTATAAGCAGGAAATTTACTTTAAAACAATGAGTCGAAGAGATGGCAAATTAATTTTGCTACTGTTTCTCGGCATTTTAAAGTAAATGAATA", "TAAATCGGAAATCGCTGCGTTATATAGATATAACAACGTAACGATTTTCT", "CTAGCAATTTTCTTTAAATTTGTGTCTAAGTTTACATT", "GTGGAATAGTAATTCTATATACCTCAATTATTGAAAGTTGGGATTATCATTCTTTCTTTAGAAATTAAACATTGCTTTAATATACAAACGTCATAGGTTTCCAAAACCTATGACGTTTTTCTTTAATTTTTTTATAATTTATAAATGATCTCCAAAGGTGAT", "TTTAATTATTTAATAGCCTTTTCGGGTATGAATGATTTCTTCATTAAAAATAATATATTTCTTTTTTACACATATAATA", "TAATTTAAATTTATACATAAGTGTGTTTGGTTTTAGTTT", "AAT", "AATTACATTGATTTTTTTAACTTAAACTTCTCAAGTTTAGGACAATAAGTTTGTTGCTAAATCATTTGTACCTAAAAAAAGTATATGGGAACGTTCCCAAAATTCAGGGAACGTTCCCGGGAATAATTGTTTTTATTATTTTTGATTAAAATGTAATCGTCAGCTT", "AAAACTCACAAGCATAACTTATGAGTTTTTAATTCTTTTTACGGATATTATA", "ATATTAAATTATAAATTAAGATTTATATCTGTTGATATGCAAATTTACAGGGTTTTAGCGCGATAAAAAATTTATTTG", "GA", "AAATTTTTATCAGTTACAAAAATAAAAAAACCACCGCAAAGGGTGGTTTTATTTATGATACTTTAAGAGC", "AGTATAATCTAAAATTTAATTTGTTTTTAATTTAATTCCTTTTTTTTATCAAAAACTATACCTAAATAGTT", "TTTTAATGTATCTTTTCTTATATATACT", "AGCATTAATTGCGGTAGTCCTGTGGCTTTTGTATTGAGTAAATAACAGAACACTTTAGACTATTATAACCAAAAAATTGCAATCGCAAAGCTTCACCCGTTCTGTTAAGAGAACTGATAAAGGATAAAATAGAATATTGTAGTTGCTTCATTCTGTGATTTACTATTCCGTAGAATAATTATTTATAATATCAATGGTGCAAATATAAAACAAATTTATATTAGGAATATTCATAATGTTTAGTTAAATAATCCATTAATTTTCAGTAATTATTAACGTATTTTTAGAAAAAAACTTTTTCCGAGCAGAACATATTTCCCTGATATAACTTTAGT", "GATTAACC", "AGAAAATTTTTTGCAAAATTAGGATTTATTTTGAAAATCAAATTTTTCTTTATCATTCAAATTTTCTATTTAGGCAACAAGCTGTGTTATTGCTTATTCCTAAAAAGTTGAATGCTTAATATTTAAAAGCTTAAATTTCAATAGATTTAAGCTTTCTTAATATAGGATAAATTCGCTAATTTTTTTTATATTCGCAATCTTAATTTATAAAGGACTAGAAATATTTTTTAACGTCAC", "AAGAAACTGAGAAGTACTTTCTATAGTCGTACAGAAAGTACTTCTCAAAATATAAAGTACCAATTATCAAATTAGAAATATT", "AATTACACCTATTGGTGCGATTGATTAATGTACAAAGCAAAATGTGTTAAGTAAATACATGATGCTTTGTACATTTTAATTATATGATACAGAAATT", "AAAACTATATGGGTATCATTATATATGAT" ]
[ true, true, true, true, true, false, true, true, true, false, false, false, false, false, false, true, true, true, false, false, true, true, true, true, true, true, false, true, true, true, false, true, true, false, false, false, true, false, false, false, false, false, false, true, true, true, false, false, true, true, false, false, true, true, false, true, false, true, true, false, false, false, true, true, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, true, true, true, true, false, true, true, true, true, true, true, false, false, true, true, true, true, true, false, false, false, false, true, false, false, false, false, false, false, false, false, false, true, true, true, true ]
[ 0, 2, 4, 6, 8, 9, 11, 13, 15, 17, 18, 20, 22, 24, 26, 27, 29, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 51, 52, 54, 56, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 94, 95, 97, 98, 100, 101, 103, 105, 107, 109, 111, 113, 115, 117, 118, 120, 122, 124, 126, 128, 130, 132, 133, 135, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 208, 210, 211, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 231, 232, 233, 235, 237, 239, 241, 243, 245, 247, 249, 251, 253, 255, 257, 258, 260, 262, 264, 266, 268, 270, 272, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317, 319, 321, 322, 324, 326, 328, 330, 332, 334, 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 363, 365, 367, 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 390, 392, 394, 396, 398, 400, 402, 404, 406, 408, 410, 411, 413, 415, 417, 419, 420, 422, 424, 426, 428, 430, 432, 434, 436, 438, 440, 442, 444, 446, 448, 450, 451, 453, 455, 457, 459, 461, 463, 465, 467, 469, 471, 473, 475, 477, 479, 481, 483, 485, 487, 489, 491, 493, 495, 497, 499, 501, 503, 505, 507, 509, 511, 513, 515, 517, 519, 521, 523, 525, 526, 528, 530, 532, 534, 536, 538, 540, 542, 544, 545, 547, 548, 550, 552, 554, 556, 558, 560, 562, 564, 566, 568, 570, 572, 574, 576, 578, 580, 582, 584, 586, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620, 622, 624, 626, 628, 630, 632, 634, 636, 638, 640, 642, 644, 646, 648, 650, 652, 653, 655, 656, 658, 660, 662, 664, 665, 667, 669, 671, 673, 675, 676, 678, 680, 682, 684, 686, 688, 690, 692, 694, 696, 698, 700, 702, 704, 706, 708, 710, 712, 714, 716, 718, 720, 722, 724, 726, 728, 730, 732, 734, 736, 738, 739, 740, 742, 744, 746, 748, 750, 752, 754, 755, 756, 758, 760, 762, 764, 766, 768, 770, 772, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 817, 819, 821, 823, 825, 827, 829, 830, 832, 834, 836, 838, 840, 842, 844, 846, 847, 849, 851, 853, 855, 857, 859, 861, 862, 864, 866, 868, 870, 872, 874, 876, 878, 880, 882, 884, 886, 888, 890, 892, 894, 896, 898, 900, 902, 903, 905, 906, 908, 910, 912, 914, 916, 918, 920, 922, 924, 926, 928, 930, 932, 934, 936, 938, 940, 942, 944, 946, 947, 949, 951, 953, 954, 956, 958, 959, 961, 963, 965, 967, 968, 970, 972, 974, 976, 978, 980, 982, 984, 986, 987, 988, 989, 991, 993, 994, 996, 998 ]
[ 1, 3, 5, 7, 10, 12, 14, 16, 19, 21, 23, 25, 28, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 53, 55, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 96, 99, 102, 104, 106, 108, 110, 112, 114, 116, 119, 121, 123, 125, 127, 129, 131, 134, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 171, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 209, 213, 215, 217, 219, 221, 223, 225, 227, 229, 234, 236, 238, 240, 242, 244, 246, 248, 250, 252, 254, 256, 259, 261, 263, 265, 267, 269, 271, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 323, 325, 327, 329, 331, 333, 335, 337, 339, 341, 343, 345, 347, 349, 351, 353, 355, 357, 359, 361, 364, 366, 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 391, 393, 395, 397, 399, 401, 403, 405, 407, 409, 412, 414, 416, 418, 421, 423, 425, 427, 429, 431, 433, 435, 437, 439, 441, 443, 445, 447, 449, 452, 454, 456, 458, 460, 462, 464, 466, 468, 470, 472, 474, 476, 478, 480, 482, 484, 486, 488, 490, 492, 494, 496, 498, 500, 502, 504, 506, 508, 510, 512, 514, 516, 518, 520, 522, 524, 527, 529, 531, 533, 535, 537, 539, 541, 543, 546, 549, 551, 553, 555, 557, 559, 561, 563, 565, 567, 569, 571, 573, 575, 577, 579, 581, 583, 585, 587, 589, 591, 593, 595, 597, 599, 601, 603, 605, 607, 609, 611, 613, 615, 617, 619, 621, 623, 625, 627, 629, 631, 633, 635, 637, 639, 641, 643, 645, 647, 649, 651, 654, 657, 659, 661, 663, 666, 668, 670, 672, 674, 677, 679, 681, 683, 685, 687, 689, 691, 693, 695, 697, 699, 701, 703, 705, 707, 709, 711, 713, 715, 717, 719, 721, 723, 725, 727, 729, 731, 733, 735, 737, 741, 743, 745, 747, 749, 751, 753, 757, 759, 761, 763, 765, 767, 769, 771, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 818, 820, 822, 824, 826, 828, 831, 833, 835, 837, 839, 841, 843, 845, 848, 850, 852, 854, 856, 858, 860, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891, 893, 895, 897, 899, 901, 904, 907, 909, 911, 913, 915, 917, 919, 921, 923, 925, 927, 929, 931, 933, 935, 937, 939, 941, 943, 945, 948, 950, 952, 955, 957, 960, 962, 964, 966, 969, 971, 973, 975, 977, 979, 981, 983, 985, 990, 992, 995, 997, 999 ]
[ "2841813427|Ga0349638_01|CDS|2841814557|-|1222363:1223007", "2841813427|Ga0349638_01|CDS|2841814558|-|1223013:1223999", "2841813427|Ga0349638_01|CDS|2841814559|+|1224148:1224969", "2841813427|Ga0349638_01|CDS|2841814560|+|1224982:1226142", "2841813427|Ga0349638_01|CDS|2841814561|+|1226144:1227550", "2841813427|Ga0349638_01|CDS|2841814562|-|1227540:1228577", "2841813427|Ga0349638_01|CDS|2841814563|+|1228669:1229724", "2841813427|Ga0349638_01|CDS|2841814564|-|1229781:1230296", "2841813427|Ga0349638_01|CDS|2841814565|-|1230300:1230854", "2841813427|Ga0349638_01|CDS|2841814566|-|1230866:1231585", "2841813427|Ga0349638_01|CDS|2841814567|-|1231557:1232066", "2841813427|Ga0349638_01|CDS|2841814568|-|1232208:1233371", "2841813427|Ga0349638_01|CDS|2841814569|-|1233426:1234730", "2841813427|Ga0349638_01|CDS|2841814570|-|1234818:1235072", "2841813427|Ga0349638_01|CDS|2841814571|-|1235276:1236520", "2841813427|Ga0349638_01|CDS|2841814572|-|1236483:1239014", "2841813427|Ga0349638_01|CDS|2841814573|+|1239396:1240940", "2841813427|Ga0349638_01|CDS|2841814574|+|1240941:1241729", "2841813427|Ga0349638_01|CDS|2841814575|+|1241852:1242646", "2841813427|Ga0349638_01|CDS|2841814576|+|1242685:1243359", "2841813427|Ga0349638_01|CDS|2841814577|+|1243374:1243946", "2841813427|Ga0349638_01|CDS|2841814578|-|1243989:1245077", "2841813427|Ga0349638_01|CDS|2841814579|+|1245279:1246406", "2841813427|Ga0349638_01|CDS|2841814580|-|1246411:1246965", "2841813427|Ga0349638_01|CDS|2841814581|+|1247060:1249795", "2841813427|Ga0349638_01|CDS|2841814582|+|1249925:1250317", "2841813427|Ga0349638_01|CDS|2841814583|+|1250562:1250891", "2841813427|Ga0349638_01|CDS|2841814584|+|1250903:1251607", "2841813427|Ga0349638_01|CDS|2841814585|+|1251604:1252326", "2841813427|Ga0349638_01|CDS|2841814586|+|1252323:1253018", "2841813427|Ga0349638_01|CDS|2841814587|-|1253047:1253808", "2841813427|Ga0349638_01|CDS|2841814588|-|1253822:1254349", "2841813427|Ga0349638_01|CDS|2841814589|-|1254342:1255472", "2841813427|Ga0349638_01|CDS|2841814590|-|1255589:1256356", "2841813427|Ga0349638_01|CDS|2841814591|-|1256498:1259863", "2841813427|Ga0349638_01|CDS|2841814592|+|1260045:1261568", "2841813427|Ga0349638_01|CDS|2841814593|+|1261577:1261909", "2841813427|Ga0349638_01|CDS|2841814594|-|1261987:1263102", "2841813427|Ga0349638_01|CDS|2841814595|+|1263421:1263876", "2841813427|Ga0349638_01|CDS|2841814596|+|1263882:1264268", "2841813427|Ga0349638_01|CDS|2841814597|+|1264452:1265210", "2841813427|Ga0349638_01|CDS|2841814598|-|1265283:1265723", "2841813427|Ga0349638_01|CDS|2841814599|+|1265867:1266691", "2841813427|Ga0349638_01|CDS|2841814600|+|1266799:1268259", "2841813427|Ga0349638_01|CDS|2841814601|+|1268376:1270382", "2841813427|Ga0349638_01|CDS|2841814602|-|1270414:1271460", "2841813427|Ga0349638_01|CDS|2841814603|-|1271582:1272538", "2841813427|Ga0349638_01|CDS|2841814604|-|1272585:1274096", "2841813427|Ga0349638_01|CDS|2841814605|-|1274186:1275091", "2841813427|Ga0349638_01|CDS|2841814606|-|1275095:1276198", "2841813427|Ga0349638_01|CDS|2841814607|-|1276254:1276706", "2841813427|Ga0349638_01|CDS|2841814608|-|1276703:1277917", "2841813427|Ga0349638_01|CDS|2841814609|-|1277904:1278320", "2841813427|Ga0349638_01|CDS|2841814610|-|1278500:1279543", "2841813427|Ga0349638_01|CDS|2841814611|-|1279543:1280733", "2841813427|Ga0349638_01|CDS|2841814612|-|1281111:1282148", "2841813427|Ga0349638_01|CDS|2841814613|-|1282141:1282899", "2841813427|Ga0349638_01|CDS|2841814614|-|1282925:1284160", "2841813427|Ga0349638_01|CDS|2841814615|-|1284341:1285699", "2841813427|Ga0349638_01|CDS|2841814616|-|1285781:1286257", "2841813427|Ga0349638_01|CDS|2841814617|-|1286362:1286565", "2841813427|Ga0349638_01|CDS|2841814618|-|1286602:1287141", "2841813427|Ga0349638_01|CDS|2841814619|-|1287190:1288278", "2841813427|Ga0349638_01|CDS|2841814620|+|1288322:1288903", "2841813427|Ga0349638_01|CDS|2841814621|+|1288907:1290364", "2841813427|Ga0349638_01|CDS|2841814622|+|1290364:1291053", "2841813427|Ga0349638_01|CDS|2841814623|-|1291109:1291819", "2841813427|Ga0349638_01|CDS|2841814624|-|1291990:1292145", "2841813427|Ga0349638_01|CDS|2841814625|+|1292295:1293299", "2841813427|Ga0349638_01|CDS|2841814626|+|1293416:1296520", "2841813427|Ga0349638_01|CDS|2841814627|-|1296587:1297354", "2841813427|Ga0349638_01|CDS|2841814628|-|1297365:1298120", "2841813427|Ga0349638_01|CDS|2841814629|-|1298259:1300310", "2841813427|Ga0349638_01|CDS|2841814630|-|1300307:1301608", "2841813427|Ga0349638_01|CDS|2841814631|+|1301744:1302391", "2841813427|Ga0349638_01|CDS|2841814632|+|1302391:1303110", "2841813427|Ga0349638_01|CDS|2841814633|+|1303128:1303478", "2841813427|Ga0349638_01|CDS|2841814634|+|1303503:1304741", "2841813427|Ga0349638_01|CDS|2841814635|+|1304804:1305217", "2841813427|Ga0349638_01|CDS|2841814636|+|1305333:1308152", "2841813427|Ga0349638_01|CDS|2841814637|+|1308472:1309344", "2841813427|Ga0349638_01|CDS|2841814638|+|1309403:1309999", "2841813427|Ga0349638_01|CDS|2841814639|+|1310012:1310554", "2841813427|Ga0349638_01|CDS|2841814640|+|1310585:1311421", "2841813427|Ga0349638_01|CDS|2841814641|+|1311670:1312530", "2841813427|Ga0349638_01|CDS|2841814642|+|1312625:1314274", "2841813427|Ga0349638_01|CDS|2841814643|+|1314348:1315073", "2841813427|Ga0349638_01|CDS|2841814645|+|1315295:1315447", "2841813427|Ga0349638_01|CDS|2841814646|+|1315865:1316539", "2841813427|Ga0349638_01|CDS|2841814647|+|1316541:1317896", "2841813427|Ga0349638_01|CDS|2841814648|+|1318007:1321054", "2841813427|Ga0349638_01|CDS|2841814649|+|1321065:1322435", "2841813427|Ga0349638_01|CDS|2841814650|-|1322520:1322861", "2841813427|Ga0349638_01|CDS|2841814651|-|1322970:1324658", "2841813427|Ga0349638_01|CDS|2841814652|+|1324767:1325672", "2841813427|Ga0349638_01|CDS|2841814653|+|1325698:1326195", "2841813427|Ga0349638_01|CDS|2841814654|+|1326224:1326631", "2841813427|Ga0349638_01|CDS|2841814655|-|1326699:1327634", "2841813427|Ga0349638_01|CDS|2841814656|-|1327698:1329452", "2841813427|Ga0349638_01|CDS|2841814657|-|1329580:1331106", "2841813427|Ga0349638_01|CDS|2841814658|-|1331124:1331330", "2841813427|Ga0349638_01|CDS|2841814659|-|1331334:1331930", "2841813427|Ga0349638_01|CDS|2841814660|-|1332074:1332667", "2841813427|Ga0349638_01|CDS|2841814661|-|1332727:1333239", "2841813427|Ga0349638_01|CDS|2841814662|+|1333379:1334479", "2841813427|Ga0349638_01|CDS|2841814663|+|1334572:1336347", "2841813427|Ga0349638_01|CDS|2841814664|+|1336457:1337119", "2841813427|Ga0349638_01|CDS|2841814665|+|1337136:1339145", "2841813427|Ga0349638_01|CDS|2841814666|+|1339150:1339725", "2841813427|Ga0349638_01|CDS|2841814667|+|1339749:1340522", "2841813427|Ga0349638_01|CDS|2841814668|+|1340756:1341001", "2841813427|Ga0349638_01|CDS|2841814669|+|1341157:1342671", "2841813427|Ga0349638_01|CDS|2841814670|+|1342678:1344090", "2841813427|Ga0349638_01|CDS|2841814671|+|1344087:1344569", "2841813427|Ga0349638_01|CDS|2841814672|+|1344535:1345209", "2841813427|Ga0349638_01|CDS|2841814673|-|1345410:1346012", "2841813427|Ga0349638_01|CDS|2841814674|-|1346018:1346884", "2841813427|Ga0349638_01|CDS|2841814675|-|1346953:1347576", "2841813427|Ga0349638_01|CDS|2841814676|-|1347668:1348690", "2841813427|Ga0349638_01|CDS|2841814677|+|1348768:1349991", "2841813427|Ga0349638_01|CDS|2841814678|+|1349993:1350910", "2841813427|Ga0349638_01|CDS|2841814679|+|1350930:1352231", "2841813427|Ga0349638_01|CDS|2841814680|-|1352295:1353752", "2841813427|Ga0349638_01|CDS|2841814681|-|1353852:1355297", "2841813427|Ga0349638_01|CDS|2841814682|-|1355290:1355760", "2841813427|Ga0349638_01|CDS|2841814683|-|1355732:1356502", "2841813427|Ga0349638_01|CDS|2841814684|-|1356502:1357749", "2841813427|Ga0349638_01|CDS|2841814685|-|1357776:1358015", "2841813427|Ga0349638_01|CDS|2841814686|+|1358209:1358874", "2841813427|Ga0349638_01|CDS|2841814687|+|1359019:1360482", "2841813427|Ga0349638_01|CDS|2841814688|+|1360493:1363147", "2841813427|Ga0349638_01|CDS|2841814689|+|1363271:1363729", "2841813427|Ga0349638_01|CDS|2841814690|+|1363857:1364840", "2841813427|Ga0349638_01|CDS|2841814691|+|1364941:1365360", "2841813427|Ga0349638_01|CDS|2841814692|+|1365439:1365948", "2841813427|Ga0349638_01|CDS|2841814693|+|1366021:1367514", "2841813427|Ga0349638_01|CDS|2841814694|-|1367574:1369202", "2841813427|Ga0349638_01|CDS|2841814695|-|1369522:1370349", "2841813427|Ga0349638_01|CDS|2841814696|+|1370471:1371298", "2841813427|Ga0349638_01|CDS|2841814697|-|1371288:1372037", "2841813427|Ga0349638_01|CDS|2841814698|+|1372230:1373036", "2841813427|Ga0349638_01|CDS|2841814699|-|1373073:1374875", "2841813427|Ga0349638_01|CDS|2841814700|-|1374908:1375429", "2841813427|Ga0349638_01|CDS|2841814701|+|1375786:1376607", "2841813427|Ga0349638_01|CDS|2841814702|-|1376695:1379826", "2841813427|Ga0349638_01|CDS|2841814703|+|1379919:1380116", "2841813427|Ga0349638_01|CDS|2841814704|+|1380196:1381086", "2841813427|Ga0349638_01|CDS|2841814705|+|1381083:1381373", "2841813427|Ga0349638_01|CDS|2841814710|+|1382170:1383357", "2841813427|Ga0349638_01|CDS|2841814712|+|1383491:1383697", "2841813427|Ga0349638_01|CDS|2841814713|+|1383710:1384252", "2841813427|Ga0349638_01|CDS|2841814714|-|1384741:1385346", "2841813427|Ga0349638_01|CDS|2841814715|-|1385613:1385984", "2841813427|Ga0349638_01|CDS|2841814716|+|1386063:1387472", "2841813427|Ga0349638_01|CDS|2841814717|+|1387634:1388077", "2841813427|Ga0349638_01|CDS|2841814718|+|1388089:1388781", "2841813427|Ga0349638_01|CDS|2841814719|+|1388795:1389385", "2841813427|Ga0349638_01|CDS|2841814720|+|1389436:1389804", "2841813427|Ga0349638_01|CDS|2841814721|-|1389883:1390980", "2841813427|Ga0349638_01|CDS|2841814722|-|1391080:1392111", "2841813427|Ga0349638_01|CDS|2841814723|+|1392357:1393214", "2841813427|Ga0349638_01|CDS|2841814724|+|1393296:1394063", "2841813427|Ga0349638_01|CDS|2841814725|+|1394124:1394687", "2841813427|Ga0349638_01|CDS|2841814726|+|1394729:1395745", "2841813427|Ga0349638_01|CDS|2841814727|+|1395823:1396182", "2841813427|Ga0349638_01|CDS|2841814728|+|1396195:1397337", "2841813427|Ga0349638_01|CDS|2841814729|+|1397345:1397851", "2841813427|Ga0349638_01|CDS|2841814731|+|1398434:1398826", "2841813427|Ga0349638_01|CDS|2841814732|-|1398868:1399293", "2841813427|Ga0349638_01|CDS|2841814733|-|1399305:1400729", "2841813427|Ga0349638_01|CDS|2841814734|+|1401175:1401771", "2841813427|Ga0349638_01|CDS|2841814735|+|1401937:1402998", "2841813427|Ga0349638_01|CDS|2841814736|+|1402998:1403576", "2841813427|Ga0349638_01|CDS|2841814737|+|1403586:1404137", "2841813427|Ga0349638_01|CDS|2841814738|+|1404196:1404534", "2841813427|Ga0349638_01|CDS|2841814739|+|1404619:1405554", "2841813427|Ga0349638_01|CDS|2841814740|-|1405618:1407030", "2841813427|Ga0349638_01|CDS|2841814741|-|1407046:1410222", "2841813427|Ga0349638_01|CDS|2841814742|-|1410295:1411458", "2841813427|Ga0349638_01|CDS|2841814743|-|1411707:1412330", "2841813427|Ga0349638_01|CDS|2841814744|+|1412534:1413088", "2841813427|Ga0349638_01|CDS|2841814745|-|1413203:1413625", "2841813427|Ga0349638_01|CDS|2841814746|-|1413630:1413968", "2841813427|Ga0349638_01|CDS|2841814747|-|1413985:1414410", "2841813427|Ga0349638_01|CDS|2841814748|-|1414623:1415114", "2841813427|Ga0349638_01|CDS|2841814749|-|1415120:1415758", "2841813427|Ga0349638_01|CDS|2841814750|+|1415884:1416066", "2841813427|Ga0349638_01|CDS|2841814751|-|1416099:1417130", "2841813427|Ga0349638_01|CDS|2841814752|-|1417164:1418042", "2841813427|Ga0349638_01|CDS|2841814753|+|1418200:1418718", "2841813427|Ga0349638_01|CDS|2841814754|+|1418836:1420983", "2841813427|Ga0349638_01|CDS|2841814755|+|1421030:1422028", "2841813427|Ga0349638_01|CDS|2841814756|+|1422306:1423952", "2841813427|Ga0349638_01|CDS|2841814757|+|1423952:1424812", "2841813427|Ga0349638_01|CDS|2841814758|+|1424832:1427108", "2841813427|Ga0349638_01|CDS|2841814759|+|1427131:1427628", "2841813427|Ga0349638_01|CDS|2841814760|+|1427786:1428022", "2841813427|Ga0349638_01|CDS|2841814761|+|1428030:1428212", "2841813427|Ga0349638_01|CDS|2841814762|+|1428232:1428381", "2841813427|Ga0349638_01|CDS|2841814763|+|1428461:1428937", "2841813427|Ga0349638_01|CDS|2841814764|+|1428948:1429910", "2841813427|Ga0349638_01|CDS|2841814765|+|1429992:1430252", "2841813427|Ga0349638_01|CDS|2841814766|-|1430331:1432088", "2841813427|Ga0349638_01|CDS|2841814767|+|1432137:1432550", "2841813427|Ga0349638_01|CDS|2841814768|+|1432614:1434161", "2841813427|Ga0349638_01|CDS|2841814769|+|1434643:1436097", "2841813427|Ga0349638_01|CDS|2841814770|+|1436186:1438087", "2841813427|Ga0349638_01|CDS|2841814771|+|1438088:1439035", "2841813427|Ga0349638_01|CDS|2841814772|+|1439044:1439613", "2841813427|Ga0349638_01|CDS|2841814773|-|1439699:1440826", "2841813427|Ga0349638_01|CDS|2841814774|+|1441200:1442534", "2841813427|Ga0349638_01|CDS|2841814775|-|1442585:1442989", "2841813427|Ga0349638_01|CDS|2841814776|+|1443025:1444026", "2841813427|Ga0349638_01|CDS|2841814777|-|1444060:1444641", "2841813427|Ga0349638_01|CDS|2841814778|-|1444651:1445547", "2841813427|Ga0349638_01|CDS|2841814779|+|1445592:1445822", "2841813427|Ga0349638_01|CDS|2841814780|-|1445875:1446480", "2841813427|Ga0349638_01|CDS|2841814781|+|1446603:1446776", "2841813427|Ga0349638_01|CDS|2841814782|+|1446715:1447710", "2841813427|Ga0349638_01|CDS|2841814783|+|1447713:1451015", "2841813427|Ga0349638_01|CDS|2841814784|+|1451244:1452524", "2841813427|Ga0349638_01|CDS|2841814785|+|1452588:1453331", "2841813427|Ga0349638_01|CDS|2841814786|+|1453416:1454786", "2841813427|Ga0349638_01|CDS|2841814787|+|1454783:1454962", "2841813427|Ga0349638_01|CDS|2841814788|+|1454976:1455191", "2841813427|Ga0349638_01|CDS|2841814789|-|1455213:1455656", "2841813427|Ga0349638_01|CDS|2841814790|-|1455686:1456291", "2841813427|Ga0349638_01|CDS|2841814791|-|1456343:1457107", "2841813427|Ga0349638_01|CDS|2841814792|-|1457110:1457823", "2841813427|Ga0349638_01|CDS|2841814793|+|1458066:1458488", "2841813427|Ga0349638_01|CDS|2841814794|+|1458584:1459078", "2841813427|Ga0349638_01|CDS|2841814795|+|1459084:1459686", "2841813427|Ga0349638_01|CDS|2841814796|+|1459733:1460716", "2841813427|Ga0349638_01|CDS|2841814797|+|1460839:1462821", "2841813427|Ga0349638_01|CDS|2841814798|+|1462845:1463324", "2841813427|Ga0349638_01|CDS|2841814799|+|1463404:1465155", "2841813427|Ga0349638_01|CDS|2841814800|+|1465238:1466413", "2841813427|Ga0349638_01|CDS|2841814801|+|1466488:1466874", "2841813427|Ga0349638_01|CDS|2841814802|+|1466881:1467684", "2841813427|Ga0349638_01|CDS|2841814803|-|1467681:1468091", "2841813427|Ga0349638_01|CDS|2841814804|-|1468131:1468679", "2841813427|Ga0349638_01|CDS|2841814805|+|1468702:1469346", "2841813427|Ga0349638_01|CDS|2841814806|-|1469412:1469699", "2841813427|Ga0349638_01|CDS|2841814807|+|1470100:1470450", "2841813427|Ga0349638_01|CDS|2841814808|+|1470453:1471481", "2841813427|Ga0349638_01|CDS|2841814809|+|1471604:1472104", "2841813427|Ga0349638_01|CDS|2841814810|+|1472212:1472454", "2841813427|Ga0349638_01|CDS|2841814811|+|1472513:1472737", "2841813427|Ga0349638_01|CDS|2841814812|-|1472759:1473121", "2841813427|Ga0349638_01|CDS|2841814813|-|1473319:1475358", "2841813427|Ga0349638_01|CDS|2841814814|+|1475451:1475984", "2841813427|Ga0349638_01|CDS|2841814815|+|1476172:1476684", "2841813427|Ga0349638_01|CDS|2841814816|-|1476881:1477234", "2841813427|Ga0349638_01|CDS|2841814817|+|1477383:1477772", "2841813427|Ga0349638_01|CDS|2841814818|+|1477839:1480994", "2841813427|Ga0349638_01|CDS|2841814819|+|1481000:1482226", "2841813427|Ga0349638_01|CDS|2841814820|+|1482229:1483362", "2841813427|Ga0349638_01|CDS|2841814821|-|1483435:1483896", "2841813427|Ga0349638_01|CDS|2841814822|-|1484089:1484739", "2841813427|Ga0349638_01|CDS|2841814824|-|1485139:1485687", "2841813427|Ga0349638_01|CDS|2841814825|-|1485777:1486613", "2841813427|Ga0349638_01|CDS|2841814826|-|1486701:1487390", "2841813427|Ga0349638_01|CDS|2841814827|-|1487527:1488255", "2841813427|Ga0349638_01|CDS|2841814828|-|1488436:1488912", "2841813427|Ga0349638_01|CDS|2841814829|-|1488978:1490012", "2841813427|Ga0349638_01|CDS|2841814830|-|1490041:1490541", "2841813427|Ga0349638_01|CDS|2841814831|-|1490544:1491065", "2841813427|Ga0349638_01|CDS|2841814832|-|1491078:1491635", "2841813427|Ga0349638_01|CDS|2841814833|-|1491654:1492037", "2841813427|Ga0349638_01|CDS|2841814834|-|1492151:1492333", "2841813427|Ga0349638_01|CDS|2841814835|-|1492526:1492924", "2841813427|Ga0349638_01|CDS|2841814836|-|1492954:1494249", "2841813427|Ga0349638_01|CDS|2841814837|-|1494481:1495362", "2841813427|Ga0349638_01|CDS|2841814838|-|1495479:1496150", "2841813427|Ga0349638_01|CDS|2841814839|-|1496152:1496448", "2841813427|Ga0349638_01|CDS|2841814840|-|1496615:1497658", "2841813427|Ga0349638_01|CDS|2841814841|+|1497698:1497889", "2841813427|Ga0349638_01|CDS|2841814842|-|1497802:1498209", "2841813427|Ga0349638_01|CDS|2841814843|-|1498461:1499627", "2841813427|Ga0349638_01|CDS|2841814844|-|1499933:1500925", "2841813427|Ga0349638_01|CDS|2841814845|-|1501160:1501324", "2841813427|Ga0349638_01|CDS|2841814846|-|1501441:1502676", "2841813427|Ga0349638_01|CDS|2841814847|-|1502900:1504525", "2841813427|Ga0349638_01|CDS|2841814848|-|1504642:1504920", "2841813427|Ga0349638_01|CDS|2841814849|-|1506107:1508467", "2841813427|Ga0349638_01|CDS|2841814850|-|1508579:1509157", "2841813427|Ga0349638_01|CDS|2841814851|+|1509245:1509757", "2841813427|Ga0349638_01|CDS|2841814852|-|1509754:1510329", "2841813427|Ga0349638_01|CDS|2841814853|-|1510474:1511466", "2841813427|Ga0349638_01|CDS|2841814854|-|1511463:1512296", "2841813427|Ga0349638_01|CDS|2841814855|-|1512681:1513385", "2841813427|Ga0349638_01|CDS|2841814856|-|1513392:1514072", "2841813427|Ga0349638_01|CDS|2841814857|-|1514076:1515422", "2841813427|Ga0349638_01|CDS|2841814858|+|1515639:1517258", "2841813427|Ga0349638_01|CDS|2841814859|+|1517267:1519048", "2841813427|Ga0349638_01|CDS|2841814860|-|1519109:1519246", "2841813427|Ga0349638_01|CDS|2841814861|-|1519318:1519896", "2841813427|Ga0349638_01|CDS|2841814862|+|1520032:1523091", "2841813427|Ga0349638_01|CDS|2841814863|+|1523103:1524650", "2841813427|Ga0349638_01|CDS|2841814864|+|1524786:1527809", "2841813427|Ga0349638_01|CDS|2841814865|+|1527829:1529271", "2841813427|Ga0349638_01|CDS|2841814866|+|1529350:1531113", "2841813427|Ga0349638_01|CDS|2841814867|-|1531166:1533325", "2841813427|Ga0349638_01|CDS|2841814868|+|1533489:1536053", "2841813427|Ga0349638_01|CDS|2841814869|+|1536097:1537128", "2841813427|Ga0349638_01|CDS|2841814870|+|1537167:1537559", "2841813427|Ga0349638_01|CDS|2841814871|+|1537651:1538628", "2841813427|Ga0349638_01|CDS|2841814872|+|1538689:1539777", "2841813427|Ga0349638_01|CDS|2841814873|+|1539989:1541383", "2841813427|Ga0349638_01|CDS|2841814874|+|1541394:1542269", "2841813427|Ga0349638_01|CDS|2841814875|+|1542656:1543354", "2841813427|Ga0349638_01|CDS|2841814876|+|1543404:1543712", "2841813427|Ga0349638_01|CDS|2841814877|+|1543715:1544281", "2841813427|Ga0349638_01|CDS|2841814878|+|1544388:1545077", "2841813427|Ga0349638_01|CDS|2841814879|-|1545326:1545664", "2841813427|Ga0349638_01|CDS|2841814880|+|1545799:1546746", "2841813427|Ga0349638_01|CDS|2841814881|+|1546866:1547660", "2841813427|Ga0349638_01|CDS|2841814882|-|1547905:1548225", "2841813427|Ga0349638_01|CDS|2841814883|-|1549029:1550924", "2841813427|Ga0349638_01|CDS|2841814884|-|1551070:1552071", "2841813427|Ga0349638_01|CDS|2841814886|+|1552720:1553520", "2841813427|Ga0349638_01|CDS|2841814887|+|1553593:1554372", "2841813427|Ga0349638_01|CDS|2841814888|+|1554516:1555058", "2841813427|Ga0349638_01|CDS|2841814889|+|1555146:1556060", "2841813427|Ga0349638_01|CDS|2841814890|+|1556213:1556350", "2841813427|Ga0349638_01|CDS|2841814891|+|1556425:1557033", "2841813427|Ga0349638_01|CDS|2841814892|-|1557077:1558354", "2841813427|Ga0349638_01|CDS|2841814893|+|1558521:1560455", "2841813427|Ga0349638_01|CDS|2841814894|+|1560559:1561887", "2841813427|Ga0349638_01|CDS|2841814895|+|1561899:1562288", "2841813427|Ga0349638_01|CDS|2841814896|+|1562298:1562855", "2841813427|Ga0349638_01|CDS|2841814897|+|1562934:1564127", "2841813427|Ga0349638_01|CDS|2841814898|-|1564182:1565036", "2841813427|Ga0349638_01|CDS|2841814899|-|1565108:1565692", "2841813427|Ga0349638_01|CDS|2841814900|-|1565930:1568152", "2841813427|Ga0349638_01|CDS|2841814901|+|1568372:1569427", "2841813427|Ga0349638_01|CDS|2841814902|+|1569500:1570645", "2841813427|Ga0349638_01|CDS|2841814903|-|1570716:1571423", "2841813427|Ga0349638_01|CDS|2841814904|-|1571482:1573377", "2841813427|Ga0349638_01|CDS|2841814905|+|1573498:1574013", "2841813427|Ga0349638_01|CDS|2841814906|-|1574082:1574939", "2841813427|Ga0349638_01|CDS|2841814907|+|1575063:1576316", "2841813427|Ga0349638_01|CDS|2841814908|+|1576313:1576990", "2841813427|Ga0349638_01|CDS|2841814909|+|1577002:1577982", "2841813427|Ga0349638_01|CDS|2841814910|+|1577979:1578449", "2841813427|Ga0349638_01|CDS|2841814911|+|1578457:1579200", "2841813427|Ga0349638_01|CDS|2841814912|-|1579246:1579602", "2841813427|Ga0349638_01|CDS|2841814913|+|1579707:1580696", "2841813427|Ga0349638_01|CDS|2841814914|-|1580747:1581766", "2841813427|Ga0349638_01|CDS|2841814915|-|1581766:1582245", "2841813427|Ga0349638_01|CDS|2841814916|-|1582263:1583456", "2841813427|Ga0349638_01|CDS|2841814917|+|1583556:1584008", "2841813427|Ga0349638_01|CDS|2841814918|+|1584028:1584582", "2841813427|Ga0349638_01|CDS|2841814919|+|1584586:1586580", "2841813427|Ga0349638_01|CDS|2841814920|-|1586637:1587944", "2841813427|Ga0349638_01|CDS|2841814921|-|1587944:1588603", "2841813427|Ga0349638_01|CDS|2841814922|-|1588679:1589098", "2841813427|Ga0349638_01|CDS|2841814923|-|1589106:1589585", "2841813427|Ga0349638_01|CDS|2841814924|+|1589813:1591123", "2841813427|Ga0349638_01|CDS|2841814925|-|1591243:1592097", "2841813427|Ga0349638_01|CDS|2841814926|+|1592207:1592857", "2841813427|Ga0349638_01|CDS|2841814927|-|1592863:1593516", "2841813427|Ga0349638_01|CDS|2841814928|-|1593538:1595043", "2841813427|Ga0349638_01|CDS|2841814929|+|1595122:1596687", "2841813427|Ga0349638_01|CDS|2841814930|+|1596726:1598000", "2841813427|Ga0349638_01|CDS|2841814931|+|1598158:1598877", "2841813427|Ga0349638_01|CDS|2841814932|-|1598964:1599968", "2841813427|Ga0349638_01|CDS|2841814933|-|1600084:1600851", "2841813427|Ga0349638_01|CDS|2841814934|-|1600857:1601309", "2841813427|Ga0349638_01|CDS|2841814935|-|1601452:1603686", "2841813427|Ga0349638_01|CDS|2841814936|-|1603870:1604874", "2841813427|Ga0349638_01|CDS|2841814937|-|1604907:1605893", "2841813427|Ga0349638_01|CDS|2841814938|+|1606257:1609244", "2841813427|Ga0349638_01|CDS|2841814939|+|1609255:1610976", "2841813427|Ga0349638_01|CDS|2841814940|-|1611023:1612540", "2841813427|Ga0349638_01|CDS|2841814941|-|1612559:1613710", "2841813427|Ga0349638_01|CDS|2841814942|+|1613830:1614810", "2841813427|Ga0349638_01|CDS|2841814943|+|1614860:1615198", "2841813427|Ga0349638_01|CDS|2841814944|+|1615427:1617811", "2841813427|Ga0349638_01|CDS|2841814945|+|1617900:1618463", "2841813427|Ga0349638_01|CDS|2841814946|+|1618570:1619874", "2841813427|Ga0349638_01|CDS|2841814947|+|1620084:1620449", "2841813427|Ga0349638_01|CDS|2841814948|+|1620542:1621792", "2841813427|Ga0349638_01|CDS|2841814949|+|1621809:1623449", "2841813427|Ga0349638_01|CDS|2841814950|+|1623720:1623950", "2841813427|Ga0349638_01|CDS|2841814951|+|1624013:1624354", "2841813427|Ga0349638_01|CDS|2841814952|+|1624411:1625565", "2841813427|Ga0349638_01|CDS|2841814953|+|1625555:1627408", "2841813427|Ga0349638_01|CDS|2841814954|+|1627405:1628598", "2841813427|Ga0349638_01|CDS|2841814955|+|1628701:1629150", "2841813427|Ga0349638_01|CDS|2841814956|+|1629206:1631284", "2841813427|Ga0349638_01|CDS|2841814957|+|1631298:1632029", "2841813427|Ga0349638_01|CDS|2841814958|-|1632077:1632625", "2841813427|Ga0349638_01|CDS|2841814959|-|1632637:1632810", "2841813427|Ga0349638_01|CDS|2841814960|+|1632918:1633172", "2841813427|Ga0349638_01|CDS|2841814961|+|1633174:1634085", "2841813427|Ga0349638_01|CDS|2841814962|+|1634082:1635122", "2841813427|Ga0349638_01|CDS|2841814963|+|1635122:1636396", "2841813427|Ga0349638_01|CDS|2841814964|-|1636453:1637133", "2841813427|Ga0349638_01|CDS|2841814965|+|1637223:1639313", "2841813427|Ga0349638_01|CDS|2841814966|-|1639807:1640949", "2841813427|Ga0349638_01|CDS|2841814967|-|1641111:1641605", "2841813427|Ga0349638_01|CDS|2841814968|-|1641727:1642599", "2841813427|Ga0349638_01|CDS|2841814969|+|1642725:1643399", "2841813427|Ga0349638_01|CDS|2841814970|-|1643448:1644215", "2841813427|Ga0349638_01|CDS|2841814971|+|1644280:1644729", "2841813427|Ga0349638_01|CDS|2841814972|-|1644701:1645222", "2841813427|Ga0349638_01|CDS|2841814976|+|1645779:1646168", "2841813427|Ga0349638_01|CDS|2841814977|-|1646230:1646994", "2841813427|Ga0349638_01|CDS|2841814978|-|1647099:1648088", "2841813427|Ga0349638_01|CDS|2841814979|+|1648216:1649010", "2841813427|Ga0349638_01|CDS|2841814980|+|1649113:1651626", "2841813427|Ga0349638_01|CDS|2841814981|-|1651731:1652612", "2841813427|Ga0349638_01|CDS|2841814982|-|1652614:1653279", "2841813427|Ga0349638_01|CDS|2841814983|+|1653462:1654565", "2841813427|Ga0349638_01|CDS|2841814984|+|1654574:1654819", "2841813427|Ga0349638_01|CDS|2841814985|+|1654935:1657124", "2841813427|Ga0349638_01|CDS|2841814986|-|1657171:1658058", "2841813427|Ga0349638_01|CDS|2841814987|-|1658039:1660117", "2841813427|Ga0349638_01|CDS|2841814988|+|1660321:1662207", "2841813427|Ga0349638_01|CDS|2841814989|+|1662392:1662844", "2841813427|Ga0349638_01|CDS|2841814990|+|1662988:1663554", "2841813427|Ga0349638_01|CDS|2841814991|-|1663606:1664004", "2841813427|Ga0349638_01|CDS|2841814992|-|1664056:1664187", "2841813427|Ga0349638_01|CDS|2841814993|-|1664254:1664601", "2841813427|Ga0349638_01|CDS|2841814994|+|1664849:1665886", "2841813427|Ga0349638_01|CDS|2841814995|+|1666092:1667018", "2841813427|Ga0349638_01|CDS|2841814996|-|1667109:1668158", "2841813427|Ga0349638_01|CDS|2841814997|-|1668186:1668794", "2841813427|Ga0349638_01|CDS|2841814998|-|1668781:1669338", "2841813427|Ga0349638_01|CDS|2841814999|-|1669944:1670513", "2841813427|Ga0349638_01|CDS|2841815000|-|1670612:1671973", "2841813427|Ga0349638_01|CDS|2841815001|+|1672112:1673656", "2841813427|Ga0349638_01|CDS|2841815002|-|1673899:1674384", "2841813427|Ga0349638_01|CDS|2841815003|-|1674548:1675159", "2841813427|Ga0349638_01|CDS|2841815004|+|1675378:1675788", "2841813427|Ga0349638_01|CDS|2841815005|+|1675785:1676357", "2841813427|Ga0349638_01|CDS|2841815006|+|1676390:1676941", "2841813427|Ga0349638_01|CDS|2841815007|+|1677041:1677814", "2841813427|Ga0349638_01|CDS|2841815008|+|1677868:1679238", "2841813427|Ga0349638_01|CDS|2841815009|+|1679342:1681201", "2841813427|Ga0349638_01|CDS|2841815010|+|1681301:1682185", "2841813427|Ga0349638_01|CDS|2841815011|-|1682230:1682736", "2841813427|Ga0349638_01|CDS|2841815012|-|1682760:1686473", "2841813427|Ga0349638_01|CDS|2841815013|+|1686500:1687678", "2841813427|Ga0349638_01|CDS|2841815014|-|1687679:1690000", "2841813427|Ga0349638_01|CDS|2841815015|+|1690490:1691497", "2841813427|Ga0349638_01|CDS|2841815016|+|1691614:1692603", "2841813427|Ga0349638_01|CDS|2841815017|-|1692791:1694170", "2841813427|Ga0349638_01|CDS|2841815018|-|1694182:1695669", "2841813427|Ga0349638_01|CDS|2841815019|-|1695671:1697569", "2841813427|Ga0349638_01|CDS|2841815020|-|1697576:1697908", "2841813427|Ga0349638_01|CDS|2841815021|-|1697910:1698404", "2841813427|Ga0349638_01|CDS|2841815022|-|1698404:1698943", "2841813427|Ga0349638_01|CDS|2841815023|-|1699064:1700095", "2841813427|Ga0349638_01|CDS|2841815024|-|1700099:1701097", "2841813427|Ga0349638_01|CDS|2841815025|-|1701139:1701987", "2841813427|Ga0349638_01|CDS|2841815026|-|1702006:1703364", "2841813427|Ga0349638_01|CDS|2841815027|-|1703375:1703884", "2841813427|Ga0349638_01|CDS|2841815028|-|1703972:1705195", "2841813427|Ga0349638_01|CDS|2841815029|-|1705276:1705776", "2841813427|Ga0349638_01|CDS|2841815030|-|1705781:1706329", "2841813427|Ga0349638_01|CDS|2841815031|-|1706366:1706731", "2841813427|Ga0349638_01|CDS|2841815032|-|1706850:1707032", "2841813427|Ga0349638_01|CDS|2841815033|-|1707059:1708183", "2841813427|Ga0349638_01|CDS|2841815034|+|1708400:1708978", "2841813427|Ga0349638_01|CDS|2841815035|+|1709327:1709725", "2841813427|Ga0349638_01|CDS|2841815036|+|1709772:1710839", "2841813427|Ga0349638_01|CDS|2841815037|+|1710893:1711102", "2841813427|Ga0349638_01|CDS|2841815038|+|1711191:1711685", "2841813427|Ga0349638_01|CDS|2841815039|+|1711687:1712226", "2841813427|Ga0349638_01|CDS|2841815040|+|1712242:1713819", "2841813427|Ga0349638_01|CDS|2841815041|+|1713897:1714769", "2841813427|Ga0349638_01|CDS|2841815042|+|1714835:1715245", "2841813427|Ga0349638_01|CDS|2841815043|+|1715187:1715300", "2841813427|Ga0349638_01|CDS|2841815044|+|1715340:1716698", "2841813427|Ga0349638_01|CDS|2841815045|+|1716679:1716987", "2841813427|Ga0349638_01|CDS|2841815046|-|1717029:1719212", "2841813427|Ga0349638_01|CDS|2841815047|-|1719237:1721765", "2841813427|Ga0349638_01|CDS|2841815048|+|1721823:1722551", "2841813427|Ga0349638_01|CDS|2841815049|-|1722617:1723063", "2841813427|Ga0349638_01|CDS|2841815050|-|1723067:1723375", "2841813427|Ga0349638_01|CDS|2841815051|-|1723456:1723800", "2841813427|Ga0349638_01|CDS|2841815052|-|1723964:1724632", "2841813427|Ga0349638_01|CDS|2841815053|+|1724888:1725592", "2841813427|Ga0349638_01|CDS|2841815054|+|1725658:1726212", "2841813427|Ga0349638_01|CDS|2841815055|+|1726303:1727886", "2841813427|Ga0349638_01|CDS|2841815056|-|1727900:1728130", "2841813427|Ga0349638_01|CDS|2841815057|+|1728234:1729115", "2841813427|Ga0349638_01|CDS|2841815058|-|1729285:1730028", "2841813427|Ga0349638_01|CDS|2841815059|-|1730318:1730455", "2841813427|Ga0349638_01|CDS|2841815060|+|1730523:1731770", "2841813427|Ga0349638_01|CDS|2841815061|+|1731795:1734728", "2841813427|Ga0349638_01|CDS|2841815062|+|1734746:1736200", "2841813427|Ga0349638_01|CDS|2841815063|-|1736359:1737195", "2841813427|Ga0349638_01|CDS|2841815064|-|1737537:1737866", "2841813427|Ga0349638_01|CDS|2841815065|-|1737893:1743094", "2841813427|Ga0349638_01|CDS|2841815066|-|1743091:1743519", "2841813427|Ga0349638_01|CDS|2841815067|-|1743525:1747910", "2841813427|Ga0349638_01|CDS|2841815068|-|1747913:1748014", "2841813427|Ga0349638_01|CDS|2841815069|-|1748122:1748607", "2841813427|Ga0349638_01|CDS|2841815070|-|1748607:1749080", "2841813427|Ga0349638_01|CDS|2841815071|-|1749119:1749520", "2841813427|Ga0349638_01|CDS|2841815072|-|1749531:1750235", "2841813427|Ga0349638_01|CDS|2841815073|-|1750232:1750555", "2841813427|Ga0349638_01|CDS|2841815074|-|1750557:1750946", "2841813427|Ga0349638_01|CDS|2841815075|-|1751024:1751968", "2841813427|Ga0349638_01|CDS|2841815076|-|1752000:1752965", "2841813427|Ga0349638_01|CDS|2841815077|+|1753153:1753620", "2841813427|Ga0349638_01|CDS|2841815078|+|1753620:1755137", "2841813427|Ga0349638_01|CDS|2841815079|+|1755139:1755549", "2841813427|Ga0349638_01|CDS|2841815080|+|1755552:1756832", "2841813427|Ga0349638_01|CDS|2841815081|+|1756946:1758121", "2841813427|Ga0349638_01|CDS|2841815082|+|1758219:1758752", "2841813427|Ga0349638_01|CDS|2841815083|+|1758774:1759208", "2841813427|Ga0349638_01|CDS|2841815084|-|1759524:1760045", "2841813427|Ga0349638_01|CDS|2841815085|-|1760069:1760290", "2841813427|Ga0349638_01|CDS|2841815086|-|1760452:1760730", "2841813427|Ga0349638_01|CDS|2841815087|-|1760733:1760975", "2841813427|Ga0349638_01|CDS|2841815088|-|1760959:1761633", "2841813427|Ga0349638_01|CDS|2841815089|-|1761620:1762000", "2841813427|Ga0349638_01|CDS|2841815090|-|1761997:1762533", "2841813427|Ga0349638_01|CDS|2841815091|-|1762536:1763003", "2841813427|Ga0349638_01|CDS|2841815092|-|1763016:1763312", "2841813427|Ga0349638_01|CDS|2841815093|-|1763291:1764256", "2841813427|Ga0349638_01|CDS|2841815094|-|1764470:1764934", "2841813427|Ga0349638_01|CDS|2841815095|-|1764946:1765398" ]
[ "2841813427|Ga0349638_01|IG|IG_000963|+|1223008:1223012", "2841813427|Ga0349638_01|IG|IG_000964|+|1224000:1224147", "2841813427|Ga0349638_01|IG|IG_000965|+|1224970:1224981", "2841813427|Ga0349638_01|IG|IG_000966|+|1226143:1226143", "2841813427|Ga0349638_01|IG|IG_000967|+|1228578:1228668", "2841813427|Ga0349638_01|IG|IG_000968|+|1229725:1229780", "2841813427|Ga0349638_01|IG|IG_000969|+|1230297:1230299", "2841813427|Ga0349638_01|IG|IG_000970|+|1230855:1230865", "2841813427|Ga0349638_01|IG|IG_000971|+|1232067:1232207", "2841813427|Ga0349638_01|IG|IG_000972|+|1233372:1233425", "2841813427|Ga0349638_01|IG|IG_000973|+|1234731:1234817", "2841813427|Ga0349638_01|IG|IG_000974|+|1235073:1235275", "2841813427|Ga0349638_01|IG|IG_000975|+|1239015:1239395", "2841813427|Ga0349638_01|IG|IG_000976|+|1241730:1241851", "2841813427|Ga0349638_01|IG|IG_000977|+|1242647:1242684", "2841813427|Ga0349638_01|IG|IG_000978|+|1243360:1243373", "2841813427|Ga0349638_01|IG|IG_000979|+|1243947:1243988", "2841813427|Ga0349638_01|IG|IG_000980|+|1245078:1245278", "2841813427|Ga0349638_01|IG|IG_000981|+|1246407:1246410", "2841813427|Ga0349638_01|IG|IG_000982|+|1246966:1247059", "2841813427|Ga0349638_01|IG|IG_000983|+|1249796:1249924", "2841813427|Ga0349638_01|IG|IG_000984|+|1250318:1250561", "2841813427|Ga0349638_01|IG|IG_000985|+|1250892:1250902", "2841813427|Ga0349638_01|IG|IG_000986|+|1253019:1253046", "2841813427|Ga0349638_01|IG|IG_000987|+|1253809:1253821", "2841813427|Ga0349638_01|IG|IG_000988|+|1255473:1255588", "2841813427|Ga0349638_01|IG|IG_000989|+|1256357:1256497", "2841813427|Ga0349638_01|IG|IG_000990|+|1259864:1260044", "2841813427|Ga0349638_01|IG|IG_000991|+|1261569:1261576", "2841813427|Ga0349638_01|IG|IG_000992|+|1261910:1261986", "2841813427|Ga0349638_01|IG|IG_000993|+|1263103:1263420", "2841813427|Ga0349638_01|IG|IG_000994|+|1263877:1263881", "2841813427|Ga0349638_01|IG|IG_000995|+|1264269:1264451", "2841813427|Ga0349638_01|IG|IG_000996|+|1265211:1265282", "2841813427|Ga0349638_01|IG|IG_000997|+|1265724:1265866", "2841813427|Ga0349638_01|IG|IG_000998|+|1266692:1266798", "2841813427|Ga0349638_01|IG|IG_000999|+|1268260:1268375", "2841813427|Ga0349638_01|IG|IG_001000|+|1270383:1270413", "2841813427|Ga0349638_01|IG|IG_001001|+|1271461:1271581", "2841813427|Ga0349638_01|IG|IG_001002|+|1272539:1272584", "2841813427|Ga0349638_01|IG|IG_001003|+|1274097:1274185", "2841813427|Ga0349638_01|IG|IG_001004|+|1275092:1275094", "2841813427|Ga0349638_01|IG|IG_001005|+|1276199:1276253", "2841813427|Ga0349638_01|IG|IG_001006|+|1278321:1278499", "2841813427|Ga0349638_01|IG|IG_001007|+|1280734:1281110", "2841813427|Ga0349638_01|IG|IG_001008|+|1282900:1282924", "2841813427|Ga0349638_01|IG|IG_001009|+|1284161:1284340", "2841813427|Ga0349638_01|IG|IG_001010|+|1285700:1285780", "2841813427|Ga0349638_01|IG|IG_001011|+|1286258:1286361", "2841813427|Ga0349638_01|IG|IG_001012|+|1286566:1286601", "2841813427|Ga0349638_01|IG|IG_001013|+|1287142:1287189", "2841813427|Ga0349638_01|IG|IG_001014|+|1288279:1288321", "2841813427|Ga0349638_01|IG|IG_001015|+|1288904:1288906", "2841813427|Ga0349638_01|IG|IG_001016|+|1291054:1291108", "2841813427|Ga0349638_01|IG|IG_001017|+|1291820:1291989", "2841813427|Ga0349638_01|IG|IG_001018|+|1292146:1292294", "2841813427|Ga0349638_01|IG|IG_001019|+|1293300:1293415", "2841813427|Ga0349638_01|IG|IG_001020|+|1296521:1296586", "2841813427|Ga0349638_01|IG|IG_001021|+|1297355:1297364", "2841813427|Ga0349638_01|IG|IG_001022|+|1298121:1298258", "2841813427|Ga0349638_01|IG|IG_001023|+|1301609:1301743", "2841813427|Ga0349638_01|IG|IG_001024|+|1303111:1303127", "2841813427|Ga0349638_01|IG|IG_001025|+|1303479:1303502", "2841813427|Ga0349638_01|IG|IG_001026|+|1304742:1304803", "2841813427|Ga0349638_01|IG|IG_001027|+|1305218:1305332", "2841813427|Ga0349638_01|IG|IG_001028|+|1308153:1308471", "2841813427|Ga0349638_01|IG|IG_001029|+|1309345:1309402", "2841813427|Ga0349638_01|IG|IG_001030|+|1310000:1310011", "2841813427|Ga0349638_01|IG|IG_001031|+|1310555:1310584", "2841813427|Ga0349638_01|IG|IG_001032|+|1311422:1311669", "2841813427|Ga0349638_01|IG|IG_001033|+|1312531:1312624", "2841813427|Ga0349638_01|IG|IG_001034|+|1314275:1314347", "2841813427|Ga0349638_01|IG|IG_001035|+|1315074:1315294", "2841813427|Ga0349638_01|IG|IG_001036|+|1315448:1315864", "2841813427|Ga0349638_01|IG|IG_001037|+|1316540:1316540", "2841813427|Ga0349638_01|IG|IG_001038|+|1317897:1318006", "2841813427|Ga0349638_01|IG|IG_001039|+|1321055:1321064", "2841813427|Ga0349638_01|IG|IG_001040|+|1322436:1322519", "2841813427|Ga0349638_01|IG|IG_001041|+|1322862:1322969", "2841813427|Ga0349638_01|IG|IG_001042|+|1324659:1324766", "2841813427|Ga0349638_01|IG|IG_001043|+|1325673:1325697", "2841813427|Ga0349638_01|IG|IG_001044|+|1326196:1326223", "2841813427|Ga0349638_01|IG|IG_001045|+|1326632:1326698", "2841813427|Ga0349638_01|IG|IG_001046|+|1327635:1327697", "2841813427|Ga0349638_01|IG|IG_001047|+|1329453:1329579", "2841813427|Ga0349638_01|IG|IG_001048|+|1331107:1331123", "2841813427|Ga0349638_01|IG|IG_001049|+|1331331:1331333", "2841813427|Ga0349638_01|IG|IG_001050|+|1331931:1332073", "2841813427|Ga0349638_01|IG|IG_001051|+|1332668:1332726", "2841813427|Ga0349638_01|IG|IG_001052|+|1333240:1333378", "2841813427|Ga0349638_01|IG|IG_001053|+|1334480:1334571", "2841813427|Ga0349638_01|IG|IG_001054|+|1336348:1336456", "2841813427|Ga0349638_01|IG|IG_001055|+|1337120:1337135", "2841813427|Ga0349638_01|IG|IG_001056|+|1339146:1339149", "2841813427|Ga0349638_01|IG|IG_001057|+|1339726:1339748", "2841813427|Ga0349638_01|IG|IG_001058|+|1340523:1340755", "2841813427|Ga0349638_01|IG|IG_001059|+|1341002:1341156", "2841813427|Ga0349638_01|IG|IG_001060|+|1342672:1342677", "2841813427|Ga0349638_01|IG|IG_001061|+|1345210:1345409", "2841813427|Ga0349638_01|IG|IG_001062|+|1346013:1346017", "2841813427|Ga0349638_01|IG|IG_001063|+|1346885:1346952", "2841813427|Ga0349638_01|IG|IG_001064|+|1347577:1347667", "2841813427|Ga0349638_01|IG|IG_001065|+|1348691:1348767", "2841813427|Ga0349638_01|IG|IG_001066|+|1349992:1349992", "2841813427|Ga0349638_01|IG|IG_001067|+|1350911:1350929", "2841813427|Ga0349638_01|IG|IG_001068|+|1352232:1352294", "2841813427|Ga0349638_01|IG|IG_001069|+|1353753:1353851", "2841813427|Ga0349638_01|IG|IG_001070|+|1357750:1357775", "2841813427|Ga0349638_01|IG|IG_001071|+|1358016:1358208", "2841813427|Ga0349638_01|IG|IG_001072|+|1358875:1359018", "2841813427|Ga0349638_01|IG|IG_001073|+|1360483:1360492", "2841813427|Ga0349638_01|IG|IG_001074|+|1363148:1363270", "2841813427|Ga0349638_01|IG|IG_001075|+|1363730:1363856", "2841813427|Ga0349638_01|IG|IG_001076|+|1364841:1364940", "2841813427|Ga0349638_01|IG|IG_001077|+|1365361:1365438", "2841813427|Ga0349638_01|IG|IG_001078|+|1365949:1366020", "2841813427|Ga0349638_01|IG|IG_001079|+|1367515:1367573", "2841813427|Ga0349638_01|IG|IG_001080|+|1369203:1369521", "2841813427|Ga0349638_01|IG|IG_001081|+|1370350:1370470", "2841813427|Ga0349638_01|IG|IG_001082|+|1372038:1372229", "2841813427|Ga0349638_01|IG|IG_001083|+|1373037:1373072", "2841813427|Ga0349638_01|IG|IG_001084|+|1374876:1374907", "2841813427|Ga0349638_01|IG|IG_001085|+|1375430:1375785", "2841813427|Ga0349638_01|IG|IG_001086|+|1376608:1376694", "2841813427|Ga0349638_01|IG|IG_001087|+|1379827:1379918", "2841813427|Ga0349638_01|IG|IG_001088|+|1380117:1380195", "2841813427|Ga0349638_01|IG|IG_001089|+|1381374:1382169", "2841813427|Ga0349638_01|IG|IG_001090|+|1383358:1383490", "2841813427|Ga0349638_01|IG|IG_001091|+|1383698:1383709", "2841813427|Ga0349638_01|IG|IG_001092|+|1384253:1384740", "2841813427|Ga0349638_01|IG|IG_001093|+|1385347:1385612", "2841813427|Ga0349638_01|IG|IG_001094|+|1385985:1386062", "2841813427|Ga0349638_01|IG|IG_001095|+|1387473:1387633", "2841813427|Ga0349638_01|IG|IG_001096|+|1388078:1388088", "2841813427|Ga0349638_01|IG|IG_001097|+|1388782:1388794", "2841813427|Ga0349638_01|IG|IG_001098|+|1389386:1389435", "2841813427|Ga0349638_01|IG|IG_001099|+|1389805:1389882", "2841813427|Ga0349638_01|IG|IG_001100|+|1390981:1391079", "2841813427|Ga0349638_01|IG|IG_001101|+|1392112:1392356", "2841813427|Ga0349638_01|IG|IG_001102|+|1393215:1393295", "2841813427|Ga0349638_01|IG|IG_001103|+|1394064:1394123", "2841813427|Ga0349638_01|IG|IG_001104|+|1394688:1394728", "2841813427|Ga0349638_01|IG|IG_001105|+|1395746:1395822", "2841813427|Ga0349638_01|IG|IG_001106|+|1396183:1396194", "2841813427|Ga0349638_01|IG|IG_001107|+|1397338:1397344", "2841813427|Ga0349638_01|IG|IG_001108|+|1397852:1398433", "2841813427|Ga0349638_01|IG|IG_001109|+|1398827:1398867", "2841813427|Ga0349638_01|IG|IG_001110|+|1399294:1399304", "2841813427|Ga0349638_01|IG|IG_001111|+|1400730:1401174", "2841813427|Ga0349638_01|IG|IG_001112|+|1401772:1401936", "2841813427|Ga0349638_01|IG|IG_001113|+|1403577:1403585", "2841813427|Ga0349638_01|IG|IG_001114|+|1404138:1404195", "2841813427|Ga0349638_01|IG|IG_001115|+|1404535:1404618", "2841813427|Ga0349638_01|IG|IG_001116|+|1405555:1405617", "2841813427|Ga0349638_01|IG|IG_001117|+|1407031:1407045", "2841813427|Ga0349638_01|IG|IG_001118|+|1410223:1410294", "2841813427|Ga0349638_01|IG|IG_001119|+|1411459:1411706", "2841813427|Ga0349638_01|IG|IG_001120|+|1412331:1412533", "2841813427|Ga0349638_01|IG|IG_001121|+|1413089:1413202", "2841813427|Ga0349638_01|IG|IG_001122|+|1413626:1413629", "2841813427|Ga0349638_01|IG|IG_001123|+|1413969:1413984", "2841813427|Ga0349638_01|IG|IG_001124|+|1414411:1414622", "2841813427|Ga0349638_01|IG|IG_001125|+|1415115:1415119", "2841813427|Ga0349638_01|IG|IG_001126|+|1415759:1415883", "2841813427|Ga0349638_01|IG|IG_001127|+|1416067:1416098", "2841813427|Ga0349638_01|IG|IG_001128|+|1417131:1417163", "2841813427|Ga0349638_01|IG|IG_001129|+|1418043:1418199", "2841813427|Ga0349638_01|IG|IG_001130|+|1418719:1418835", "2841813427|Ga0349638_01|IG|IG_001131|+|1420984:1421029", "2841813427|Ga0349638_01|IG|IG_001132|+|1422029:1422305", "2841813427|Ga0349638_01|IG|IG_001133|+|1424813:1424831", "2841813427|Ga0349638_01|IG|IG_001134|+|1427109:1427130", "2841813427|Ga0349638_01|IG|IG_001135|+|1427629:1427785", "2841813427|Ga0349638_01|IG|IG_001136|+|1428023:1428029", "2841813427|Ga0349638_01|IG|IG_001137|+|1428213:1428231", "2841813427|Ga0349638_01|IG|IG_001138|+|1428382:1428460", "2841813427|Ga0349638_01|IG|IG_001139|+|1428938:1428947", "2841813427|Ga0349638_01|IG|IG_001140|+|1429911:1429991", "2841813427|Ga0349638_01|IG|IG_001141|+|1430253:1430330", "2841813427|Ga0349638_01|IG|IG_001142|+|1432089:1432136", "2841813427|Ga0349638_01|IG|IG_001143|+|1432551:1432613", "2841813427|Ga0349638_01|IG|IG_001144|+|1434162:1434642", "2841813427|Ga0349638_01|IG|IG_001145|+|1436098:1436185", "2841813427|Ga0349638_01|IG|IG_001146|+|1439036:1439043", "2841813427|Ga0349638_01|IG|IG_001147|+|1439614:1439698", "2841813427|Ga0349638_01|IG|IG_001148|+|1440827:1441199", "2841813427|Ga0349638_01|IG|IG_001149|+|1442535:1442584", "2841813427|Ga0349638_01|IG|IG_001150|+|1442990:1443024", "2841813427|Ga0349638_01|IG|IG_001151|+|1444027:1444059", "2841813427|Ga0349638_01|IG|IG_001152|+|1444642:1444650", "2841813427|Ga0349638_01|IG|IG_001153|+|1445548:1445591", "2841813427|Ga0349638_01|IG|IG_001154|+|1445823:1445874", "2841813427|Ga0349638_01|IG|IG_001155|+|1446481:1446602", "2841813427|Ga0349638_01|IG|IG_001156|+|1447711:1447712", "2841813427|Ga0349638_01|IG|IG_001157|+|1451016:1451243", "2841813427|Ga0349638_01|IG|IG_001158|+|1452525:1452587", "2841813427|Ga0349638_01|IG|IG_001159|+|1453332:1453415", "2841813427|Ga0349638_01|IG|IG_001160|+|1454963:1454975", "2841813427|Ga0349638_01|IG|IG_001161|+|1455192:1455212", "2841813427|Ga0349638_01|IG|IG_001162|+|1455657:1455685", "2841813427|Ga0349638_01|IG|IG_001163|+|1456292:1456342", "2841813427|Ga0349638_01|IG|IG_001164|+|1457108:1457109", "2841813427|Ga0349638_01|IG|IG_001165|+|1457824:1458065", "2841813427|Ga0349638_01|IG|IG_001166|+|1458489:1458583", "2841813427|Ga0349638_01|IG|IG_001167|+|1459079:1459083", "2841813427|Ga0349638_01|IG|IG_001168|+|1459687:1459732", "2841813427|Ga0349638_01|IG|IG_001169|+|1460717:1460838", "2841813427|Ga0349638_01|IG|IG_001170|+|1462822:1462844", "2841813427|Ga0349638_01|IG|IG_001171|+|1463325:1463403", "2841813427|Ga0349638_01|IG|IG_001172|+|1465156:1465237", "2841813427|Ga0349638_01|IG|IG_001173|+|1466414:1466487", "2841813427|Ga0349638_01|IG|IG_001174|+|1466875:1466880", "2841813427|Ga0349638_01|IG|IG_001175|+|1468092:1468130", "2841813427|Ga0349638_01|IG|IG_001176|+|1468680:1468701", "2841813427|Ga0349638_01|IG|IG_001177|+|1469347:1469411", "2841813427|Ga0349638_01|IG|IG_001178|+|1469700:1470099", "2841813427|Ga0349638_01|IG|IG_001179|+|1470451:1470452", "2841813427|Ga0349638_01|IG|IG_001180|+|1471482:1471603", "2841813427|Ga0349638_01|IG|IG_001181|+|1472105:1472211", "2841813427|Ga0349638_01|IG|IG_001182|+|1472455:1472512", "2841813427|Ga0349638_01|IG|IG_001183|+|1472738:1472758", "2841813427|Ga0349638_01|IG|IG_001184|+|1473122:1473318", "2841813427|Ga0349638_01|IG|IG_001185|+|1475359:1475450", "2841813427|Ga0349638_01|IG|IG_001186|+|1475985:1476171", "2841813427|Ga0349638_01|IG|IG_001187|+|1476685:1476880", "2841813427|Ga0349638_01|IG|IG_001188|+|1477235:1477382", "2841813427|Ga0349638_01|IG|IG_001189|+|1477773:1477838", "2841813427|Ga0349638_01|IG|IG_001190|+|1480995:1480999", "2841813427|Ga0349638_01|IG|IG_001191|+|1482227:1482228", "2841813427|Ga0349638_01|IG|IG_001192|+|1483363:1483434", "2841813427|Ga0349638_01|IG|IG_001193|+|1483897:1484088", "2841813427|Ga0349638_01|IG|IG_001194|+|1484740:1485138", "2841813427|Ga0349638_01|IG|IG_001195|+|1485688:1485776", "2841813427|Ga0349638_01|IG|IG_001196|+|1486614:1486700", "2841813427|Ga0349638_01|IG|IG_001197|+|1487391:1487526", "2841813427|Ga0349638_01|IG|IG_001198|+|1488256:1488435", "2841813427|Ga0349638_01|IG|IG_001199|+|1488913:1488977", "2841813427|Ga0349638_01|IG|IG_001200|+|1490013:1490040", "2841813427|Ga0349638_01|IG|IG_001201|+|1490542:1490543", "2841813427|Ga0349638_01|IG|IG_001202|+|1491066:1491077", "2841813427|Ga0349638_01|IG|IG_001203|+|1491636:1491653", "2841813427|Ga0349638_01|IG|IG_001204|+|1492038:1492150", "2841813427|Ga0349638_01|IG|IG_001205|+|1492334:1492525", "2841813427|Ga0349638_01|IG|IG_001206|+|1492925:1492953", "2841813427|Ga0349638_01|IG|IG_001207|+|1494250:1494480", "2841813427|Ga0349638_01|IG|IG_001208|+|1495363:1495478", "2841813427|Ga0349638_01|IG|IG_001209|+|1496151:1496151", "2841813427|Ga0349638_01|IG|IG_001210|+|1496449:1496614", "2841813427|Ga0349638_01|IG|IG_001211|+|1497659:1497697", "2841813427|Ga0349638_01|IG|IG_001212|+|1498210:1498460", "2841813427|Ga0349638_01|IG|IG_001213|+|1499628:1499932", "2841813427|Ga0349638_01|IG|IG_001214|+|1500926:1501159", "2841813427|Ga0349638_01|IG|IG_001215|+|1501325:1501440", "2841813427|Ga0349638_01|IG|IG_001216|+|1502677:1502899", "2841813427|Ga0349638_01|IG|IG_001217|+|1504526:1504641", "2841813427|Ga0349638_01|IG|IG_001218|+|1504921:1506106", "2841813427|Ga0349638_01|IG|IG_001219|+|1508468:1508578", "2841813427|Ga0349638_01|IG|IG_001220|+|1509158:1509244", "2841813427|Ga0349638_01|IG|IG_001221|+|1510330:1510473", "2841813427|Ga0349638_01|IG|IG_001222|+|1512297:1512680", "2841813427|Ga0349638_01|IG|IG_001223|+|1513386:1513391", "2841813427|Ga0349638_01|IG|IG_001224|+|1514073:1514075", "2841813427|Ga0349638_01|IG|IG_001225|+|1515423:1515638", "2841813427|Ga0349638_01|IG|IG_001226|+|1517259:1517266", "2841813427|Ga0349638_01|IG|IG_001227|+|1519049:1519108", "2841813427|Ga0349638_01|IG|IG_001228|+|1519247:1519317", "2841813427|Ga0349638_01|IG|IG_001229|+|1519897:1520031", "2841813427|Ga0349638_01|IG|IG_001230|+|1523092:1523102", "2841813427|Ga0349638_01|IG|IG_001231|+|1524651:1524785", "2841813427|Ga0349638_01|IG|IG_001232|+|1527810:1527828", "2841813427|Ga0349638_01|IG|IG_001233|+|1529272:1529349", "2841813427|Ga0349638_01|IG|IG_001234|+|1531114:1531165", "2841813427|Ga0349638_01|IG|IG_001235|+|1533326:1533488", "2841813427|Ga0349638_01|IG|IG_001236|+|1536054:1536096", "2841813427|Ga0349638_01|IG|IG_001237|+|1537129:1537166", "2841813427|Ga0349638_01|IG|IG_001238|+|1537560:1537650", "2841813427|Ga0349638_01|IG|IG_001239|+|1538629:1538688", "2841813427|Ga0349638_01|IG|IG_001240|+|1539778:1539988", "2841813427|Ga0349638_01|IG|IG_001241|+|1541384:1541393", "2841813427|Ga0349638_01|IG|IG_001242|+|1542270:1542655", "2841813427|Ga0349638_01|IG|IG_001243|+|1543355:1543403", "2841813427|Ga0349638_01|IG|IG_001244|+|1543713:1543714", "2841813427|Ga0349638_01|IG|IG_001245|+|1544282:1544387", "2841813427|Ga0349638_01|IG|IG_001246|+|1545078:1545325", "2841813427|Ga0349638_01|IG|IG_001247|+|1545665:1545798", "2841813427|Ga0349638_01|IG|IG_001248|+|1546747:1546865", "2841813427|Ga0349638_01|IG|IG_001249|+|1547661:1547904", "2841813427|Ga0349638_01|IG|IG_001250|+|1548226:1549028", "2841813427|Ga0349638_01|IG|IG_001251|+|1550925:1551069", "2841813427|Ga0349638_01|IG|IG_001252|+|1552072:1552719", "2841813427|Ga0349638_01|IG|IG_001253|+|1553521:1553592", "2841813427|Ga0349638_01|IG|IG_001254|+|1554373:1554515", "2841813427|Ga0349638_01|IG|IG_001255|+|1555059:1555145", "2841813427|Ga0349638_01|IG|IG_001256|+|1556061:1556212", "2841813427|Ga0349638_01|IG|IG_001257|+|1556351:1556424", "2841813427|Ga0349638_01|IG|IG_001258|+|1557034:1557076", "2841813427|Ga0349638_01|IG|IG_001259|+|1558355:1558520", "2841813427|Ga0349638_01|IG|IG_001260|+|1560456:1560558", "2841813427|Ga0349638_01|IG|IG_001261|+|1561888:1561898", "2841813427|Ga0349638_01|IG|IG_001262|+|1562289:1562297", "2841813427|Ga0349638_01|IG|IG_001263|+|1562856:1562933", "2841813427|Ga0349638_01|IG|IG_001264|+|1564128:1564181", "2841813427|Ga0349638_01|IG|IG_001265|+|1565037:1565107", "2841813427|Ga0349638_01|IG|IG_001266|+|1565693:1565929", "2841813427|Ga0349638_01|IG|IG_001267|+|1568153:1568371", "2841813427|Ga0349638_01|IG|IG_001268|+|1569428:1569499", "2841813427|Ga0349638_01|IG|IG_001269|+|1570646:1570715", "2841813427|Ga0349638_01|IG|IG_001270|+|1571424:1571481", "2841813427|Ga0349638_01|IG|IG_001271|+|1573378:1573497", "2841813427|Ga0349638_01|IG|IG_001272|+|1574014:1574081", "2841813427|Ga0349638_01|IG|IG_001273|+|1574940:1575062", "2841813427|Ga0349638_01|IG|IG_001274|+|1576991:1577001", "2841813427|Ga0349638_01|IG|IG_001275|+|1578450:1578456", "2841813427|Ga0349638_01|IG|IG_001276|+|1579201:1579245", "2841813427|Ga0349638_01|IG|IG_001277|+|1579603:1579706", "2841813427|Ga0349638_01|IG|IG_001278|+|1580697:1580746", "2841813427|Ga0349638_01|IG|IG_001279|+|1582246:1582262", "2841813427|Ga0349638_01|IG|IG_001280|+|1583457:1583555", "2841813427|Ga0349638_01|IG|IG_001281|+|1584009:1584027", "2841813427|Ga0349638_01|IG|IG_001282|+|1584583:1584585", "2841813427|Ga0349638_01|IG|IG_001283|+|1586581:1586636", "2841813427|Ga0349638_01|IG|IG_001284|+|1588604:1588678", "2841813427|Ga0349638_01|IG|IG_001285|+|1589099:1589105", "2841813427|Ga0349638_01|IG|IG_001286|+|1589586:1589812", "2841813427|Ga0349638_01|IG|IG_001287|+|1591124:1591242", "2841813427|Ga0349638_01|IG|IG_001288|+|1592098:1592206", "2841813427|Ga0349638_01|IG|IG_001289|+|1592858:1592862", "2841813427|Ga0349638_01|IG|IG_001290|+|1593517:1593537", "2841813427|Ga0349638_01|IG|IG_001291|+|1595044:1595121", "2841813427|Ga0349638_01|IG|IG_001292|+|1596688:1596725", "2841813427|Ga0349638_01|IG|IG_001293|+|1598001:1598157", "2841813427|Ga0349638_01|IG|IG_001294|+|1598878:1598963", "2841813427|Ga0349638_01|IG|IG_001295|+|1599969:1600083", "2841813427|Ga0349638_01|IG|IG_001296|+|1600852:1600856", "2841813427|Ga0349638_01|IG|IG_001297|+|1601310:1601451", "2841813427|Ga0349638_01|IG|IG_001298|+|1603687:1603869", "2841813427|Ga0349638_01|IG|IG_001299|+|1604875:1604906", "2841813427|Ga0349638_01|IG|IG_001300|+|1605894:1606256", "2841813427|Ga0349638_01|IG|IG_001301|+|1609245:1609254", "2841813427|Ga0349638_01|IG|IG_001302|+|1610977:1611022", "2841813427|Ga0349638_01|IG|IG_001303|+|1612541:1612558", "2841813427|Ga0349638_01|IG|IG_001304|+|1613711:1613829", "2841813427|Ga0349638_01|IG|IG_001305|+|1614811:1614859", "2841813427|Ga0349638_01|IG|IG_001306|+|1615199:1615426", "2841813427|Ga0349638_01|IG|IG_001307|+|1617812:1617899", "2841813427|Ga0349638_01|IG|IG_001308|+|1618464:1618569", "2841813427|Ga0349638_01|IG|IG_001309|+|1619875:1620083", "2841813427|Ga0349638_01|IG|IG_001310|+|1620450:1620541", "2841813427|Ga0349638_01|IG|IG_001311|+|1621793:1621808", "2841813427|Ga0349638_01|IG|IG_001312|+|1623450:1623719", "2841813427|Ga0349638_01|IG|IG_001313|+|1623951:1624012", "2841813427|Ga0349638_01|IG|IG_001314|+|1624355:1624410", "2841813427|Ga0349638_01|IG|IG_001315|+|1628599:1628700", "2841813427|Ga0349638_01|IG|IG_001316|+|1629151:1629205", "2841813427|Ga0349638_01|IG|IG_001317|+|1631285:1631297", "2841813427|Ga0349638_01|IG|IG_001318|+|1632030:1632076", "2841813427|Ga0349638_01|IG|IG_001319|+|1632626:1632636", "2841813427|Ga0349638_01|IG|IG_001320|+|1632811:1632917", "2841813427|Ga0349638_01|IG|IG_001321|+|1633173:1633173", "2841813427|Ga0349638_01|IG|IG_001322|+|1636397:1636452", "2841813427|Ga0349638_01|IG|IG_001323|+|1637134:1637222", "2841813427|Ga0349638_01|IG|IG_001324|+|1639314:1639806", "2841813427|Ga0349638_01|IG|IG_001325|+|1640950:1641110", "2841813427|Ga0349638_01|IG|IG_001326|+|1641606:1641726", "2841813427|Ga0349638_01|IG|IG_001327|+|1642600:1642724", "2841813427|Ga0349638_01|IG|IG_001328|+|1643400:1643447", "2841813427|Ga0349638_01|IG|IG_001329|+|1644216:1644279", "2841813427|Ga0349638_01|IG|IG_001330|+|1645223:1645778", "2841813427|Ga0349638_01|IG|IG_001331|+|1646169:1646229", "2841813427|Ga0349638_01|IG|IG_001332|+|1646995:1647098", "2841813427|Ga0349638_01|IG|IG_001333|+|1648089:1648215", "2841813427|Ga0349638_01|IG|IG_001334|+|1649011:1649112", "2841813427|Ga0349638_01|IG|IG_001335|+|1651627:1651730", "2841813427|Ga0349638_01|IG|IG_001336|+|1652613:1652613", "2841813427|Ga0349638_01|IG|IG_001337|+|1653280:1653461", "2841813427|Ga0349638_01|IG|IG_001338|+|1654566:1654573", "2841813427|Ga0349638_01|IG|IG_001339|+|1654820:1654934", "2841813427|Ga0349638_01|IG|IG_001340|+|1657125:1657170", "2841813427|Ga0349638_01|IG|IG_001341|+|1660118:1660320", "2841813427|Ga0349638_01|IG|IG_001342|+|1662208:1662391", "2841813427|Ga0349638_01|IG|IG_001343|+|1662845:1662987", "2841813427|Ga0349638_01|IG|IG_001344|+|1663555:1663605", "2841813427|Ga0349638_01|IG|IG_001345|+|1664005:1664055", "2841813427|Ga0349638_01|IG|IG_001346|+|1664188:1664253", "2841813427|Ga0349638_01|IG|IG_001347|+|1664602:1664848", "2841813427|Ga0349638_01|IG|IG_001348|+|1665887:1666091", "2841813427|Ga0349638_01|IG|IG_001349|+|1667019:1667108", "2841813427|Ga0349638_01|IG|IG_001350|+|1668159:1668185", "2841813427|Ga0349638_01|IG|IG_001351|+|1669339:1669943", "2841813427|Ga0349638_01|IG|IG_001352|+|1670514:1670611", "2841813427|Ga0349638_01|IG|IG_001353|+|1671974:1672111", "2841813427|Ga0349638_01|IG|IG_001354|+|1673657:1673898", "2841813427|Ga0349638_01|IG|IG_001355|+|1674385:1674547", "2841813427|Ga0349638_01|IG|IG_001356|+|1675160:1675377", "2841813427|Ga0349638_01|IG|IG_001357|+|1676358:1676389", "2841813427|Ga0349638_01|IG|IG_001358|+|1676942:1677040", "2841813427|Ga0349638_01|IG|IG_001359|+|1677815:1677867", "2841813427|Ga0349638_01|IG|IG_001360|+|1679239:1679341", "2841813427|Ga0349638_01|IG|IG_001361|+|1681202:1681300", "2841813427|Ga0349638_01|IG|IG_001362|+|1682186:1682229", "2841813427|Ga0349638_01|IG|IG_001363|+|1682737:1682759", "2841813427|Ga0349638_01|IG|IG_001364|+|1686474:1686499", "2841813427|Ga0349638_01|IG|IG_001365|+|1690001:1690489", "2841813427|Ga0349638_01|IG|IG_001366|+|1691498:1691613", "2841813427|Ga0349638_01|IG|IG_001367|+|1692604:1692790", "2841813427|Ga0349638_01|IG|IG_001368|+|1694171:1694181", "2841813427|Ga0349638_01|IG|IG_001369|+|1695670:1695670", "2841813427|Ga0349638_01|IG|IG_001370|+|1697570:1697575", "2841813427|Ga0349638_01|IG|IG_001371|+|1697909:1697909", "2841813427|Ga0349638_01|IG|IG_001372|+|1698944:1699063", "2841813427|Ga0349638_01|IG|IG_001373|+|1700096:1700098", "2841813427|Ga0349638_01|IG|IG_001374|+|1701098:1701138", "2841813427|Ga0349638_01|IG|IG_001375|+|1701988:1702005", "2841813427|Ga0349638_01|IG|IG_001376|+|1703365:1703374", "2841813427|Ga0349638_01|IG|IG_001377|+|1703885:1703971", "2841813427|Ga0349638_01|IG|IG_001378|+|1705196:1705275", "2841813427|Ga0349638_01|IG|IG_001379|+|1705777:1705780", "2841813427|Ga0349638_01|IG|IG_001380|+|1706330:1706365", "2841813427|Ga0349638_01|IG|IG_001381|+|1706732:1706849", "2841813427|Ga0349638_01|IG|IG_001382|+|1707033:1707058", "2841813427|Ga0349638_01|IG|IG_001383|+|1708184:1708399", "2841813427|Ga0349638_01|IG|IG_001384|+|1708979:1709326", "2841813427|Ga0349638_01|IG|IG_001385|+|1709726:1709771", "2841813427|Ga0349638_01|IG|IG_001386|+|1710840:1710892", "2841813427|Ga0349638_01|IG|IG_001387|+|1711103:1711190", "2841813427|Ga0349638_01|IG|IG_001388|+|1711686:1711686", "2841813427|Ga0349638_01|IG|IG_001389|+|1712227:1712241", "2841813427|Ga0349638_01|IG|IG_001390|+|1713820:1713896", "2841813427|Ga0349638_01|IG|IG_001391|+|1714770:1714834", "2841813427|Ga0349638_01|IG|IG_001392|+|1715301:1715339", "2841813427|Ga0349638_01|IG|IG_001393|+|1716988:1717028", "2841813427|Ga0349638_01|IG|IG_001394|+|1719213:1719236", "2841813427|Ga0349638_01|IG|IG_001395|+|1721766:1721822", "2841813427|Ga0349638_01|IG|IG_001396|+|1722552:1722616", "2841813427|Ga0349638_01|IG|IG_001397|+|1723064:1723066", "2841813427|Ga0349638_01|IG|IG_001398|+|1723376:1723455", "2841813427|Ga0349638_01|IG|IG_001399|+|1723801:1723963", "2841813427|Ga0349638_01|IG|IG_001400|+|1724633:1724887", "2841813427|Ga0349638_01|IG|IG_001401|+|1725593:1725657", "2841813427|Ga0349638_01|IG|IG_001402|+|1726213:1726302", "2841813427|Ga0349638_01|IG|IG_001403|+|1727887:1727899", "2841813427|Ga0349638_01|IG|IG_001404|+|1728131:1728233", "2841813427|Ga0349638_01|IG|IG_001405|+|1729116:1729284", "2841813427|Ga0349638_01|IG|IG_001406|+|1730029:1730317", "2841813427|Ga0349638_01|IG|IG_001407|+|1730456:1730522", "2841813427|Ga0349638_01|IG|IG_001408|+|1731771:1731794", "2841813427|Ga0349638_01|IG|IG_001409|+|1734729:1734745", "2841813427|Ga0349638_01|IG|IG_001410|+|1736201:1736358", "2841813427|Ga0349638_01|IG|IG_001411|+|1737196:1737536", "2841813427|Ga0349638_01|IG|IG_001412|+|1737867:1737892", "2841813427|Ga0349638_01|IG|IG_001413|+|1743520:1743524", "2841813427|Ga0349638_01|IG|IG_001414|+|1747911:1747912", "2841813427|Ga0349638_01|IG|IG_001415|+|1748015:1748121", "2841813427|Ga0349638_01|IG|IG_001416|+|1749081:1749118", "2841813427|Ga0349638_01|IG|IG_001417|+|1749521:1749530", "2841813427|Ga0349638_01|IG|IG_001418|+|1750556:1750556", "2841813427|Ga0349638_01|IG|IG_001419|+|1750947:1751023", "2841813427|Ga0349638_01|IG|IG_001420|+|1751969:1751999", "2841813427|Ga0349638_01|IG|IG_001421|+|1752966:1753152", "2841813427|Ga0349638_01|IG|IG_001422|+|1755138:1755138", "2841813427|Ga0349638_01|IG|IG_001423|+|1755550:1755551", "2841813427|Ga0349638_01|IG|IG_001424|+|1756833:1756945", "2841813427|Ga0349638_01|IG|IG_001425|+|1758122:1758218", "2841813427|Ga0349638_01|IG|IG_001426|+|1758753:1758773", "2841813427|Ga0349638_01|IG|IG_001427|+|1759209:1759523", "2841813427|Ga0349638_01|IG|IG_001428|+|1760046:1760068", "2841813427|Ga0349638_01|IG|IG_001429|+|1760291:1760451", "2841813427|Ga0349638_01|IG|IG_001430|+|1760731:1760732", "2841813427|Ga0349638_01|IG|IG_001431|+|1762534:1762535", "2841813427|Ga0349638_01|IG|IG_001432|+|1763004:1763015", "2841813427|Ga0349638_01|IG|IG_001433|+|1764257:1764469", "2841813427|Ga0349638_01|IG|IG_001434|+|1764935:1764945", "2841813427|Ga0349638_01|IG|IG_001435|+|1765399:1765429" ]
[ "MEKTLNYIEQHPVIPVFYHDNPEVCKKALKASYDGGVRVFEFVNRGVNAISNFEILQQYKDQYFPDLKLGIGTIKSKEQAEIFLNLNADFLVSPVFDADIAPLANQQGKLWIPGCMTPSEINEAEKANCKLIKLFPGDLLGTKFLKAIKPLFPGLKFMPTGGVKLNKENIHSWFEAGVTSVGLGSSLFPADFDTAEVTNQLNKVFSYIKEVKKL", "MKGKIICFGEALVRYQPAEDSFFNESNMIMAFPGGSEANVAVKLGQTGLPVSYISAAPDNQITREFLKILKDNNVETDSFLYRGDRIGSYILLSANGLSKGEVIYDRKYSSFSQIRKGEIDWNKIFEDCDWFHWTAITPALNQDLAEVMKEGLEVAYSKGITISVDLNYRNKLWQYGKSPLEIMPELVKYCHIIMGNIWASHNMLGTSIDSNLNRNTSPEEYFEFAKKNSIDLFSEYKDARIVANTFRFMDNANHNLLFGTLHSRNKSSISPIYETNDIIDRIGSGDAFMAGAIASLYHDLDLQTTIDNAVQEGYNKLFVTGDFSNTK", "MSILEKFSLSGKVIVVTGATGILGQSFVKALGEANAKVAILGRNEERGRERVQEVVNAGGEAEFFQTDVLNEEQLIETNNIIINGWGKIDGLVNAAGGNIPGATIPPTEDLFDTKISDTIKAIELNLYGSIIPTFIFGKEIAKNGRGSIVNISSLAASRPLTRVLGYTIAKHGIEGLTKWMATELPLRYGDSVRCNAIAPGVFLTEQNRTLLTNSDGTYTERAQKFINGTPYSRLGNPDELAGTLVYLLSDASQFVNGETIFVDGGFNSWCGV", "MRRLEQTWRWYGPEDPVSLQDIKQAGATGIVTALHHIPHGEIWPLDEIAKRKKIIEEAGFRWSVVESVPVHEAIKTRSEHVTHYIANYRQTLKNLAENGIKTVCYNFMPVLDWTRTQLDLELKDGSKALYFNWIDLAVFDLFMLKRKNAEADYTDSVRIEAKERFENYTNEQLNQLQMNILMGIPGEKNIEIEELNKSIELYSTIGAEGLRQNLLYFLQSIADICEEYGISMTIHPDDPPYPILGLPRIAGNLEDFKYIIRGVDKKFNGICFCTGSLGAGKAELLAQMFSELKDRVYFIHLRNVQKDAHGNFYEADHLDGDVNMYEVMKVIAEENQKRETAIPFRPDHGHQMLDDLQKTTNPGYSAIGRLRGLAELRGLELGILGK", "MSYFLKDNFLLQSDIAVVLYNDYAKDLPIIDYHNHLSPQQIAENKNFENITKIWLNGDHYKWRAMRAYGIDEGFITGNASDEDKFRKWAQVVPNTLRNPLFHWTHMELKNPFGINEYLNGASADKIYKETTEKLQQENFRPQSLLNNYNVEMLGTTDDPTEDLSFHQSIRQQIIGIDVKPSFRPDKIFAISKGESFRDYVRKLSEISNVEISDLDTLLEALQKRVDFFDEQGCVAADHGLLYIPHKGKHSLKEINHVFFTVLLGDDEEAEEIEEGYTHYVLTELCKKYYEKGWVQQFHLGALRNNNTIKLKEIGTDTGYDSIGDYPQAQALSAFLNNLENQQKLTKTILYNLNPADNAVFGSMTGNFQGEGTKGKIQFGSAWWFLDQLDGMEQQINTLSNLGLISTFIGMLTDSRSFLSFPRHEYFRRLLCNLFAEDIRKGLLPNDLKWIGSIISDICYHNAKSYFKN", "MSKVTIRDIAKALSVSVSTVSKALSDSYEISAATKKKIIEYAEKHNYHPNRLAKNLKFGKTNTIGVIVCDISNTFISQVLDGIQSNFMDKGFDTLIMQSHHDETTERQCIESLINKGVDGILISPLTETSNFDLLNKLQPNYPIVLFDRIQSSLNTHKVGANNVGGSFKATQHLLRIGKKNILIILADKLGVSDLRLEGYKNALKQYNIPYIEENVLFVDLKNINQHDEKIKEFISEKLKSKNPPDAVICGSETISTRSLGIFMEAGIKVPKDIAVLGFANTTFAFSLNPPLSTIVQPAYEIGKIATEKMIELLNKPTKDYKTIELETQLVIRKSCGYFPENFNS", "MKIKISFILFLFLSALSFSQVIKGSVVTTAEQPLANVKIYIDGSQISSVTDTKGIFNISIPYIKQGNIVFQKEGYQDFVYPLQQAMNKTLKVVLEKERLIEEVKLIGYSGKDYEKHISTFLDNFLGVSREGVSIENPKEVKFAYDKTERILRAQAKKPLIIVNKKLGYTIEYKLMTFYVDHRNGISQYTGTSFYTPVKASESKNRIYKMNRLNAYYGSVQHFFKSVFSNSVSKEGFILDRVKQMEGQKALALVERDLQAPAYREEQDGKIYFEFPDILMVSYKKYLFDISKREVVKTNSYSTLNSYVETRGLRYHITSDGNYSDPDQMIFQKSWAADKVAKMLPLDYEPEK", "MKTFSKISALFVLLAFLQSCIVSSNPNMEFLDRESLGKGAQVTAVNPPMFLVKPFIKKALREDGESEEVIALIKKVKKVRVMTVIAPNANYQERLKRFFAENKYEEWMTLNSEGQKVNIRAITNGDQINKLLIAVDGDKNGEKVFVDVRGNFTPEDISNIVNMASKSKSIN", "MKKIFLTLFIVAAGIGVNAQISKLEKLFDQYQDTKGVTSIKIAKPMFNLLNKLDIQDAGIDQIRPLIKDVNSIKILIFEKNKKDSIAPTVNMSKIRDEINSSIKNLKYEELMTVNSDGKKIKFLTQDTTTSVVKDLLLSITGNDENLFLLLDGKVNVDDISKLVNSDEKGDKGDKGSKGDKGKK", "MKTDRSKQYKDQYFAGNSSEAEEKWLKDFSDDVFFKTLREEKEVTMDWSFEDFMEKVEAQSVPAKKIQLTTKPGLSKWYWMAAGFIILLSFGGYLFFNQKPIADVTTTSVAKTEPVKNNSLVNQEQQTTAPEVNIQPSVVIPKTEKKEITEKENIKVLAQKKPLVKKEKEIIETTSVKSVPETGTEAGYNPNYVLINGKPVYDEEEAADLTKKSLNLLASNLDKGIEKVGLIKHLSVNF", "MDQQTFKTTVFIHKDRLYRFAKSFLVSTDEAYDVVQEIMIKLWQMKDDLHRYENIEAFALRCLRNECLNRLKHAKVVEDYQIKSVRQEYSVNYNDNTREIIVNMINQLPEKQKMVMHLKDIEEYSIAEICEALEMESNAVRINLMRARQKIKTQLEKIFEYENRQIQTI", "MKQLVFSDAQYWEEFLPLTFTRPIADMRVGILTFKERWEKLLGFDESFFVTEKYLQVKYPEPEKKESLLLVPNFLPTESVLQQIKDLQKGEALVYENELIASYINLENFNIGQIEKCIDITEELIVFKKPWDLFTYNDKAIRFDFDLVTKGRTSQKISETNNIRGKAEDIFIEEGADVEFAYLNTNGGPIYIGKEAEVMEGVMLRGPIAICDHAVMKMGAKVFGATTFGPYSKICGEVSNVVIFGFTSKGHDGYLGNSVLGEWCNLGADTNTSNLKNNYSAVKMWSYREKRFVETGLQFCGTVMADHSKTAINTQLNTGTVVGVAANIFKTGFPPNLINAFTWGGANDDPKFRLDKAYETAEIMMARRKIKLTEEDKAILKHVYHEF", "VRNNNGAIITLGFALFAMFFGAGNLILPPFIGLKSGSEWFYAIVGFITTGIIAPLISLIAVVQIGHNFTDIGKRVNKKMITILAFIIIWLIGPLIGIPRTGATTFEIGLQPVFPELSPIISAVIFFAVTGFLAISPSKIVDIIGKYLTPVLILLLLILIAVGIVYSPGAPAASTLSHGESFALGFHEGYQTMDVLSAVIFAGIIITTITEKGFTKVSQRVKMTVMAGLIAAFCLLVIYGGLVYLGATSGYPVTDNLSRTKLLLHISNSVLGQYGTYIISVAIALACLTTAIALTSAFASFMEKITNGKSGYKVNVIFCCVLAAILSVKGVDEIINYAGILLGFVYPVVFALVMYLVFFGKIIKSKAPYVAAVIISTLVSSLTVFQYYGIAENFITSLKDRLPLVQHNMEWVLPSFLAFIITALFTKNNTFATQE", "MKKDIHPSNYRLVAFKDMSNDEVFITRSTAETKDTLVVDGVEYPLVKMEISSTSHPFYTGKVKLVDTAGRVDKFMNKYKKFAKN", "MNPANQMIKRIIKLLPVLIGSSVLHAQNYQGKIVNIKENGLHQIVLSPDVIAATHNNTDFIRIFDSKHNEAPYIVRNGNFKKSDFRQFPISSRNIIPNVVTSVIISNENTINLDNLTLKIANTEIEKKYNISGSNDQKEWFGLVDNQIISGLNEPGKTSVEKNFSFPLNNYKFLKFDFLDKNSLPINVLKAGLEYDNVVANAKTALQNFEQKITTDKNSKQSIITITFPNEQVINGISFDILSPSFYQRDARILINKSRVYKRKTENYREEFVAFQIRSKSNNQFGFPDLFTKELIIEINNQDNPPLDITKINLFQFQKKILADLKAGETYTLDINPQLSAPRYDLVNSGINLNQNYPDATITDLKETNHSTHPETGKAFWQTPFFVWACIIIAIVIIGFFAMGLIKDMNKKAE", "MEIFLLLIILVFVIISLNKSAANSKATQEAIGKLKDEINSLNLKIDTQTLKAEEPQPEIVPVVTEEPQPAIREEIKAEEEKQIIEENPVVEEAPVQAAMSAAVSQELQPEAIPLQENAEIPVTEKIVLPPKKSWLQTFKEKNPDIEKFIGENLINKIGILILVLGISFFVKYAIDKNWINEPARVGIGILCGALIMGVAHRLKKNYKAFSSVFVAGAISIFYFTIGIAFHDYHLFNQATAFIIMVIITIFSAFVSVSYDRKELAVLSLIGGFAVPFMVSTGEGSYKVLFTYIAILNIGMLIIAYFKKWSLVTLLAFIFSCVLFSFWFGEKVIDGGLPYRGALFFATLFYLIFSIATVVNNLRNKGTFSKLEYFIIIVNTFFYFGIGISIIHKWGIDFKGLFTVALALYNLAYAILLYKKFGLDKNAIYLLLGLALTFVTLAIPIQFNGNYITLFWSAEAVLLFWLFQKSRIAAFKLGAIVVQALMLFSLMMDWDFYYVKSTAILKPAFNPIFITGLVSLVSLILTYLLLRKEKEEVDIFTVKFNPALYRQGVSIAAVIVGYFIGLFEISYQANMGIANYYSAQSYSVLYHFLFSTGVIYFTLKRKNIAVNNLAIILSCINILLYIVVFHQLVTDEMKENYYSDLSGKSAFFIHYILLTCLAYFGYTIIRLRNNNSFSIIINHKFALWVFAFCIVFIMSNEVMVHGLIFSGELVSQTELAKRFPVTKEQLYKYDRLIFIDEKLSFVKLQIIKIGYPILWGALSFVFLIIGIKKQNKQLRIIALALLGITIIKLFFYDIKNVSETGKIIAFILLGVLILIISFVYQKIKKLVIDESSKPNDKENN", "MGEIKSLQHQVDEWIRTVGVRYFNELTNMAMLTEEVGEVARIIARRYGEQSEKESDKSKDLGEELADVLFVTLCLANQTGTDLQEAFNKKMKSNMERDKERHQNNVKLQSTVPFCEMMDGETVKIGGSKSITNRLLILEKIFGNISLENVSDSQDSSLLIKALNDESDIVDIHHAGTSMRFLTSYFATKPDKEVVLTGSERMKQRPIKPLVEALKQLDAEIEYLGEEGYPPLKIKGKKIEKNQVSIPANISSQFITSLLLVGASLENGLNVSLEGKITSLPYLLMTIEILKKVGINASIEENIIRIKPISGELKPIRYVVESDWSSASYFYSLVAIGRKKINLKSLNIKSLQADSRSAEIYKNFFGVETVEVSENEISLQPIEGFQFPKEMQLDMNNCPDIAQTVCVTAAALNVPFYITGLETLKVKETDRLTAMQNELRKIGLNTAITNETIRSLENITPAETITISTYNDHRMAMAFAPYALCRTLEIEDPNVVEKSYPEFWKDFYKVVKRK", "MVIIITGASKGIGFTLAETLAKKGHVVYGFSRQIADSVHFKGFSVDVTDKEQINQAVSSVIEKEGRIDVLINNAGMGMVGAVEDTTKEDIHKLFDLNLAGPIQMISAVMPYMREKRFGRIINVSSIGSEMGLPFRGFYSASKSALDKATEALRYEIKNWNVQATSLHLGDIKTNIAESRIKTQVSEAYTSVFNKIYALMNAHVDEGTEPSEVANYVVNLLDKKSWKAHYYFGKFGQKIGVPLKWILPQNFYENLMRKYSKMD", "MKKYFTGLLFLFILIFHGQTFNGTIYLRDNSALYLNQIYVTNLNTQKTVLADYQGEFNIPAKVGDVIRFTSIVTERKDITLTPQYFQDKRMLVELSIAYHEIKEVVLRRFKPTGNFKVDVLSTREDKRLALANKIGLPSPKGDGTSPRSPVASFNNGGLGFNVQSVFDILTGERRKQERLYAYEAMQKNVTNLHNYYGDDYFIKLKIPKNLIDNFLQFVYTSDDLGPLVKSGEYAIIEMSIEKYLPVYLKRLRNSNLQAVIGDK", "LGKNKIRRFEENKTLVNVFQPTREQALSGYEMKGKWRTNFFKNDNPIVLELGCGKGEYSVGMAKAFPDKNFIGVDIKGARFWFGAKEAVEKNLTNVAFLRTQIELIDLFFEKDEVDEIWITFPDPQIKFKRAKHRMTHPDFLERYKNIVKKDGYIHLKTDSEFLHGYTLGILQALGYDVEVSHHDIYGAPEFETDAVHLREIKTYYEGLFSAKGKTITYLRFKI", "MRRILPLIIGTVLLWSCASNNPSLAHIFETKDINELSTFIKKNPKHKDIVFLKQKLLSLQIAANPKKAADIPKPVIKTIEEQEAEEFAKLMAQDKSGHNAKTVNVLNQMFNNDISDTNAILLVANNSDCNMILRIQGDKYYNLAVPAKGQNTLVLPQGTYKLTGSLCGATYTSTKVIQKNLSLALGTARK", "MKTLQPNIAPAEAKMNWFQHFMMLCSGANIHVLRKTPSEWNKYSGIGGVVLFTAVFATLSSGYAMYTIFDDIWAAIGFGLLWGLMIFNLDRYIVSSIKKTGTWWNQILMTIPRLILAAFLGIIIAKPLELKIFEKEVNKQLNTIIQRNKSELQAKINNRIMQQSGPYDKEKAEINSKLAAYQKSYDSASVELEKEILGKQSGLTSGKVGYGSNAKRKEELKKNRLADLQNYQKQVAPRLEYLDKETSKVYTNLEQELKNAEGAENRFNGFAARLQALDELGKASAIIALASTFIMGLFICLEISPVLIKLISSVGPYDWLLDKNENDVKVYAKEKIEKANISTEYRVNELKESLYPKNASTL", "MKKSFLFILFVLSFNINAQINVVKNGGSISKNEQQAFELFKSYMQIGDDRKEGQKFWNTDKNFASYDFLFSEGFWNPNLYAYIYQANILSVTDTGAGSKIIRVLMSPSDKADNIYAIVNYVFKDNKLSNYTDFYTEKWTKRIVGNIEYHFPSDYSFNSANAKQANIFVNKLQDIFKLDNHNKLHYYIAKNCKEVRTIQGFDYVLGEGQSDNCAFFDSQNKIIYTTTFSGENHKHELIHLINIKYPNAHFWLTSGFSVYNNSDNAHIGKSLAWHCQKISDFIKEHLDFNFLDEEKEIKLEKTSVDYFVGTALIHLFLNKYTIEDLGMIMEKSSEKGFFEKNYYLFGATSVTDLNSKIKDTIKELSNPKAKLMLDLN", "MQHEKIILGIDPGTSVMGFGIISVKGQKMELISIHELILKKLETHEIKLKKIFDRTLALIDEYHPDEVALEAPFFGKNVQSMLKLGRAQGVAMAASLARDIPITEYSPKKIKMAITGNGNASKEQVAGMLKNLLQLKEFPTKYLDASDGLAVAVCHHFNMGKDISEKSYSGWDSFIKQNPGRIK", "MNRIVLKAVLLSATVSGTVVTYAQAQQAPKFVSNIEGVKQYTMNNGLKVLLIPDASQSNVIVNIVYNVGSKNEGYGEKGMAHLLEHMLFKSTKKLGDIKKMLSDKGGNANGTTYYDRTNYFEVFPSNEENLRWALEMEADRMINATILQSDLDKEFSVVRNEFEIGENNPERILRERALSTAYLWHNYGNSTIGSKEDVERVKADRLRPFYEKYYQPDNATLVVAGKFDEPKLLQYIGQYFGAIPKPTRVLEKTYTLEPAQDGERFVELKRAGDSKVFGAVYHTVPFADKDYAALDALSEILTSDPSGYLYKSLVETHKVASIYSYQESLRDASFMYFGVNIPNDTDIKVTENLVRSELDKISTIKYTDQDVQRAKTALLKQYDNVKNNTINYAINLTEIIGAGDYRLGFLYRDNIEKLTRADIERVAQKYFTSNNRTVAIFIPSKDEKRVSSLEYSDQKLAELTTNYKGKALEKEVAPFEASIANLKKNLTEDKTGNGMKYGFIKKEIKGEKVLASFNFRIGDEKSLKGKSQIGDAMAQLLKTGTKKYTKEQLKDKLDALKSSINFGFGGQSLSVNVNTYKNNFAEVMGILKDILTDSTFPQEELTKSIKEYNTYLESTLNDPQTLAFTEISRMNQGYPKDHIYYTPSVQEQIDFNKGVKREQIVDFYQNLIGANYGVGSVIGDLDAKTVKAVLEDTFGKWNTKTKYSYVVPTFFPSKKEDKVINTPDKENAAAVGAINFKMDRKNPDYPALLLANEMLGSGGFLSARIPMRLREKEGISYGAGSYMSVPYSNDVASWGYYAFLNPTKKDAVDKAVREEIAKALKDGFTEDELKANKKSWQNSRKTGLGNDGTLMGLVNSKLLYDIPLEDYDALESKVLNISVQQANEALRKYLKTDQLTTLDVGDFSKK", "MKKLLILFWCMVFVSTSITINAQIFGGNSNTSGGISETDGNIHVEGVGHQKKFSSDGGVLHIEGANNTITVKGFLSKVIIEGAGNTVYVDKVSSVKIEGAGTKVYYKTSPTKTGRPATNIFGAGSSVIKQ", "MSKKNNKLYKGTLQNIILKLLAQEVKMYGYQMTQRAKELTKGELEMTEGALYPLLHKLEDDGLITSEIQNINGRDRKYYLLTEKGKRQQAEQEAEMKSYILNLNTIFNI", "MENQDKKITDYLIEKRLPLDIMLEVKDHMTDQIQDLEVSKNMTFDEAFSQAQLSWEEELKTNRPSLTTYNEFLATPFVRKIYWQKRKSVIFRSVGFALCILILLILAATELDITSFRIVFIAIVILSTFTPVLYYIRYFRVFRFTDKFANKVSVYQREFIVWSYILNGFNFFWISQKQIPDFYQLLKAYKVEDALYTYCMFAFLLFWLGMAYYCLLFSQRFVKSVQQIKKQLIS", "MITTEQENLIRRYLLDKKLTLDVAAEIYDHMLTQVTVRMSEGESFEVAWQRTKTSWYNEMKTIYDVWYSFDDITLLMKKIKQRYLRSSFTKAFPIALLIWGIHVALLWSIPREWVEWLQLTICAIYFVSLGYCVYRSRDLFKLQRKYTNRLSVYQEFAMLPILTGGFIGWIGNVNVWNRLYDIKYSLFTSSFGWKDLFIFSGTIIVMVLFYLCMVISAMTIRKYRDSIIQIKPFLQKIQK", "MMNILERKQIQKYLEDKRLPLDIYMEIEDHIISQIEELEKEGIGFQEAFLQIKTKWKKELSFQNFSFSDLRAVPKIVAKIRSAYMRELIPRIFIISFSVLLMFILGAYQLDKRNFDIFYTIVFTGFICIPTVIHIVNYKILIMRTNHRGKPLNAFDVIVDSCYGLSILPLATMMNTSKNAEMFYNVFHVSETSLLSKILTLSMPFYFSSVCLFAAFVFMKYKREVAKMKLA", "MKKFISYLLIFLSIFSFAQKPDNALQGYYGIKGNGGLYGSFNFDGNGKVLIGDMAHGDYFTRNDSLIIYPDKDIFIFKIKGKELHGISTWVENEVWKFNKDSISVNNRKNPELSQKKAELLAEYYDKKKNQGDLAALFDPDFSSFNTKLCDKGLAKSCLDLFGAKMLEVTPGLLTGKDLSKQNIQPHPELLALAQKIIDMGEPEGHTALGSYLYAIGRTEEGEKEWNKAIEKGSRKAFQGKALMEFSKGLEEK", "MNKLYFIAIYPPKSIIEEIKVFKEDMARNYSNSKALKNDAHITLFPPFSRDKAMEEDIITAFQKIDTHISPFELVLDGFGSFPNPKNTVLFVQPKKSESLNTLHSKVKEHFNFIKNSFNPHVTVGYRDLSFDNFQKAWSLYEHKNYKTKFIVDEIQLLRYDGKWDPISTKKLTGE", "MIKKLGFLFLFALLFSCKTEQQKTIDRNVVVDSTITAFQTKLTQQQIDTVFKKYHFNGSIAVFKDSTLLYRKENGYSNFKSKTKIDNNTIFAIGSVSKQFTAVLILLQKEQGKLKLEDKVSQYLPDFRIKEYENITINQLLNHTSGLNIMGNRLMFRSGTGFFYSNDGFNALGQIVEKVSGKSYDENVTELFSKIGLQLSSTASTFKTGNFASAYLGNDKVQEVVPNMPKRLAGKEIGTPAGGILSTIDDLHLWNQKLYGGKILKPETLKEFTKKSAERQHAVFGKMGYAYGIMLNTGKPEAYFHSGYVKGSPSLNIYYPETKTSVIILSNIADENKGKNAVFNPHKEVKNITDNLQNVIIDLQKDLLKPVEHKNE", "MKKIDIENPCSISWSEMQDLGKDKFCQHCNKTVLDLDFKNDAEIENLLIENPKLCGKFSKFNIAANLILAVSLSITSCSATNTPSNHPTEKGIDKIMKIYGKISAAEGITVKPTSIKVVTKSKLFLGKIDSQNNFEIEIPESKIKERNIIKIDFIKTKYENTFQDYSLYLLTKKDLFENKTLIADDGMVTIGAVVILTPEPPDLYYFNGKSISKYKFENILKEHPDYEKIVIDETQYKNIITNTYSDSLHLLYSK", "MNTKKYTPDNKVRIVTAAALFDGHDAAINIMRRVIQGTGCEVIHLGHDKSAEEVVNTAIQEDANAIALTSYQGGHNEYFKYIYDLLREKNSPQIKIFGGGGGVILPEEIKDLMDYGIDRIYSPDDGRELGLQGMIDDLVQRSDFATGKDITAKDLDAISFENPTSIAQIISAVENFSDEKPELVKAIDEKSKNLNIPIIGITGTGGAGKSSLTDELVRRFLRSNPDKRIAIISIDPSKKKTGGALLGDRIRMNAINDPRVYMRSMATRENNVSVSPFIHSALNVLKLAHPDVIILETSGIGQSGSEVSDFADVSMYVMTPEYGASTQLEKIDMLDYADLVALNKSDKRGALDALQAVRKQFQRNHLLWESPLDDMPVFATKASQFNDHGTTELYNRLIVKVNETLQLAQGNDISEFKTFIEQEVTDEVTIIPPKRVRYLSEIVENNKQYDAAIEKQAELARTMYHIEGVKKIISNDVLETEYQKAEKELQQENIDFLKNWDDTKKAFHAEFYSYFVRGKEIKVETSTESLSHLRIPKIALPKYNDWGDLIKWKGQENLPGSFPYTAGIYPFKRTGEDPTRMFAGEGGPERTNRRFHYVSAEMPAKRLSTAFDSVTLYGQDPALPPDIYGKIGNAGVSIATLDDAKKLYSGFDLVNALTSVSMTINGPAPMLLAFFMNAAIDQNVEKYITEHKLESKVEAVLKAKFDDKGLKRPQYNGELPPSNNGLGLQLLGITGDEVIPADVYEKIKAQTIATVRGTVQADILKEDQAQNTCIFSTEFALRLMGDVQEYFIKEKVRNFYSVSISGYHIAEAGANPISQLAFTLANGFTYVEYYLSRGMDINDFAPNLSFFFSNGIDPEYAVIGRVARRIWAKAMKLKYGADERSQMLKYHIQTSGRSLHAQEIDFNDIRTTLQALYAIYDNCNSLHTNAYDEAITTPTEESVRRAMAIQLIINKELGLAKNENPLQGSFIIEELTDLVEEAVYTEFDRITERGGVLGAMETMYQRSKIQEESMHYEWLKHTGEYPIIGVNTFLGKDGSPTVLPGEVIRSTEEEKQAQIESLHNFQKANEGKSEEALRKLQHAAINQQNLFGVMMDAVKYCSLGQITNALFEVGGKYRRNM", "MNFKSTLIIALISAYSVTFAQERIYFDENWEATTKDHMVYYRETSKQGNLILLKDYYKNGTLQFEGLASDVTPNHEVYEGKATWYFPDGKPEKIAEYVKGVPTGVSKMFDVQGRIVEDLIYNKEGRYDGTSYLYKNTDENSGYNMITEYKNSEATYTVVFDDSRKGIRSETIYKDGYESEVKYYDEKGKYIGSRTYNSKEGKYEGTIIEYYYDPMRIASIERYNKKGDLLESKSFYKNGKLKQDVKISGKNRQKITYNEEGNVIARLNLKYNEEIKDAVAYEGEDYEFYEEGETVRRIATYHEGVLVSDKNYDEDGKLNTENFYKDEDKIATNYYNTDGSLKAKLELKDGVGYNGTEIASAGEIVYKDGIVQDMKRLFENGKVQWTKHLNPEKNIYESKVYNEDGAVLYSYTRSVEEDSFNGEVLQYVKGKVSNKAVIKDNNLVSGKIRIDEDVRDVEIERKGEWIIIRQYTKAGKLFSEEKVLINENPYGESYYFREESLVKNYNY", "MKKAILYLLLGTAVSFLFHYFLSGFGKVSLDLYYAFAFGLGWGMAYFLDRPDFALPKKLGLSFIGIILLVVLGIVFFNLEIAIPSIIRFSTVFVAYYLLASFRQSKSLRN", "MGHHGKLRSDKTCLNCGHQVEERFCPRCGQENIEPKQPFHYLFTHFFEDFTHYDGQFWGTLKNLLFNPGKLTTTYLKGERQKYVPPVKLYIFMSFITFLMVAFLPISVGSDHNEKDEELIQTQNHLRELSPIEATGIIVKENNIQKEDSIKIQMFLKTTKDSLIKKNIIQGDLDNWKDQRFLEGTHIKGANNIKQYDSIQAKNPMNFTTIERPIVKKAFELHEQGATYKDVLNGLIMTFFHSLPKALFLYLPIFALTLWLFHSKKKFWYFDHGVFTLHYFSFLLVEIAIVLLLSLIAKWFPFLSFFKIIASFFATIFSIYSCIYFFIAHYKVYRTHPITTGIAGISLFFINTILFTFLLMILILISFLMIH", "VNTLSYKTVSANKATANKEWVVVDADGQPLGRLASKVAKILRGKHKTNFTPHVDCGDNVIILNAEKVALSGNKWADKEYIWHTGYPGGQKSLTAAELQKKNSAMVVEKAVKGMLPKNRLGKAIFKNLHVYVGGEHKHEAQQPKEININEIK", "MSTVHKIGRRKTSVARVYVKPGAGNITVNGKDAKTYFCTDMLVYKLNQPFLLTETAGQYDVTVNVFGGGITGQAEAIRLGISRALCEINEEFRLALKPHGLLTRDARMVERKKFGQKKARKRFQFSKR", "MAKANVKDLLEAGVHFGHMTRKWNPNMAPYIFMEKNGIHIVDLHKTAVKLDEACNALEKITSAGKKVLFVATKKQAKEVVAKHASELNMPYITERWPGGMLTNFVTIRKAVKKMNAIDKMKKDGTFETLSKKERLQVDRQRANLEKNLGSIADMVRLPSAIFVVDIMREHIAVTEAKKLGIPVFGIVDTNSDPRKVDFVIPGNDDASKSIDMILSVVSESIKEGQSQRKAEKEKSKEGEKATADADADFDAE", "MKKYLFLISATVFLAGCDAMNYGTYNRFPRYPSYPGSSNTSMANTEREYNELLKTYKPETEAVLNDLLNSTDPNAINTSIVIKNESSCNMVFTISGSNGFKRIPIGTGQVGYAMIRKGTYTLSANVCQKVYRETTNIRSSQQLSLK", "MYTPVAADVAKLRNQTGAGMMDSKKALVEAEGDFEKAIEILRKKGQKVAANRADRESTEGAVIARVNEDNTLGAIISLNCETDFVAKNEAFIELAYELAEMAITAATKEELLATDFHGITVAEKLTEQTGVIGEKIEIGSFERIEGPFLGAYIHAGNKIAAITSLSANVEGGVEAAKAVSMQIAAMNPIALDETQVSQETIDKELEIERDILTKEGKPANIIDNILKGKMQKFYKENTLVHQAFIKDGSQSVADYVKSVNADLKVVGFVRVSLA", "MPIHDKIIETAITFDDVLLVPSYSEVLPNQVSLKSRLSDKITLKVPIVSAAMDTVTEASLAIALARVGGLGFIHKNMPIEEQANQVNSVKRSENGMISDPVTLSKEHTLGEAKGMMAHYKISGLPVVDNDNKLIGIITNRDVKYQEDLGLKVEEIMTKDNLIVSHKSTTLEEAKEILLKSRVEKLPIVDSENKLVGLITIKDIDNQLEYPNANKDGNGRLIVGAGVGVGADTMDRVKALVEAGVDIIAVDSAHGHSEGVLEKIREIRAAYPELDIVGGNIVTAEAAKALIEAGANVLKVGVGPGSICTTRVVAGVGVPQLSAIYNVYEYARTQNVAVIADGGIKLSGDIVKAIASGAGAVMLGSLLAGTEEAPGDEIIFQGRKFKSYQGMGSLAAMKRGGKERYFQSEAKKFVPEGIEGRVPFKGKLEEVIFQLSGGLRAGMGYCGAKDIESLQKDSKMVRITGSGLKESHPHDVIITQEAPNYSL", "MRNIFIQLCLLVGTVCTFYSQEISVIPKPQQILQKTGNFVIDQNTGIQLKGASEKDVQLFLNQLRKVSGYALPVKNGQENSIIFQLDTKLGLPNQDGYTLDVSDKNIVVKAKNGNGLFYATQTLRQLLPVSVESSDKKENKHWTIPALAITDYPRYDWRGYMKDVSRTFYGMDVIKKYLDLMALYKMNTFHWHLTDDQGWRIEIKKYPKLTSEQTTVFHRTENQPAERSGFYSQEQIKEVVAYARERKITIVPEIDVPGHSWPTILAYPQLGVNKNSYPYFVFPFVSSWGYWGNQFTPNTLDPSKEEVYTFLQNVFTEIAALFPGEYIHFGGDEVRHVLWEKEPHIQEFMKIHQIGNVKQLQSYFVQRVSGIIKRLGRKPIGWNDVLADDKGLPKETAIMIWLGEEAIKEAASHGFKAVATPYSHVYLDITQADRNDGTPSDLAYSNINSIDRIYAYDPSAGLTKEEEKFVLGIQGNLWSALTQETKDMNVHVFPRLLAIAETGWTLPANKNFEDFKKRLLAGEKRLDELKVDYYKTGGYISGKWTQNDIKEEFADLSFDVTSKIYANGRIATGFFYTSGKNFLEIDGAQLLEDGKVISEDLHHALADTFRGTNKIKPFYYNFKIDQYNPKAKYMIKAKVRGAGGTDSNGNFTFNLSPYKPFTAVEAN", "MKDVRIAFRKPIFPVSEALQQYLEKHNRTTKIQFLYEDLLRFSDSVSILDKEGKDTLWLGVFYPEHEFQEIEANLNKIYTLLHSDGDEATLPYLKVDTIDFCTFGNSKPFRIRVRNILNDNYTNFYIKQADASRIYGLELEDLLSPNRINFLIHKNTLIEEHILGIPGDVFIKKHLSNCTELEKAQISKEFVKFNERCLIGLLGDMRSYNYVIIPVHDFDQVIYRIRPIDFDQQTYEGNLKVYLPQYFKENNVMVNMVLEKLKPNSIEQYRKEVRSQIVKRVTSSQNRFDELISIMKKEDLAPEANVKELRTALQKLTYDVNFKYCKTMGDIIETGIKFVLRNYKKSY", "MEYLEFEKPIEELMEQYDKCSLVGEESGIDVKLACSQLEDKIIAEKKNIYGKLTPWQKVQLSRHPNRPYALDFINGVADKGSFLELHGDRNFADDPAMVGGIITIEGQSVMIIGTQKGRTTKERQLRRFGMSNPEGYRKALRLMKLAEKFNIPIVTLIDTPGAYPGLEAEERGQGEAIARNIYEMCRMTVPIITIVIGEGASGGALGIGVGNKVYMMENSWYSVISPENCSTILWRSWEYKEVAAEAMKLTAPDMLKEKLIDGIIEEPLGGAHYEPEVAFQNVKSTILSNIKSLKKFNGKELMEQRQEKFINMGKFKG", "MEKVRVRFAPSPTGPLHLGGVRTALYDYLFAKHNGGDFILRIEDTDTQRYVPGSEEYIMEALEWIGMVPDESPKHGGPYAPYRQSERRDIYDRYTEQILKTDYAYLAFDTPEELDQIRAEFEARGDVFAYNYETRNRLRNSISLPEEEVKKLLEEKTPYVIRFKMPLDRIINLNDIIRGKFSVNTNTLDDKVLVKNDGMPTYHFANIIDDHEMKITHVIRGEEWLPSMALHVLLYEAMGWDAPEFAHLSLILKPEGKGKLSKRDGDKFGFPVFPLNFTDPATGNTSAGYREEGYLPEAFINMVAMLGWSPADNKEIVSMDEMIKEFDLNKVHKAGARFSAEKAKWFNQQYLQLMSNEAILPEFKKVLAENNVEVSDEKALKIIGLMKERATFVKDIYNDGKFFFHAPESFDEKASKKAWSPETAVLMQELTEAISSLDFKAEIIKESIHHLAEAKGLGMGKVMMPLRLSLVGELKGPDVPDLMEMIGKEETISRINKAIETLK", "MENYPLVSIIIITMNHEEFIVQACESALQQTYPNIEIILLDNNSADKTFEKATETISKSTIPHKLIKNTTSYGISKNLNILVSKASGKYISILSGDDWYTNNAIEEKVTFIQNNSIDFALSDGYRHYESEDKTVAAYTPEQKKQIIDSIDNFFHNNVIQNLPINVGVFIKKKLLIQYPFDENIHAEDWDMNLRLTSLGYKVGFIDKKLFYYRILSTSLSHNWDLMEDAYKKITQKYIDYISADKRLKKKYEMNLLKHKYEKMLSKTKSSQEREDILRIWKKEKYKIKYNQPILFFKLLFLK", "MKIKFLDLQKINQNHQEEIEEQLISVHRSGWYLLGKATESFENNLAEYIGVNNVIGVANGLDALRLIIRAYKELGIFTSGDEIIVPANTYIASVLAITDNDLIPVFVEPNPQTHNLNIKKIEAAITSKTCAIMTVHLYGQVSFDNELSNIAEKYNLKIIEDNAQAIGAKYNNKKTGNLGDAAGFSFYPGKNLGALGDGGAVATNDDQLALTIRALANYGSSEKYVNIYQGLNSRLDEIQAAILNVKLKSLDNDNRKRRNIAEKYLSGIKNSKIILPNIPIDTEAHVWHLFVIRTEMRDKLQTYLHKKGIQCIIHYPIPPHKQLCYPLYNHLEYPITELLCNEVLSIPISQVMEEDEVNYIIEALNNF", "MIKIHPTADVQSKSIGEGTLIWQFCVILEQAIIGRNCNINFNVFIENNVKIGDNVTIKPGVQIWDGITIEDNVFIGPNVTFTNDKYPISKNKDFLLLKTIVKEGVSIGANSTILPGITIHENATIGAGSVVTKDIPANEIWFGNPARKKN", "MKPYDINSIHKILLWKKRKYKVTSLFVKPKTYIVDFIPINKTPRSFCQTTITIEKSKVNKTYLPQTLQDSQNPIEVTQPEIIAYHLKNIIAASDSTFFITADMKAAFYEKLHEDNRDIYIYNDANILFHSHSLARIKSLPFCEFQNDALFLGGLFTFNYYHFLIEILSKTEYIKHIPGGDSLTIVLDSSIQENKNLKYLAEIFLKNYKIVYLKKDLYYSFKNLWFINNPNPTIPNISEGSKYEASFTNINPSSIMYLRKICLESYNEKQISIKPIKRVFIARKSDFRRYNESDLLEIAKKYEFQAVYFEDLSINEQIFIIQNADYILGASGAAWTNILFALPHSKGLTWLGSVWGDFSVFSTLAKLVDFDLYFLRYESKTSYFHEDYIIQPDIFERHIIKLLEI", "MTNYNYPFIIEFPKIGQSSLGYISIAEKDNLPFIPKRIYWTYYTPEEVERGGHSHYDLYQILVAVSGRIEITTELLNGDKQTFLLDKPNIGLFIPKMCWRTMKYTHNAVQMCIASNEYDEKDYIRDYNIFLEKRNEAI", "MNTDYPLVSIIIPCYNASYYLKEVLESIKLQSYPNIECIAINDGSTDNTFDILNTFSDSQFHIYNQENRGLSDTRNLGMEKATGDFIFFCDSDDILPTNAIESLVSAYTGKENIIIGKTANYAWETKKIDSHLPHPKEKQYFENKNAEVLIQNISKGLSPIAQNKLYNSKFLKEKSLKFLSGIYHEDELWFFETMFTAENILFIPDVTYYYTMDNSQSITKNHNDRNLIGYLSVIETIYKKYYLEFPQKSITAYYLSHLKKIVMGNYKHYSSYSNEALHKMEQIFQETNSKFNEDIKLKKIEKLYFRYINTVGLKDIASIRKEYFNSPVNSLGKRFKLFKFKLFNKL", "MGILKKIKKNIERKEKLKILQTNDIINIELFDLLKKTIIFVSRDFPTHDKDSGSNRLKEIILNYKNLGYNCIILSPYIFEDDSYVKFYQQHGIIIFTENLKYQTIYDFISTIKFVDYVWLNGPLALNLFYKKLKCILSSAKFIYDMVDIHFLRYKRAIELEPLRISLKKNYKYFFHLETVIAPELDYVIAISNKEKEIMSQYINPNKIITISNIHYPKIDILERSSFKESKGIIFIGSTHEPNVDAVKYFYEKIMPIVWKNNPNLEVTIIGNVADKLDIKLFPKFNFLGFVENIEELFMTSKIMVAPLRFGAGVKGKIGQAFEYFLPVITTDIGAEGMQLIDEKNVLIANNKDNFAEAIIRLNNDEELWNSLSLNSIDSLKPFSLEEVNAKLKKAN", "MYKKTIIFGAPKTFGITDEIIKNLEFMGFEVIDITFDQQYKYENIFQRLNNLYQKVIHNNRSLKTLYRFNLHKEQIYDKINRYKHIDYTLIIRPDNYPLDFLNKLKSISGKMVGYQWDGLDIFPDIKKYFSLFDRFFVFDENDVSDQFLPTTNFYFDYNKETEYNGSNKNVYFLGSFVKNRIKNIKRIITEINKTDFEADIFLLNKKRKYDLLLQNTGAKLLTQPVSFQQNLQNVKNASVLIDFQNNKHGGLSFRIFEALFFEKKILTNNPSVKRYDFYNENNIYIFHEDNINEIAKFLNKPYQKINSELINKYSFTNWIKYILDIDVYTPITLPKRNINNNIIK", "MSIPISVVINTYNAEKHLREVLESAKDLDEIVICDMYSEDNTIKIAEQYNCRIILHERTGFVEPARNFAISQAKNEWVLLLDADETVNIELIQYLRKIAIEKPEISCIAIPRKNYFLGRFMHSAYPDYVYRFFKKDKIYWPEFIHSMPKIEGNIFKIDKNQKSLAMEHLANDSISAIENKNNIYSTAELPKRQHKKTTTFKLLFSPFAWFFKYYFIKQGFRDGKAGFIFAVLKAQYKFLTLAKLIEHKNKNV", "MSETKNSEYFIELEEKHGAHNYHPLPVVLDRGEGVFVWDVEGKKYYDFLSAYSAVNQGHSHPKIVEALVEQASKLALTSRAFYNSKLGEYEQKITSLLGFDKVLPMNSGAEAVETAVKLARKWSYEVKGIAENAAKIIVCENNFHGRTTTIVSFSNDPDANQNYGPFTPGFIRIPYNDIAALEEVLSKEAGNIAAFLVEPIQGEAGVYVPNEGFLKQSSELCKKHNVLFIADEVQTGIARTGKLIACHHEDVQPDILILGKALSGGMYPVSAVLANNNIMDVIKPGQHGSTFGGNPLACAVAMAALDVVQDEKLSERAEKLGNLFRSEIEKLIEKTDLITKVRGKGLLNAILINDTPDSSTAWNLCLALKENGLLAKPTHGNIIRLAPPLVITEEQLLDCVKIIEKTILEF", "MFKKILIANRGEIAMRILRSAKEMGIKTVAVYSTADKDSLHVRFADEAVCIGPAPSKDSYLKIPNIIAAAEITNADAIHPGYGFLSENANFSRICQKNGIKFIGATPEQIEKMGDKATAKATMKAAGIPCVPGSDGLIDSYEHAVKIAKEIGYPVMIKATAGGGGKGMRAVWKEEDLKDHWDSAIQEAVAAFGNGGMYMEKLIEEPRHIEIQVAGDQNGRACHLSERDCSIQRRNQKLIEETPSPFMTPELREEMGNAAVKAAEYIGYEGVGTIEFLVDKHRNFYFMEMNTRIQVEHPITEQVIDYDLIREQILLAAGTPISGINHYPKLHAIECRINAEDPYTDFRPSPGVIKGLNIPGGHGVRVDTHVYSGYAIPPNYDSMIAKLITTAQTREEAIAKMKRALEEFYIEGVKTTIPFHRQLLDNEDFIAGNYTTKFMESFVMDKNYENHF", "MDIKDIQNLIRFVAKAGVSEVKYKTKDFEINIKTPSAAAEGVSYIPQQPVFQQTAPQATAPVTSAPQATTPAANNDDSKYVAVKSPMIGTFYRKPSPDKDVFVNVGDTISSGDTVCVIEAMKLFNQIESEISGKIVKILVDDASPVEYDQPLFLVDPS", "MAHPKRRQSATRRDKRRTHYKAVAPQLAKDATTGELHLYHRAHWHEGKLYYRGKVVLEKEVETTEEN", "MEKIRNYNIAFTGLKNGKHEFIFDVKQEFFNLFDTEQEFDKADLEVKAMLDKHSTFLEFDIKVEGTVQLTCDISNEDFSHPIQNDIKVLVKFGEEYDDSNEEVIVIPQNEYEFNISQLIFEAVVLAIPMKKLSPNLTEEDLEALEQYSPKEVEEDQKDENEEGEIDPRWAALNKLKGKN", "MSAKHHKIRVGISIGDFNGIGPEIIIKTLKEKSILDFFTPVIFGSGKLFSYQKNVFKVNQNYHLIQQLSQLKHGEINILNLWKDNVNIEFGKPTEESTAMAIQSLEAATEALMKGEIDVLVTAPINKDEMLKQGFNYTGHTEYLEAKFKQKALMFMVTEDLKVAVSTHHIPVAEVASKITKERIIKQVRTLNQTLREDFCIQKPKIAILGLNPHAGDGGSIGQEEIEIITPAINKLFDEGILAFGPFPADSFFQPEKYKAYDAVLAMYHDQGLTPFKTISYEEGVNYSAGLPFIRTSPDHGTAYDIAGKNIADSQSFEEAIFSGIKIFQNRREYQELLQNKLQPKKTFDANGVDEDLPEENE", "MFTGIIEAIGKVENIVHENANVHFTINSPFTGELKIDQSVAHNGCCLTVVAIKDSEYVVTAIKETLDVTALDELKVGDLVNLERCMIMNSRLDGHIVQGHVDQTGYLDTIENQDGSYLLTFKYDEKDFTTVNKGSITVNGISLTVVNSHKGQFSVALIPYTWEHTNMHRLNIGDKVNLEFDIIGKYVAKLMNK", "MALGQRGISLQLKIFSGLILVSLLCVAGTTLISYLVIRRVAQVQNETSLQNKSLALTTALDYAIVHSHVTKENIAAELRYKLMEISDINGLLDIILYDSKGNFLVSNRDASLIKQKKIPTEVLSKILRSEGGALDFRFYDDKSNATITSSYRILRDDPSLESEPLAIAYFPSYYFNNQYVDIFNKHLQFIVLVNVFAVLLSIFISWRISKNITKTITSISSKISDEGRDLKPIKYSSRDELSVLVRAYNRMIYQLREQTQLKAQVEREKAWREMAKQVAHEVKNPLTPMKLTIQNFERKFDPQDPEIENKVHKMSKVVVEQIDLIAEVASAFSEFAKLPEKEDTILNLNEEIKKIVDIFDKNDIFIHSNKDNIMLKFDKIYISRIMTNLITNAQQAGVYGRRPIINIDLEQFNKKVTIKVEDNGSGIEKEKLEQIFEPNFTTKSSGMGLGLTMVRKMIDEYRGTISVKSEFGKGTQFTISLPVGI", "MKPFVFKQFEILQDKEVFRVGTDGVVLGALCNGEGAVRALEVGCGTGLISLMLAQRFSSAVFDALDINAKAVEIAGQNFSNSPFANRLNVVEINYNDFESVEKYDLIVSNPPYFESDSSKDLIARHQVLLSFQQLIYKSARLISDTGILSVIIPCDDAENFITIAEDNNLHLIRKIDIYGIKGGKLKRNILEFSRKLSELVLEELVLEKEKRIYSDEYRELTKDFHPMF", "MPHKHEHGDDVIILQNAKIIQKNFTVLSDVNLNIKKGRFCYLIGKTGSGKSSLLKVLYGDLPLRQGIGEVVGFDLSKLRTSDIPPLRRKLGIVFQDFQLLTDRTIEKNLIFVLQATGWKDKRLITDRIDEVLNSVGMKTKKHKMPHELSGGEQQRIAIARALLNHPELILADEPTGNLDPETSNEIMLLLTNISKERNCAVLMATHDYHMIQNYPAEAIRCEDGKVTVMNTAELFE", "MKRTFQPSERKKRNKHGFRERMSTPNGRRVLAARRAKGRKRLTVSASRAKR", "MFSNQELSEIKNLLQPDKEIVIVTHYNPDGDAIGSSLGLKHALKNLGIDATVVVPNDFPKFLKWMPEAKQVMVSEFKRKKVAEVFHNADVIFCLDFNTLSRIGFIGDWIARSSAVKILIDHHQMPEKFDYVYSDTSIPATCQMVYHFLEALGLDECISEDSARCLYTGIMTDTGGFRFRSTSATTHRIVANLIEKGADPAQITSDTWDTNTVSRLHLLSLVLSRIEVVKEGKVAILWLKREEMERFGFEKGATEGFVNYGLSVLGVKMSAFFMEDIQEDFIKISFRSKDDLDVNLFSRSYFGGGGHINAAGGRSELSIEDTLERFKNIIEKEDF", "MKRINVALAFMLLSFGSMSVYAQTTQASILGRITSQSNAPQTKATVKILNESTGFSTETVTNSNGEYIFKEIPLGGPYRVFVNGHERKEGYNVNFGDQLTVNINLADSDEKNIKEVVITGNLKNKVGNLGSATAITAKNISVLPVNGRNFANLTELSPLSGKNGNLSGQLGSSTNFTIDGMTAKNPTSAGATTSRSGAPYSISIEAVREFKITTNQYDVTLGRSGGGTVSAVTKSGTNTFTGSVFEYQRAGWLTSGYDIRGNRVKNDYSTYQFGFSLGGPIIKNKLHFFMAWDHQLDSRPLIIADVQTREDALRFNVTNETLNKVLDIGRNKYGVGNTPQFGTFDRTRNSDAGFLRLDWQISPKHLLTLRNNFTYDFNKNGLADNTNINFYESYGNDKNWDNSLLLTLRSNFSPSITNELKFQHLYTFQNSYQNDELGRTVPRGIVERVNSVIEGQKNPLQTNIQFGGHRFGQESFKNNVFQLVNNLYYNTDKVKYTFGVDLMYTRAKSVYGSEVNGRFHFDGIDNFQNMTPYRFYREVPLLEDPSIKSSIWNLGFYGQMMAKIATGLNFTAGLRFDYGGYPKTELNQKLYDEMGIRTDNKIKSFVIQPRVQFDWDINENHKDFIKLGGGVFSSDINNYMLINNLYFDGRHSATVDVDPRTISGFTPDFINYRKDYSTVPSLAQYQLPTINYTGKNAKIPIIYKANISYSHFFTERFRVGLAAYAAFGRNNYFYYDRNMVDKPFFTLSNEDNRGVFVPVSSINTSNGNSNWLDGRINKNFGRVMELVSDGKVNQYSVVFDTSFKYFKDGEITASYTWADIKDNTSYNGNVANSAILSTMVSSDPRDLRMGYSDNQFRHKVVVYGNSPTFEGFVIGIRYAGIGGTRFSMTAGGNINGDFVNSNDLAYVFPNLTSSLLSDPEVGKALKNYINDYNGKIAERNGGINSFYGVWDLRIAKKLKFEKVGNLEFSVDIFNVANLLNKEWGVNKSYGNTSLYKIKNFDPNTKQFIYELNTKGLPPLSGNPYQIQIGVRYSF", "MKKIILSICLGIFAIGWGQKKLPQKKSNLVLYSYQTFNCDNKGYYDPSKYKKEEIDGVHKLLYKYSGVHFDSHTVFKLSDLEDVRKNKAKYLEQLEQQYQEKKKDLYSLKIIDAPIWKKLREETIKAFEGEYLLNKTLLLAYSDPSILKKSKFYETCKSYVDAVTSPDKQKMYDAWKIHIEAQSKLNYDPKRVIEEFNNKLKTPQKDDYALIDLLGIGFHNCANNSFRPAMDEDGKIFTSFDKIFTKLKADCDEP", "MLHYEISGDSNQTLVLLHGVMESTEVWYDMLPELEKYFRVIRIDLPGHGKSDVTAEVQTMELMAAEVKTTLKDLVNGKIHLLGHSMGGYVSLAYAEMYPEDLQSITLFFSTYFPDTEEKKNTRKKSFRIIMEEYNKYVAAGVPNLFNPYEKEQLRDKIDYAKQVAMATSREGALASVKGMIERTDKRHILEKSDAKILILSGRFDNAVNSVQVIENLPDRSNIKAYTLDCGHNGHWEKPAICTEIIKTELL", "MKKWLWLFLLFVYTGFSAQIKDVVKWTYQLNKISDSEYEAVLTAKMESGWHIYSKDLPPDSGIPTEMKVTSKDIQTIGGFREAGKKIEEFSEAFGAQIVYYSNSAKFIQKFKLKDPKKAAKVVAEITFQTCNDRVCLAPNTLEFEKEVAATGEAKTEEKEEVKAQDSVPASTTITETGTTADVEVKMPVSTDGIKVNSLDFRKPLVDCGTEQVKEDSGNGLVFVLGFLGGLIALLTPCVFPMIPLTVSFFTKGAANKAKGKRNAIIYGLFILLIFVALSIPFYILDGISGNIFNDISTNIWLNLFFFAIFLFFALSFFGYYEITLPNWIANKSAKAEEAGGIIGIFFMALTLVIVSFSCTGPILGSLLGGVASSAKNIPVLLTFALGGFGLAWAIVFGLLALFPQALQSLPKSGGWMNTVKVVLGFIEVALALKFLSKADLVSKTFLLKREIFIGLWILVTIGLVLYLFGKIRFPHDDKNPKISVTRKVLGILGIGFIIYLIPGLLPSEKPRLATLSGILPPMNVSLYKSEKDGILGLKPEHDYFKAIELAKKEGKPVLIDFTGYGCENCRKMEEFVWSEADILPIIQNEVILASVYVDDKEELPADQQTSIDMGNGQKKKIKTIGDKWSMFQQVNFNNNSQPHYVLVTPDQKVINAPVSGYMPKEEFKKFLECGINYYKKNK", "MPQLTSTYLKEHLQSLHNATENQKFLLALSGGADSMVLADLFLKAGISFEAAHVNYHLRGEDSNLDQQVVEDFCRQYNIILHKYDVTERDKKPENSIELWARELRYRFFFRLLEEEQLDFIVMGHHLNDQLETFIINLSKASGITGLSGIPENNNKILRPLLHITKADIYKFAKENGVSFREDHTNQSRDYLRNQIRHDISPEMEKTNPHFWENFDKSISFLNQAKNFIEEQLQKILDDIIISEKNDEIILDKEKLASQSDFVRYEILKKYGFTNPVEQQKIFGAESGSQFKGNTLNIFVHRDQLIITHRNISDEEAAQEIILIPGEPVCFPEKILLSEDKLDRKPYWEIDPQKVVLPLKLRKAKSGDFFQPKGMKGKKLVSKFFKDEKISILARQKVWLLSDSEENVVGIINYRQDGRFLPENNNPKIYLYL", "MALFEEKFPEIERYLEDNASAEPDILKRLRKETFQKTTQPHMISGYLQGRFLSILSHMLNPKNVLEIGTFTGYATLSMAEGLAEDGKIYTLDKNEDLAYLPQKYFDESEYNAQIEFILGDAKEEIKKLDVTFDLVFIDADKENYAAYIELIKPKLRKGGVVLIDNVLWYGKVIEENPKDKSTQQIKLVNKIVTEDPDFENVILPLRDGVHLIRKK", "MNKGICNVSVAPVRADKTDKAEIVTEMLYGESADILEVINNWTRIKMHYDGYEGWIDTKQITLVTDDFLAKRKTHLVTEPFQSRVMESGKMLLSMGSEVSFETIHAQRGTTLRQSIVETAKEFLNVPYLWGGKSFFGVDCSGFTQLVLKVHDIKYPRDAYQQGEVGEPLSFIEEAQPGDLAFFENSEGRIIHVGFMLENNQIIHAHGKVRIDTLDSSGIFNKELNKHTHKLRFIRNILG", "MSKAQQFAKIWIEAWNSHDMNAILSHYSEDIEITTPMIKMALGEGDGSLKGKEAVADYWRRALDKMPDLHFELYDVTEGVDSVALYYKSVMDKKAVEVMFFNEEGKVNKMFAHYTV", "MFFLYDISISLMTFGMKIASLFNEKVRKSVDGRRDSLKNVQQAFAKDDKVIWMHAASLGEYEQGLPVLERLKIRYPDYKILVSFFSPSGYENVVKKKHIADAICYLPFDKKNTIQQFVNSFQTAIFFTVKYDFWYRLLETLNQKDVPTYVVSALFYEKQKFFTSGGKFFVEQLRKNINIFFHQTEHSLKLAESIGLTNSLYTGDTRYDRVKQNVKNFTEVPFIQDFIQDKPVLVIGSSWEAEENLVEKFINENSHTKIILAPHDLKRVPQIKQRFGDMAVLYSKLDNSQSSVVNHQLLIIDNIGMLSRLYHYADVAVVGGGFHTSGLHNILEAAAYAVPVIFGNRYKKNPEADALIDVGAAKSFSDENDAVAFLYQLFRDEDKRKNMASAAGKFIADQPDAATIILDNIQSN", "LAIGSCSSRDNDNNYLVVEPAKVYGNWRLESITIEGNGQKKVFNDECFRRSSILFNGADGRGVERSYESISTPGTCKDSGNLNFTFSVERGTIYQTYTNGQKDEARVEEVTNTTLVVSQNKTIDNIKVKATMKYIKA", "VYYDHNQIESKWQQYWAKNETYKTDAKSTKPKYYVLDMFPYPSGAGLHVGHPLGYIASDIVSRYKRHQGFSVLHPIGYDSFGLPAEQYAIQTGQHPAITTEENINRYEKQLKRIGFSFDWSRQFRTSDASYYKFTQWIFIELFHSWYNNDTDKAEAIDILIKRLSEKGTEGLNAVQTEKLEFTAAEWNAKSEKEQQQILLNYRLAFRAETTVNWCPGLGTVLANDEVINGKSERGGFPVYQKKMMQWSMRITAYSERLLQGLKTLDWPQPLKDAQEYWIGKSQGAEVNFGLENSDVQIKVFTTRPDTIFGSTFMVLAPESPLVQEIVTAEQKDEVQNYIEQTSLKSERDRMADVKTVSGAFTGVYAINPFTGKKMPVYISDYVLMGYGTGAVMAVPAHDERDHRFAKKFGLEITEVVEGGENVQEEPFIAKDGICINSDFLNGLKYEEAKAKAIAEVVSRGLGNATTNYRQRDAIFSRQRYWGEPVPIYYKDDVPYTLPASALPLELPEVEKYLPTEDGDPPLGNAKDYGWDEANQKIVATDLIDNKTIFPLELSTMPGWAGSSWYFLRYMDAHNDSYFADKEMTDYWGQVDLYIGGSEHATGHLLYSRFWNKFLKDRNFIEQEEPFQKLINQGMILGMSAFVFRIEGTNQFVSKNLAKDYTTQKIHVDVSLLKGATDELDTEAFKSWRPEFKDATFILEDGDKYITEREVEKMSKSKFNVVNPDDICDEYGADCLRLYEMFLGPLEQSKPWNTQGLSGVYGFLKRLWNLYFNANDEFEVTDEEPTKEEYRVLHTLIQKVLFDIENFSFNTSVSSFMIAVNELTKLKTNKRQILEPLAVIISPYAPHITEEIWSLLGNKESIEYASFPVFNSQYLELDEIEYPVSFNGKMRFKAALSASLTPKEVEEEVLKHEKTIEILNGAVPKKVIVVPKKIVNFVI", "MEMNVSNTEHEVVAKKKGGLNPAIVIPILFVIGIAIYLFVFGNPSNFKKNPALDGVASVALADIKSTELHPAEGKPMGIVYMGGPIVPILLTFMITVVVFSFERYFVLKKASGSGNLDNFVNTVRGYLNRNEINAALEECDRQQGSVGNVVKEGLTTYKALEHDNTMNKEQKLVALGKSLEEATTLEMPMLEKNMMILSTLGTVATLVALLGTVIGMIKAFSALGSGGGTPDSAALSVGISEALINTALGIGTSAVAIILYNFFTSKIDGLTYKIDEIGMSIQQSFAEHN", "MARVKPKRHGVVTDMTAMTDVAFLLLTFFILTAQFKKPDAEAITTPSSISTTSLDDTNLMTISITPDGRYFFTPIENNSEKAQVLDKMAGQYRVGFSAAEKNEFLKLPMVGASMAQMKSYLNLPEDQRANVKGATIPMDSVNKELVDWVKYSLEVNPDARLAIKGDAKAQYPKFKALFEGLKDIKFYKFVLITSSENQ", "MAEVQVQDKGGKDGKVRSKKVNVRVDMTPMVDLGFLLITFFMLATTLSKPNTMDMKLPAKPEKDQQIDTPQIDLTNSITFLLGKDDKVYYHQLDQTGLTDPGKLQETTFDKNGIEKVIDDAKRRARKPDIFTVIIKPTDDSNYKNFVDLLDEMAITKSERYGIGEVKPWEQKIYDQKIGK", "MSVDNINATYGKPAELDEIVFEHRNKEYGAYDLRKSYRPILTKSFLVGSALFLFAVLAPLVYLKIKDGQQKEETKVSVDLTDIKDIPPPVEEKELPPPPPPPPVEPPKQEIVRDMIPEPKPNPKVEEPPKKIEEVKETTIGTVNQEGEKIAKYTPPPPPASTGAGKNVEAPKPVGNEIVDRVDQEAAFAGGIEKFRNLFTSNFDNSSVEGEGTLKTTVTFVVERDGSLSDVKASGPNSDFNREAERTIRSIKGKWSPGKLNGDPVRSRFRFPVTMNFE", "MKNSLFLLVLLIIFGSCNNEKKNDYATGSVIMATDPSFFNVTDALSYRYMKVYPEAKIELKQMKEKEALKALIDNKVSTIVMSRELTETEKQSFLNNIKLKAVPAYFAADALVFVVPKESSIEKISVDDVAKMLTDEKRTLIFDGANTSNTDYIAEKLKIDPAKMQYSSLKSNEEIIENLTKFPGHIGVISLNTISRPYDEKAKELRSKIKILNITDAKGEFAPSRENLRYQKYPFTRILYFLTNEGNFGVGNGFIRYSCSQVGQLIVDKNGLQPYLLYKRMVEIK", "MKERFGLSKKIVLGAAAFFAMNMVGAQTVAEGINDIDGYKFGKAKEVYTALVNKEPSDANYFYLGNAYLVQSEPDFEKAAEYFSKGVALDAKKSFFSRIGQASVKLGKGDQTGAIADLNQIAKDSREKDPEVLYRIGEALVLYPNHNDPKLSIDYLNKAVNLAEKKGVPEYYYYTLGDANRLNKNWGEAMNAYDRALEVAKNKAAVYTRIGTLWTSAKQWERAKDNIDKAIQADPNYAPAYKARGGFNIVYQKYDQAALDYKKYLDLADSDPNTVLDYAKLAFLAKDYTNAGSALDSVFDKINDPIKYRIKAYLQYQAKDYAGAKTSLETFLSKAEKSRILPSDSGLEGLILAAIGKEKKDAAMIQQAQQKVAVAKAAKDETFDWDAELASASGTAPKVASGEGGPTNAAIDALKKQVAADPKNTDLLYKLANEYQGVQNWGGAASAWSQMANVLQTWEPAYYSLGYALQKSGDATGAIAAYQKYIDVLAAKPAADQAKGKELLANSYYNMASLTANADKAKALEYVTKALEANPADADAAKLKEKLSK", "MVKKVDILAIGAHPDDIELSCGGTLAKLISEGKKVAIVDLTQGELGTRGTPEIRHQEATEAARILGVEYRDNLKLKDGFLVNSEEYQLRIVEAIRKYQPEIVLCNALDDRHPDHAKGAKLVSDACFLSGLRRIETSIDGQEQVAWRPKHVFHYIQWKDLQPDFVVDITGFLDKKIECCAAYSSQFYDPNSKEPVTPIATKDFYESITYRAQNLGRQSGVEFAEGFNTAKLIALKNFDGIIC", "LLDRILTFRYICLYTNQAPLGHLFDYSYYDGTENVVCHNELEALPGALSL", "MNILLIEDEAGVSNFIKKGLEESQHHVTLAYEGAMGLQLAMEQDFDLIILDVILPQVNGFEICSEIKRFKPEIPVLMLTALSTIQDKLKGFDKGADDYLTKPFHFEELLARINALSRRNQNSLPVLTYTADDLVMDCYKKVVKRAGQEITLTVKEYALLEYLLINKNRVINRAKIAEAVWGIGFNRGTNLIDVYINYVRTKVDKGFPRQLIHTVVGMGYILKDE", "MKLQRRLSLIFTGLFAVLLIAVLTSVYFIVAQDWQNNFRKQLEDRAYTVGHNYLAQDNFSKVEFDEVLRKLPRTLPKEKIRIYDTSFNPVFIKENTTTWDGKILQQVAQKKNLFYKNDHKYVVGIYYVDNSGDYIVMAEAENASGDKSLQELRNVMIISLVIALGICIVLGEWFSYLCLKPIKRINHRMEKIQASSLDYRIPVEKGSKNEITVLSSTINDLLQRLQNSFESQQSFVSNASHELKTPIASLLGNAEIALRKDRETEEYKEILEGVHRDATRMDSIVSDLLMLSQLEHSSYPLYKMALEEFIWKVTDQYMEDTSGTRLNIEIENPDKFQTTFININSGLMEIAISNLISNAYKFSQKEVEMRININKDFVTISVIDQGIGIAPQDIEKITLPFYRSQNAYGIKGFGLGLSLSAKIIRQNRGELLFKSVLGIGTTSIVKIPVVF", "MFGNRKMILAAVFFLGITATLKAQESVHDIIVRDKTTQQPLSNVSIKNTTTGKEIVTDGNGKVAIEVNEVSQTYAISLEGYIAQDIALSVGKLPDSVYLLPETKQLEGVVLTGYTRQSKAKTTGAVSSIKAEAIAKTPVASLDQALQGQIPGLYVASPSGQPGAMGRVTIRGIGSVQDDKTNPLYILDGMPISPEVFAVLNPLDYEDIVVLKDASATAQYGSRGANGVIQITSKKGKDNDKGHSQFFYQNQFGISSVNNQKWDMMNSSQRLEFEEILQDPNFPGWVYSRKNPYKIVNGQKIAKTDADYTEGDQKLADLRATNTNWRKLLLRNGVANSHYLSMGGGNQKTNHYSSLSYFKQEGVLPNSGVERFNINSGVNHKSGRFTSSFNLNLTGGQTQLSESDFDVSETNPVASLYFAMPYEKPYDKDGNLTPGVNRFGANALTMYQDISRKEKQLQGVLSSNLSFEITKNLKLTSTLGVNHQQTRFTRIIKPDTYFGSMVDPGEQGLFERINTQKTSYMANFGFNYKKRWGSNEVEAIVLAEFNKYSTNYDGFTGYGLIPGLENTPGGITPGTPDNNFIPKISGGASENILVSQLGMLRYSYANRFTLSGSIRRDGSSRVPTDNRYKYFYAFGATWNIKNEEFLKNWGALSTLRLRLSHGLTGNAGGFASDFGYRQLYKPQHYNGYTAFIPISPGNPNYNWEMNKISDVGLEFGLFKNRIIGEVDLYNRVTSDLFINRSLSMTSGFESIADNMGKIRNRGLEFRLSGDLFRNDNFSWNLGLNLAYNQNRILSLGSENEIVTENYSIHKVGSALGHFYMVRWAGVDPATGAPLYYDKNGAVTKEYNPENAVMVKGAYDPPIKGGITTSFTYKNLQVNALFTFVKGMYRLNTAELYRTSADPNYRIYNQSTDMLNMWQKPGDISQNPGAQYARYMTDRELQSADYIKLRNVSINYKLKDLGSLNKVFKEINIFAQGQNLLTWTKWKGQDPEDDNNWYQYEYPLPRTITLGFNVLF", "MRKNILKVMLFACLGISMVSCNNMLDVDPQDALDTEKVYSSVSNFEKGVLGSYSLYSPEYSVLIGSIMADECRLNPRNNGVNGFGNLLSRWEYTSEDDILLKAWKNYYADIYSINLLLENVGKVPVRNEQERSKKKSLIAELYGLRAMIHFELHRNFGASDADGNEALTIPYITDTDVNKKPGKISLSKFYEYIWLDLERTKDIDETVDFRMNKNAVTALEARVALYQKNYIVALAKSTQIINQFPLSDLSQYENIWKDKSPSEVIFRLKRSNDNKLRPNTLWEDYAAGRKFFQPSYKLMNSYTDSDIRLSNFNFNKDENTEEEFINKYPGNDFSDRVNDVKVFRVSEMYLIRAEANFFLNRKDAALQDIHELRKHRISNSAELNTIELNTILNERYLELSYEGHRYYDLKRLKLPVKRLEKDLAAEGDQKDLNSNNKAYILPVPLKETIVNPNLK", "MSDYKERQENEIFTKVLKAGRRTYFFDVRETKAGDYYLTITESKKNFSENGEASFEKHKIYLYKEDFKSFSELFNESTNFILDEKGEEVISERHNKDYKTTTNSFTIDTDEEL", "LKALKTLNPYFYKHRVLLAWGLLFIILSNFFAIYKVQFIGKSVNVIQEVLTNKHISKDSLFKALLINAAIIVGSSVLSGFFTFMMRQTIIVASRRIEYELKNKIYTHYQNLSLTIFKNTTIGDLMNRLSEDVVAIRMYLGPGVMYVVNLSVLLIITTTYMLLTDVRMTIWTLIPLPILSFVIYKVSSIINKKSKIMQKSQSAISTFVQDSFSGIRVVKFFNKEKYIEKNYNSKVGDYMVKALDLAKTEAYFFTIILFVIGLLNVAILYIGGEQYISGKTSVGTIADFFMYINILIWPFSMVGWVTSVNQRAEASMQRVNEFMDMKSEIKNSNQDIYNITGDIEFRNVSYTYPNTGIQALKNVSFKVKAGESLAIMGKTGSGKSTIALLLCRLLDPDSGEILIDGKNLKEHNLNVYRDNIGFIPQESYLFSDTIENNIGFAIDNPTKALVEEFAKVADVHKNIVGFKDQYDTMVGERGVMLSGGQKQRISIARALIKKPKILIFDDSLSALDTETEENILRNIEKEIKQTTSIIITHRESSAKNAHAILHLNDGVITDFVRVQ", "MVGRRQLREKVVQTLYAYQQNPKSVDVVLKNMMKEISKIYDLYVYELNFLVAIHQLAEEQIEIGKRKYIKSEEEANPNLKFVENKVLLQIIGNDERGEYTANNKQLMWDTNDDLVVKTYQRIKAGKRYKDYMESAESSFEEDQKFIGKLFLRYVAENEDLHDLLEGKEMAWADDVHIANSLIQKTIGFMKPEQESHTLIKIIKNYDDESFAKTLLAQTALNWDNTEKKLEERLQNWDLERVSLMDKVILITALSEMDEFKLTPSSIIINEYIEIAKVFSSDKSNVFINGILDKYAKDNSRI", "MKRLTYLLMISFSAMALVACKKEEKKLVVSEDQSALSTSGLQPLAAEQTQADIVAEAKKLPLTTLAISENNFNFGDVKKGDHVEHTYTVTNTGDKPLVISTVKPGCGCTAPDYTKDPILPGQKGKVTLKFDSSSFEGLQNKYAEVYTNTEKSPVVLTFSANVLNK", "MLTIFLQAQQQGGGMMYIMMAVMLVGFYFLMLRPQMKKQKQEKNFQESIKPGTRVVTTSGMHGKIVNVMEDGVIIETMSGKLKFEKAAISREFTEARFGDKKAPAAKETPKENKVKEVAKEEKENVTVTDETETK", "MNLTSRIANYEFENPFMNASGVMCYDEMELDQLLRSSAGAFVTKSATPDFREGNPSPRYVDVPLGSINSMGLPNKGFDFYLNFSINFQNENPGKINFISIAGMSMEDNLEMLQKINDSDFKGITELNLSCPNVPGKPQVGYDFERTEEVLTKAFEFFQKPIGVKLPPYFDIAHFDQMAEILNKFPLQYVNCINSIGNGLYIDVDHEEVVIKPKDGFGGIGGAYVKPTALANVRAFYTRLNSDIAVIGCGGVENGKDAFEHLLCGAQMVQVGTQLMKEGAGAFDRILEELKAIMQEKGYTSIEDFRGKLKSL", "MFRTHTNGELSLKNLNEEVTLSGWVQTIRDKGFMIWIDLRDRYGITQLVFDQDRSSAALLEEAKKLGREFVIQVSGKVIERASKNPKIPTGEIEILVEKLTILNNSELPPFTIEDETDGGEELRMKYRYLDIRRNPVKEKLIFRHKIAQKVRNYLSDQGFIEVETPVLIKSTPEGARDFVVPSRMNPGQFYALPQSPQTFKQLLMVGGMDKYFQIVKCFRDEDLRADRQPEFTQIDCEMAFVEQEDVMNIFEGLTQNLLKDIAGQEFGKFPRMTFAEAMKKYGNDKPDIRFGMEFHELNDLVKGKDFKIFDEAELVVGINVEGCAEYTRKQIDELTDWIKRPQIGATGMVWIKYQADGIVTSSVNKFYNEEDLKKIAEEFGAKPGDLMLVLSGNENKVRAQLSALRMELGNRLGLRKGNEFAPLWVIDFPLLEWDEDTQRYHAMHHPFTSPKPEDIHLLENEAGKARANAYDLVINGNEIGGGSIRIFDKDLQAQMFSLLGFTPEEAEAQFGFLMNAFKYGAPPHGGLAFGFDRLVAVLDGNEVIRDYIAFPKNNSGRDVMIDAPASIANEQLDELALTININE", "MKKLLALVTYLFLSWNTYAQNQTTSPLNLSFEETENNLPKGWYISGSPSYKANIDTKNVQNGRNALLIEGNGGYKIITLGLPHNYTGKKITFSGYIKTENISDGQAALWMRIDPKIAFDNMQDRGIKGTTDWQKAEITLSLNPEATDQILIGAMLSGSGKMWIDNFKVTIDGADIKDAKFIIKTVDQKEALDTLNSIIEATKNNSIYKNNVNWNELIPEVQKALNPNANNVFNAVKPAVALMLDKLNDNHSFLKSKNASVGRLNPVNLYSRVNQETMEALNTSKGSINIQKLEKKIGYISIPGMSTSQKDGEREEQVKKLGQALRDSLCKLNLKDLKGIIVDLRLNTGGNMYPMISGIAPLLGNGKAGSFVDNGKILNSWFIKDGSLLVNDNAYITLQNNCNPGKNIKIALLIGPATASSGEATAISFIGKKNVKLIGEKTTGLVSANNSIKISENLYYLLSSSYEADRNNKEYKESILPDVEITGGDNFKDLLKDKKILSAIQWIKQ", "MPIIINVDVMLAKRKMQSQELAEKIGITQANLSILKTGKAKAIKLSTLEALCKALDCQPRDLLEYTED", "MKIIGKNSISKYIGYFLLFLFIFFLFQFVYEIIGYAVSYYNYKTNNHILSDFFIIGTDVGWAKNKWTAPMDDLLKFKFYFPFTKQNMVTGIFNMEWFIDNMISGAFFTLFFYTSYKVFREISKDTVFNKGAIIWLKRFGWTNIIFTSFMIIHSLTTPKGIGATLYSAAFFMFLGILILFIVEFFKKGHQLESENELTI", "MSLLDLITGNTGNQVAEQAENKMGISKSQIIALLAVAAPLVISYLRNKSKDAKEAEALNNALDKDHDGSILNDPSQALNRQNEGGSILNHIFGNDKQNVENQLSQSTGISMDKIGPALAMLAPIIMGYIGQQKQANNTGAGGLGDLLGGIMGNATNEAQASSGNPITDLLGSVLGGGGNQQQNQGGLGGLLGGLFGK", "MSEEFEIRNKVAENTSLVNFDLASLQPKGKRIGIDIKDFLFQELILREKDFRQMISDLDTEPYKDCYVYIYCSVDAIVPLWAYFLLGDKLMSSAKKVIYGSQKELELILFHDAIQQHDFSEMQDKRVLVKGCSDFSIPENAYVELVEKLRPIVKSLMFGEACSNVPIFKK", "LKYYLIAGEASGDLHGSNLMKSIKKKDASAEFRFWGGNLMEAQGGTLVKHYRDLAFMGFAEVIQNLGTILGNIKLCKKDIQEYRPDVLVLIDYPGFNLRIAKFAKLLGIRVVYYISPQLWAWKEGRVEIIKKYVDDMLVILPFEKDFYKKHQVDAHFVGHPLLDAIHNLQDLDKDKFKAENNLTDKEIIALLPGSRKQEVEKMLEIMLSVRPFFPDYQFVIAGAPSLEKEFYEQYVDDQVHFVSNKTYDLLRSSKAALVTSGTATLETALLNVPEVVCYRGSQISYEIAKRLVKHIKYICLVNLIMDKEVVKELIQKELTTDNLKNELSLILSGDNRERILDEYHLLRERLGGSGASDEAANIIVS", "MKKQPVFCLFISFSIGILAGDEFSVDQNAAGFVMFVVVVCSLISFCSKKGKGIAFMLFFIFLGQLSHIFNTPDKSLSDFKGKQSINFQVIKKLNSTEENRRYIIYVPAIGGEGNLVQPFYAVYSLKKDRNPLDFIHIYSGIYYINKIKSPQNDYQFSYQKYMSRNNVAYQIYSKEEPIASIKNTLLADKVKQIRLDFLQRIDSSMLSTESRDFLKGIILADRTDMDAGISADFTKTGLVHFLAISGTHMIIIFWLIMFFLKRCFPLRLRNVAVIISLLLIWCFAVFIDYGSSVVRSCLMLTFYYIMVLLQRKPDLLHSMALAGLIILMLDTQQLFDIGFQLSFLAVFGIYWLNRPILNCLPKARNNIHDFFLQVVSVTLSAQLITLPLILYYFHQFSFVSVIANLIIVPLSEIIILFSLLMVFILNVVGDVFIINLLYDQLIVHLLKLIHLFAEADFLFFEDIPVSLPEVVLLFVLLYLLRFLITERSNKRVLQFGFSLLFFLLLRLGLNIHAFHQEEFLSHQYFDQKIFSVRKHSKVVFFFADNPNKNKIENYLVKPYIVSRRIKNYEIIYFNSKEFDQLKYRNKIKSVK", "MAGLTSSSIGKKFLMALSAMFLLIFLVMHLSTNLISVFSQDAFNEASHFMGYNPLVQFLMQPILGFAVVFHFVMGFVLEAKNNKARPVKYGYSNNGANATWVSRNMIISGAVILAFLALHLYDFWVHEMDFKYVEHLSPEPTRYWEELHHKFADLWRVALYVVSFVLLGLHLSHGFQSSFQSVGANHPKYLPAIKAIGNVYAVIIPLGFVFIAIFHYVTQ", "MGLDSKIPAGPLADKWKNHKDHMELVAPNNRDKIDIIVVGTGLAGGSAAATLAEQGYNVKAFCYQDSPRRAHSIAAQGGINAAKNYQNDGDSIYRLFYDTIKGGDYRAREANVYRLAEVSGNIIDQCVSQGVPFGREYGGMLDNRSFGGVQVKRTFYAKGQTGQQLLLGAYAAMSRQIGKGRIKMYNRHEMMELVIVDGKARGIIARNLVTGEIERHSAHAVVIASGGYGNVYFLSTNAMGSNVSAAWKIHKKGAYFANPCYVQIHPTCIPVHGTQQSKLTLMSESLRNSGRIWVPKKIEDSIAIREGKKKAKDIAEEDRDYYLERRYPAFGNLVPRDVASRAAKERCDAGFGIENNDTKEGVYLDFSTEIMKKGKEAATEQNIHNPSSAQIYSLGKAWVEEKYGNLFQMYEKITADNPYETPMKIYPAVHYTMGGVWVDYNLMSTIPGCFVIGEANFSDHGANRLGASALMQGLADGYFVLPYTIANYLSADIRTGAIPTNTPEFDQAEKEIKDKVEFFLTNKGKHSVDHFHKQLGHIMWNKVGMGRTAEGLQEAIKEIEEVRKDFWQNVRVPGDADNLNPELEKAFRVADFLELGQLMAIDALNRNESCGGHFREEYSTPDGEAQRDDVNYKYVAAWEYKGADINHEVMHKEDLVYDNIEVKTRSYK", "MKIKLIIFALIFNSVFAFSQKVVEQSIDKPSEGKSLVYFLRYSSTGALLNFRLYDGDKFLYKFPYGEYLVYECDPGKHVFWVTSENRDYVDADLEPNSTYVINIQGQMGAFIASVALNPMNPNEKRDKKWLYKEVKNAKKVIYNPEMAGNEDKTENVRKAMLKYEDLKKNNSSKIKALTADMKFENANKPE", "MSEKKGLNLTLKIWRQKNNKTKGQFETYKISDVSTDSSFLEMLDILNENLINEGKDPIAFDHDCREGICGMCSLYINGRAHGPDTGITTCQLHMRHFKDGETIHIEPWRSAAFPVIKDLVVDRSAFDRVMAAGGFISVNTSGRTTDANNIPVPKEDADKAMDAAACIGCGACVATCKNGSAMLFVGAKVSQFALLPQGRVEAKRRVLHMVKQMDEEGFGNCSNTGACEVECPKGISLENIARMNREYLSASFTTANH", "MKKNKFKEHDLSVSGILTRGELRSILAGKVKLAGRGCGGSICRQHSDCSSGLEVVNPDGYCRTCVVYNTALGYGFCGGSPI", "MKKLLLIVLCTLFIVSCSNKKTVVISGKVIGGSPLERIEIVEMSGVATLPIANFGVDAQGNFSDTIQIPKNGVYTLSYGGSYGTIYLKGGENVRLSGNSAAGFPKVFTVEGDSKNNVFLQKTQTYLDNYFSKINQDIITQDETKFIDQLKKFKSDLNKEMDNLVKSTGADSDLVKWKKDELDVNLLMISGQYEMMHGQITNKPNFKVSQTYKDFQKELKKNEDEKIKVYPSYRNYILSTIGQDFQAYMAKDQKADVTTTEKFINFMKTKKDYSQTIKDYLTAFVATSVDMHPQQTSLDKLTKLLDENIKDSEVKAGLKKVEEAIYGLKIGTAAPSVDFIDVNGKKVSSSSFNGKPTLIMFYASWNSYIAESVVPMLKEISNTYKNKVNFVFADMDDNAAQFKKTAVAMLNGIEGQKLYAKGGLKSEMAQKYALYGFKLPSFVILDKDAKIASKNFMNIMDPDFKTALDKVSGITGPAIAPPQMQVQPAPAPADSTKVKTEAKAK", "MQKKKKNVILENIKLLSAGAKGVSVGKTEDGKTILVSGAVPGDVVNARMKKSKKNYIEAEAVEILEESPDRVDARCMHFSVCGGCKWQNLSYEKQLQFKEGEVLNNIRRIGGIEGFEALPILGSAEQYFYRNKMEFSFSNARWLTLEEVNSTEEIADRNALGFHIPGQWSKILDLKECFLQEDPSNNIRLAVKEYAEENNLEFFDVRNQEGFLRTLMMRQNSKGEWMVLFQLFEENETERIKLLDFLLQKFPQIHTLLYAINPKGNDSIYDLDIQTYYGEGFLYEEMDGLRFKIGPKSFFQTNYRQALELYRKTLEFADLKGDEVVYDLYTGTGTIAQYVARNAKQVIGIEAVQEAIDAAKEHAELNGLTNCTFYCGDMKDIFNQEFLESHPKADVLITDPPRDGMHAKVVEQILNLSPEKIVYVSCNSATQARDLAMLKEHYNLVKVLPVDMFPQTHHVENIALLIKKS", "MKYIKFIFCFVPLLLAVVACNQEDDICTEGGSPKMKVKFKKEGKLARMDSLTVRILWGTDTLMVANNSKAVDSVMIPLKVTGDGFTDILVQTNPKSKTEISKIKVKYTETSEYVSPGCGIRKLYNDLTVSAVEGLNPVKSVDINSNQIQNEVKTVLYFNF", "MKLRLFYTLIFSLFITLSFAQEKKKISSDTLAAKKWKYKPNVMLGVDVLHLGLMPFTDQKLFQAFATSRIQPRLHLVADVGYERNKYDKNGYDVSAKGLFVKAGTLYMLSPDPENKQNGFYAGGKVAASFYQQDMRAIPTRGYQGHDSYASFPTSSQSAYWLEGAIGGRVELFNSNFYIDAQVQPKYMIYTTKQENITPMVIPGFGTDANKFKLGFMWSLAYLF", "MHKIIGLTGGIGSGKTTVARFIEEMGYPVYNSDTRAKDLVNESADLKSAIIQLLGKQAYDENGLYDRKYVGSVVFSNDELLKQLNAIIHPAVNKDFHDWVKKQSREIIFKETALLFELKLNLQCDKSVLVTADESIRIKRVMDRDAKTYREVEKVIDNQMPERKKIRLADYIIENNSDMQHLRLNTEKIMANLISDLHKI", "MKLYPIETGNFKLDGGAMFGVVPKSIWQKTNPADSKNLIDLGMRCLLIEDGKNLILIDNGLGNKQDDKFFGHYDLWGNATLDKSLAKYGFVKDDITDVFLTHLHFDHCGGSVEWNDDRSGYRTAFKNARYWSNENHWKWATEPNPREKASFLKENILPIQESGQLNFVPLPTTGNYGFAPDLKMDIIFVDGHTEKQMLPVIKYQDKTIVFAADLIPTVGHIPLVYVMGYDTRPLLTVSEKEKFLKQAVDNEYILFFEHDAHNELATLKMTEKGPRLDEIHKFNDIFGY", "MHIPKLYKSEDFELLKEIISNHSFGLLISSKEKLFATHSMFLMNGTNENFMLETHISKANFQAKALKDGDQVLCDFLGAHTYISSSWYDHINVSTWNYEAVQVRGTIRIMSDEELYKHLEKLTTKYEKYQKCPMYVQNMGEDFVMKEMKGAFGINIIPDEIFIKTKLSQNRNKKNLDLIIENLQNSDDKNAHIIADKMRKVAADKDQ", "MPNFLHPDKENFSDDELFQEDHIRPQSFRDFAGQRQTLENLEIFVAAAKSRNSSLDHVLLHGPPGLGKTTLAHIIANELGVNCKLTSGPVLDKPANLAGLLTNLEENDVLFIDEIHRLSPVVEEYLYSAMEDFKIDIMLETGPNARSVQIGLNPFTLVGATTRSGMLTKPLLARFGIQSRLEYYNIELLSTIIQRSARVMGIKIYEDASIEIARRSRGTPRIANALLRRVRDFAEIKGNGDIEIEITKFALNALKVDEYGLDEMDNKILKTMIENFKARPVGISALATSIGENPETLEEVYEPFLIQEGFIIRTPRGREVTEKAYKHLNMAKPKRPDELF", "MKIIGPFKQVVTLANLPLRGSLKDEQLEIIENAGILIESFKIVKIDNFETLRKEFPNVTLEETEGEQIAMPAFTDCHTHICFAGNRANDFSMRNAGKTYLEIAESGGGIWSSVKHTREATEEELLKTLCVRIDDLVRQGITTIEIKSGYGLKLDCELKMLRAINHAKEKTPAKLIPTCLAAHMKPKDFNGDSEEYLNYVLEYILPVVQEEHLAERVDIFIEKSAFQPEESKAFLQKAKAMGFDITVHADQFTPGSSRVAVECGAKSADHLEATSDEDIDYLAKSNTVAVALPGASIGLGDSFAPARKILDGGGILAIATDWNPGSAPMGKLVTQASILATFQKLSTAEVLAGITFRSAYALGFEDRGVLSEGKRADLVCFKTDNYQNVLYRQGALEPCAVYIKGEKY", "MERIWSGRFDGEDLLSRRLFQAVEEKNDYEEIADKSFFLHGFAVDEGVKRNKGRVGAAAAPNVIRKNMSNFPVVSPSFRLFDFGDIYCPDENLEKTQQSLANAVASGLLNNGKSIVLGGGHEVTYAHYSGIKKAFPAKKIGIINFDAHFDNREPENNLASSGTGFWQIANEGEIHSLHIGIQRNSNTLKLFDTAHQYGMKYILADEIFFENLPQLYPRIDEFLDGMDVLYVTICMDVFNASIAPGVSASAYNGIFTDQSFMLLYRHILRNNKLKALDVAEVNPVYDIQDRTARLAASLVNEWLMI", "MLTTEQIENKLLEADKAFGEWRKVAFEEKQELLAKAAQLLLDKSEEYGKIITCEMNKPISQSIAEIEKCALMMNYYAKAENILKPEEVKTKFNVCEVHHTPMGVILGVMPWNYPFWQVLRFAVPALLAGNTVVVKHASICFESGNTIEKILTEAGFPKGVFLNLEAGHKEIKGIIENPVIQGVSLTGSEPAGASVASIAGTNIKKSVLELGGSDAFIILEDADFDKAARVGAESRLQNCGQTCVAAKRFIIHQNAENEFLPKFIEEFKKYVPGDPNDKETKLSAMARKDLADDLEAQYQKALDNGAEPIVPLERISDIAFKPGLMRVNEGNPILEEELFGPLGMVLIAKNDDDCLQLANNTRFGLGNSIWTRNKEKAFFFAENLESGAVGVNEMTKSMPDMPLGGAKRSGYGTELSLFALKEFTIPKSIIGTL", "MDKIIHSFSDLVWSDALIYLCLITGLYFSIRTGFLQVTYLKDMVRLLFSKNESDKGISSFQAFSLAISGRVGTGNIVGVATAIAMGGPGAVFWMWLIAFLGSASAFVEATLGQLYKQESNGEYRGGAAYYIEKGLGVKWYAVLFAVLTIISTGLLLPGVQSNSIASAVNNSFSIGTEHYNFPLIGELPVNYVGILIIVLLALIIFGGVKRLGKTAEFVVPFMAGIYILMAVIIIVLNIKEVPSVFKLIFSSAFNMNATFSGIFGMAIAWGVKRGIYSNEAGQGTAPHAAAAAEVKHPAQQGLVQAFSVYIDTLFVCSATAFIILFTGQYNVLGPNDTYLVQNVPGIDYTGFTQAAVSHVFPGIGKQFVAFALFFFAFTTIMAYYYYAETNISYLIKSGNKKTYIWILRVVFLFAAYFGTVKEAKIAWALGDLGVGLMAWVNIIAILLLGNVTLKVWKDYKLKKKSGNMYFNSKEAGIKNADFWEK", "MSEYLKKTKIIATLGPASSNKETILRMVQAGADVIRINFSHADYDLVKQNVNLIREINAEYGFSTSILGDLQGPKLRVGVVKEGSYLNPGDVLTFTNEKVEGDSTKVYMTYERFPLDVKVGERILIDDGKLVLEVIDTNGKDTVKAKTIQGGPLSSKKGVNLPNTQISLPALTEKDIQDANFILDNELDWIALSFVRHAQDIIDLKELISNHPNGKLFRTPIIAKIEKPEGVKNIDEILAECDGIMVARGDLGVEVPMEEVPLIQKKLAEKARKYSKPVIVATQMMETMISSMTPTRAEVNDVANSVLDGADAVMLSGETSVGKYPVDVVKTMAKIVSNIENTHMYSTRNEPIEKINCVDDRFITDSVCYSAVRIAEKTDAKAIVTLTYSGYTAFQISSHRPNSHIVVFSSNKRVLTMLNLLWGVRAFFYDMKKSTDETVIQVNMLTWNYGLVEQGDFVVNLNAMPVHEGGKTNTLRLTTI", "MAKVKKIRLDFDDDEAITVGLIRLTKPVSYHQFFFDINRCNEFQFHRTEDFITQNGLTEYEFLSMQGYDKGEKNCYQIIANKSFKKEENTPSNLFEGLQEIHYLLEEHQDVDFLIKTQDSFPDFSLILFPENVIFPIQEYQIQPEELIYQYIQENE", "MEIKSYFSNLLKKRNKTLSDKDKNFKAAINRLVGYKVNDLKLFKEAFTLKSPNTTKEAFNYERLEYLGDAVLGSIVSCHIFHEYPNANEGFLTQMKSKVVNRKNLNALGERLELTRFLQNKEENTTLSENIHGNLFEALVGAIYQDIDYDKCREIILGQLLTKQDILNLENKIISYKGLLLEWGQKQKIHLRFETTEENYVNKTVHFRSSVWMEDKQISNASEASKKKAEEKAAQRAYYALQKKENINGESKKNTP", "MELKRVVVTGFGALTPIGNNANEYWESLVKGTSGAAPITLFDSTNFKTQFACEVKNFDPLNYFDKKEAKKMDRNSQFGQVVAREAIAHSRIVEDNVDKDRVGVIWGSGIGGLETFETEVLGYAASNGIPRFNPFFIPKMIADITPGNISIEYGFHGPNYTTVSACASSANAIIDAKMLIQLGKADVIVCGGSEAAVTASGVGGFNAMHALSTRNDDPKTASRPFDKDRDGFVLGEGAGCIILEEYEHAVKRGATIYAELLGGGLSADAHHMTAPHPEGLGAYLVMKRCLDDAGVTTDEVDHINMHGTSTPLGDIAESQAIAKLLGEHAFDIQINSTKSMTGHLLGAAGVIESIAALGTIIHGVVPPTINHFTDDEKLDSRLNFTFNTAVKKEVNIAMSNTFGFGGHNACVLFKKI", "MSDIASRVKAIIADKLDVEETEVTPEASFTNDLGADSLDTVELIMEFEKEFNIQIPDDQAEKITTVGHAIAYIEEVVNK", "MNDFFDNDDDIYDGNQHTPLRKDAFKLSADEKVQKIEGLFSEIMETLGLDMTDDSLKDSPKRVAKMYVNEIFGGLLPENKPGISTFKNQYKYRQMLVEKDITVYSFCEHHFLPIIGRAHVAYISNGKVIGLSKINRIVDYYAKRPQVQERLTMQIVEAMKEALGTKDVACIIDARHLCVNCRGIKDTASSTITAELSGIFRTNPITRQEFLHYVGSHAKLD", "MQLKIYNSLTGEKEDFKPILDGNVGMYVCGPTVYSNVHLGNVRTFLSFDFIYRSLQFLGYKVRYVRNITDAGHLTDDGNIDNDRFIKQSRLEKLEPMEIVQKYTVDFHEVLKKFNLLPPTIEPTATGHIIEQIELTKKLIEKGFAYESNGSVYFDVLEYNARGLNYGELSRRNIEELFANTRDLDGQGEKKNPQDFALWKKASPQHIMRWISPWGEGFPGWHLECTAMSTKYLGDKFDIHGGGMDLKFPHHECEIAQGKACNGTEPVNYWMHANMLTMNGQRMSKSTGNYILPMELITGNNNFFEKPFHPSVLRFCFLQAHYRSVLDISNEAMLASEKGFSRLMDAVKILDEIQPSDVSTVNVQEWLDKAYSALVDDFNSPILISHLFEAVKWIFLLKDGKETITANDLILLKEKLNAFVFDVLGLQTITEEAGSDKLDETLQLLIELRNQARKTKNWELSDQIRDRLLEKGIELKDGREGTSYTIN", "MKKVQFNIHYRTAIGESLFIKIKEPETGKVSEEELNYVYESIWRFDKEIEEGDAEYHFLVKNVAGKIVNEEMSGHLLSTYSSFDSYCIFDSWNNKNFPENYLNNKILHNVLKGREFQKIKPEQKESHLFTVQAPLYNKDEKIVLLGSAPELGNWNLNHYIEMHQTDTITWEVFVDLSDNIYVEYKYAILNTKTREIVYENGPNRKVRTASNTETLNVLHDHYFKFPVEKLWRVAGVAVPVFSLRSENSFGVGEFADLKLLADWAEQTKLAMLQILPINDTTATHQWTDSYPYAAISVYALHPQYLSLENLTYPLSEVLKEEYESEKKRLNLLSQVDYEAVMSEKLRYLKTIFNENQNDIFTDKDFQNYRIQNSNWLDAYAVFCTLRDIYGTPDFSKWKTLSVYNAEEANRFLGSDHKDYATVIFHIWVQYQLHLQLTAAIDYIHSKGLAIKGDLPIGIYRHSVEAWTEPELFGMDFQAGAPPDEFTDLGQNWEFPTYNWEVMKDNGYQWWKNRFTALSQYFDAMRIDHILGFFRIWRMPASAVQGILGSFYPTIPVTKKELEDKKIGFDHDRYCKPFINAEILQYVLEDAKDVVINTFLIEDKGLYHFRPEYNTQRKIESYFKEHDDSLKIKDKLYYLLANVLFLEEETEEGILYHPRFNLSKTFSYQYLEEEIKGKLFGLYINYFFHRQDQMWKGNAMDKLPMLLQATDMLICGEDLGLVPEVVPEVMDHLAILALKVQRSPKENIAFYNPQNATYLNVVTTSSHDTSTLRQWWKEDRVLTQKYYNEQLNQNGKAPEELTPYLAEIIMKQHLNTEAMLAIFPIQEFFATDKDLQNPDENAERINVPAIFPHYWRYRMHIGLEDLLKNKSFNQKIANWVTVSGR", "MKKLLTVLALGIFALGFSQNYYDDYRGSVTSINWRDVASYLGLSGRQIAAIDVLNNRYPTYDSWDRVYRGTPDRWYRDRYGEMERIMTPAQYKRFYDRYYRGQNPVWIYGKNYNKKYYKERDKYYKKQWKEYRKHHKDDDHGRGWGRRDHDD", "MKILYAIQGTGNGHVSRAREVIPILQQYGDVDLLISGTQADVGLPYPVKYQLNGFGFVFGKKGGVDFRETWNRFNTKAFISDIKNLPLHEYDAIINDFEPVTAWACKRKRIKSVALSHQSSFLSDKTPVTEGFHWGKLILKNYAPTTYKIGFHFEKYDDFIHTPVIRNEIRNLHPQDKGHYTIYLPAYNDDFIINRLQKYKDKEWHIFSKHSSQAYEKENIKVTPVNNELFNKSLETCNGLLTGGGFEGPAEALYLGKKLLSVPMRNQFEQQCNALAMEKMGVPVIWKTADWERKLNEWIFDSDVIKVSYPDETKNIVRNMFERYFN", "MKKIVFSLMLMGATGFAFAQQTTEPMKADRQAKRQEMMQKRQQNWDQMKKDLNLTADQENKIKALHEKNAADMKQKMAERKTDREKMKQEMKAKKEQNDAEMKKILSPEQYAKWNDIKAQKKAEHHNKMKAYKEKSKNM", "MISTKVESLINEQIAKEQYAAQYYLAMSAWFETQDLEGLANYFRVQSKEELMHADKMFDYLNDVGGKVILQTIPAPPSEFADALDIFEKALAHEKEVTKSIFNIVKVATEENDFATTSFLQWFINEQVEEEATASQYVSKIKMVKDNPSALYLFDQELGQRVFTADAEA", "MKTYGVDYLQYEDIIKIIDAPEKAEMSAKAMLQVKKSQDNVKKIIADGKTVYGINTGFGPLCDTKISDDEISQLQHNLIISHSVGVGKPIDKKLSKIMMVAKIHALSKGFSGISPQVVERLQIMVEKDIIPVIPEQGSVGASGDLAPLSHMVLPLLGLGKVWNGDQIEETKTVLDQHNLEPLKLGPKEGLALINGTQFMLAHGVAGLDKMKYLLDLADLAAAMSLEAYQGSASPFKKELHEIRPFEGSQKVAERMTKLLKGSKNLKNHENCDRVQDPYSFRCVPQVHGASRNAWLHLKSAIETELNSVTDNPIVVSDEEAISGGNFHGQLIALPLDYATLAAAELGNISDRRSYLLLEGKYGLPKLLVESSGLNSGFMIPQYTSAALVTENKTLCFPASADSVPTSLGQEDHVSMGSISGRKFNQVLGNLENILAIELMFAAQGLEFRRPLKSSAIIEEAYDLIRTKVAKLENDREIGKDILAIADLIRERKFNVNI", "MDIEFNKREDQNKLKLSEINQIFNQVKKGGGEKRLQKLRDEGKMTARERVEYLLDNIENAIEIGGLAGYEMYAEHGGCPSGGVVVVMGHISGRQCIVVANDASVKAGAWFPITGKKNLRAQEIAMENRLPIIYLVDSAGVYLPMQDEIFPDKEHFGRIFRNNAKMSSMGIVQIAAVMGSCVAGGAYLPIMSDEAMIVDKTGSIFLAGSYLVKAAIGENIDNETLGGATTHCEVSGVTDYKAKDDKDALDRIKNIMKSLGDYDKAGFDRIEPAQPKDNPEDIFGIMPVSRAEQYDTYDIIKRLVDNSEYEEYKPDYGKTIVCATARIDGWSVGIVANQRKLVKSGKGEMQFGGVIYSDSADKATRFIANCNQRKIPLVFLQDVTGFMVGSKSEHGGIIKDGAKMVNAVSNSVVPKFTIITGNSFGAGNYAMCGKAYDPRLIAAWPWAELAVMGGAQAAKVLLQIQEATLKKQGKELSAEEHQELLDKITQNYNKQTQATYSAARLWTDAIINPLDTRKWISMGIEAANHSPITEKFNLGVIQV", "MDFLSVFASTDAWVALLTLTFLEIVLGIDNIVFISIVSSKLSPKDQPKARNIGLGLAMVFRIALLFGIKWVLSLNSVLLEVNLSWFHGKITGQSIIIFLGGLFLLYKSVTEIHHKLEGEEAMKTENTKKVGLNSAIVQIALLNLVFSFDSILTAVGLVSFKEFGETGALSIMILSVIISIVIMMAFAGPVSHFVNRHPTIQILGLSFLILIGVMLLAESSHLAHVVIFEQEVGVIPKGYLYFAIFFSLAVEFINMKLRKSTTPVALKNSETIDKL", "LGKLITVDALELVFYRMLFAALFLYLFIRVVKKQSMKVSRKLFWQLIGVGGLMGGHWLCFFYSIKISNVSIALSCLATVTLFVSILEPIIYRRKLDWVELLLGLIIVSCMLLIFNVEFEHKIGIIFGVVCAFLGATFTVLNGKLFGKTSSENIIFYEIFGGWILVSLFLLGSGEIGSVVNIDWKNILLLLLLASFFTAYPMLESVKLMKYISPFTLALTVNLEPVYGIILAYFIFGKSEEMSPVFYGASAVMILAIIVNAVIKARRRKASEVAIH", "MKIGLFGFGKTGKAVASVILQNKEHSLQWIYRKTNRLNNRSASEFFGIESTDTGNIYSENNLSIEKLLDEHPVDAIIDFSSSDGIYTYGDAAAKKNVKIISAISHYTNKEINFLKKLAKKATVFWSPNITLGINYLLYASTFLKKIAPSVDIEIIEEHFKDKKGISGTAIRIADALDIKDEKINTIRAGGIVGKHEVIFGFPFQTVRLIHESISRDAFGNGALFAAEHLADKKSGFYKFEDLLHPYFNV", "MKKLLSIFLISSVVTAYGQKISDFQYIYVPKTFEKEMNKYDLNKQLVKGLESKNYKVIQEEKEDWPAELSQNRCSVARANLLDNSSMFRNRVIVKFSDCNDKVLLESKGMSMQKEFETGFPDALEISLRSVPVSNPSQTISIVENTKKPKEEVVAKVEKVKTTETASAPVVTISEVSSSPIATTNKAEVFSNGKISVQKINMGSGQFILASGSSSSPYAVFTETSKQGVYRVKMENGATALGYAENGSLIIEIPQANDTYQKEVFQKK", "VNPNLELQLKTLPQDPGVYRYYDKDNNLLYVGKAKNLKKRVLSYFNKNHGGYRTRIMVSKIVRLETTIVNSEYDALLLENNLIKELNPYYNVMLKDDKTYPWICIKNESFPRVFLTRNVVKDGSEYYGPYAKPRQAKILIDTIKKIYKLRTCNLNLSPDKIDDGKYKVCLEYHIKNCLGPCEGLESEEEYNDKIKAIRGIIKGDYKIARQYLTGQMMHFAEKMEFENAQMVKERLEILEDYQTHSMVVNAYIDDVDVFGIFSDESAAYVNYFKINNGSIVQSFTTEIKKILEESDEEIMEEALVEIRQKFSSNSKEIYLPFHLSVEIPGAKIIVPKVGDKKRIVELSEKNAREYRLEKLKQVQIVDPERHTNRIMAEMQKNLRMPVEPRHIEGFDNSNIQGTNPVSACVVFKDGKPSKQDYRIFHVKTVEGPNDFATMEEVILRRYSRLLDEGEDLPQLILIDGGKGQLSSAVKSLKTLGLYGKITIVGIAKRLEEIFFPEDPIPLYLDKKSETLKILQRVRDEAHRFGVKHHRTRRKNSTIKSELEEIPGVGPKSIEMLLSKMKSVKRIREANLETLEEILGKSKAKMVFEYFNGNENA", "MTQEEYMETYNTIEKPFIPIKGWNYKKIIVSKNIDSATFKTYLSELKEIQKRNIKNTGIQFIFQKETTYNDFISIYNIMIKAKQEFFGFEPITNSLYVLHIYTKPIDEKTEPCLLCDDLIIHEDNSQRNYIREIFFSLKKLPKGSYLLLGAFTVLCFISFLYWKQAYIKKENK", "MNRKITFFIFLVIINQYFTQSIKEESVNRFTYELTYKIDSTNLRDIRKELFFLDVLGKESRFASQNKLMKDSVIYSVGVSNDATAALSMMGRMKTKFNYNIYTNLERPEQMIVETIGMKNYKYQFPLSKMNWKIEDEVKKIGIYNCQKATLEIGGRKWIAWFTPDVPLNFGPYKFQGLPRLIVSVSDAKSHYSFMLQGNKKEKVLFSPLTIQRVTEIKPGDVEKLRQNADGAGIFNMSGFQLSPEMQREAEKRLKERKKKENNLLELKPFEVF", "MNTYTVINASAGSGKTYSLIKNLLKICLRNNRPEIIRNILALTFTNKAANEMKERILSWLGDFTSDHYMNIQALTDIQKELKGEGIHLTLEDLHYRSKNLLDYILHHYSALNISTIDKFNTRLIRSFAYELGLPQNFNLEINAAPFLLEAVDQTLDKIGDNEQLSVAFMDYVNYNLDNQKRENLQKTLFDSAKNFNSDIHYFTLGKNKNFDWNAYSDEKEKIRKEIKQLMKEAKEKALSSVELIKSRDLSIEDFAGGKVNSIAVFFEKYLNTESPALPTNSEEKALENYNKLSGAKSKNRADEIAAILPILLDNRKNIILNHLEIEKKKKIHTALLPLKVNKEIQDQLKFIEDENDLLMLSRFNVLINEQLRNEPSAFIYEKVGEQYQHYFFDEFQDTSFLQWQNFLPLRDHILSEESTSFTLVGDPKQSIYRFRGGDAQLMLDIINKKEETPRYADIHNLEHNYRSSYNIVEFNNKLYDYLSDFVEEDYRDIFGTLAQQKPHSENAGRVKINLVENNSVDVFFDEVAEKMKNDIQECLDNGFSFSDIAILCRGNNDILKFSQSLSALEVDYKNEKQFIRTISEKGLTLDLSATINAIIQYLLWYSFPKNRRYLVLCLYYLNKSGRISIEDFSAELSDILLAENTTSLQQKVEEKYKLKLTSDIPNLNTYSFIESILQEFSVKGKETDYLINFLELLYGFFQNMAATLKDFLQYWQEEGHSISIQASENTDAINLMTIHKSKGLEFPVVFLPMRNSHKDTQFNDWYDISDSREQPLQTVNLTSFNKDLAQYDQSMTSFNEVNTYKNKIDRFCIQYVATTRAVEQMFFYIQKPGKTSGYLEIYDFIAGQKSIHEDSFDFFETDEKHLLKQRKKTKQHTGQDLHIGSLRASDETFSTEIKIATPSKSYQERNESVKTGIFTHQILEKVVTKEDAPYVLKQYLLDGTITKSEFEAVQIRLNKLFETYPQYFDGHYEVINERDMLFSDKGESRLLRTDRLLKAPEGWIIIDFKTGAEREKYEDQIDIYKKALERSGEKVWKTEVLYI", "MLIIPVKDGESIDRALKKYKRKFDKTGVVRKLRARQQFVKPSVTHRQKIQKAAHKQRNVSQEEQA", "MTLINKFLEYIRIEKRYSENTVTSYKKDLHDFLAFLMETEGTEDTLQVNKKIIRNFIISLNEKKLTNRSINRKLSSLRSYYLFLMKVGDIKVSPLEAIDSLKFYAEKQIPMSTEEMEELQQVLTEKPDILTKAIIETLYQTGMRKSELCTLQFHDVDFSSRILKVHGKGNKQRQIPMSPDLENILKEYLTERNSLPDYNHLFFVNYRGKKLTEKFVYSKVNFYLSYVSSKKKKSPHMLRHSFATHVLDNGAEIFAVKEILGHASLASTQVYTNASIEQLKKVINQAHPRATKKDEL", "MKITVQSFGLTPHAPLEEHIEKKVNKLETFYDRIQSCKVLLKVENTADKENKTAEVILDIPGEDIVVKKTTSSFEESIDSCVDAAKKLLIKKKETA", "MAKETFNRNKPHLNIGTIGHVDHGKTTLTAAISKVLSDKGLAEKKDFSAIDSAPEEKERGITINTAHIEYETENRHYAHVDCPGHADYVKNMVTGAAQMDGAILVCAATDGPMPQTREHILLCRQVNVPRIVVFMNKVDMVDDPELLELVELELRDLLSTYEYDGDNSPVIQGSALGALNGDAKWVATVEALMDAVDTWIEQPVRDSDKPFLMPIEDVFSITGRGTVATGRIEAGVINTGDPVDIVGMGDEKLTSTITGVEMFRKILDRGEAGDNVGLLLRGIEKTDIKRGMVIAKKDSVKPHKKFKAEVYILSKEEGGRHTPFHNKYRPQFYVRTTDVTGEIFLPEGVEMVMPGDNLTITVELLQPIALNEGLRFAIREGGRTVGAGQVTEILD", "MMSLISFLKDSFVEFKDKVEWPKWPQLQSSTTVVAISTILLAVFTFGIDTLFSEAIKNIYTLLIGVFN", "MSELKWYVIKAISGQENKVKNYIETEVKRLSLEKDVSQVVIPMEKVIQMRNGKKVPKERPYYPGYIMIEANLVGEIPHIIKNIPGVISFLSLTKGGDPVPMRKAEVNKMLGRMDELSEFAQDAGIPYTVGENVKVIDGPFNGFNGTIEKIHEDKKKLEVMVLIFGRKTPLELNYMQVEKN", "MNKYFILAGLFFSVGLSAQNWQVRLRGISVQPNEKSTIDVIGGNANVSNSYIPEVDFTYFFNKNIAAELILGTTKHNVNAENTSLGNVNLGSVWLLPPTLTLQYHFYPTKTIKPYVGAGINYTFFYSSKPGDVTHVDYKNNAGFALQGGIDYMINDKFFVNFDMKKIFLKTDVTVDAAGTNIPAKVTLDPFLWGFGVGMKF", "MENSTNPENECITYSEKSEKNYDALNTLQLISGKWKILIMKSIAESCPKRFGELRKDMDSMSQGTLTTQLRELEENGLICRKIYAEVPPKVEYKLSNLGITLLPIISELENWWQDYSLCIKNK", "MKSFRNIGVLLLLSMSIFLAVIDLFVVNVAVPSIKESLNGTNSGAMFIIVAYVMGYGSFLITGSIAGNRWGKKKVYAWGMLLFTVFSLFCSIAQNTFQLNIFRFFQGVSAAFMVPQGVGMIPYVFPDFKDRTKAFGIYGSIAGAASVIGQFLGGLLPELNIWGIEGWRLIFIINIPLGGTAFVLSILKLKELPVIKQKSFDVFGLLLLTAFLITLIYPLIVSGESHWPFWSIAMLVFSFLLLFIFYLYEKYRLAKLNPALIDVNLFSLKEFNIGILAAVFYYIAQDSYFFLNAIYLQSHLNLSSVHVGNMFVLQGIGYFMASLFSVRFVIKYDYKVMLGGSCIMILALVLHICYFTNEHINNILIYFILFLYGIGCGTMLPSMMTMALRKISSNLTASASGVYLTVQQISIALGVSIIGGIFFHLLGQNGDLLKATVAYHYSTYANILALVIVGGIFLFLSSRRNNAKE", "MAKKVFKMVKLQVKGGAANPSPPVGPALGSAGVNIMEFCKQFNGRTQDKPGQVLPVVITVYEDKSFEFVIKTPPAAIQLMDAAKIKGGSGEPNRNKVGSVSWDQVKKIAEDKMSDLNCFTMESAVSMVAGTARSMGLKVTGTNPFNA", "MATLTKKQKEAVSKIEKNKVYTLEEASALVKEVNTAKFDASVDIAVRLGVDPRKANQMVRGVVSLPHGTGKDVRVLALVTPDKEAEAKAAGADYVGLDEYLDKIKGGWTDVDVIVTMPAVMGKLGPLGRVLGPRGLMPNPKSGTVTLEVGKAVSEVKSGKIDFKVDKYGIIHAAIGKVSFDADKIRENAQELIQTLIKLKPTAAKGTYVKSIYISSTMSPGIAIDSKSVN", "MTKEEKVLVIQEIKELLQDAKAVYVADLEGLNASQTSDFRRQAFKNNVQLRVVKNTLLQKAMEQIEGVDYSEMFETFKGNSALMIADTANAPAKLIQGFRKNAEKPALKSAYLQETFYIGDNQLSTLANIKSREEMIGEIIGLLQSPIQRVVSALQNKPETVEAKAEEAAPAPAVEETPAPEAAAESTDAPEASAE", "MSDLKNLAETLVNLTVKDVNELAAILKDEYGIEPAAAAVVVAGGGAADAAEEKTEFDVILKSAGASKLAIVKLVKDLTGAGLKEAKDIVDGAPAPIKEGVSKDEAEALKKQLEEAGAEVELK", "MNKFIALLLALTNTVFVYANDIKLENTRQKYNKAASISYKATAFYPNPDTDEITSFSVFYTIYNPKNMAFDFHSQNDDSEKIYKNGIYTEVKKSEKAYYRYENKQNQDEALRSSHLAQYGPVALLKHKWIYIDDISADAKLESHYSFIEDEHEYNGKIVKTVFHLYIAPDNSVSKFERKSYVDNKLGQTVTYKYSNYTFSNKKKSFSYFLPKDYSLKYFERIEQLKPLKENTGAPLFEAIDINGNKVYLNTFPTEKKLLLFSSTNCGASKVVTDYISQPDYVLNNNITLINIFGSDSVENVTKYFRNKQANNIIITNRKDIETEYGISGYPVLYIVNKEGIIAKVADGSDQVISLLKELNKITNK", "MKRWYLYPFSLGYGFVTSVRNLFFNIGILSSKKFRTPIIGVGNLSVGGTGKSPIVMYLADILSKNQKRTGVLSRGYGRHTKGYEVVNYDSTYKMVGDEAMQLFERFKNRIVIAVCEDRVFGARKLIDDMDLNVLVLDDSYQHRYIKPGFNILLTDYSDPFFKDFVLPAGDLRESRSGYKRANMILVTKCPEDLTEEKKQYYISRIKPQYGQKIFFTSIDYADEVYSKDKYLPTNNLDYYDILLITGIANPKHLLKELSKYSQRVKHLKFKDHHSFTEEDIKKIASEYKKLGEYKLILTTEKDYVRLKTFDYLRGKLYYWPINVVIDKKNEFNEMILAYAERKQ", "MILSMTGFGRAEGICGGKKISIDVKSLNSKGFDLNLKIPFRYKEKEFDIRKILSERIVRGKVDFYLNVEIIDGKTDTTLNKDVIRAYMDELSDIVTAADRVELLKIATKLPDAVSTSNSELTEEEWNELLVIIEKAIDNFIDFRITEGKSLETELRSYVKNIENNLSLVAPFEEARIEVTKERMLNNLKDFRDVDESRFYQELTYYVEKLDISEEKVRLAQHCKYFTEVLNEEDNNGKKLGFISQEIGREINTLGSKANHQEIQKLVVQMKDDLEKIKEQSLNVL", "MAWNPDVYEKFKKERYLPFYDLLSLVQVKENLKAIDLGCGTGELTAKLAKELPRSKVLGVDSSAEMLQKARVYEGNNLHFQQRDIEQALSDVEKYDLIFSNAALQWLNEHEIVFPKVINLLEKGGQVAIQIPSNHDHFTHRLIRNLASESPYKEALNSWVRPLTVLKIEDYGKIFFENGLSDICIFEKIYPHILPNADALYEWTSGTALIPYMEKLPEHLQEQFKNEYINRLRKEFPESPVFYPFKRILMSGILS", "MNKVIIFSAPSGSGKTTLVKHCLGQFPKLQFSISATTRAPRGEEKHGIDYFFHTPEDFRQKIAENAFVEFEEVYTDKYYGTLKTEVERIWNYGNVVIFDVDVKGGVNLKKIFGEQALSIFIAPPSIEELEQRLIKRNTDDAETIKIRVAKAEEEMAYAKDFDKVVVNDDLAKAKEEIEELIEAFIKA", "MNETLEQAKSNLPVQGFLKYKDLIIPQGEELKQAILDLKKEKNAVILAHYYQPGEIQDIADFLGDSLQLARQAKETNADMIAFCGVHFMAEAAKILNPTKKVVLPDTLAGCSLADGCSGEGLRKMREQHPDALIATYINCNAETKAESDIIVTSSNAETIIKALPTDRPIIFAPDKNLGRYLSKKTGRDMILWDGSCIVHEAFSMERIAQQLADHPNAKLIAHPESETPVLDLAHFIGSTSALLDYVQKDDCQEFIIATEEGILHEMKKRAPHKTLIPALVFDESCNCSECFYMKRNTMEKLYLCMKYELPEILIDEELRLKALKPIEAMLDLSKSIK", "MISKIILENIKIYAYHGVLPEETILGTYYLVNAEIHADLWKATESDDLKDTINYALVNEIIHQEMKIPSQLLEHVIGRIMKRIAEEFKQVNFIKIKLTKVQPPMPGEMTGVSLEMEKAF", "MKNTLFCLLFLCLLFSFSSGQNKRYILEGNIGKSPVVFMLDDYGNNDLSAKYFYKSTLRDIRLEYEKAKNGDLIFSFADTYIKYKEKFVLKRKSTTVFEGIWKDSKNKELSVSLHEADLSQIKNPFLDSKYIQQLRKEAPEDFIRSSYLVFRKDTVSGYNGHKADWYSEKFSKTSFMRISATEKSEAKNVINKKLFDLHLEMAINQLSCSNYSAYNDAEGIDYSTSISYWDSNLLGFSNFSSYFCGGAHPDFGSTGYLFDLHSGKEYELDDIIAFDKSVTTESKTNFTAYSAYRSNFFAPTLAKLMIKQHQFEKPKDQEEDPCDYTNVEYWNFPSWTYTKEGIVFTPIFYRAARSCEDSFLLPFKTLMPYKNKDFKYQLK", "MTNNYTLVEKSQATKWTRFFNYIIDATIFYILIFLFGGLLGVWYSLTQSELAYSMIQAMTNANRLVDQFVTMVLYATFMFAQEWIFKGRSIGKFITGTKVVNETNLPLNVIDLLKRNFTRAVPFEAFSFFGQNGLHDKWSNTRVVNVRQFEESLRLRNEMEEIGQVVV", "LPDVIPYWKEILSMCKSENDYLFSKGLVPGTNPIEPYQITKRWYRLIKQSDKIKDENNIIKVMEDFYALKHLFLDTLDGFKPDPLAPDYIPIPKLNLAKMATSHRTDAVYTVGKSKRENEALKQVRPGIG", "MKKYTKIIFLLLTFSSMTACAQVKLNQKKALIEIKNNESDYKGKNLSELLAQSPDMKMVSIFKNFPEGGVITFTIAFQKNKDYYDQINKKMKPSNITVYTKQDPLNPIELPEKTVNEDIGMKDAIKKYGNLKIIAVYLVTP", "MNKNLLITSLWGILALSTLSSCRTEDNITQQKQEKDMRFAVFIPQSGKTVNYADGFAHLMKRYDSIHKTNLSGMNNAPVVSHLNASIDKNNLSSQSGETFVAFNIRSQTVTEENGNKWVVFPEVTNGKIANLVVGFLSEKETKVHYYLASKESELYKNNISLFQSSLERYMKRINKLNLNASINPVSYVKETEIEEVVITVPKKNLDPRESFGGMNPAYNSGGGSCSDYGGCINDYYAPGGGGGGGTSAHAQQDPCSKAKAPAEKATNDYKNPAVQDAKKAVQDGYNSDPTGNKSEYTTMINEEGGKLEKGTTYGGYNSSNVQGGVISTTVADIHNHNDEFPPSPGDVYGVMKAFNYYQKFRTRYVYTQGGEEYAIVVTDPAALQNFYQTFPPNVTQGETRIYTNFPGNLFNEWAEIVQQYGNNLLGNEIALAQILNKYNAGVAVTKKDKTTGDFKKLNVTENSDGKKTITNCN", "MKNIVLTGLVTTLLLASCNKGDQKVEGPTVAQQKLDYQAKQLEIEKQKLAIEKEKFAFEQEKKADSLDKAKKTAVAASSVAAGKANYAGNTNYTPRKKRTKRSSYSGNSGYNGGYANNGSSGNSGYSNAGVQQPQKRGMSSAAKGTIIGTVGGAAAGALISKGNRGAGAVIGGILGAGAGYAIGRANDRKTGRVQPRY", "MSNQLGNLLTLNTFGESHGLAYGGIITNFPAGLEVDLEAVQHELDRRKPGQSAIVTQRKESDTVKFLSGIFDGKTTGTPIGFIIENENQKSKDYDHLAQAYRPSHADYTYDQKFGFRDHRGGGKSSARETINWVAAGALAKQLLADVQINAYVSSVGDIFCEKPYQDLDFSKIDDNIVRCPDEETAEKMIARIKEIKKQGNTIGGTITCVIKNVPTGWGEPIFGKLQAELGKAMLNINACKGFEYGSGFCGAKMLGSEHNDLFNEDGTTKTNLSGGIQGGISNGMDIYFRAAFKPIATILRPQESIDKDGNSVTVEGKGRHDPCVLPRAVPVVEALAAFVLADMMLINKTRKL", "MDIKKFWDEAVTYNEYLRHAGEILGNPRNQQDVDYLEYYKLGIQRMNRMSEKYLPNEKQVETLAQKNFRGRILIISEAWCGDASQAIPVVVKFFEQYEVRITYRDQEPSLIDDFLTNGGKSIPIILFLDEDFNVIGQWGPRPAHGKELLNKYKNDPENYTKDDFYHELQVYYARNKGYDTIEELLEIIPSGK", "MKGSKIWILVLVAIVAVIFLVPGLRNFFKDQVMMKPTVEKLNNEVTVTDAEYNIELKGMNVPNANLKDFKGKVVFLNFWGTWCPPCRQEWPTIQKLYDGKKDKMDFVLIAMQDKEEDVAKFLKEGNYTVPVYIATSPLPDKFLVKIFPSTFILDKTGRILKKEEGADDWNSESNQQFVDTVTK", "MALKASKIRLIQEAFRHKGFIRKLPDLFRMIKFISKGDYKPDFKGFILPGLAFVYAISPIDVLPDWIPVIGQMDDLAVLALAMPVLMKEVEKFLIWEDDKKNGIKTIEVDAQ", "MNILRMKQNNKLLISVVGPTGIGKTKLAIEIAQRFGTEIVSCDARQFFKEMPIGTAMPDEEELAAAPHHFIANLSIQDDYSIGKYEKDALNKLEELFQKYDVVIMVGGSGMYERAVIDGLDKLPEANADNIRKLEAIIESEGIAKLQELLKEADPKYYEKADIENPRRLLRALDIYWQTGKPYSDLLNISRQGRSFDVLRIGIEAPREVLYDRINKRVDIMMEKGLLKEATALYPYREKTALQTVGYTELFKYMDGEWTLDFAVEEIKKNSRRYSKRQLTWYRKADDIHYLQLGYTPKDLEELLKQLSLIE", "MQRIHQIFLVVALAAIVVSCKTRVAYTKPDLQLPSEFRFTATADTASIANMSWKQFFSDQTLQQLIEKGINHNLDLQIALKQVAASQERLSQAKYLQFPDINFTTSAQISRPSKNSMNGQSLNLFIGKNHVEDYNAAFNLSWEADIWGKIKNQKEVSKMQYLQTYEASKAIQTQVIAAIAQGYYNLLMLDKQMKIAESNLELSENTLTITQKMWDSGDATALGIQQAKAQKQSTELLISQLKQNIAIQENALSILTGEAPNTVNRSLDIADASMPANISAGLPAALVSRRPDVRQQELALLESNALVGIAQANMYPALKITAGGGINSFKFDNWFQIPASLFGSALGGITQPIFQKRQLKTDLEVAKIQREKNVLMFRQSVLNAMGEVSDAMASNEQLKTQELTAIDQVSTLKEGIKNAQMLYKSGMANYLEVITAQANSLQAELNLASIKRQRLSSMVDLYRALGGGWK", "MLKKFIERPVLSTVISIILLLLGTLSLFNLPITLFPDIAPPSVQVTAFYPGANAEVVARSVANPIEEAVNGVENMTYMTSNSSNDGTMTLNVFFKQGTDPDNASVNVQNRVSKAMSQLPQEVTQSGISTQKVQNSFIMFMGLYSEDPKQYDELFLQNYLKINVIPQIQRIPGVAQAQVFGTRDYSMRIWLKPDRLAANNLSPQEVMAAIKDHNLEAAPGRLGQGSKETYEYILKYKGKLNKNDDYENIVIKANADGSFLRLKDLARVELGSYTYTAENRVDGKPVAGFAILQTAGSNANEILTEIEKQVDEFSTTLPKGVKPIIMYNSKDFLDASIHQVVETLVIAFILVFIVVYIFLQDFRSTLIPAIAVPVAIIGTFFFLQLFGFSINMLTLFALVLAIGIVVDDAIVVVEAVHSKMEQTGMPVEQATMSSMSEISGAIISITLVMSAVFVPVGFMQGPAGVFYRQFAFTLAIAIIISAINALTLSPALCALFLDDPQGEHGHQEKKGFGAKFFRAFNAAFNTMTRKYIYSLKFLIKNKLVAVGGLVLITAISVFLIKKAPSGFIPTEDQGFVLYAVNTPPGSSLERTHRATEEIDKIVKKENATNHLWVADGLNFISNANASPYSAGFIRLKDIDKRGDMKDPDQIAAALTGKVSAVKDANAFFFNFPTVQGFGNVSGFEFMLQDRTNGSFEQLGATTQAFIGELMKRKEIAFAFTTYAAGNPQYTIEVDSEKAKQLGVSITELMQTMQIYFGSSFVSDFNRFGKYYRVMAQADVPYRTDINSLEGIYVKNNMGEMVPTKTLVKLKRTFGPETVTRNNLFNAVTINGTPKPGYSTGDAIKAVEEVAKQSLPRGYGYEWTGITREEIKAGGQTAFIFLLSILFVYFLLSAQYESYILPFAIILTIPTGIFGVYAFTGLAGIDNNIYVQVGLIMLIGLLAKNAILIVEFAVQRRKAGKSLIESALQASRLRLRPILMTSFAFIIGMLPLVWTQGASAKGNHSIGISTVGGMLTGVLLGIFIIPVLYVIFQYLHEKMPSKKKRRALQKELAHQHSASH", "MKMLEKSGIIILLSGIILLLNSCSKASETAGQAPPPPQVPVYTVVSSPATTYQEFPAALEGKNNVEIRSQVDGYLDKIYVEEGSFVRAGQPLFKIDSRTYGEQVNMAQANLQAANANIQKAKVEVDRLIPLVSSKVVSDVQLKTAKANYAVAVAAAEQAKASLGNARINAGFTTIVAPVSGYIGRIPNKKGSLISRTDPNPLTTLSDVSEIYAYFSLSEMDFIAFKNKYTGATLEEKIKNMPMVDLVIADNSLYPEKGRMSIIDGQFDKSTGAISIRAIFPNANGTLRNGNTGKVRMPQLLSNALVIPQESIFEIQDKTYVYILGKDKKVTSKPITISGKTNSYYFVSEGLKAGDKIIYTGLGMLKDGAVVNPKALSSDSLLQAKPL", "LLTLLGKIIMGVHERRQREKESVRANILQAAFNLAKTEGWASLSMKKIADAIEYSAPVVYDHFENKEAILFEISLQGFKLLQKDLIKAQKKYKTPEEQLTALVNAYWDFAFKNKEYYQLMFGLGMQCCGKGQMKDEFSLFQQMIYDCTYRIIEKNGSNTDEACHKSHALFSAVHGMISIMMMRNADIPETMNKSTFDEAVVSFIKSL", "MATTPSNMLALGTKAPYFELENPLTETSENLEELKGKKGTLVVFMSNHCPFVQHVIDKVVELHEDYQAEGIQVIGINANDLEQSPEDSPEKMLDFIKERNITFPYLYDESQAIAKAYQAACTPDFYLFDDKLDLVYRGQMDESRPGNHKEVTGEDLIIAFENLLADQPQEEFQIPSVGCSIKWK", "MNKKYLSPSFEAGKHLFQKNIQGSIVNLNLIKLKKEADYSACPDLKPPCSISGHEAYLTYIKEAKPFLEASGGEILFIGKGDLFLIGPENEYWDLCMLVRQKSVGNFLSFEQNEDYMKITGHRTAAIEDSRLLPLEEFSL", "MKNALKQELREKAKAHKITMGVLSVRNNITEKQYVQSSLNMEALVNKIKFLLNGRIFTNPHLQNDWTEQGSENFTFEFVTVIHPQDKKYINYRQEIMKAEKAYLESIDTEIY", "MISAELLLLVNINKLQSVIARKFDFLSVHGLGFNDFVILYILNSSVESKMRRIDLADKIGLTASGVTRLLNPLEKTGLVTRETNERDARVSYVVITSTGKKILEEAQITAENITKEILSSKKGKSLKVVTEFLFELGGNIQ", "MSIKDGILIENQREADNTKRIIERLKDENASWKPHQKSMSALQLASHVVELHLWLKIALERDSFNFHTDYVPLKATSFAELQDILAKGVENNINFVNGTDEDFWLQTYTLKAGDHVIAALPRIGALRFIINNHLIHHRGQLSLYLRMLDIPVPGLYGPSADEA", "MLHIQIFPFNPFSENTYIVYNDQKQAWIIDPGNIHPKETEALQSFISDNGLKVEKILLTHAHIDHILGLQWAHDTYKVPVYLHPDEEEILKMGNLSAQRFGFEFNDFNGEVKFLNEGDELKLGDEIFHIYFTPGHSPGSISYHNPNGKFIISGDVLFEGSIGRTDLFKANFDQLIDSIKTKLLILPEDTQVFSGHGNPTKIGFEKEHNPFLK", "LATLCLFAQNKTFVYQLDYRYSDKKDDKKKETFTLDIVNQQSVFRTEDEKKSKTKEIKNT", "VSNPQTSNIKKGILLVNLGSPKSTSVKDVTEYLDEFLMDERVIDIRWLFRSLLVQGIILKTRPKKSAEAYKTVWTDQGSPLIVISEQIQKKLQQVVDVPVALGMRYAQPSIEKGIQDLVDQGVNEIILFPLYPQYAMSTTETVSVKAEEVRKKKFPAVKIKYVKPFYNRDIYIDALADSIRKQLPEKFDKLQFSYHGVPERHIYKTDPTKTCNLENCRTEGPHHFNEYCYLNHCYETTRLVREKLGLAEDKVILTFQSRLGKDPWIQPYTDATLESLPKQGVKDLAVVCPAFVSDCLETLEEISEEGKEIFEHAGGKSFSYIPCLNDNDEWIQVMKKLCEELY", "MYQILIEPTENPKVLKFVAGHTLIEGSLELDRNSDISEIPVAQELFNYPFITRIFITANFIAVAKEDTVEWDVVAQSLKNVIEDQLLAYPKIFLPKKKEQYTLYAEMTPNPAVMKFVSNKILLEGFLEIRTPEEATDVPLAKTIFEKLDYVKEVFISDNFVALTKIDNVQWHEVMMDARGFIADYLQNGNPISNVPAHQHENPVQKIINRDYTDDEQKISDILNEYVSPAVENDGGKISLIEYDKESKTARMLLQGACSGCPSSTATLKNGIEGILKQFVPELVNNVEAVNG", "MALIKELLGKTPEFGENVYLAETATIIGDVKMGKDCSVWFNAVIRGDVNSIRIGNQVNIQDNAMIHCTFEKTETIIGDNVSIGHNAIVHGCQVHDNVLIGMGAIVMDDCVVENNSIVAAGAVVTQGTHIKAGEIWAGVPAKKIKSVSVDLLEGEIHRISNNYIKYSSWYKED", "MKLFHSLEALVELLEHTNRSVFLTGKAGTGKTTFLNNFVKNTRKKHIVVAPTGIAAINAGGVTIHSLFTIPPRTFVPTTEHIDRNLAMNINDLFPHFKYRKDKLKLFREIELIIIDEVSMLRADVLDMMDHSLRHVRRNQLPFGGAQLLLIGDLYQLPPVVRDDSERILSRFYNSPFFFSAKALQEVPLVTVELMKVYRQQDEEFLEILNAVRDADIRGLDFEKLNSRYEPEFEPKDEAYIYLCSHNRIADNINQKRLAELGGKTKFYKAAVVGSFSESQFPNEEVLELKVGAQIMFIRNDTSPEKKFYNGKLAEISYIDEDVIKAVLDGTEEEITLTKETWEQKKYSLDEEKNIKEEVLGSFEQYPIRLAWAVTIHKSQGLTFDRVIIDAGKSFASGQVYVALSRCRTLEGIVLKSKITPEVIFSDHRIENFQKSTNANDILEQILEQEKYDYTLHKVQMTIDATWLKDSAITWMASALSAKGLDQEKVKNLSQIFKIETEKLFDISEKFKRFIQQKSKSFIAGNIKWLEIEEKCKGAVNFFYTNVAEQFLLPLKDYYSETKGVKGLKAYNEEVKSFIDDLEEYIDRLKESYLLDVPLFDKEKELDTSAKMIKKPTHIITFQLFEEGKTPGEIAKERGLVTSTVYGHLAKMAELGLVDMERIFDRKRIRTFEDQYREEAFDNLSDWKKALPDEFEFHEIRILWNHFNHKYENKS", "MTLQNLKYSVLDLAYIAQGNTIKQTLNNSLDLARYVEGLGYTRFWLAEHHNMVSIASSATSVLIGYIAEGTNTIRVGSGGVMLPNHSSLIIAEQFGTLESLYPGRIDLGVGRAPGTDGYTAMALGRNPQIINEQFPRQISELHTYFSEENKNGQVRAIPGEGLDIPVYILGSSTDSAWLAAELGLPYAFAGHFAPDQMGMAFKIYRENYKPSDRFPKPYIIAATNIIAADTDEEAEYLSTTLYQAFVNLVRNDRKPAQPPVEDMDTIWSPMEAMQVRRMLSLSIIGSKETVQKKLNDFLEVYQIDELMAVTNVYDHKVRLRSYEILKEAIKG", "MLKYLSLSILSGLLFAISWPAHGIPVFIFIAFVPLMLLEHHLTNFSTVKRKKLAVFGFSFLSFFIWNILTTWWLFNSLMPDGSHSIVAVAVPTLLNSTMMSLVFLFYHIYKKRIGTYLGLAFFVALWICFEKFHSSWEFSWPWLTLGNAFGGWHQWVQWYDTTGVFGGSLWILTANVAAYYTYRLFQVTRKRKELIKNTAIFLAVILIPLSLSLVKYNQIKLTSDKTVNAVLLQPELDPYAEKYSKDSITIVNELLDIAKKNAKGKVDFFIAPETAIPGSGGLSENGFAYSHVLNSIQDFTKQYPQSVFLTGASTYKVYSSEEKASETAYLVGSVGVWVDSYNTALQIVPGQKIETYHKGKLVPGVESFPYITVLKPLLGEAMLNFGGTIASLGSDKERKVFSNPYNMAKVAPIICYESVYGEYVTEYVKNGANLLAIVTNDSWWGYSPGHRQLLALAKLRAIETRKEVVRAANSGTSAHINVRGDVVENLPYGAQGAIQVTAGIFEGETPYVKYGDVIYRIALFVFGFLFIYFWSQIYLSSKNKVKK", "MQNIVGIDIGGSHITLAQVDPDKHEIITSTYVRERVDSFADPETIFHAWVSGIEKAIGDLRKEELLIGIAMPGPFDYENGVSLMLQGKFRSIYEVNIKEELARRLKINKNQIHFINDAAAFLEGEVFGGCVQGHGRIFGVTLGTGLGTTFYNGTVATDEDLWNSPFHESICEDYLATRWFVNRYAELTGETITGAKDLLDKPEELRNKMFDEYADSFAEFILKYVKYYDPEVLVIGGNISKAYPYFAERLNQILEENRIELKIEISEIFEDAAILGAASYALKMKN", "MRQKIIIAVLSLCSYFSFGQDLKPVDYVNTLMGTQSKHSLSNGNTYPAVGLPWGMNLWTPQTGKMGDGWAYTYDADKIRGFKQTHQPSPWMNDYGAFAIMPGVGKPKFKEDERASWFSHKAEVATPYSYSVYLADADVTTELTPTERAAYFKFDFPKTDSAYVVIDALDKGSYIKILPKEKKIIGYTTRYAAGKYENFKNYFVVQFDKNFDLTSAWKDNALVNDQLEITSNHAGAIVGFKLNAKESVYAKVASSFISFEQAEINLKREIGNQSFAQVKSNAKDIWSKTLGKIEVKGGTDEQYRTFYSAMYRTLFFPQKLYEIDAQNKIKHWSPYNGKILDGRMFAGTGFWDTFRALYPFLNLVYPSINVEMQEGLANAFKEGGFLPEWSSPGFANVMIGNNSASVVADAYIKGLRGYDIETLWKAVVHGANNEGPMDAVGRRGVSYYNSLGYVPYDVQINENAARTLEYAYDDFAIYQLGKALGKPESEISIFKKRAYNYKNVFDASTGMMRGKNKDGKFQSPFNPFKWGDAFTEGNSWHYTWSVFQDIDGLSKLMGGKKKFEAKLDEVFSLPPVFDDSYYGSVIHEIREMQIMNMGQYAHGNQPIQHMIYLYNYAGAPYKTQYWARQVINKLYKPTPDGYCGDEDNGQTSAWYVFSALGFYPVTPATNQYVLGAPLFKEATIHLENGKKIEIKAPQNSQENIYVQSLKVNNLPYSKNWLNHQELIKGAVLNFDMSAQPNKERGSQEKDFPYSMSTEK", "MNTEKTEIFNRIEKTLGEQGFNIVAKDDSRPWGGFFVIDEKQAQDFANQYFDGIDVESLKIGGKLSPKILLVAPQARLSWQYHHRRAEIWQVVDGVVGIKRSNTDEEGELKEYNPKDQVKLEQGERHRLIGLDGWGVVAEIWQHTDANNPSDEDDIVRVQDDFGR", "MSKICQITGKRAMVGNHVSHANNKTKRRFEINLLEKKFYLPEQEKHVTLKVSAHGLRVINKIGVEEAIERATRNGFIK", "MAKKGNRVQVILECTEHKESGMPGMSRYITTKNKKNTTERLELKKFNAVLKKYTVHKEIK", "MAKKVVATLQTSSKKMTKVVKMVKSPKSGAYVFEEKVMNADEVDAYLKK", "MKPFTRILVFSLLLSFIIAFGQRNVDMIQFKNTDSVFQIKGLSQVVEVPLGASRMLILSGQVPVNQKGEVVGSDIRTQSRQVFQNIQSVLEYCGANFNDIVKLGIFTTDISKIAEFREVRDQFINTTNPPASSLLEVKGLFRKDVFIEVEVTAIVKNK", "VSWFKKIFKKEEKESLDKGLEKSSQSFFDKVSRAVVGKSKVDDEVLDDLEEVLIASDVGVETTVKIIRRIEERVARDKYVNVAELNNILREEISGLLLENPHAGTQNIDKTKKPYVIMVVGVNGVGKTTTIGKLAHQFKSEGLKVVLGAADTFRAAAVDQLVIWSERVGVPIVKQAMGSDPASVAFDTVQSAVSQDADVVIIDTAGRLHNKVNLMNELSKIKRVMQKVVPDAPHEVLLVLDGSTGQNAFEQAKQFTAATEVTALAVTKLDGTARGGVVIGISDQFQVPVKYIGVGEKMQDLQLFNGTEFVDSFFKKREEN", "MGWITYIVFGLIAGAIAKAIHPGKDPGGWLITILLGILGSILGRWIAGAIGWYAADSFWSFKNWLFSIGGAILLLFIYSKITSNKE", "MKNFFKTVMANIVAIFIVGFIGMIGLFLFILISSMSGKGSVNVKDNSVLTINLKDNIIESTSELSSSIFDLGNDSSMKISDILNAIKQAKDDKKISGISIETDGTTAGITQIDDIRKALEDFKKSGKFVYAYGNNVSQSSYYLSTVADQYYLNPTGGVELKGLSTEVVFFKDLFDKYGIGADVIRHGKYKSAVEPYLTNKISDENKEQLSFLLNDLWGNISKKIETSRKLSSEEFKTTTDSLYGIIPEYALKSKLIDKLLQKSEYDNLLKSKLKVAAKDDLNRVSIGNYIEYVNKNTSSSSAKDQVAVLYASGEIFSGKGNTGIYSENFIKEIKKIEKNDNVKAVVLRINSPGGSANASDEILFELQQLKAKKPLVVSFGDYAASGGYYIAMAGQKIFSEANTLTGSIGVFGLVMNFKELANRNGLRSDIVATNVNSKMFSTISGMTPGTRNMMQKSVEQTYKRFVYFVTQNRKKTFEEIDAIGGGHVWSGTRAKELGLVDEIGTLDDAVKYAAGLAKTKDFSIKNYPKDKSNFEKFFESMNEEDVAAKAIETKFGKENYQLFQKINNPNNKGVQMALPYVLKIE", "LLLGSNINFPEKNIDTAIGLISSRLGNIIAMSSKLMTDPVEFDSKNIFCNIAVLIKTQFSPVQLLKNIKEIEREMGREEDSFAKGGYEDRIIDIDIVEFGSIRFYSQKLMIPHLKHLNERDFSQELLTQLNTNIEKK", "MKLNFASVALACVLPIAMYGQDSTAVATTTAAQGQYPNAYTSGSANVSPFTNKSKRFNDWSISAGAGTVIMKSGSLYSLHDESGSKNLFGWAAYFSVDKAITHAFGLKLQYDKGETRQGWVNTKDHVSSGQPGGRTQYDAISILGDVNLSNLFRRVDNHSPYRWALHAYGGIGTVAYRSYLQEPGDYNQTLTREIKPFKLNSFYAQLGAGLKYRVSKSFDLEGRVMYSMTGGKGFDGARGNYVDPARAGNNDMINATLGITYNIGKHDSHLFWHDPLQEIYYKLDVLDNRKQDIEVCKKGDGDNDGVCDDWDRELNTPAGARVDGSGKALDTDLDGVIDLYDKCVTVPGPVENNGCPVKKDNHKVAVEVENELKNVYFHFNKATITNESGSRLDAAARIIKDSDGRYLITGHTDAKGSAAYNLKLSRERAAAVVSALEGRGVSSGMLKSKGVGSADANVPVTASDAERMADRKVTVRFVDDYEWNSLPKKDYSDVAVKKTKTVKKAVKKTVKKKK", "MKLNFASVALAFVLPVAVYGQDSTAVATTSAAQGQYPNAYTSGSANVSPFTNKSKRFNDWSISAGAGTVIMKSGSLYSLHDESGPKNLFGWTAYFSVDKAITHAFGLKLQYDKGETRQGWVSTKDHVASSGAAGRTQYDAITILGDLNLSNLFRRVDNHSPYRWALHAYGGIGTIAYRSYLQEPGPVYNQSLTKEVKPFKLNSFYAQLGTGLKYRVSKSFDLEGRVMYSITGGKGFDGARGNYVDPARAGNNDMINATLGLTYNIGKHESHLFWHDPLQEIYYKLDVLENKSQDIEVCKKGDADNDGVCDDWDRELNTPAGARVDGSGRALDTDLDGVIDLYDKCVTVPGPPENNGCPTNNQVVTEVSRSLEGIEFDLNSDRILSSNTAILNSAVNYINSSEMGSKYTVVGAADARGTVQYNQKLSQKRANNVKNYLIQNGVQSSRLDAVGHGKSDLKYPECNPASKCPEWKNRANRRVYFQQK", "MIPSRSYNEEILDQTLKQFWGYDHFRDQQKEIIRSVLSSNDTLALLPTGGGKSLCYQLPSLLLEGTTVVISPLLALMKEQVHELNLRGIPAAYLSSEFDDEQEELIYQNLKNEEYRLLYVSPERLSNRNFLENIADAKISLIAVDEAHCISEWGSDFRPSYQNIKDFRQMYPYLPCLALTATASVKVMQEIQQKLDFKKTNIFKKSYRRDNLYIQLRELSDKYNHILYYLRSNEKSGLIYVRTRKEAEYLSNWLKISGIEQVDYYHAGLPVKEKQIRQNYWLSKNNYTLVSTNAFGMGIDKDDVGFVIHLSPSPSIENYYQEIGRAGRNGQNAETILLWNQSELTQIDDLIKSQLASKTDYQKVCSYVYSFCQVAEHELPEGFFEIQTNKIQNLTKISRPKIVSILRFLHNQEIIYLKESKGMSSLELKFDISEVESLGKKDSFFVEKLSRALDGVATHRTYFREKKLTDKMNVDEQTIRVRLRELHEKGLVDYFDGNSAGIRFLMPRNDKELQNRYWNLFAIIQKNKMQKWEEMKYFIRDTSYCKMRLILSYFGEKETQNCGKCSYCLTSKTGTSDREYKKIIFEALMRSPMTLDELAVHLKFYPKDELLEHLKILLDRGQVRMLNYKTYTL", "MNKLKVVFFGTPDFAKASLEAIHKSNHEVAGVVTVADKASGRGQKINESPVKKYAVEHQLPVFQPEKLRNPEFLKEIENLNADIFVVVAFRMMPKVLFSMPRLGTFNLHASLLPDYRGAAPINYAVINGEEKTGVTSFFINEKIDEGHILLQEETDVDIDEDAGQLHDRLMDIGAGLVVKTLDGLAEGIIEEKPQPQIENPKTAYKIFKEDTRINWQHDVEVVYNFIRGMSPYPAAFTTIEIAGQPKTLKIFKGKFEKKQHGKSVGQIDIDKNSFAVYTHDGVYYPMELQLEGKKRMTLKDFLNGFQSFTDIKIA", "MKFKEIFAFSLIGIIVLAGGLYFYSNWKMKQEVQDVTQGSLLFRKVSGFSGSQGRKTYYTAYRVDKILGDTIFVNPINEVLAVPYSVMSEDAYSLLKKDGRNAVITPIASDRAFFEKNISGGEQAEKMFPELKKSPFYYFNSETEKLMKKDLINEQVIRRWTNEPSVNNNFSNTYYPEGNFQSVDAFIK", "MDKIQLDTIPEAIEHLRQGKMIVVVDDEDRENEGDLIGAADLVTPEMINFMTVHARGLVCVPLPEKRCDELGLDIMVSRSSDPKETAFTVSIDLLGNGNSTGISAGDRARTIQAMMDPNTKPTDFMRPGHIFPLRAKEGGVLKRAGHTEASIDLTRLAGLNEGGVICEIMNEDGSMARLPELKKFSEKHDLKIVSIEDLIQYRMKQGDLVERIEEQIIQTHFGDFNFYAYKERHTDQIHYAITKGKWTDKEPVLIRVQSSSAYFDIFTRLANGEKPLMEKAIQMINAEGKGAIVFINNVSDSEKTMNKLQQFLAYQSGTQGKPTIRANYKDYGIGIQILKDLGINNLKVLTQSPDQRPIVSGYDVEVSELVELTV", "MKITNKNHDEVSALLTVTLDKSDYKEKVEKTLLNYAKNANIPGFRKGKAPLSLIRRQYEAGVAYEEINKQVSDALNNYINENNLRLVGQPVPQPVEELDYNNDNVTVAFEVGYEPQITIDLAKYEAPHYKVEASEKEINQSIENMQKRFAERVPQEKMNKDSYIALQIEQVVEEDAEGEHHHAPKNVTINKDNKEVYAVVKGKKVDESVIVTKEDLQKDETLAKELGFAQGEVEHLHHNEVKVTVKEIYSLDLAELNQELFDKVYGKDNIKSEEELKNKVKEELDEYFQQNADVHYVNKMLEQIVEKEEIQLPETFLIKWLMFNNQNIQSEEQAKEILENEKAQLKYQIIEGKLMNDNDVKIDYADILAQSEQLVRNQLAIYGIHHLSDEEVQKYAVEMLKDQEQVRQISSEVAMAKLKDTILEKGGKKEEVISHDQFMEELKK", "LPKAIDLPEGKYMGNIKKNGYIREASCDEELRAMRDCLFVIGGKWKLLILRYLANRQHLELNFTKILQDIGGISPKVLTKELKDLEQNQLIYRKQNNDKVPKVYYSLSEYGKTVIPLTETIVQWGLDHRTKITE", "MYAVKLVSFQGENQLINDLEYTKPEIKKNNQVLVKVKAVAVNPIDLQMFQGKNETRIMSSDILGRELAGVIEETGSNVEHFKKGDEVFLAVGSMGSNGAFAEYVVVSEEILAHKPKELSFERITALPIAYVTAWQAVSRLQLPKESSLLILGASGSVGKALINVLHHFGYQKIIATAGNDYSTSELIKQGLLKEQIVSYKQPDLDRIILTQNNGLYDIVIDCIGGKMTETGASSLKREGLFVDITNLRTEEANYTLFQKAAIIMNIARYAEPELHFKYGKILNEVSSVLGSEENWYLQEVLNLGKLSSENLTKAFNLMEENKTNGKKLVLKVE", "MIQIDDKIISEDVFAENFVCNLSKCKGICCVDGDAGAPLDQDETEILAEIYPKIKGYLRPEGAEAIDAQGTHVVDVDGDLVTPLVHGKECAYVIFDEKGYTKCGIEKAYEDGVIDYQKPISCHLYPIRVTKYSTFDALNYDVWKICADACTLGDELKVSVYQFLKKPLIRKYGEEFYNTLTDAAIAWKQEFNK", "MFRLLSKQTNIFSIPAYLVCLLVFISLFNILNFNLLSIISSIIAFLGIALGYFLFNNIGLNRNTHLPLFIYTVLVFSFYFGDVSFPLAFTILTANLSTLILISNNEKIGKRSYFLVGCLMGFMYVVMPQTWPLIIFIILHIFATSSNISANLFRLFFGIALLFMNYAGFYYLLDIPDYYTRLIPYVSDKMITNIEPLIFLSPVLLFLLYSIYDHFVHFSKKSPSSKFRYTLLLVYSLSILIILIFYMGTQYEFLLLIAFPVSVITSRGLRFISKYWVKELILWGIIIFALLFKLAYYF", "MTSFWLFLSKVFKWSFGFYDTFGNVLNWILFIVACGYFVYWCWILVAPLGNNKDKEYHSDTEDNNPYYREDLYKQG", "VKTETDIALLERVKRKDQQAFRMLYNRYFTLLFRRVYAKIKNEEIARDILQETWMKVWEEPDFILKKNIQLNAFLLKHCDYRILDYFRNLKYKTEFSEENYPEISDDEYLEILDTFDTKELLQKIQAIIDQLTTTEKKVFELRMMKRKSVEETARILNISEKTVRNYLSSTLSEVRKQLKTHYKASRYVAAIFYIELFLNK", "MWYNVNDCSGKRDDAEKERKRLKDKLVFDTIYYRKLIYEIYNRKDNGFFLPPSGKGK", "MKFTTEKITAFFFRLLERENKLLPKEKELIQNLLDKESEITADESREKDLIWKQLSQKIQINVRAKRPRQLAIRSYAVAASVAFIFALGFLFFQLNKTSDSERAIFSTAKNEIKKIVLPDGSEVFINNATRVTYDKAKFNDKLREVWLEEGEAFFSVTKNPEKPFIVHYQNLKTTVLGTSFNIKSYKALGESIVTVRTGKVQISDAKHVYGIFEKNERLIYDQRNKTSRVNEADADRTASWRTGDLVFSNAGFQEVAMRVKMKYGVTLISTFNMDNIRLNASFRNDESLQNILDAICGIYQLQYKKLGKQIIFSHKTETDESENKKNKSVK", "MKKNYQSIVNLKSILLLAGISLVGLLPAQSVSFASENLTTRLQKISLQTGRNIAFDNTMLQNTNAPSVEGDAKSAEQLIRKSLSGTAFTYRPLGESSFMIVQRNQSLGKGRVSGKILDEVGAPLAGAVISVNPEGITIVTGNNGDFSIELPEGQYTLTIRAKGYSTTILDDVIVKNSETNQVSLSLSPIGKDERIIKEVTISAAKKQNTVSGLLAKQKKAAELSDGISAEQISKTPDNDAGDAIKRITGLSTVDNKFVVVRGMGERWNETALDGVTQPSTDPTRKMFSFDLIPTSVIDNIIVSKTATPDMNANFAGGFVQIVTKDIPIEPFFNFSMGSSYNDISTFKEQLGRKVRKYDYLAFDDGSRNLPIGQVKTLLQLSSENGGNAQTNPELLEQSKLFKYDNFTTYRSNTPLGIQYQASGGTYFNLNHNNSNKLGFVAALSYRNRQEQEEIKNFERGNYRRYFTNKSGDLIERSTRNTGGNYEFNTTWSALFNVGAQLGKNRFTLRNIYSRVFDQRLNRILGWNYDDTSEGTPPSIIEETNRPVFSALLQNKLEGTHQLGKVKWDWAISRTEINRDQKDVTYLNFTNKKIGDEYFYYTMPDVLGNTFKRVPFGRGSYLYNEKDYNWETSFSYPFILGSFKNNLKVGYFGAAKEAIQDFFEVSLNAMRSATGSGGYLTPQELLMAPISVKLDQKNYNPNGFAWVPTWEAGNKFDGKVDQHAPYIMLDNRWNKFRLVWGLKAEYYLYKDIENTAYKTRWSYEGKTDDKKWQFLPSVNFTYTPWNNFNFRLAYSKSVIRPQFSERNKYPYYEPIMGGEIFNMPIISSVANNYDFKAEWYPSPGELISVGLYYKNIDDPVELYRRITPDAANVFTRLNTKNAKVKGVEVDIQKDFSFISESLRNLKFIGNLSVNDTKVQVEEPSSVDEDGVSFKNEKGESINKQIAYTDNRPLYGQSPYIFNLGLGYFGDRLGVNILYNKAGRMLNVVSFDEAGKEYLAPYGKADAQISYKLMKNKQLEIKLNVSNIFNEDYIYYNNENSYQFNPPNSDKGRSDVRFQNPVFYKKYATHKEGWSDAYDEKDNVLYRFNVGRRFTLSFTYNF", "MKKLFLTASVAALMLNSCSREGIAEQDNFKMQSTTADYTGFPAAVQTVSGTITANTTWTSDKVWELDGQVDVAPGVTLTIQPGTFVKGKQGTVSALIVLRGAKINATGTEQNPIIFTSYKLLDNNASTTAAPGDFGGIILLGNAPANVKKTIEGLPTTDDKYKFGGDLGTNAADNSGILKYVRIEYAGYNLSQDNEINGLTCGGVGNGTTLDHIQVSYGRDDSFEFFGGTVNASNLVSFAADDDGLDFDNGFTGTITNAVVIADKNSTHSQSGGKPDSNGIELDNNAASEDASFGLLPKTHPTLNNVSVYGVNNSGDAALYKYGARIRRGGEITLNNVVITGFTHGLVFDGDTNATWSSVNNSGFSGFTAAVTPTGSVNNTNSIFNGSANTFGASSPFFDILDFSGSNKGAFVNGDWTQGWVQYAF", "MKTKQNLYSFLYILFFFIVFTSCSDDQREYYPDKKVMKVNIKGYIAKDSLQIKMGNTIVTTDDGNKKYFKNAVDKNVDAFAPSVFSLIDGKGKVLATKNYEGSTFNNNFKFFYDGTTLIDKIPDVPKPTTGNVGILLDFSERRISKTPLSDIEIYVFATRLGKTTDITRAKFNNDGQVYLDLAVPTQNTAFTIALVKPGTKISYVSTINSRINQNSVRDKGLMMLIQETGDSEITGIQGTELTQYLN", "MKKLMRWSLLCYTILFTILYSCRADEDMLSTYPAGSSQQVNQWMLKTMQRYYLWADQIPSQTNIDQNPKDYFKSLLTKEDQFSVAIKKDDPGTIPQSVRTLFGFDFSVIQQHNQLTFALVKMVLEDSPAKRAGLQRGDIITGINELNVSPENVNKIMAAVSGSRTLLLKIAELKDNQLVFKRSVEVQAMFSFNQPLLSKILEVNNDKAGYLYLADFQDGLASSLVKPFQEFKGQNIRHLILDLRYNGGGSVSSAAALCALITDKLKSGTPFIVYKGNKNGGTVSHSIDQAFANGNQNISFDALKASALSLSQVYIITSANTASAAEVVINNLKPYIKVTQIGDKTLGKDMAAFTLSDTETPENKWVIYPMVYKIFNILDSGNYTSGIEPALIADEYAQLPLQPLGSKDETLLASALLVITGQASSNLKTKSHNTKNMVFRILAESEKPENFIKIPL", "MKGITAFEHFKNIERIRKLQDSEVEEKLQFLYIRYEKAIARLINSNTLYKECMVKLSER", "MRLISKEDHARNLRKLRKKYDEINPELTQGKVDELNAIFLDYLNTCNELKNLIFAYQREVEAGTTKQKSKV", "MKTIVLPIDFSERSEYLIDEAVKFAKEVNAKISLIHVAPLDIGFAIGDMGFQYFPEIEKSEIKEELLQLNRLEQRIIAQDIDCDHLLKQGEASSIILEFAEEKNADYIVIGSHGRSGMYDVFIGSLTKSITKKSSIPVLVVPIHDAK", "MKIICVGRNYTEHAKELKNEIPTEPVLFIKPDTSVLKGSDFYIPEFSNDIHYELELVIKISKGGKYIQEENAAKHYEQIGLGIDFTARDLQSKLKEKGLPWEKAKGFDGSAVVSDFFPVENFNAEEIHFELKKNNQTVQEGNSKDMIFDINKLIANISQYFTLRVGDLIFTGTPAGVGKVEENDILDAYLENEKLFSVKVH", "MNLKLHKPLCIFDLETTGINISKDRIVEICILKVFPDASRETKTWLVNPEMPIPKESSDIHGITDEMVADKPTLKEIAPKIIDMIKDSDLGGFNSNRFDIPLLAEELLRVGFDFDLSKHKPIDVQTIFHKMEPRNLSAAYQFYCGKSLENAHSAEADTLATFEILDAQVGKYDELGDDAHSLSEFSFHKRSADLAGFIIYNEKKEEMFSFGKYKGQKVLDVFDKDPGYFGWIQNADFPLYTKKILTAIKLKSKF", "MNFIRNNFANALTLGNLFFGSVGAIQLVLGDYKTTALCIICSLVLDFFDGFVARALKANSDLGVQLDSLADMVSFGFLPGLTMYKALEVFGDQAFGVNLPFDIKYIGLLVTLFSCLRLAIFNLDEDQKYYFKGLNTPSNTVLLFGMYYAFREKHAFSFLFENAGLLILLSLLCSWLLISPIKMIAMKFKSMKLKDNYPKVVLLTGCIVLLSAFGITGIPLCIVYYIAVSLLFQKSLT", "MKKIIFSLVAVFFSVLSYAQIEGKWKTIDDETGKPKSIVEIFKKSDGKYYGKVIQLLIKPADPNCSGCKDDRKDKPILGMEVIRGLSKDGSEFTKGTITDPKNGKTYKCTIKKEGEDKLNVRGYIGFSALGRSQTWYKVD", "MQSKQEENFVKQITENQRLIHKVCRIYTDNEVDHEDLFQEITLQLWKSFSGYRGEAKFSTWMYRIALNTAISLFRKSDRKIRAQSDVDFVSLKIECDEYTDEEEKIRNMYKMIHKLSDIEKALIMMYLDDKSYREIGEVLGITEGNARVKMNRAKNNLKSLVKK", "MDELELLKKDWNKDSGDFKIYSAKEIFGMLKRKSISFSTSLLLLGITEIALWLVFDVIYGLDYRLVRYTLFFCFTGLLWYTFYRIKNTINSKDLMKSILMLRRIVVIYVIAVFSTLIIECILNFDIMTNQFYTGWQEGRHGKYQVGNLLQPTLKIYILFSVILLSILLFISLIYKNFYGNILHKLRANYKELTKLEESNA", "MAEYTFREVIAQAMSEEMRKDQSIYLMGEEVAEYNGAYKASKGMLAEFGPDRIIDTPIAELGFAGISVGAAMNGCRPIVEFMTFNFSLVGIDQIINNAAKIFQMSGGQWNCPIVFRGPTASAGQLGATHSQALESWYANCPGLKVVVPSNPYDAKGLLKTAIQDNDPVIFMESEQMYGDKMEIPEEEYYIPIGKADIKKEGTDVTLVSFGKIMKLAIQAAEDLEKEGISVEVIDLRTVRPLDYDTVLASVKKTNRLVILEEAWPFASVASEITYMVQQKAFDYLDAPIKRITTPDAPAPYSAALFAEWFPKLEKVKAEIKNAMYVKQ", "MLGLNAHIDTKKLTFIGVLVSLGIVFGDIGTSPLYVMKAIVNARKESGTLDPIFLEGALSCIIWTLTIQTTIKYVLISLRADNKGEGGILALFSLVKNLKKKWLYIIAIIGAATLIADGVITPSLTVMAAIEGLEIYNPETPVVTITLIVLVVIFTIQQFGTSFIGKFFGPVMTIWFLMLGILGIIHLFDYPQILKALNPYYAVRLIITSPSIIVILGAVFLCTTGAEALYSDLGHCGIKNIRVSWVFVKICLILNYLGQGAWLVGNYQQVFAGENPFFAMMPEWFVLPAVIMATAAAIIASQALITGSFTIFSEAMSLNFWPLQEIDYPSGVKGQMYIPKVNWGLLVFCIFVVLYFKESGKMEAAYGLSITVTMLMTTVLLVFYLFKKRINKWLVLLFALVYLSLELGFFSANVIKFFEGGWITVFLAGFIGICMYAWYNGRMIKTKFIKFVKLEHYISTIQDMKLDETIPKYATNLAYFSRAKNTQEIESKIIYSMIRSQPKRADHYFILNIVNHEDPYTYEYKIDEILPGTIYRIHFILGFKVDRLINDYFQQVLTDMVDEGIIPDRSSHPSLRAHNIPPDLKYVIIDNVYINDYLLTIREKIIMNVYNFVRKMGSNDFTAFGLVSHNVVVESAPLLYNPVRVHKIKQVGFNRFDED", "MMDQKQKEKNIETVKSVLKQYLQDKGYRNTPERYAIIEEIYNLDHHFNVDDLYLLMIQKKYQVSKATIYNTIEIFLDAGLIRKHQFGEKTLTSSSYEKSYFDKQHDHLVVYKPGSDKEIDEIIEFCDPRIQGIKEAIENAFGVSIDSHSLYFYGYKKDN", "MKRILFLFLLICITGAAQTTPTTPLKKDPYFNQSSAPKTKPGTTPEKIKLIHADSTNVRPELYEGNPFLKGNVEVHHQGSIFKGDEVVLYQKENFIKAKGNIDITNPDGTHLTSNEAEYDGNTRKAIAKGNVVLTDPKQTIKTETLYYDRNANTAYFNDGGVINVHQDNSVITTKIGTYYVNEQRIVFDSNYRIVNDEYITDGKNVNYLRGEGIAVFNGPTTVTNKKNPSNYVYTEQGRYLMNSKEVYLKKNSRIHYNGKILTGDDMYFNQITGFGKAIGNVKLDDPAENRYILGGYGEIYEKTDSAVITEKPYAIKVLKTDSVYIAAKKILAYQKLDSKTGQKKSYMRAFKQARMFKTNAQGRSDSLAYNETDGVMHFVGKPIFWAGERQVTGDTIRAYSTPDMQRIDSVRVIGDAFAISKVDSLNLKDEFNQVKGKIMTIYFQEGQLKEARVKGNAQAITYADNQDEKTKKVDRIGIAYSTCGEIITEFEEKKVQTITCNIGALTDLYPMSKVAKAKRFFPDFNWNTKDRLQRWRDIFLDTPNYPEKQYTSDNTLYDAAQGIIKSKEDAEKAKEPKRVKKE", "MKQEFYKYQAQTTQFASGFEVERAEGSYIYGKDGKAYLDFVAGVSANTLGHSHPKIVQAIKEQTDKYLHVMVYGEYAQEKPVALCQLLADATPAPLEVTYLVNSGAEAIDGALKLAKRYTGREEIISFKDSYHGNTHGALSVSGNETHKREYRPLLPMINFIGFNEEKDLEQITEKTAGVIVETIQGAAGFIMPENDFFIKLKRRCEDVGALLILDEIQPGFGRTGKLFAFEHFGIVPDILVMGKGMGGGVPVGAFMASTEIMQTLSHSPKLGHITTFGGNPLIAAASHATLSEVLESGVMDEMYRKEQLFRELLVHPKIKKINGMGLMLAVDLGTPEYCLDVAKRCMENGLIVFWQLYKNNYMRITPPLIITDEEIKKGCKIIIDALNEN", "MAKTKVHFEYPMHCQSEILYEYLASAEGLAEWFADDVVEKGDDFYFSWNGGEPEKATMIRYKPESFVRYRWEADEGTKNFFELTIVIDEITNDLSLNVTDFADEGDEEEVQQYWDNLIENLQIKLGAA", "MIKNFQNIQKDPANRAFLFGDGVWVSFYIRNNELILAEECYFYLMASMRKLRLNIPQSYTLEFFKQLFQEQVIDKGLKNAIVRFFAYRENNGLNLAKNEVEYYFEAEETGDVLASAKDYEMDLIKEINVNTNLLSNIHVHSPENIYAGIYASENDLDDVILLNPNKRIARTSQGNILLLTDNTLRIPKHTEGAYISPLLESFVTFLDKKGLALLEEAEIIAFETQKADEVLMISETHGIHHVTKIRNKEFSKTKFATLLEEWQNSFS", "LKRIYFNNALSIFNITSIIIGVTAVIGLNFVKNISYEQLYWYKITAYAFIVVVFGKTIVQNLILKNFVGWNSKTLQIKINTRKNTLIYFNEISKYSLTHKILNIKTTSLDYSFDLKDYRDRDAQKILRILKKFAKA", "MNNSYKGKILISTPDISGDIFSRSVVLIIEHNESGAFGLILNKKNKFLSKRFNKIMQNDIEVYEGGPISQDKIFFIIRGERATSVNSEINDDYYLTDNVEEVIELIVKQELETKNIKIFSGYSGWSPQQLEGEIKNKMWTVIEVINLDYTESNDQNLWKKIMQGLGGEFLLWANAPEDISQN", "MENLHDRRKVYEKAELLESQIEQSPFEMFKIWLKAAEKDTSVVEANAMDVSTVDEDGCPRTRIVLLKEYSEEGFVFFTNYNSYKGAAIAHNPKACLHFFWSTLERQVTIKAEMVKTSAQDSDAYFHSRPRGSQIGAVVSPQSSVIPDRSFLEEKLTETEKLFENKEVERPAHWGGYIAKPYEIEFWQGRPNRLHDRIVYTKTEDGAWKIERLAP", "MNKSELIDAIAKDADITKVAAKKALESFISNVSSTLKKKDGKVSLVGFGTFSVAERAARQGINPSTKKPIKIAAKKVAKFKAGSELAEAVNGKKK", "MLIEVFKSKIHRVKVTESDLNYIGSITIDEDLIDAAGLVVGERVYIVNVNNGERFDTYVIKGKRNSGEICLNGPAARKVHKGDIIIIIAYAQMTPEEAQTFQPKIVFPNEDTNLLT", "MAEAKKNNTLKTALTIGISLVFAAFFMWLATRGLNFSKIKEAFVKANYFWVFAAFVFGIMAYWIRAIRWNMFLEPMGYKISNSNALWTLSFGYLMNLTIPRSGEVARATALYGVEKVPVDKSFGTIILERVVDLFFMMLFLLLTAIFKYEALISFYNYLTKQKENAPQQEQGFPWKWLIAGCIGLGAILFIAFRKKLQQTSIYAKVINFGKGLLDGLKSIIQIKNKPKFFLYSAGIWTCYYFAAYLICFALPETSSFGFADGFFLITVGTLGMMVPASGGIGAYHLALKLGVMGLYLAWGKNPESGAEVGLSYAFLSHTLQLFIMLSMGLISIPLLAKARKI", "MTIDNNHVVALNYTLHTIEENGEKTFVEQTTSENPLTFLYGVGMMIPKFEENIKGLTVGDKTSFTIAPEEAYGEKDPNALAQLPVDMFKESGMPPVGAMLPLSDNQGNNFQAIVVEVTPEVVVADLNHPMAGKTLNFDVEVLSTRPATEEELSHGHAHGVDGTEGH", "MEQQSKDPLHGKKLVDILEELVDYYQGFEELGNQINIRCFTHDPSIGSSLKFLRRTPWARAKVESLYLYVLRQKKKKGLL", "MPSLENIIKLCNYYNIGITELLDDNFKIFSDDINKKGIRINEDFVKRIIQNQEKLIELTEIQNGILIQILKCNN", "MEKKTGLTKNEGWQFGIRKTVPVKADIVWDFLFSEEGTALWLKDADKEFSTFSTSSHIRTKWKLKKWTNEATLQMRIISNIGKSTIAFHIDQLLNEDQRAETQQYWSEILNEIILNLNKI", "MNNILLESFQTPFHTAPFDQIKNTDYLPAFQELIKTSEAEIEHIANNPEAPTFSNTIEALAYAGEQLDVVSNIFFNLNSAETNDEIQQIAQEVSPLLTEYSSKISQNEKLFERIKKVYDEKANYELNEEQQTLLNETYKGFVRNGALLNETDKEKLKNISIELSTKQLQFGQNVLAATNAYVKHLTEKEDLAGIPEAIIAQYAEEAKERGLEGWAVSLQYPSYVPFMTYAENRTLRKELALANGKKSFDGGEFDNQQMIKDIISLRDQKAKLLGYENYAAFVLEERMAKSPQKVESFLHELLEKATPYSQKEIEELKALAKADGIEDMQSYDHAYYAEKLRKQKYDLNDEELKPYFPLEKVQDAVFRLANQLFGLTFEERKDISKYHDEVRTYEVKEVFDSAQNNNQQYKAILYVDYFPRKGKRAGAWMTSYKNQYKKNGENCRPHISVVCNFNKPNGDTPSLLTFQEVTTLFHEFGHAIHGILANTQYPGLSGTSVKWDFVELPSQFLENFCYEPEFLKTFAKHYQTGEVLSDEKIEKIENSKNFMEGYQTLRQLGFGLLDMAYHIKSEDVKDVKAFEDAQTKATQLYPVNPETAISPSFSHVFQGGYASGYYSYKWAEVLDADAFAYFKETGIFNPETAAKYKVLLSSGGTKDPMELYKNFRGSEPKVESLLKRAFG", "MKKFFKIMLWLFAIVFILLTVTMFVLGKKYHYEKSITINATPDKIWPHINSMKALNEWSPYMSLDPDMKRTYSGTSGEVGDTFMWESNKKEAGTGEQKLIEIVPGQSVKTSLHFIKPNEGLGVANLTLNSEGNQTKVTWSLDTEMNYPMNLMKLFMDGFMDDAYGRGLKSLKEISEK", "MKTNAEILEDIIKSRRSIFPKDYTAEAIPQDVLDKILESANYAPSHKKTNPWRFRIFQGLEKTELGETLAELYKATTSPETFLEKKYIDISDKVNKANTVLSIVSDFSGKVPEWEEVAATAMAVQNMYLMATANNVGCYWSSPGMIHHIGEYLQLKENEKCLGFFYMGMK", "MHKILILSCLLLLFSGSVYGQKIKTNSQSTEYHAPQYATESNYLDLIKNRSAAFIKFGFAGIDGRNFQNKYGIGIWNMGCLVTSDLSRKAKINNAILKKYLDKKYGNSWEKDLGFKP", "MRKYFTIILLVFYLFSSTELSQVIKLPIFIEHFKEHSAANPKLTLFGFIKLHYFNGDPDAPDYETNMKLPFKKHDLYLVTSVIVQDIPKAFNLEIKAPSFEESKTRNFFYTIGEMPSPLFSIFQPPKVA", "MLNKIIEFSIKNKLIIGLMTLALVIYGVIELRKLPIDAVPDITDNQVQIITTSPSLGAPDVERFITFPIEQVSRNIVGVKQIRSFSRFGLSVITIVFNEDTNVYLARQQVAERLQQVSQDIPKEIGVPAMAPITTGLGEIYQYVVRPKKGYEHRYSAMDLRTIQDWIVRRQLLGVEGVADVASFGGDLKQYEIAIKPAQLKAVGVTMQQLFTAVENNNQNAGGAYIEKGPNALFIRTEGLAKNISDIENIVVKNLPDGTPILVKNIAEVKLGKAIKYGAMTYNGKGEVAGAVVMMMKGGNSNQVIDKIKTRVEEIQKTLPEGVKIEAFLDRTKMVDNAIGTVSKNLIEGALIVVFVLVLFLGNFRAGFIVASVIPLAMLFAIIMMNIFGVSGNLMSLGALDFGLIVDGAVIIVEAVLHRLHSIKGKEGERISSEQMNKEVKTSAGKMMNSAVFGQVIILIVYLPILSLQGIEGKMFKPMAQTVAFALIGAFILSLTYIPMMSSVFLSRKIQTKPTFSDRMIEKLVAFYDRSLAKVLKSSKIVMTVVFLLFALAVFILSKLGGEFIPSLPEGDFAVETRILPGSSLKTSTEVVLKSQQVLMSKFPEIKKIVGKTGSSEIPTDPMPLDASDMMIILKDRKEWTSADNYNDLADKMQKELQKNMVGVTYSFQYPVAMRFNELMTGARQDVVCKIFGENLDTLKVYSEKLAAVVNKVNGAQNIYVEPVSGLSQIVINYNRSALAQYGLNVSDVNNVVNAAFAGKVTGAVFEGEKKFDMVVRMDSEERRKLEDVQNLLLTTSSGTDIPLKSVADVDIKESVNQIQRENAARRIIVGFNVRNRDIQSTVNDLQNRVNSELKLPAGYSITYGGNFENLQEAKARLGIAVPVSLLLILLMLYFAFRSVKYGLIIFTAIPLSAVGGVFALWLRGMDFSISAGIGFIALFGVAVLNGIVLIAEFNRQKTQKEDLRDVVLTGGKNRLRPVLMTATVASLGFLPMALSNGEGAEVQRPLATVVIGGLILATFLTLYVLPILYIFFEKKSFNKTKTVSETEHKI", "MQKLSVIIVLFVTFWGAKAQQSISLEEAYQKILDNNLNLKGGALKIDAQKILKQSAFNLDPLNVSAEIGQFNTDKTDHKFSISQNFRFPGFYQKQKQVFTEEWKQSLLNLSLQKWQLRRELALIFNELNYLDAKYALIKKADSLYGVYYDKAALRLRKGESNVLEKSTAENYKSQSHFQLLAIEKDKAVTLEKLNFLINDGDSYTNEKQLFSVQNVLFSQLQNPEGNPYVETLKQEQEIQKARTLEAKARLSPSINLGYNNTSMIGNQENGSYNDGSKRFHSAIIGVGIPLFNKAQKLAIEAQRVNEKIAENNYQLALNNLNMQYKQYTIQYQNALKETDYFQNDGLKNAETILKTANLQFYNGEINYLDYVLLVNQALDIRNRSIDSVKKLNDAVTEMNALQQTKID", "MNKIFLIILTTAVISSCSKEAPAEKKAVHTTENQVVLNDTQYKNAGIETGVLEGKETASGIMVTGSIDIPPQSVASVSAPFGGYIKYTKWMPGEHIGKGQVLASIENPELVQIQQDYLLAKSNLEYSQKDYLRQRDLNQSQASSDKVMQQALNQRNNHMINMRALGEKLRIAGINPEALTANNIKRVTSVVSPIDGYISSVNVNIGQYVSPADKLFEIVNTSDIHLVLKVFEKDLDKISMDQQVIAYTNQNPEKKYTARIVLISKDFAADRSVLIHCHFQNYEPHLLPGTFMNAEIETNSRASLAIPDSGVVAFEGKQYIFEEVKPKTYKMIPVKTGNSEHGFTEVTGLTSEQTSKKWVTKGAYNLLMALKNVEDEE", "MKNYLTMISAGLLLCAFSCQQKESTTKADILDGTYRLVGSETVKGTDTIRTTIDPAKTEMIKMFNDSHFAFLNHDKSKGKDSLKSFSSGAGTYQLKGKEYTENLEYCSYREWEGRQFHFTLEKRTDTLIQTGEEDIPELGIKQKIKEVYVKVK", "METLLEKFGVNSKERVENALLKLQQGKGILLVDDENRENEGDIIFPASTITEKDMALLIRECSGIVCLCISEEKSKHLNLRPMVETNNSKNQTAFTITIEAREGVESGVSAKDRVTTIRTAVAENAQAEHIASPGHVFPLIARKDGVFERRGHTEGSVDLVKLANLGEDAVLCELTNEDGSMARLPEIVDFAIQREMTVVTIEDIYTYRKDLISQN", "MKKANLILVLSFFLSTTLFAQKTFTLTSKDLGGEATKKTEFNGFGCTGDNQSPQLQWVNAPEGTKSFAVTMYDPDAPTGSGFWHWLIFDIPSNTTELAANAGNIKLNIAPKGAIQSITDYGIKGFGGPCPPKGHGFHQYIITVYALKSEKLGLNENTNPAIVGFNLWNNVLAKSSIVAYYKR", "MNIITLPDELNIDISSPVQVFDYTSSQEVSRQQIILNQNAFSFLIDGSKEVVFDNSSLSIDNSKFILMRSGHCLMTERLSDLKNYRSILFFFSDIALSKFIRSIELNKAEPSKHSSVHAFEYNEFITRFISSLSDISKLSDKVRSKLLEFKFHEIMLYLTELHGTDFIQSLIVNSNDATRKFIHTIESNQLNKLSLKELAFLCNMSISTFKREFEKHYTESPVKWFQNKRLEYARHLLNNTKKSPSEIYFEVGYENLSSFIQAYKLKYGTTPKHHQKI", "MNENLKIISTITRKSLWAWIKVILIGSLFVLADLIIGFYLIISSPQSGMAAGHVNGPAAILVFFMIIVNYFVNNFFPTLLILVGFLKIPLFIILANKQAMSSAMYNAYTYKLTDYIEPKVQMLINKIIAKQPNFVKQIPNWKIFRVKLIQENKQDNTTSWFFRKITGYCLKKIKMDDVNFSDPNLNYGEVISSKLKQFVQESLEPSMLLVWIACGVDLLLIILAIVLRN", "MKSVRFLIPAILLLFIASGINAQEKTQSPKHNDVNSALIKNEETEMNWYAVKDTTKIEIGKVITKIARTPNAVNITTTVKMKGAPSDWTDETSAKLPKLAPVKHSSFNMQRDMVLNFGKEVTGYYLDKTTNKKTEINEKTQEDFFDSNIYPQLIRWLPLKENYKTDIAIYDYNPTKSGVLKVNIQSTEKGIYKNTPVWIVKTTDGITDHKAVTSFYIDIKTRQLLKQEMDMGSRKMMMERVK", "MEVFIRHQNKNKRKEEAVNFFIEHKTNSYISHSEIISGRAKSTTEWSENFADILSAELDEDDCNLITIEDIHNKIIGIAILRIYRKYLIIEDMIVDGSLRGMSLGKKLMDFIHNFAAEQKVKALFLESGITNDKAHSFFERNGFEKVSVTYIKSLPDN", "MKNIQIFILLLITATIVSCKQKESTTAGVSTADYFNYKDSVEAGGVKMIPITTPVGNFKVWTKRFGTNPKIKILLLHGGPAMTHEYMECFETFFQREGFEFYEYDQLGSYYSDQPTDNRLWNIDRFVDEVEQVRKAINADKDNFYVLGNSWGGILAMEYALKYQKNLKGLLVANMMASAPEYVKYAEVLAKQMKPEILAEIRAIEAKKDYTTPRYTELLFPNYYSQHICRLPEWPDALNPSLKHVNSTVYTLMQGPSELGMSSDAKLAKWDIKNRLHEIATPTLMIGAKYDTMDPKAMEEQSKLVQKGKYLYCPNGSHLAMWDDQKVFMNGVIKFIKDVDSGKL", "MSLKTLISKSVQYNNWVVDKYLDWLSSKSDEQLNQETPSSFPTILKTLHHIWQTQEYWWSHISENNEFDFAATAALSGREEIFSAIRNNSQKLADYVDSLTEEDLTKNVKIESQWFQCDFSKYEYIQHVIIHGTYHRGQIVTMGRCIGITDAPMTDYNFWNIYKDK", "MTPKEQHNFTAKLEIIGINPFVFLPPSVLEAILKHYEKYKGKIPIKGKVNGVDYIQTLVKYSGEWRLYINTTMLKNSPKRIGEILNISIEVDHEERKIEAHPKLLTALEENPEALNVFNQLRPSSRNEIIKYISYLKTEQSIDKNVAKAINFLLGKERFVGRDKPELKLTKNP", "MKPQFFSTPSDFRIWLENNHQTETELLVGFYKVNSGKPSMSWSESVDQAICFGWIDGIRRTVDKESYTIRFTPRKKDSIWSAINIKKVEELSEQGLMKEAGLKAFSLRTENKSRIYSHEKEPVPLHPDFEKQFQKNKAAWNFFTTQAPSYKKVMIHWIMSAKQEKTQQSRLEKVIQESEQQKRLR", "MPNFIIDCSQDIIQQVAPDKIMNTVYETAEATGLFAANDIKVRIQPFQYYKLAESKKNFIHIFGYIMEGRTTEQKASLSKQIITKLAVLLPDISFLSISINDFETATYCNKSLINPENTNHNRHFEL", "MENKKTTSIIFAIIAIILGFTLYKQFDFQTLKFEKPALAPVYATVFFASIFILARNAKKK", "MKIIDKLAWIELKDKTILSTKSFGKDKYYLPGGKREAGETDEQALIREINEELSVTIDNKTLNYIGTFEAQAHGHEEGTIVKMTCYAAEYSGELKVSSEIEEMKWLKYSDKDKISEVDKLIFDNLKGKGLLD", "MKKILLAFLFLIKISVVSAQKSEAQDLNSISNYFQEIKTATQQNIRLWNKDLYGGILLVEPKTRQLYSNEEDAKNSLTKQGNIYVGKLPDNMNMANTSLQWNGKNWAMIMLPLSENKNDRINLLAHELFHKAQSALGFTQNNKESNHLDQKDGRIYLRLELDALTKAVLSDSKKEQKEHLTNALIFRKYRHTLFPESSTIENQLELNEGLAEYTGFMISRRSKVQTKEHFVKTTNAFLKNPTYVRSFAYSTIPVYGYLLSQKDKYWNQKIKDDTNLTDFFIKDFGVKIPNHLKVSVDKIANNYNGAAIVSEEKERDEKIQKLITEYKSKLIERPRLEIKFEKMNVSFDPRNIIPIEDKGTVYPTIRVTDNWGILEVTKGALMSPNWDKISVSSPVKINDELIEGEGWTIKINKAYTVKKDEKSNNYILTKK", "MLFLLFTPNAMNSARKISILISFFLFTLFYSQTEKKDSLIASFTYSLKAKLYKSTPDQVFEELFSLQVLKDRAFFISEKSMKYDSTFQSEFQKATVGGTTHIDFRGKSFPKTRFPYTILQTNQNNQYFERAGMSLLTYKEPVINNWKLVDESKTIQSFNCRKAEINYNGRNWTAWYTTDIPLAYGPYKFTGLPGLIIKISDQSGDYDFELVKSVPSSQLKGKMLTIEKRRYENANITTMSGLREAKKNFVNNMVGTLQSMETTIAPESRETFRNIQLQKQKNFNDENTIEQIK", "LKNKSITFIVFLSYLTFSCGQKNEKVDNKISVENTNTENLISTEIKSTTKENEINDTIIKIVKAYQKKDENTLNSLIYKDYGLTFLFARGVSDNISTAKRISFKEPVPEYLPYETNFETQYLINETDSPVFSCETESWNKPSGIYVDMTSNDKFLSTIAISENKLTEETIWNEKEIKLFEEIERKSHKVTLISKNQETFIFYIAKINNKWYLTAIDRFEVCSA", "MKPARIQVDFNELIESDLVLLSQQDIKLDYSGQEVLLFPGKEIDIYMDDTDKNGAVDNLVASGTVELNNSGLFPICKWNCRINANGIQHESELKNNKK", "MKKFYLLFLCFSTLGFAQNKAEAEKKIREGVTLYDEGKYDEALSRYNEALNLDKNNFFALAEKAMTLEIMKKYDESIEVSELVLKLYPKEDNATVYVTYGNALDHIGKSDLAIKAYNEGIKKYPDYYHLYFNKGIALYKVKETEKAVEAFQITTRLNPNHAGSYNALAVIDRSNRIPSILAAGRYLILDNKSSRAKGNLETMLTLMKQGISKSEDNSINVSLSSISGDKTNNKKSNTDDFSTVDIMLSMSATQDLHEENKDKTEIQKFIDKFSPVCRIMKEVKPKQKGYYWDFLAPYFIEMNDKNLLEPFANIIFLAIQDKDAIQYNEQHQDKIKEFYNWSKNYNWK", "MNVECEKNTFKAQFYENKNRSVSSAVLFNVSVADRYFLINSSSLAIISSFSFSILSYNAIFSS", "MQSKKIHQGRNVKRFREMLGIKQDALAFDLGEDWNQKKISLLEQKEIIEDPLLKKISEALKIPVEAFQNFDEEQAVNIIANTFDNGAMLNGINYNPTFHPMDKVLQLHEEKIALYERMLKEKDEMMAKLEEFIKK", "MREISDLIEFENENSNLDFKAIQYKRETNEAFLKDIMSLANSISRDDKYIIIGVKHKTNGERDLLGITEDFIDDATYQQLVDANIEPHINFNYFPYEHNGKRFGIFQIKECVDPPYMMKKDYGNLKSGDSYIRKGSFQKRLTRKDIDIHQNILKNKDISSDVYISLKEDEIIYDLKFEKYKILFPSEVARKKIEEIIKHKEQELKDNPYSINRLFQPSFSPIFGSSYESRDIPTLRSNLEKISKSYKEKDNYYLNEEIAQKLNIYIHNNSTEFLEDTSVEILIPKSDKYIIRDSIFSKPYSTHPLIKTSPRVASWSELNYPNVEIKEDYYKITEEIGNVKHKLPTEGLKVPLRLVILDQNDNLSIEMDVKIFAKNIEKPIQKKLKINL", "MNNFFNQIKPVEKSTLEQNKINGKESERHIAGLLTTAINARVSFTSRTEDDAKIDLITCFSHPWLNNEVQVLSTQVKSGNSFCSIDNDKLILIKKKFSNLLNRNNWALVCWTTTDNKNSFWFLIKPNSKFIKLEYNSNHIINPLTKFHLIRIIYSIDSRNGGKGLVFKRKNSRQDYERNEFLNLRKCAKQKYSRLKKINIINPLFGKIEFTRLGWRHITRESRWYYFKTASFEVLSILDKLLSVSPSKHYTLKHLKNESDELIYIENEYLLNYSNTKVFDAEQKKNLNVEVFIKILEISCYKKAWKETPLNNNFTFRRVIFKSIYYKQSS", "MFEAGIIDPTKVTRVALENAASVSGMLLTTECVITEVKKDEPAMPMGGGMPGMM", "MAKFTTESSNIPTGREIWVIEDEDPTTRRLAYTFNTLQNKITFYPKENDFELAEITLDGFTRLPEDFSENGYIKQSVQYYLNKKIQENNITKLTITNNGNNSYRKNRNEETYSLTLKYEDFKTLRENFIAEKNQYNQTKNDISDNFFFRLFPTNFPESTSTPLRQKGNFIRNLNAQIIPHLTVADLQIVETFISELLKNRYQRSTEKFLQLARTKIQVDNVAIDRILSDFQKNLDDNISENAWGKYLQKNLFLLESKYVKILPELNVILRGSRNVDFGMIDTKGYLDIFEIKKPTTSLLSADTDRGNYYWHSETVKAIVQAEKYLYNAERKASTLAEDIQREDRIEVKVIKPRAILIIGHSNQLDNDEKKADFKVLRNSLKNIEILLYDELVEGMENQKNKYYDLIIDVNA", "MAKEIKFDIESRDALKRGVDALANAVKVTLGPKGRNVVIEKSFGAPHVTKDGVSVAKEIELEDKVENMGAQMVKEVASKTNDIAGDGTTTATVLAQAIVREGLKNVAAGANPMDLKRGIDKAVVAVVENLKSQSQSVGDSSEKIEQVASISANNDDTIGTLIAEAFGKVGKEGVITVEEAKGTDTTVDVVEGMQFDRGYQSPYFVTNPEKMVAELDNPYILLVEKKISSMKELLPVLEPVAQGGKSLLIISEEVEGEALATLVVNKLRGSLKIAAVKAPGFGDRRKAMLEDIAILTGGQVISEEQGFTMENITLDMLGTAEKVSIDKDNTTIVNGGGEEAKIKGRVAQIKAQMETTTSDYDREKLQERLAKLAGGVAVLYVGAASEVEMKEKKDRVDDALHATRAAVEEGIVAGGGVALVRAISSLDNLTGANADETTGIKIVKRAIEEPLRQIVANAGGEGSVIVAKVAEGTGDFGYNAKTDEYVNMLEAGIIDPTKVTRVALENAASVSGMLLTTECVITEVKKDEPAMPMGGGMPGMM", "MSVNFKPLADRVLVEPIAAETKTASGIIIPDTAKEKPQEGTVVAVGNGKKDEPLTVKVGDKVLYGKYSGAELKLEGKDYLIIREADLLGIIG", "MKFKSLYLLSLCSLCAFAQNIQNNPGSNHGNKFEQLGTILPTPNVYRTASGAPGEKYWQQRADYNINAYLDEDKQHLKASETVTYYNNSPDTLDYLWLQLDENEHSSVNNAGFEFSSMIPQAVTADKLKISEYPVKDNGYGVRIEKVTDANGNPLKYTINKTMMRIDLATPLKKGEKIVFKVDWNYNISDRMKDGGRGGYEYFPEDGNYLFTMTQWFPRMCVYSDFQGWQNHQFTGRGEFALPFGNYKVSINVPADHIVGATGECKNYDQIMSSAQKQRWQKAQSATAPVEIVTLDEAKKAEKNKSKERKTWIFEANDVRDFAWGSSRKFVWDAMPQVIAENNNKVMCMSFYGKEAYPIYSKFSTRAVAHTIKTYSDFTIPYPYPVAQSVEASNGMEYPMICFNYGRAEKDGTYSEATKNGMIGVVIHEVGHNFFPMIVNSDERQWSWMDEGLNTFVEYLTEELWDNKFPSKRGPAHTIVDYMKLPKDELEPIMTNSENITRFGPNAYSKPATGLNILRETIMGRELFDKAFKTYAKRWAFRHPTPADFFRTMEDASAEDLDWFWRGWFYGTDPVDIAIEKVSVAKADTDTKSVEKSTSVKVAKAELPVFDDISKVRNREDKKITFYTDKDKEAQDFYWRYDRGMEKVDPNKTFEVKTPASENLTGKDKEQFKDTYAYQVDFANKGGLVMPLIVEFTFEDGSKTTDRASAQIWRHNEQKASKTYFFNKKVKSIQLDPMLETADIDTSNNYWGAMPEASKFSIFKAKQNQARGAANGVMNPMQAAKK", "MNDFMFYLRMGWDHIVSKDALDHQLFILVLIAVYTIQDFKKVLILVTAFTIGHSLTLALSVFDILRVPSAWVEFLIPCTIAITALINIFGKNNVQKQMKLNYSLALFFGLIHGMGFANSIRITLAKEQSIATGLLGFNIGLELGQIVVVLAVLIILFLLTSIFKMDRKNWIMFVSSGVFALSLQMALERIPF", "MKIFFRLFLLISGILFFSSAKAKDVHPYHVGSVEFSYNTKSQTFEITGKFFIDDMENALDKKYSKKVFFNNPKFKNDMQALLQKYFEEYLKLKVNNNQIRINFLGYEENSEAVDVYLETEKVVNPKKIETAVSVLYNLFDDQMNIIHIVVGGQRKSTKLLYPDRYQYQQF", "MNLLAHSYLSFSDGQIVGNMIADYVRNADREKLPVEVQKGIIIHREIDTYTDQHTITHKAKKVFQPLVRLYAGAFVDVSMDYFLANDEVIHDETDWKKHTKHVYNTLWNYEEILPERFLHILPKMEADDWLFNYRYDWGIKYSLQNVLNKARYLEKDIPVFNSFMEEKDFLKECYDEFFPDLKNHIKNLKV", "MKNIFITGISGLLGTNLVNLLLEQDYKVTGLIRNPDSFTGTRNENLTLLKGGLFDDYSTIFSDIDIVIHIAAETRQNILQYEDYYKTNYEATRHLYETAVKSDVKKFIFVSTANTSGFADSDGLGNEDKPMKFPFTKSFYALSKKAAEDYLLQQNNTTETAIICPTFMLGAFDTKPSSGRIILMGLHKKLILYPPGGKNFVYAKDVAQSIIYAITHAKNGEKYIACNENISYRDFFRKLNIVNNQNPLMIKVPGLVLRIAGLFGDLLRKLNIKTDLCTPNMESLCIENYYTNYKSATELNVQYHSIETAIKEASDYFNTNLRKTKLRDHY", "MSENKYAIVTGASQGMGKHIALELAKRHINLVLISLPDQGLDSFCQTLISDFGIEAIAYETDLCITGNIMELTQWINENFEVYILINNAGLGGSMKFTEVQTEYISKIIQLNVMATSLLTHLLLPNLIRQKKAYILNISSIAAFAPIGYKTVYPASKAFVHSFSRGLRYELKDTDVLVSVAHPGPMKTTVENTERLNRQGALGKFLMSTPERNARICVEQLLKGRSLIILNKLSWLVMNYAPDWLKTRLISEASKKEILEQYTEHKKAVPRTAALKE", "MKFIKRYIPHSLKIQLYLLKRKLLDTLQNKKYASVIHIKDIGDIQTELTLKIMPSTFFENKVHNLRIVQQKLEGITIYPGEYFSFWKSTGKASKKNGFKEGRNLVAGKLSQDTGGGICQFSSLLYYAALKTGFIITERHHHSIDIYKEDERYIPLGADSTVVYGFRDLQFINPYSFPVQLKSKIEDNSITLHILAENKFPEHHVDFEYSHQPDTVSVKTVINQKVVAENIYIKS", "MHQKAIQKYYDQLASTYDENRFSNTYGRYIDTQERNFLTYFFHKHKRLNKTLDLGCGTGRLLDFATSGVDFSEEMLSQAKAKYPYKNLTVGNITDIPFENESLDCIFSFHVIMHQDREITQQFLSESWQKLKPEGFLIFDFPVKRRRKNHASTESWHAYNSFNPEEIKFLFRNQWTVTETRGVLFFPVHRIPKSLRRLFLPLDNWLCRSFFKKWASYQIIVLQKAQ", "VAKVKTAYYCQNCGTQYPQWHGQCKNCGEWNTLVEEVIEKSTSKNYSGEKKQHIINIIEVNAQEEPRITTPSDELNRVLGGGIVLGSVTLIGGEPGIGKSTLLLQLALKMRKRVLYVSGEESASQIKMRADRLTDLQNPECFLFTETSVDKILHEAKKLQPQFMILDSIQTLHSSLIESSPGTVSQIRECSSEIIKFAKETNTPVFLVGHITKDGQIAGPKVLEHMVDVVLNFDGDRNHLFRLLRANKNRFGSTSEIGIYEMISQGLKEIKNPSEILITKKFEELSGNSVAVTLEGNRPMLLEIQALVSTAVYGTPQRSCTGFDAKRLNMLLAVLEKRAGFQLGSKDVFLNITGGIKTDDPALDLAVVASILSSNEDIAISEKYCFAGEIGLSGEIRPVPQIEHRITEAEKLGYDKIYVSNLNKIPKRKFAIKIEEVSKIEDFHERLF", "MSKKATKYIFVTGGVTSSLGKGIVSASLGMLLKARGYNVTIQKLDPYINIDPGTLNPYEHGECYVTEDGAETDLDLGHYERFLNSPTSQNNNVTTGRIYQTVIDKERKGDFLGKTVQVIPHITNEIKRRIKILAKENYDIIITEIGGTVGDIESLPYIESVRQLRWELGETNSMVIHLTLLPYLASSGELKTKPSQHSVRQLMEYGVQADVLVCRTEHKIPKDQRAKLAQFCNVNQANVIECLDLPTIYEVPLELHKQNFDEVVLTELALPTTNTPDLKDWKDFLKKYKNPKKSVEIALVGKYVSLQDSYKSIAEAFIHAGGSMQTEVKVRWVYSGDIESGDVAELLKGVDGVLIAPGFGDRGIEGKIEAARYARENNIPVLGICLGMQIMTIEFARNILGLDNANSTEFDTNAKYPVINLMEEQKNVTEKGGTMRLGAWKCAVKASTKLFDIYNSKNISERHRHRYEFNSDYTSDFEAKDFIPSGKNPETGLVEALELKNHPFYVGVQYHPEYKSTVANPHPLFKALVEAAVKFQTKK", "MQQNNGVGKSQLISFAVFSLILMGIMFYFQSKQKPEDHAKTQVTQSAQKSNAVQMQNNANAASIQKVQLKNDLLTVDFTTLGGQISTVRLNKFNAFDEKTKDKPLLLFANNNADYGFQFKDKSGKVINTKDLVFNATANGNAITMQANVGAATIQFVYTLLDKYTVDFKVRTQGLSQLVSDNKAEFVWNYNVREAEKGRSQEQTHTEFVYAFNNYKSYDYDSRGDMDEAKENLNWIGVKQQFFSAVIEAQNGFKNSKGNQETIEKGEYLKKFNYNGQVDLAANELNQDFKWYFMPLDLNLLKSYDKNFDEILPFGWSFIGSLNRWFFIPVYNLLSSWGIAAGWVIFLMTIAVKIILSPIMYKQHKLSAMMRVIRPEIEEAQEKYKNADPMKKQQATMEVYRKAGVNQFAGCLPGLVQIPIFYALFRFFPNMLDLRGKSFWFANDLTAYDDLIKLPFNVPFLGEHLSVFALACTIVILIYTVMTAGNMQQPTQEGMPNMKPLMYIFPVTFLFFLNSAASGLSWYYFVSNAINIVIILVIKYFILDEKRIHAQIQENKAKPKKEGRFQTRMREMMEKAQDQQKQMEQLKQQNKKK", "MKTFSKLANAKLLDKKGLRQITGGMECVCGRPCPDPNQPPPKECD", "MNLITKWQNQYTEAGCDEVGRGCLAGPVVAASVILNENFNNFLVKDSKTLSKTSIKKLAEYIKINARDYAIAELPPEFIDKHNILNASIHAMHLALDQLKVRPEFILVDGNRFHPYNYIPHQCVIKGDSKVLSIAAASILAKDYRDRLMEKLHEEYPQYGWAKNVGYPTKAHRDAIREFGPTPYHRMSFKLL", "MKKLTNSVLAVVLSSAFVMVSAQKTKQDTAKTKEIEGVVVTALGIKREEKSLPYATQMVKAKDLNVVQNVDVKSAIAGKVAGVQINGQAGSKLGQTGKLRLRGAVSMLSDEDPIYVLDGVIVDPNTIDMDNVESVNVLKGPNATALYGQRAQYGVVVLTLKKGSRSRLSIELNTTTNVDVVARTMKYQNIYGQGYSGEGSMGIFKFDPAIHLPEWSVFDGKYYKTDETSSADESWGAKMDGREYVPWYAFWKGAGGDFGKTTKYVPQANNIKDFYDKAMTYKNTISVSGGSDNYTARLSFTNLQQNGITPNTNLKRNYLNFNGNYKFDERLSVETVLNFSQGRTYGEFDDGYSNPTSGSFNQWFARDLDMKKMRAYKDLENSAGYHASWNWFGADSYTGGGINDRPAFWYNPFTYMDRYKDYTDRRTLTISVAPTYKISNAFSVRLGYSRVDNTSNRKYFMPYSLSKSSTGTIGGYTDYLNGFGYTDSNYTEDQYDARILFKKKFGDFDVNAMAGGNITKMLWSSTSAQMDVFGKLQWLINPDVYNFRNANIAPVPTLNYYQKDYKSLYGNASIGYKDTYFVDFSLRNDINSAYLNTNNSFFTYSLGGSIVLSNLWEKNDILSYFKIRGGIAQIASDITARQINPQYRFNDQLLKLSKGNYLLALEPTMYVDPGLKPAINENIEGGVDLKFLNNRLSLSATYYNEKRNNEPLPVTLPSSTGKTSIFLNSGSAKREGIEANLSGDIFRNPEGFSWTVSLNFAKNKSTITRVAEGLSTINYGFAPAFGYVSLLQIEGQEWGQLYGTGFKYDANGNRVVNENTGLYEFETNKSFGSVLPDFTGGIYNSFSYKGFTLAASIDFQKGGKFFSLSEQWGNSSGLLFETAATNDKGFNVRDDVSKGGGVHVKGVTSSGKAVDMYVGAHDYFTQFHGNRIAEPYIRSASYVKLREVALSYELPKSLFSNTRGIKGMSIGLVGRNLWLIAVAKDNVHRQDPSEMSQAYGEDGQLPSTRGFGVNVKINF", "MKNNKYYKIALIGVISFLSFTSCKDENFGDDYNKDLYGIYDADYKSLMSGAMLNFAGWGQGNSNVYQMMPILFAQYQSQVTYTTEQQYGDTPGAWARFYSNQLINFNRIINAYSSGTVTVGMTDQGSKENMIGVSKIFRAIIYKRITDTYGDAPMTEALRYDEIRNPKYDKQEDIYKAIIKDLKEGRDMLQASGSTPKGDVIYAGDVKKWKKLANSLLLQVTLQLSKKYPGASGMAATEFKAALADPSGLIETLDDEAWFAYAATNLVANPLNSFRAADFRMSRELTDAMKGSATTFNPTTNKTPDYRLTIYATSGTMSAQGLPFGYSAPDLAEAGYSTAGTTTISTKYRSADSPMYLMTASYTFLNRAEGAARGWSAESVNDMLKKGIVLNYNTLDSHFITGVAPFTGTKISDKAEAYANARVADIATAGALRVIADEKWISLFANGFDAWAEWRRTGFPLLKPAKSALNGGIIPRRLRYPQDEANFNKVNYQAGISTLTPGEDKNTSKVWWDQ", "MKKLTNSVLVVVLSSSFVFVNAQKKQDSTKTKDIEGVVVTALGIKREKKSLGYASQEVKADALTGGTTNTGNIASQLSGKVAGLIVNTNANFGGSASMVIRGIKSLGGSNPLIVIDGSPVNNSSTFATRYDLGNAISDISQDNIESVNVLKGAAASALYGERGLNGVIVITTKNGRGKDDTSWGVTLNSSIQAGFIDKSTFPEYQTKYGAGANGSGNSKSWYFGTAPDGKYYANFGEDASWGPAFDPNLLVYQWDAFDPSSKNYGKATPWVAAKNGPIKFLQTPVTYVNSILLEKGTKGLNFMLSYDNMLSNGLYPNSDLKKNTVSTKVNYDFTPKLHSTVYATLTLQNTVGRNETGYSGGNLMGLFRQWWQTNVDILAQRDAYFNNNEQNISWNRKSAINGTAAFHNNPYFQRYQNYQSDDRTRIFSYASLTYDVNKNISVTGKATIDNTNLLFENRVAVGSVPLRFGLGNNLVGSGYERSNITSRELNFDLMVNYKYNITDNIGISGVVGAINRRNNYSSISASTEGGLTVPGLYSLGNSSSPVLAPLETEWRTQTQSVLATASLDFYKTYYIDATYRRDNSSTLPASDAKYGYPSITGAVILSQLIKPNWLNFWKIRGNYAEVGGTADPYQLMPYYIAAGSFSGVPMFASQTVQPNSKLKPQRSKEFEFGTEAQLFNNRLTFDVAYYRTKTLNQIITLPISAGSGLLNAVVNAGRIDNYGVEVQLGVVPVRTNKFTWNVDINWGQNRNKVIELLESETTTVTNYQLATFQGGVSLNATKGEAWGTLRGRDFTYDANGNKLIDPKTGAYIMTSNQVIGNTTPEWIGGVRNTFKYGNLSFGFLIDVRKGGDIYSNDMYYGLATGMYKETGDYRNLPQILPGVNPDGKVNTTPVVVASNSPYDGYGVMPQSSFIYDGSYVKLREANITYSLPKSVLKGTFLNELRISLVGRNLWIIHKNLPYADPEAMVGGGISSGVTGVNSVRGYGYSVGTLPATRDIGFSITAKF", "MKKIINIVSILAVVFSFTSCEGDISDMNTDPKHPSVLPSGSLLAMGTNQEFYYMYSGGVFNNNYRFFTQQWSEVTYVDESNYNLVTNNQPRTHYNRMMVYTLNNFSQAMKNLESEVNTLAIKNNKWATLELSSIFTWENLVDTFGDVPYTEALNASGNAFAPKYDDARTIYTDLFKRIDNAIAKIDVSATGYTKEDLVYKGDMGKWRKMANSLKLRLAMNLADVDPAISKKYAEEAYASGLITAADNYQLTFDGATFLNPLYDEVVASGRFDFIPSDRVVNIMNAKNDPRRAVWFLPLSGTTDKYVGGIFGATSSPTLPSTTVSVFTGFHTSKTGPANLLSYTEVQFLLAEAAARGYSVGGTAATYYASAITASMTENGIASSAAAYLAANPFDATNWKKSIGEEAYIGLFNRAFATWNFTRRLDYPKSLVNPPASKISGIPTRMPYSIDEYTQNKNNVTAAASKIGGDEAITKLFWDKN", "MEIKKQIQDQITEIIQQKYQLEGIALEVQQNKTDFEGDFTVVIFPLVKQARKSPDALGQELGEELIAATDYIRSYQVVKGFLNLSLKNDFFISQAQNLGTDLGNLPEKGETIMVEYSSPNTNKPLHLGHVRNNLLGYSVAQILKAAGYNVIKTQIINDRGIHICKSMLAWEKFGNGETPESTGLKGDKLVGNYYVRFDQEYKKQIEELKAQGLTEEEAKKQAPIILEAQKMLLDWENGDEKVRQLWEMMNGWVYKGFNASYARMGVDFDQRQYESNTYILGKDLIQEGLDKGVLFRKDDGSVWIDLTEDGLDQKLLLRSDGTSVYMTQDFGTAVERFKDNDIQQLIYTVGNEQDYHFQVLFLVLKKLGYSWADHLHHLSYGMVELPNGKMKSREGTVVDADELMEEMYLTAKEKAQELGKMESLTEEEKEKSYETVGLGALKYYILKIDPKKKILFNPAESIDFNGNTGPFIQYTYARIQSLLNRAEYKEGNISEDYQLNASEKELLVMASQFNEVIAKAAETLSPAQVANYVYDLVKSYNSFYQNNPILNQDNEDAKQLRLKLSKVTGNIIQKALDLLGIGTVNRM", "MKYSKLALIALGSVSVSLFHAQDSVTVADYKRAESRLFYKTTPLVRNVMNGLIWDKDSKGFKYNKTSSAGELTNVYVTLEKKAKTETPVQTNTKNSSSPITPPQRKNNKPGVISPDGKKLAYIDNYNLWIEDTATHEKKQLTTDGIKDFGYATDNAGWKHSDAPILRWSPDSKKITTFQMDERNVGEMYLVTTNVGHPQLKAWKYPLPGDTILPMLHRVVIDTENGNLVRFKMKPDFHRGTLSDDIASSGTFDDIDWNPDGSEVAFVSSSRDHKNAKFRIANAKDGSIREVFEETVATQFESGRNAINWRYLPATNEVLWYSERDNWGHLYLYDAKTGKVKHQITKGDWLVANLLKVDEKNRKLYFTAAGLQKENPYFISLCSVDFSGKNFKNLTPETGTHQITLSPDGTHFIDQYSQPNVAPVSLVKKLDGTKVMDLEKADLTALEATGWKAPTPVKLKAGDGVTDVYGLLFQPAHIVAGKKYPIIDYIYPGPQGGSVGSWAFTASKGDNNALAELGAYVLVLEGTSNPYRSKSFHDMSYGNMSINTLPDQIAAIKQLAATYPIDVDKVGIWGHSGGGFATAAAMFRYPDFFKVGISESGNHDNRNYEDDWGERYNGLVENSDYASQANQLYAKNLKGKLLIAHGMMDDNVPPYNALLVIEALEKANKDYDFIAFPNSAHGFGEHTYYMMRRRWDYFTAHLLGKKHPKEFLLGPNKAK", "MKTGLLTLSFIIFSSYYHAQIKPSPKVESGVSYELAQFRKSTLSNIKYELDLDIPESKTDRISGTENLTFNYKKQSEVPLQIDFKENAASLLSVSVNGQAIKPVLENEHILIDQKYLKSEHNQITFKFLAGNSALNRRDGYLYTLFVPDRARTMFPCFDQPNLKANYSLTLTIPEKWNAMANGVLKETTVKQGRKKMIFAQSDLLPTYLFSFAAGDFKYFKEKIGMQDAAMLYRETDTIKIKNSMDSIYNLYRNSLAYYEKWTGIPHPFQKHGLVVVPDFQFGGMEHPGAILFQNSTLFLDKNATQSQLNNRSNLLAHEVAHLWFGDMVTMDWFNDVWTKEVFANFMADKSTGASSDKSVYDLKFLTTHFPAAYSVDRTIGANPIRQVLDNLQNAGMMYGPIIYNKAPIMMRQLELLIGEENFRKGISEYLRKYAYSNATWPDLITILDNQTPEDLQSWNKVWVNEPGRPIIDYTIKYKGNKIENFKISQHPEHGDTQKLWPQEFQISLFYPDKIEKVDVRFSEKQQDIPELKGRQKPLFVLQNSSGIGYGVFNVDNDLISGFSLIKDPVSRASAYISLYENMLGGSGITPQELLHFFAGQLQKESTELNLRLITGYISGIYWGFLTESIRNKESESLENIVWNALQKQAAVNNKKNLFDCYQGIFQSKKAYDNLYTIWKSQTAPQSITLNDEDFTSLALALSLRNNNNNDLLQEQLTRIKNPDRINRFKIIMKAASSDKKIRDEFFNSLAERQNRSNESAVGSALGYLHHPLRQHTSINYLPQTLELLKEIQKTGDIFFPDNWLRSTFSSYQNPKALTVVNQFIQQNPDYNSILKNKILQATENLRRAQKLVK", "MKIDFSKNISAENLKPQNDFDEKVENFLEEWFSDSETVEVQTSGSTGIPKKFPIEKSRMLSSAEMTCNFLNLKEGDSALLCLPVEYISGKMMVVRSVFRKLKLTIAEPKLDPLKDIEEPTRFCAMTPLQVENSLDKIHLIENLIIGGAAVSESLKKKLIDILECKQATSKVYETYGMSETLSHIGLKQIYPEGEDYFTLFDGVDISLDDRGCLQILAPKINSELLQTNDIVDVLNPKQFKFLGRADNVINSGGAKIFPEQLEALVKKHISNEAVFMGIPDEVLGQKLILVIEADENEILRSQVLDVKYGKPFHKPKEIVFISKIPRTPNGKVNRGELLKLITR", "MKDFSSELSFKTARSSGAGGQNVNKVETMVTARWAIWESKFFSHEEKKLIFEKLKNKVSAEGYLQVSAQESRSQLDNKERAIQKLLELVDKALFVPQKRFKTKPTKSSKEKRLNQKKQHSEKKENRKFRM", "MSNRPISDFIEKYYLHFNAAALVDASKGYVAHLKDGGKMMITLAGAMSTAELGKILAEMIRQDKVAIISCTGANLEEDLMNLVAHSHYERVPHYRDLTPQEEWDLLERGLNRVTDTCIPEEEAFRRLQKHIYEIWKDAEAKGERYFPHEYMYKMLLSGVLEQYYEIPKENSWMLAAAEKNLPIVVPGWEDSTMGNIFASYCIKGELKASTMKSGIEYMTYLADWYKGNSSGKGVGFFQIGGGIAGDFPICVVPMMYQDLEMHDVPFWSYFCQISDSTTSYGSYSGAVPNEKITWGKLDIHTPKFIVESDATICAPLMFTYILENS", "MQSPNSVKYDALTGMRAIAAIMVFVYHNRKYWRNDLPWPVMRFISEWHIGVSVFFVLSGFLLAYRYQDTPLENKKSYLKYILLRIARIFPLYWILLSFYFLDTEYSKNVNTYFLQYSLLYSLFDQYVLTGIVQAWSLSVEFFFYLLSPVLFLLLKKNWQYCMSLMLGLFFLSCAVGYGLHWYNANPKGFLYPLNFIMSNTFFGRSTEFFFGMLLAYMLKQESKVSTLLKLKNPTLYGGLLMLVFTIAIAFFARNNFVHGVERWEGRLIHELFLPPAIALFFWGLMSEKTWVSRFLSTKFLVLLGNASFVFYLIHISYFNLKLKSYLYLPDRNFVLLWICSIIIYLWIEKPLYKLCRNLIARI", "MKKILFTPLLWGILALSTLSSCRTEDNLNQQKQEKDMRFAVFTPQSGKTVNYADGFAHLMKRYDSIHKTNLSGMNNAPAIIHLNASTDKNNLSSQSGETFVAFNIRSQTVTEENGNKWVVFPEVTNGKIANLVVGFLSEKETKVHYYLASKESELYKNNISLFQSSLERYMKRINKLNLNASINPVSYVKETEIEEVIITVPKKNLDPRESFGGINPAYNTGRGSCSDYGGCINDYYAPGGGGGSTSKSGADINYDKLKDFPCAYALAQQLTNEKIGGDLARLLKETFGANERVNISFQTSDNKFLGEKVTGGFRFTGDANNFNGTVYLNKDRLSNATQDFLLSTMYHEVLHGYLNYERSRIGETEFNNRYPAMESYDVKFGDGTTMKKFLFIGTDQNHNRMGPFINGIKQAVLNFDPSYPSDRAEALAMGGIIAESSLPAGYRSIGPHEADGSTAALGKRCSN", "MKRFIKNTSIALLLFVINISFAQNKSQNVIELDSLTNLYKGEILKINKFNLNTRDLYGIDKSIEMYNVFIDSEALLLISVLPDLNSKENWTLVDINSIKDKVLTRSQTKSFINNIKNNNTSDKKTMAFSLLINKDGKYYTSKNTLVEFFYINNLPSPFIPSYGTINISQPLVTMKEMEAAYRNIKPDRGFPPSIKSTDISFPFTIYARNYLSKVYEIKGGKAYQFWTFDNWRAADFMAYYRGIDRFIYIPDKGIVGGSFDFYFSFNSPSNLLKQIESNIINEKVMIAEELK", "MKEKVLMNWSGGKDSAMALYNIFCNKDYQVEGLLTSVNASNQRISMHGVNGSLLVKQAESIGLPLTTINLPESSDMTAYENIMEQTLSTFTEQGIRTSVFGDIFLEDLRKYREKQLEKIGWKAIFPLWKKDTRNLVYEFLELGFRTRICCINKQYLDSDFLGCDLDEYLIDKFPENVDPCGENGEFHTFVYAGPVFQNNLSIKNGERVEKSYNHDGKAYGYEFCDILLEDHQ", "MDQQFKDQVFEIIRMIPKGRVTSYGAIAKAVGFPNHSRHVGNALRHYDADFPAHRVCNSSGVITAESCIPDFTKKLAKEGVEVKGNKIQNFRKIFWDPLNEI", "MSDFLRKHIENIVRLSDEEYEEILGFFQFRKYRKRQFLIQENQKVDELFIVKSGLLKCGMIDNSGKEQILQFATEDWWISDYTAFYKHQPARLAVDCIEDSEVYSITFNHMNEVCRRIPKAEHFFRVKSNLGYCALQDRVLALMTKTAKERYEDFIHQYSEWGSRIPKQLIASYLGVSRETLSRLYSS", "MEQKKALIVVTSVEKYPDMERATGLWLGEAVHFYDKMINAGKEVDFVSPRGGYTPLDPVSIQQFVQPVDWKYYADETFRNKLADTLKPEEVNASDYDVIYYAGGHGVVWDFPEDKGLQNIARTIYENQGIISSVCHGAVGLFNITLSDGSLLIKDKTVTGFSNSEEIAAELADHMPYLTEDVLRSKGANYVKAEQDFTPFAVTDGRLVTGQNPQSGGAVGDQVLEILNQ", "MKNNKSINETSCPVDYAFKRIGGKYKGRILWHLHLEDIMRYGELRKTLRDITPKMLTQTLRELEDDNLLHRKVYQEVPPKVEYYLTETGAELIPFIEHLRKWGEKEMVKSTN", "MKAILLNEAGGVENLQLAEVEIPAIKNDEVLVKVASISINPVDVKARRNDGVLSWLFAEERPVILGWDISGEVTEVGKDVTDFKSGDKVFGMVNFFGNGKAYAEYVAAPAEHLALIPAGISFQEAAATTLAASTAYQALTEIGKVKKGDRVLIHAASGGVGHHAIQIAKYLGAYVIGTSSAKNRDFVLSLGADEHIDYTKGDIEDLVKDIDVVLDGIAGETLLKSLDVVKDNGIVITLPSGDIQDEALEKAAQRNVDLQFYLVSSKKETIQTIAHLLETKALKPHIHQEFSFSEMGKAHKEVETGRVVGKVIVNI", "MKAINIFSALFLFLLSFAHAQMDDKFYQPGKKLKPLEFSKVEYIAVPVEKDTVTAYVVKPETKKIKKTIFFFHGAGGNVTTYQYITKPLVDAGFQVVMIDFRGYGKSTGKPTHLNVAADGQMLFDQFINRPDIKNTKVYIYGASLGSQIATHLAKDNVDKISGLILDSPMASFTDIAAFYAPQYKDMILKGMVSPYSAKEDIKGLGKLPKIVIHSKEDKEVPYEQGKLVFDNATEPKQFIESSGAHLGGMQNNSAEILKAIQSL", "MNSKKTAVILNGKEVELKDWLVSISQSGSGHTNANIEVRDYSDIGFKIKSILAVCMQALESLDNGSSLADTSDLCNVLQIAYDLIPHSELELLTYIQQAVSTGASE", "MAKGNINVSVENIFPLIKKFLYSDHEIFLRELISNATDATLKLKHLTSIGEAKVEYGNPKIEVKIDKDNKTLHIIDQGLGMTAEEVEKYINQVAFSGAEEFLEKYKDSAKDSGIIGHFGLGFYSAFMVADKVEIISKSYKEGESAVHWTCDGSPEFTLEETTAKTDRGTEIILHIAEDSTEFLEESRIRELLLKYNKFMPVPIKFGMKTETLPLPEDAAEDAKPETIEVDNIINNPNPAWTKSPSELTNEDYQKFYHELYPMQFEEPLFNIHLNVDYPFNLTGILFFPKLGNNLNIEKDKIQLYQNQVFVTDEVKGIVPDFLMLLRGVIDSPDIPLNVSRSYLQADGAVKKISSYITKKVADKMVSLINENREDYEKKWNDIKVVIEYGMISEDKFYEKSDKFALYPTTDGKHYLWEELTEKIKPLQTDKDGKLVVLYASNTNEQDSYIQNAKAKGYEVLLLDSPIIPHLIQKLESSKENISFARVDADHINNLIKKDEPVIAKLNDTEKETLKKSVEEAVNDQAYTVQLEDLDSDDAPFIITQPEFMRRMKDMQMTGGGGMFAMGGFPDMYNLVVNANSDLATGILKTENAEDKNALVKQALDLAKLSQNLLKGKDLTDFIQRSFAQLKK", "MSNTDDKKKALALVLEKLDKTYGKGTVMTLGEDSVDHSIEVIPSGSLGLDLALGVGGYPKGRIIEIYGPESSGKTTLTLHAIAEAQKQGGIAAFIDAEHAFDRNYAAKLGINLEDLIISQPDNGEQALEIADNLIRSGAIDIVVIDSVAALTPKAEIEGEMGDSKMGLHARLMSQALRKLTATISRTKCTVIFINQLREKIGVMFGNPETTTGGNALKFYASVRIDIRKASAPIKQGDEAVGSRVKVKIVKNKVAPPFKQAEFDIMYGEGVSKVGEILDQGVELGVIQKSGSWFSYNDTKLGQGRDAVKDVIKDNPELQEELEEKIKEKINGN", "MKKIVLLASLTLIFASCGRSNDNTNEIIVDPPKEVLTLPTKVSGSYGVRTIKYDGNKFYEIMMSDLKMIFEYTGDLITKITSYDSKGIKIQTVDLVYSNGKLTNVSSHEGNDKYTYVFSYPDANTINTTKIRNNGTSLYRDEESYVLKNGNIISEEMLYYLNDKLYGKINVAYTYDDKNNVFKNVLGFDKAKMYLLYELGVNMIGNNNLLTKEHMNRPVTGGISKYKVINDISYSSSNYPVQIISKQYGANDQLQGTETDFFEYNK", "MKKLYLLFVPLVFTLFMSCNSRDNNDSSDPKKMMISKITVTSFDNPASPYTSSIFYKYNSIGDVVEIGSGNSGNYVTIEYTADKKISKMDHYKKNKGVEYTENFTYQNNQLIKIVAEYENKAFNRIIDYTYDNNGNLKTTSICEGPPCGNPWKTTFDYTGSNVSRRTESGAGSPGISINEYTYDNKSNPAINMNKYLRIVFGYQDLIGSNNILTEKIYTNRMTITYTIDYNAEGLPVKSLGKDEKGNNWVQYNYEYIRL", "MKTIVQKLREEQNLTQTELAEKSGVSLRTVQRIEAGNIPKGFTLKALAGALEVDPEDLVAKKDEVRNVERAKLINLSALLGLVIPLGGIIFPLILTYKTKDAKNRELGKSIVSVQIVLAFIVSVSMIISPFIQKALSVKFPIFIIPLVTLICLKLFVVIHNGVSLNKNGDVYMKLKTSFL", "MKILKKIVLTFLGVLVLAIISGYIYFDQKFTPEKNYLTVEKESGKIPITWPGENKNVLLLPVHFSGDSTVYYLQFDTGSPYTLFYAGAIKNIKGIATSNERGKATFYLGNTTVTSDKFRIIDNGESSDKNDSLKIIGTLGADILEDRKTVISFKENYIVFNLAVIPDGFGKNLTDFTFKKRHIIIPALLKGNKEKFLYDSGTSAYELLTTKEIWEGLKSKDSEIVTEKANSWQNVLTTYTAKTDNLIKIGSKNIPLNNVTYVEGFSQTQYSMMKFSGMTGMLGNQIFMNNSLYIDCFNHKLGVD", "MKESDRNIPNGLVLGVIGILVVMIIVYLIMALFFPDVLTSMSEAK", "MRKLFFAFLGVLIWAGGKSQSARCYDLSTVLKVEPTPIYKQHLDASARFNINILENSKTIHKYTKKGKLVSIGSLGKGYRIQKLDYSQAYLVPKAKTTLRGIAKKFNASTKGSTLTITSLTRTLEDQCRLRRVNPNASLGISSHNYGNSFDISYVRFNDRLKSNPRLEAALEKVLNVYRDEGKLYYIKEKQQSCYHVTVRNY", "MRKKLLSMFVLAALYACNNDDNPFKSVSYPDMAEASEPKIINDINGVKVYNGGYGSSLVQDPQDKSVFYMLTDRGPNIDGPVKDSKVFSNPDFTPQIGKFQLKEGKLVQIGTILLKNAAGKNLTGLPNPIGSGGTGEIAYDTKGNVLSNDIDGLDSEGLAIAPDGTFWVSDEYGPHIIHFDKNGNTIEKINPFNTSRKIPKVFAKRRPNRGMEGLCITPDGKTLVGIMQFPLYNPSSTDMKGSLIIRIITFDIATGKTQQFVYLMENKDLQAVSEIAAVDNNTFLVLERDGEYATSANKGSVFKKVYKIELDGATDVSDPNNGENGKLYNGKPLEQLINLNGLQQNNIVPVKKTLVLDLMTDLNTIYPHDKAEGIFVIDKNTIAISNDDDFGVTGSGKYEQKVLPSSNTIDRNTIYFIKLKKPLK", "MLSVQSLGLHHAGNYLFQNVNFTIKKNDKIGLVGKNGAGKSTLLKMLSGEINFYEGDVVPDGSITIGFLKQDLDFVKGRTVWNETMQAFESINAMKTELEEVNVGLATRTDYESDDYAKLIDRMTELNDLLVHHDAYNLDAEMEKVLLGLGFKASDFEKITDEFSGGWRMRIELAKLLLQKNDIMLLDEPTNHLDMESIIWLENFLKDYPGAIVLVSHDKQFMTSVCNRTFDINNKKVDDYKANYTKYLELRKDRKEKLIQAKKNQDAEIKHTEDLINKFRASASKAAFAQSLIKKLDKLERIEVENDDVSKFNIRFVQSVVPGKVIFEAKKLGKAYGEKQVFNNVDFFIERGAKIALLGQNGQGKTTLAKILAGEIRDYSGEWNLGHNVNIGYFAQNQEEVLSPNKTVLEEAEDAATEETRPRVRDLLGSFLFQGEDVQKKTKVLSGGERNRLALCKLLLRPFNTLIMDEPTNHLDIQSKEIIKIALQKFEGTLILISHDREFLQGLSDKIFEFRDGNMKEYLGNIDEYLEYRQKESIREVSIEKSKLAEAKQEVPAPKVKEEPKTVESKKEFVSKEKKNIQNKISKTEQKINELESQIEEMEQGFAKENPTEEQLEKYQKLKEDLDLALQEWEFLAAQLEAE", "MKHQPIEGFSKLSKQKKIDWLVSTYLEGNQQYTDILQQYWNDNADLQKLHEEFSENTISNFYMPYGIAPNFLIDGELKAIPMAVEESSVVAAASKSAKFWLDKGGFRTTVINEKKLGHTHFTFNGESVKLQSFFNHILKQRLFDDTEDITKNMRSRGGGILDIELVDKTAQMQDYYQIKASFNTKDSMGANFINSCLEQFGKTLKKEVELSDKFTQEEKNSLRVIMNILSNFTPDCIVRAEVSCKIEDLIDDSGIAPEEFAWKFKQAVNIAEIEPYRATTHNKGIMNGVDAVVIATGNDFRATEACAHTYASKDGRYTSLTHCSTDNGIFRFWLDLPISVGVVGGLTNLHPLVKFSLALLGKPSATELMSIIAVSGLAQNFAALRSLVTTGIQKGHMKMHLFNILNQFGATEAEKQHFVNYFKDKTVSHHEVIAELEKLRNK", "MKTITLFFGAFYGMLSVILGAFGAHAFKKILSVERLESFEVGVKYQMYAALYLLIIGFFLKFDTGIEKSAGWLMIAGTFLFSVSIYFLSFQEVWNTNLKFLGPITPLGGLLMILSWLMLMIIIFKSKFN", "MKKLLFTAALVLSVGAFAQKNITKTVGVPFEISYPDSFKQVEGEQENVVFQIFDQPNDFTLYIIQDPIEDWRETPLFGSVEDVIKHYNSTAIESLERNSALKIGDIRNEAKNGMQYAYQNFEGDYKYTDYDDNGKEQTKFSKYAYLTVGIKTAKSTYSVYSFCPVKDKAKFEKIFKTVVASIKEK", "MNLHEYQSKEILAKYGVAIQRGFVANNVDEAVAAAEKLTAETGAQGWVVKAQIHAGGRGKGGGVKFSPNMDKLKENAGNIIGMQLITPQTSAEGKKVNSVLVAEDVYYPGETETKEFYVSILLDRALGKNTVVYSTEGGMDIEHVAEVTPHLIHKEVIDAAYGLQGFQARKIAFNLGLEGNAFKEFVKFIGSLYNAYVGIDASLFEINPVLKTSDNKIIAVDAKVTLDDNALYRHKDLAELRDTREEDPMDVEAGEAGLNFVKLDGNVACMVNGAGLAMATMDIIKLSGGNPANFLDVGGTADAQRVQTAFGIILRDPNVKAILINIFGGIVRCDRVAQGVVDAYKAMGSLPVPLIVRLQGTNAVEAKQLIDDSGLPVHSAITLEEAANKVKEVLAS", "MNEGLKYIDIVLAVLKSWYVKFAELSPRLIIGILVFILFLKGSRYLSKVAVKIVNNFFPDSSKQGTAVALVGVFRFLIILMGTFISLEIMNFSGFLWKFIGSLGVAGVIAGVALKDLVSSIFSGMLVGIDKSFKVGDYITLGAHSGTVTEIGFLTTKLINDEGKKVYIPNQTIFNAPFYNITASPQRKIIFDFDLPANQDIQKAKDSILEVIKNMDKADRLESADVIFTSLKQGTFNIQVKFWIAIGANVADTKSDALVKIKEKLDQENIELSSPMSISIEKES", "MKKLILSTAILATGLFSVTKAQLQKGNWMVGGNIITSSFGLNTGGGYNFTLQPKAAYFIDDNFALGGQVTFGFGGTKDGPTTYEYSVGPMARYYFNNDQVDSLLKHGRFFLEGNAGIGGTSVTKGGNSTTGLNLGVGPGYAYFITPNVAVEGLVKYNGNFGFGNNGTTSNIGFNVGFQIYLPTSKVKQVTRGVQ", "MNKSTIAVGLLLCATFAYAQKGKFIKTDTIKVQQIEDINLHKTGNPNKAKPLSTKSNLTVMETPQPIAIVTHEIIEQQQAKQLSDVLQNVNGIYVTSSRGNSQDSFGGRGFILGNDNILKNGARVNSGVFPEVSGLERVEVLKGANAMLYGNTAAGGIINMVTKKPRFQTGGSFSLSGGSWNTYKPTFDVYGPISKDVAFRLNGTYETAKSFRDHVSSEKIYLNPSILFNIGKKSQLIVEGDYLINNFTPDFGIGSITNKDGSYSMNTLLPRNAFLGANWQYQDVKQASTNITFNHQISNNWSLNVITSYQNYTKDYFSTERVQWEYDKNNRLNWKRPLNKTYNEQNYTSFQANVNGEFNTGKINHKVLIGADSDYGTADSYTYFNPSNNKTYGTGGGNGILYLDDTSTWSSGSIPASAIQDRNRIRTRRVGIYVQDFISLTKEFKVLAGLRWSYIQNMPTINTNFRTNTKKLVDNSSTSDQALSPKVGLVYMPNDNLSLFATYTNSFSANTGYDINRSTLKPTTIDQYEVGVKKNLWNNAIAVNLSAYQILYKNYYQTAELNAGGQPNSDPNMKEFAGKMRSRGVELDITGNPSKNLSLIGGISYNNSVYLDTPDNFGYVENQRIVRTPATTANLSAFYTLPKYIKGLKIGASFYYIGDRLAGWNDTKATNNSRNGVSRIFTLKDYTTFALSMGYDWKKFSIQAKVNNLFDTVNYNVHENYSVNPIAPRNYYFTLTYRL", "MQLVKVGLCAFGMSGKVFHAPFLKEHPGYFMSAVVERSKNDSKEKYPDAIIYRSVEEMLKNADIDMVIVNTPVQTHFEYAKMALEAGKNVVVEKPFTVNTSEAEELVKLAEEKGLFLSVYQNRRFDRDFLQVKNIISEGKLGNIKEVEIRFDRFRTTASGKVHKENPDLPASGALHDLGAHLADQAIQLFGTPEKLFADVFSMKGVEYANDYFEILLYYPDSLRVRVKASVFTKEDHYAYKIHGDRGSFLQERTDNQEAELVAGAIPEYNKDWQKPLNGDDSILNYLDEYGETIRITQSSKAGDYMDYYQEIYEYVVFGNPLPSPGKEVIQNMKLIDKALESAESGQIITF", "MKHFFSLSLLFVMVLCFSQRKVTIVKANFNNAKIYEEDNRVSGWGINPKVNPDTHTTGKITKRKKVVFKTDIDSIIVNLKPGEKKDFIVLLNGKDSCYTRIQGPEVKNFSRDKPEIHDTIPFIVNKYNTNLVKTFFNHKDSLTFNFDTGATEMSVTRDALKNKIKSNPKLYNTLYDIQIGKRTYKSEVYDHEMVGQEADGLLGWNIFDGLVVELNYDTEKMIVHSKMPKDIMKDKDYSKFKIWYFNNKPFIECDMSENGVWYKEWFLFDLGYQRSVILDNDLLKDKKFPVDNMKIIKKTSLRGVSGKEIPVITANLQKIKIGKAELKNIPAQLLTANKPMGNAKIHILGNDILKRFNTVLDFQNDIIYLKPNSLSGKELLN", "MSVHISANKGDIAKVVLQPGDPLRAQYIAENFLENVRLVSKTRNIFYFTGEYKGKTISVGASGMGCASIGIYSYELYTEYDVDTIIRIGTCGAYTTDLKLFDILNVENAASESTYAKFAWEIEGDVLSHQGKVFDMINETAAAQSIITKNTNIHTSDIFYRKNPAIPAVAEKYNCSAVEMEAFALFANAQHLGKNAATILTVSDIIPTREEISANERERALKPMIELALETAIKL", "MNYVTAENLTKSYGIKVLFKNISFNINEGDKIAIVAKNGSGKSTLLKILTGKEIADSGNVVINKDIQVVLFDQEIDFESDLTIEEFMMTLDSAPIMALKNYHASLHSTDHDFIEKALAEMEAHKAWDLENEMKQILSQLKITDLEAKMGTLSGGQIKRVALAKLLTETRAEHRHTLLIMDEPTNHLDVDMVEWLENYLSKAKITLLLVTHDRYFLDSVCDIIWEMEDQSLYLHNGSYATYLENKMIREDNLEATIDKANNLYRKELEWMRRQPKARTTKSKSRIDSFYETEKVAKTDTRKQSLELDFEMKRLGKKILELRDINKSYGDKVLLKDFSYQFQRGEKVGIIGKNGAGKSTLLNIIQGLEPKDSGEIETGETIKFGYFSQKGLKYKEDERVIDFIKEISENFPLANGRTISASQFLRLFLFDDQTQYSPISKLSGGEKRRLHLMYVLYQNPNFLIFDEPTNDLDLPTLTVLENFLLNFQGSLIIVSHDRYFMDRIVDHVLSFEGEGKIKDFTGNFSEYREWKKNQDKKPDTADKAPEVQVQQSKSVTSTPPPSKKKLSFKEQRELETIEKEMPELEKQRNIILDKLNNETDYEKISVLSADLEKVSEKLESYELRWLELQEAAGN", "MKKRVLVDMDGVLADVYSQFIKYEERDSGVSISIDNAIGLDETIAFPNVDKHLHEPGFFRDLQVMENSIEVMEYLNSKYEVFILSAAMEFPNSLREKYDWLAEHFPFITWEQIIFCGSKKAVTADYMIDDYPKNLDTFRGEKLLFTQPHNQSVKNPDYKRINSWKEIKAIL", "MPKKATQKRRKTIAKKRTDARKKLWVLFVILFLSLIGIGFYMKDQVVFYYAMHFKGKEGHSLKNPKTEEERINKIISLYSDRVFGIDISHYQRKEDINWKKLTIANGAIDIRFILLRATMGKDGKDQHFDEYWKTSKKNELIRGAYHFYRPKEDPVQQANNFLETVKLESGDLRPVLDIEKIPKHKSLDDFRSDLKVWLKIVEEAYGEKPIIYTYYYFYRDYLQDDFKDYPLWLANYNDVDVPSDKTEWRFWQFTEKGIVNGINTKVDVNVFDGNMWQLKGLTLD", "MSEKILIIGGGFAGLRLARTLNQKREKRITVIDKVNHHMFQPLFYQVASGRIEPSNISFPFRKIFQKSKNIQFRMTDIVKIIPEENKVISEDAEFKYDKLVIATGCKTNFYGNEVLEGNAYGMKNTQEAISIRNHVLMTFERLIIERQRSDDGDWNLVIVGGGPTGAELAGAFAEMKRDVLPRDYPKMSFKNLNIILINATDKPLASMSTEAQNKSEEYLKQLGVTLMNNMRVDAYDGENVTLNDGTVIPSNNVIWAAGVTGNIIDGISQEHIMRNRYIVDEYNKVKGYDNIFAIGDIAYMETAEYPQGHAQLASVAIAQGEQLGKNLLKTQAWEPFKYKDKGSMATIGKHRAVVDLKNFKFQGRLAWYFWMFLHLMLILSVRNKIAIFYNWAWAYINKDSSLRLIIVPHKKNNTEQ", "MRIDIISVLPELMESPFKASILKRAMQKGIAEVHFHHLREWGLGKHRQVDDEPYGGGAGMVMMIEPIDNCISSLKAERNYDEVIYLTPDGETLNQKIANTLSIQENLIFLCGHYKGIDQRVRELHVTKEISIGDYVLTGGELAACVLADSVIRLIPGVLNDEQSALTDSFQDDLLSPPIYTRPEEYKGLKVPEILLSGHTQAIEDWRYDEATRITQEKRPDLLNK", "LESSTTVSELIMFYNTENFFSPQKERHHRNYIPKSGLKNWNEERYLNKIFKFSRTFEYIREEIGQFPMLIGLAEVENDKVLQHITEQEIFEGNYDFVHYDSLDERGVDTALLYDKSKLVLLHSEVYSEIFDIEDGLPNTFDTTRDVLYCKFRYGVTELNVYVLHLPSKREKDVNAPKRKIILDKLKKRIQDKLSQNPQEKILVMGDFNENPDDANVQSFIHSGEGNEKILVNSFEELYNKKKYSTFHKSEGLLFDQIILSPSFFNLESDVKFINAQVFNSEHLKERSYRYRGRPFRTYVGTRYLGGYSDHFPVLIALKLTKQIGNI", "MKNLASAGYHLDAIDKEIIYLLMDNAKTSLAHISSHVGISTTAVHQRIKKLEQAGVIENSISFLNPRKVGYKVTSYMGVYLDQPSHYNEIIKSLEQINEVVEAHYTTGNYTVFLKVLAKDNDHLMEILSKIQKLKGVMRTETFISLEQSISRQLKV", "MKSIKDYLDSTYLKTPQQSGLTEEQTRETVHKLTQEAIDHQLFAVMIRPEYVKETKEFLQQQGASVVVGTVIGFHEGTASVEEKLGEAQKAIEDGVDELDFVINYEAYKNGNTDLVQQEVITCTRLALENGKIAKWIIEIAALSNDQIADITKKIATWTEENFSENADKVFVKSSTGFYKTEGGKPNGATVEGIRIMLENAGRLPVKAAGGVRTPEEAEKMVEMGVQRIGTSSALALIGKNTSKGDY", "LKAFLFYLFLFAVLSFVSHLAYSNSEKQQPSLSDSSTRSIPVDLIPTGNHELNLQIEDSHFKLKSVTIYAIIGKPVMELKNINLNMVKLPTEQLKPGKYLIKYTLSNNIDQVIQLVKE", "MNFNRNRRLRTSQSVRDLVRETTLTTNDFVLPIFVMEGSGKKEPIASMPGVFRHSLDLLKEEIKDLYKHGIKAVNVYVKVSDSLKDNTGKEAWNPNGLMQAAIKLIKDTEPNMIVMPDVALDPYSVYGHDGIIEKGEVINDATVDALVRMSLSHAEAGADFVAPSDMMDGRTFAIRQAFEENGFTNVGIISYAAKYASAFYGPFRSALDSAPVDNQEIPKDKKTYQMDFANSREAIREVLDDVDEGADIIMIKPGMPNLDIVAKVREIITQPIAVYQVSGEYAMLKAASQNGWLDNDKVILESLHSIKRAGADLIFTYFAKEASLLLNK", "MQLQENFSLKQYNTFQVEAKALFFIEVHSIEELKEALHFSKEKSIPYLILGSGSNMLLTKDYEGLIIALRLKGIAEEKADENHVLATAKAGENWHNFVLYCISKNYGGLENLSLIPGNVGTSPIQNIGAYGTEIKDTFHSCKVLDTEDFKVKTLNLKDCHFGYRDSIFKSTAKGRYIILEVSFLLTTHEHKISATYGAIQQELDKNNITSITIKDISDAVIAIRQSKLPDPAITGNAGSFFKNPVIPAEQFNSLKIIFENIPSYPNGDEVKVPAGWLIEQCGWKGKTINNVGCHPLQALVIINATGKATGKEVFDFSSQIINSVKEKFGIELEREVNIL", "VSAISLYKWKTILLTALLLIFFSCKEKKTSKVKAIPAEKIESINITTEGGNLSYFRNIRVDKDSISSIQRQLDTDSLNSSHKRAITPTEWDMLISNIDLSSVSKIKSGPSYQPFDGIDDIWEIKTSARTYRIINGKKDIDNYRSLETLYSQLEELIQKK", "MPKISNRAENMPASPIRKLVPFSIAAKQKGIKVYHLNIGQPDIETPQSALDALKDIHLKVLEYSLSEGNIEYREQLAKYYNKIGFADITPKNFIVTNGGSEALNFAISVLCDQDDEIIVPEPYYANYNGFSNALGVVVKSIPSSIDTGFALPPIEEFEKKITDKTKAILICNPGNPTGYLYTREELAKLAEIALKHDIVVISDEVYREYVYDGKSQVSMLAFPELADNCIVIDSESKRYSMCGVRIGFMVTRSQKILDAAMKFAQARLSPVLIGQIIAAAAHVDDDAYIRSVREEYTKRRNLLVDLLNSIPGVICPNPKGAFYCMVELPVKDTDDFAQWLLESYNFNGETIMVAPAGGFYSDPALGKKQVRMAYVLKEEDLRKSVEILRNALQVYKD", "MMMSNLVQTYLEKVPDERKMAFEKLFNAIDDNLPTGFELTEAYGMLTWVVPLSSYPAGYHCAPGTPLPFLSLASQKNFLAFYHMGIYADKDLLEWFQESYTQHTKYKLDMGKSCVRFKKMDDIPYGIIAELSTKISPEMWIEKYETVFKK", "MIALIVVIALVVIVLLYGVSVYNKLVKFRNLVQEAWSSIDVMLKKRYDLIPNLVETVKGYATHERETLDSVTQARTMAKNAGSVQEKEAAEKNLNQAMMNLFAVAEQYPDLKANTNFQQLQNELSSLESDIEKSRRYYNGTVRENNTLVESFPSNIIANMYKFEKAPFFELQNTAEREVPSVKF", "LKRLFIFVLFSFFLLFKAQEQATAAAAAAIADAVGDSITTESTEPTERILSFHSDITVHKNSSLTVTETIVINSLGYNFKRGIFRTFPSVRNLNGKTKKVKFKILSVKKDGVTEHYSTTYESSQKTIYVGNEDTYLDPGKYTYQITYETPDQIGFFPKYDELYWNVNGMAWDFPIDKISATVHLPHGAKILQNACYSGVEGSTMQNCSSKIISDNEIEWQGGGLLSRENLTIAVGFPKGIVMPPPPPSFLEKNGISMFLLLVFGGLLLYGYNSWKKYGVDPEKPVVYPQFNVPEDMSPAELGYIHHEGYNANYLTASLVNLAVKKFVVIKETTQKSLLGISSGKKYEITKLKEPSPKLAKEEIGLMNDLFSKSSTIMLDGSYNSKIERAVGNFTHNMTFQYKAFIKEGNNSNKVVRPAIVVFAIFILTFIVSSIIGDSTEQLVIGVFGLIFVIIFFAITMVLISKMEGLNKGCIIAFIAIFFLPFFIGFIIFFIAGNFDQNTRSSFLFLIAGIGFLFAYRYLIKRPSEEKLRKQSLIDGFKMYMGAAENEVIKFHNPPQMTPAIFETYLPYAMVLGVDKIWGKKFQDMLEQMSVDYTSDWYTGSPIGFAGLGNTLNSSLTNSISSGSTPPSSSSSSGSSSSFSSGSSGGGFSGGGGGGGGGGGW", "MSNAFQIRGGKPLSGEITPQGAKNEALQILCAVLLTGDEVRIKNIPDIKDVNQLIDILRDLGVIITKNGKGDYTFKADNINFDYIKSAEFKKNGAKLRGSVMLLGPLLARFGEAYMPTPGGDKIGRRRLDTHFQGFVELGAEFHYDEEEYFYSLKAKELHGKFILLEEASVTGTANILMAAVLAKGKTRIYNAACEPYLQQLCKMLNRMGANISGVGSNLLTIEGVDHLHGTEHTMLPDMVEIGSWIGLAAMTKSEITIKNVNWNQLGIIPDTFRKLGIQLERSGEDIYIPAQEHYKIQKFIDGSILTVTDAPWPGFTPDLLSIVLVVATQAKGTVLVHQKMFESRLFFVDKLIDMGAQIILCDPHRATVVGLNHEAPLRGTTMTSPDIRAGNALLIAALSAEGKSIIHNIEQIDRGYENIDGRLKALGADIQRI", "MEYNTKRTPLYMPEYGRLIQSLVEHCKALPTKEERNEMANAIIAFIGQRNPHLRDEENYNHKLWDHLFILADYNLDVDSPYPIPTAEELQQKPKKLEYPSYDNEYKFYGKSILQLIDKAISLPEGEEKEALIQVIANNMKKSYNVYNKEHVQDEVIFRHLKTLSNEQLDITGVDSLEKSKIYHSGNNHRNKNQNQNQNNKNNQQKRRNFQNNHKNNRKN", "MHIQNKHIVLYDGDCPMCNYWVNFILKRDNKNKFMFAALQSDFGQDFLKKRNLNHTEFNTIYLLKPQQYYLVRSKAIFKIFALLGGIYRPLSWMKFFPGFISDTIYDFVSRNRKKVDVEACPILTIEERKKFITEPFLT", "MKKFLIILLVAFIIIQFFPIDKVNPPVNERMDFLTIKKTPEEVSKVIRNSCYDCHSNETKYPWYSNIAPVSWWMKDHIIDGRKHLNFSTFATYDTERQLRKLDESVEMIEKDKMPLETYLLVHQNARLSDMDKKILIKYLKNVRTDTEIRYNTTEQEQP", "MSNIVAIVGRPNVGKSTLFNRLLERREAIVDSTAGVTRDRHYGKSEWNGVEFTVIDTGGYDVGTDDVFEEEIRKQVQLAVDEATSIIFMMNVEEGLTDTDYEIYHLLRRSNKPVYIVVNKVDSSREELPATEFYQLGIDKYFTLSSATGSGTGDLLDDVVRDFPTTEYKDPFEGLPKITIVGRPNVGKSTMTNALLDNERNIVTDIAGTTRDSIQSLYNKFGHEFVLVDTAGMRKKNKVSEDLEFYSVMRSVRAIENSDVVIIMVDATLGWEAQDMSIFGIAQRNRKGIVILVNKWDLVEDKKTNTMRDFEQAIRNKIGQFSDVPILFVSALTKQRILKSVETAMEVYENRKKKIKTSKLNEVMLPIFEGTPPPAIKGKYIKIKYCVQLPTPSPQFVFFCNLPQYVKEPYKRFTENQLRKEFGFTGVPIEVYFRQK", "MNKHIKHAYYQINKKIFFLCIFTSIHIWGQTHLYNNTKVDSLTFINTRKALNNLSTGNYKKMVFSYKDKELPYRFLLPQNVDGKKKYPLIITFHNSTRIGHDNENQLEPLARIWLRDEIYSRYNCFVIAPQFGERSSNYSENNEGILTSKPSENVLALPELIKKITTEYPEIDKNRIYLVGYSMGASTAQDLLNIAPETFAAIVSIAGVPNFSNLNTIRNKKIWLIHGGEDNENPYAGSEVLYKKLKGNKNLLFTTYTYLNHNNITIPFLLNDEIPKWLFGKRK", "MLYILSENFSLVNSWINDLRNVDVQGDRMKFRRNMERIGEIAAFEISKQLEQKEVDIVTPLETIKSKEIAVQPVITTILRAGVPLFQGILNYFDKADCGFVAAYRKHDANDYFSIKQDYLTCPNLTGRPLIIADPMLATGASLIEAIKDLLTHGTPSQMHIVAAIASKEGVEVIRKAYPEAHLWVGVVDAALTSKGYITPGLGDAGDLSYGEKLQR", "MNFFTDILFPNRCLQCNHIIDGKDIICEGCYDQVDFTHWESLPETLLQQKLSSLFPVENSYALMNFEKDGLSRKLIHNLKYANREIVGDTLARWTVEKINLKSKPDILINIPLHAKKLKKRGYNQLHRFTETLSKEWDIPYNHQYLKRTAYSTAQARKKLKQRKENINIFIVPNPLENIHFLLIDDVCTTGSTLASCAWEILKTPGNKLSVLVMAID", "MRIIAFIFYIYFMNIESDYIRTIFGVKLRQLRQQKNWSLQEISEKTGMSKSYLNEIEKGKKYPKHDKILALADALDCNFDELVSIQLDKNLAPIGELMQKDFFRELPLELFGINKNSLINIVSEAPKKVNAFINTLIEIAQTYNFDKDHFYLSVLRSFQELYNNYFPEIEEKAIEFKKKYGLTTISIQTLEDILKQESDYQIYYEDYEEQGQLKHLRSLYSADHKILSINQKLGEQQKLFILAKEIGFQYLNLQPRPNTYTWVKFTNFDSLVNNFYASYFAGCLLIPKDQLIQETKSIFSDKEWNNEKFDHLIENYTQSPETLFYRLTNILPEFFGMKDIFYLCFVKRKNGDKIDILKELHLNRHQAPHANTTNEHYCRRWIAIKNMQNLSDSKSLTAIQISEYENSGLNYLVISTSQKNPFADGNNRSYCLGILLNPETTKQIKFLKNISRESVGVTCESCSIKDCEVRQAPAIKLEKQELNEKIQFSVEKKLREMKNKS", "MEKFSIQHSKKYEEIYSAELQLFLTELHEHFNAERQALLDKRKVLQKEFDQGILPRFLKETEEIRNGNWICEPLPDSLLDRRVEITGPVDRKMIINAMNSGANCFMADFEDSNSPTWENVMDGQINLRDLNSRNIDFEIKGKQYILNEKPAVLLVRPRGLHLNEKHILINGEQASGSLIDFGIFFFLNAKKQLENGVGPYFYLPKLESYHESAWWNKVFVFSQNYLGIPQNTIKATVLIETITAAFQMDEIIYSLKEHMAGLNCGRWDYIFSFIKKFRKLPEFMLPDRDQVTMTIHFMSSYSKLLVKTCHKRGVSAMGGMAAQIPVKHDENANEVAFAKVRADKEREVKNGHDGTWVAHPALVSVAKEVFDAGMPTPNQIDQKKEEYNIAEADLLTVPQGTITEAGVRKNINVGILYIESWLVGVGAAAIYNLMEDAATAEISRTQLWQIFHSQKPLEDGQYLTKENYLKWQDEELEKIKEYVGATRYTDGNFALATQLLQDMVFEENFEDFLTLRAYKYI", "MKTIQELQQDWNENPRWNGIIRPYTPEDVLRLRGSYKIDYTIAQLMAEKFWSKLNSQEYVAGLGALTGNQAVQEVDAGLEAIYLSGWQVAADANLSGEMYPDQSLYPSNSVPAVVKRINNALLRADQIQSVNRVNGDQSKQYLVPIVADAEAGFGGNLNAYELMKQMIEAGAAAVHFEDQLSSAKKCGHLGGKVLVPTQEAINKLIAARLAADVCGVSTIIIARTDADAADLLTSDIDDRDKSFVTGERTSEGFFKVNAGVPQAIARGLAYAPYADLLWMETSHPDLEEARQFAEAVHAEYPGKLLAYNCSPSFNWASKLSQQEMETFREDLAALGFRFQFITLAGFHALNYSMFELARAYREHGMAGYSQLQEKEFALQQEGFRAVKHQSFVGTGFFDEIQTIVTAGEAATVALKGSTETAQF", "MKKLFAEFFGTFWLVFGGCGSAVFAAGVPDIGIGLLGVALAFGLTVVTMAYAVGHISGGHFNPAVSFGLLAGGRFSAKDLVPYIIAQVLGAAAAAGCLYIILNGAGAFSAEGPGAFATNFYDMPGYNGRSYSMGAAFLAEFLLTAFFLIIIMGATDKWANGKFAGLAIGLGLTLIHLISIPITNTSVNPARSTSQALFVGGVALQQLWLFWVAPILGGIAGGLIYKFLLQRDGGEEIAN", "MELDIFNIKTEEDFTQACLETFRYQYQNIEVYRKFTDYLNIKPEEITQVKDIPFLPIEMFKNHTVIDQNKEPQFYFQSSGTTQMNLSKHWICDAGLYEESLYKSFEQFIGKPEDFVFLGLLPNYLERQNSSLVYMVDYLMKVSNQPENGYFLYNHEDLLKQIQHLEKEQKKYIVFGVSFALLDFLDSLQQLSVTNFKIHNGIVIETGGMKGRKEEITKDQLLQELQTGFGTEKIYSEYSMTELLSQAYSKGNNEYETPNWMRILIRNTEDPFSYVDEGRTGAINIIDLANKHSCAFIATQDLGRFDIDAAGKRKFQVLGRIDHSDIRGCSLLVS", "MKIDLQEGKKIYFASDQHFGAPSPKESKVREAKFIRWLDDIKKDAQVLFLMGDLFDFWHEWQHVIPKGYVRLLGKLAELKDSGIELYFFVGNHDLWMKSYFEDELEVPVYFTKKYYEISGKHFLLAHGDGLGPGDKGYKRMKKIFTNPVAQWFFKWLHPDIAMRIALYLSQKNKMISGEEDKEFLGEDKEFLIIYAKEKLKTEKIDYFIFGHRHLPMVLDLNSGQSKYINLGDWIGYFTYGVFDGDHFELKTYEG", "MIRITKIFTFETAHVLYNYDGKCKNMHGHSYKLFVTVKGKPVNDLDNPKNGMVVDFGDIKKIVNEEVVDIWDHAVLINANSPHKELGNELEGRGHKVIYCSFQPTCENMLYEIAAKVQAKLPSDISLAYLKLHETENSYGEWFAEDQSEN", "MKKFYVSLLVLGSQYAFSQGFRKDSLKENSIDAIVLTGLSKKTFVKDNPLPIKRITAKMMEKTNETNIIDALVQNTPGLVAVKTGPNVSKPFIRGLGYNRVLTLYDGHRQEGQQWGDEHGIEIDSYNIAQAEVIKGPSSLMYGSDAIAGIISFFPYIPVTPNTESLKATSEYQTNNGMVGAGFRWAANKNNWIIATSTSLRFAKNYRNSVDGRVYNTGFNEKNFSLLLGYQKGNNYSHFNITYYDNEQGIPDGSRNPETRQFTKQIHEAEEDIMEERPIVSNKELNSYSLSPLHQNIRHLRIYTNNHYETSIGDFYANVGYQRNNRIEYNHPTLTSQAGMFVRLNTLNYSFRYIPNNTGNFEFSFGANGMLQNNKNKDATDFPIPDYNLTDTGVFAFAKWSNNRWNVSGGVRYDHRNLRWDDFYVAADPATGFDRQVSAGTPDANHQFTAYQKKFNGISASLGASYKLASQLYIKANIGRAYRAPNITEMASNGLDPGAHIIYLGNKNFDPEFSFQQDLSLIASYRDFSGEINAFNNNMDNFIYLTMLLDKDGKPQTDAQGNRTYQYQQAKARLYGLEAYFALHPQALKGWTLSNSIALVYGFNKNPEFKDKGTNGEYLPLIAPLKWLGKLEKNFTLRKNNWKNITPMLEAEYNAAQNRYLGLNQTESYTASYFLMNIGISSEWKVGNKSSLMCNLQVNNVFDRAYQSHLSRLKYFEPQETVNTGHRGIYNMGRNFALKLVFSY", "MSKIKVGINGFGRIGRLVFKAMTERDNIEVVGINDLIDAEYMAYMLKYDSVHGQFKGEISVEGNDLVVNGNRIRVTAEKDPNNLKWNEVGAEYIVESTGLFLTKESAQAHINAGAKKVILSAPPKDDTPMFVMGVNHNELTDDIKILSNASCTTNCLAPLAKVIHDNFGIAEGLMTTVHAATATQKTVDGPSMKDWRGGRSALNNIIPSSTGAAKAVGKVIPSLNGKLTGMSFRVPTADVSVVDLTVRLDKATTYDEICATIKAAAEGELKGILGYTEDAVVSQDFVGEKRTSVFDKDAGIMLSPTFVKLVSWYDNETGYSNKLTDMLIHSASL", "MTESQVKKIGVLTSGGDSPGMNAAIRAVVRTANYYHLECFGIREGYSGLMEGNLTKMGARSVKNIINQGGTILKSARSLEFKTKEGRQKAFEQCQKAGIDGLVCIGGDGTFTGAKIFCEEFGIKVIGVPGTIDNDIFGTDNTIGYDTALNTAMEAIDKIRDTATSHNRVFFVEVMGRDAGFIALNSGIATGAIDILIPEREDKIEDLFQNFRKAEETGKSSSIVVVAEGEKLGNIYDLAQKTKNEFPEFDIRVSTLGHIQRGGSPSCADRVLASRLGYGAVTGLMQGYTNVMAGIRANELVYTPIEEAIRKHNEMNGDLMKIAEILAI", "MRIHPMVPSNYLKVAIAFFLSTSGVQMAMAQQTPNRKESAKKSDTTTKAKTIDEVVVVGYGKQKKTNLTTAVSTIDSKMLEDRPSPTVANMIQGAAPGLTVTRTSGKVGGQGLNLQIRGVTSASGNVNPLYVIDGVVSSESTFVSLNPDDIDNISVLKDGGATAIYGAQSAGGVIVVTTKRGKSGKARISFSSNTGFQRPMNLPKRLTLIEEMEYMNLARKNAGLAPEYKDDDLDYARRGIEFVLDPTNNLWRTYNQQDFIKATLRDVYTLTSNNVQISGGNEKVTYMASIGNMQQNGIFKVGEDLFSRWNARINVSAKVNDYLKFDISSAYTSQATDNPQDGGNGLEGGGNSIFRQMYNSRLRFPIFNPDGSYYISGTSSYFGYALLKDGGFNRDRKENFFNNITATITNLAKGLDFKLVYGRETTDQENKNFRRTLSYYAGPTASSVKKLNDPNNYSVTNYKTVTENFQGMVDYDLKLQGGHNFHLLGGYQLQKYRYSYLQGSTKNLFVNDNPSLGFTSDAANKSNAEGVNSDIMQSYFGRFNYNYKEKYLFEATIRSDESSRLTDGDRIKIFPSFSLGWNIAKESWFDKISGNILNEFKPRVSWAKVGSNVGIGYYDYISQLSTGTSLVLGNGRQTYVYQNSLPALLLGWETVETRNLGVDFSLFNRKLTGSFDYYNKYNNNMLVPVSLPATIGISTPKQNAGRLKVWGWEATVSYKDKIGKDFGYSITANVSDSQNKLLWYGGTNNSINAGVNSLVEGYALNSVWGYKTDGYFQNQGDVDKAPSYKKLLNKAGVPGVGDVRYVDIDGNGEISSGKGTVSDHGDLVYLGDTNPRYQFGFNVALNYKNVDFSFFIQGIAKRRFKPGNELMQPAIQPWNLPMTFQMDYWTPDNPNAAFPRPYLSGDHNYVNSDKWFINGAYARLKNVQLGYSLSKEALKNMPISRMRIYISGEDLLTFSKLGVFKGTIDPEQPQGVVGGYPFAQTVSLGVNIDF", "MKKNIIYISLLTLPLLTSVVSCNTDRFPETSVSDGNFWNSASDVRLAANYFYTTLPGLAETNDNWSADAYPNNNANNISDGSRVAPTSSSDYSYYGIFQANNLIEKAPKVIAKGADATVVNQYVGEARFFRAWYYFEMFKRFGGVPLITKTMQIDDPDVFKPRATRDEVLDLIYSDLDYAISVLRTPDQLNTAGEYGRISNTAALAFKARIALFEGTRSKYHGYGTPAKHLNIAKECAEKVMNSGQHALFSTPTVGTNGQQLNDAYYNLFQEKGDGRANKENIIVRIYGVDANNNVVSHLTQRIYEGSYIVPTNNFVSRYLMADGLPITKSPLYKTPDATMTHADFFKKRDPRMSFTLFKRGDEFIATSDYTTPNPTYQRSGYGIRKYANKNDWTYQRSFIDRPILRYAEVLLTYAEALYEINGTISDSDLDKTVNLLRARLPQINVGTDTAPNYVSMAKLSNAFVAANGLDMKEEIRRERRIELAYEGFSYWDLIRWKTAEIELPQTLYGSYLFTEYITTGGWDKSTIVDSNKYIILQPATSRKFDPKKDYLWPLPTVEIAKNPKIEQNPGW", "MNKILTVFLSLLATANINAQKSKKPNVLIIYTDDLGYGDLHSYGAKSINTPNIDALANNGLKFTNAYATNATCTPSRYSLLTGTYSWRRNDTGVANGDASLIINEDTYTLADLFRDSGYATGVIGKWHLGIGGKEGPNWNGTLKPGPLELGFNYSYIMAATQDRVPCVYIDGHQVENLDPADPITVNYKGPIPNANIPTYKEHPELLEMTSSNGHNNSVINGIGRIGYMSGGKTAIWDDYSMNEHFADKASKFITEHKDEPFFLYYATPNIHVPRTPNKKFAGKSGMGPRGDVILELDYCVGQVIKTLDSLGIRDNTLIVFSSDNGPVIDDGYNDQAKQFIGNHRPAGNLRGGKYSIFEGGTRIPFIVNYPKKIKKGETNTLISQIDLFASFASLNKQNLKKGQAFDSFNMLNQLLGKSKENRPYLIEDAYGIAIIKDSWKYIKPNNRSPYLKETETELGNNPQPQLYDLRTDEEEKINVAAKHPEKVKELAALLDEVMKKPINQ", "MISIQRLIFVCLSITGVAYSNIANGQTKTTKLSCCEVSGSKARQITTQKKTNSPATTIPLKDKSPVDITNKMVLIPAGTFMMGSNGDEWSRNWESPQHKVSVKSFYMDTHEVTNAEFEKFVIATGYITTAEKPVDWEALKKELPPDTPKPSDEDLMPGSMVFASPESVNGLDDYSQWWRWVKGADWQHPLGPGSNIKGKEKHPVVHVSYYDAVTYAKWIGKRLPTEAEWEWAARGGLDNKIYPWGDEHISSGSQKANYWTGTFPIKNTEKDGYYYTAPVGSYAPNAYGLYDMAGNVWEICSDWFSENYYQSLQGNQITNNPAGPSKPYYPSEPFANKRVVRGGSFLCNDSYCASYRVSARMPYSEDTGMIHTGFRLVKDPETK", "MKKGMLIFWGLYLLFFCIPFPIIIYMATDESSDIYKPESSLFWSWFWLGLSVLIWGYILWFFINQSLIQPLKDKRVIQDIAKNGIERKATITSQIILKNETLKNQQLLQLNLRFNNLNNYPIEDSHFFVDIKPEENRFAKGKEIDILLNRNVEHTPYFILKGQQTKYNNSGMLYRLLGLVLLLAYVVGLYVYFYDRDSKGHEWQFLTFMHPIIFTGFMILLFVAVYQFLLKPLMFGKKWEQKLLYAGKMVSAEIRNVRQTGLLVNDQPEVRFDVSYANEKGVVYQAIYKKVVQLIDIPALRREGYIDIMYSDEKPEKIVIPNIFNH", "MQKDSGQYKKLVAGIIVGIYAFVVFFAGFLHTHKADVTFGTGTKVKMEKSFQPNVNDCLSCHLMGSHQIYESFDFSLELFSSRIFAEQIYFYQQKFFLEAKTYFSLRGPPFV", "MSKYMTTFLLLCGMVMSYAQSSFTVQGTVKDSDNHSPIAQAQISIGGVKAISDAKGVFSLKINSGEYAVVVSHPKFDAFKENLKVDKHLKLEINLEHRAEDIETVVLNVKHRTPGAMIVSSLDKNMISRNVASNLGNLLTNISGVEGLKTGNNIVKPIIHGMYGSRVAILNNGVKMAEQEWGVEHAPNVDINNYQHIDVVKGASALKFGGDAIGGVVLLEPAIYPKKDTLEGSVTLNGQSNGRGGGVNVNLLKLWKNGWAINTNGAYNKLGDLKAPDYGLMNTGLESSSFNFGIQKKNAHRGFSIDYYLTNQNIGILRASHIGSPQDFYEAINAPRPIFERDFSYDIDNPKQVVEHHLVKLNAYNDFKNFGKLSLTYSFQYNHRQEYDIRRGDLKALPALDMELITNQVNINHLLNRDNWSLESGIDGTYQNNYSDPATKARRLIPNYDKYAAGVYSIFKYKFNSKWNTEASARYDFNRYDVNKWYDTSDWNNRYAALYPEFKVKEKANRTLTNPILNYHNFSFSAGAEYKPSSTLNLKFNYSRVSRTPNIAELFSDGLHHSASVIEIGDMSLKNETGNQFNLLIESKINALQGLQISLNPYFFYTKNYINEVPTGIQNTIRGVFPVWSYQQIDAKMFGADLDVNWNLTSNLTYKGRAAYLYGQDMTNNVPLILMAPTNVYNAIEFKKTEWNNFYFNVNNRQVFRQNRYPYNPVYITLYNAEGEAYEATLDLSTPPKAYNLWGLQAGVDIYKNFSVGLTINNLLNTNYKDYLNRLRFFSYEMGRNFILNIKYNF", "MKNIFRIFNISVLLATLSLVSLSCNRGSVEEDDLPQEELSNIVLNVTDVADKTTAVYDYQVNGTALPNIKLQDGHTYDVSVVFLNGNEDATQEIKSAKDEHFLIYNFPKSDITLTRTDDASSTRKDGAKVGLKTRWLVNKAQTADGSQLILTLYHEPKTVAESKNGTEWGKQTGGETDAVGQYNIVK", "MRTKSSNKKKINIVTLGCSKNVYDSEVLMGQLKANGKEVVHEDKGDIVVINTCGFIDNAKEESINTILEYVDLKNQGAVEKVFVTGCLSERYKPDLIREIPDVDQYFGTRDLPILLKHLGADYRHELVGERLTTTPRHYAYLKISEGCDRPCTFCAIPLMRGNHISTPIENLVKEAENLAKNGVKELILIAQDLTFYGLDIYKKRALGDLLKELVKVEGIEWIRLHYAFPTGFPEDVLEIIKEESKICNYIDIPLQHINNDVLKRMKRGTTFEKTNALLDKFREKVPGMAIRTTLIVGFPGETEEHFEELKNWVRDQRFDRLGCFTYSHEENTGAFIYEDDVPAEVKERRVEEIMEVQQQISYEINQEKVGKTFKCLFDRKEGNYFIGRTEFDSPDVDNTVLVPAENTYISVGEFVNVKITSADDFDLYGEVVS", "MSRVIFLLTLLPAIACSTVSNMKSGEEKSTTVSYYSDTFNGRKTSSGEVFDNGKLTAAHANLPFGTKVLLTNVATGDTVTVKVNDRGYLHKGRAFDITKSAFKKLGDVRKGVLKVTYRVLE", "MKGQNKLFISIIAALILGVIIGAIVHFNYPKETIDTFSKNIKLLGSLFIRLVQMIIAPLVFSTLVVGIAKMGDMKMVGRVGAKAMTWFITASLTSLLIGLVLVNIMKPGEGTDIVMNVSDAGDLLKTQSDFTLEHFVNHMVPKSIFEAFSTNEILQIVVFSVIFGIALGAYGEKGQMVTDFLDKIAHVVLIMVNYVMWFAPLGVLGAVAAAVAKYGFEIFTLYAHYLLAFVLGIATLWAVLLGVGYLVLGKRLKDLLRHIKTPLLIAFTTTSSEAVFPKLVEELEKFGAQNKIISFTLPLGYSFNLDGSMMYMTFASLFIAQVYDIHMPIEKQLLMLLVLMLTSKGVAGVPRASLIVVVATCSMFGIPPEGIALILPIDHFCDMARSMTNVLGNALATTAVDKWEGHIAVEQESIH", "MLTPKKWLLGVLVVSGMLGAQKTNAVPRPKLVVGLVVDQMRWDYLYRYYSKYGEGGFKRMLNTGYSLNNVHIDYVPTVTAIGHTSIFTGSVPSIHGIAGNDWYDKELGKSVYCTSDETVQPVGTTSNSVGQHSPRNLWSTTVTDQLGLATNFTSKVVGVSLKDRASILPAGHNPTGAFWFDDTTGKFITSTYYTKELPKWVNDFNNKNVPAQLVANGWNTLLPINQYTESSEDNVEWEGLLGSKKTPTFPYTDLAKDYEAKKGLIRTTPFGNTLTLQMADAAIDGNQMGVDDITDFLTVNLASTDYVGHNFGPNSIEVEDTYLRLDRDLADFFNNLDKKVGKGNYLVFLSADHGAAHSVGFMQAHKMPTGFFVEDMKNEMNAKLKQKFGADNIIAAAMNYQVYFDRKVLADSKLELDDVRDYVMTELKKEPSVLYVLSTDEIWESSIPEPIKSRVINGYNWKRSGDIQIISKDGYLSAYSKKGTTHSVWNSYDSHIPLLFMGWGIKQGESNQPYHMTDIAPTVSSLLKIQFPSGAVGKPITEVIGR", "MKTQRLDQKINETEKAELLEDFKVEELEQRFEMGWFRSGVEQTIEVEPASGDTYLPDGNGNVSSESWYGVGATWTF", "MKKSIVILFFFVNVNIILAQIKTHSDSNNVFKVIRMKTSDSLVVNVNNRPIYNILRAKMLDFDSTAPLIIPNSYPRDSNSLLLNYGKQNNATHPTDYIVRGVLNYIPKISFRL", "MKKIIGLILLFYFYLGHSQAYYIFSVLDTESGLPISNAKIITSTNDVHYTNDDGKVFLPSDVKSLNVSAPTYEEQNINFSTSTVKLKPVYKDIDEVQITNIDIKQLLENTLTNYLKLYYSKPSLYYGEIKQKAYLGGQINNLLVADINIWSLANVYNFKEKDNIDSFAQLGLNNIKYYKTRKRTADYPFNNDAQIIPRDFVLKLFFNGELVGVLNELRNDRIKVKLAYENTDIKIFLFEANNETTKVVYKGKLVYSKKDMLITYFDINAEGYKNMLRNRNKNGENYDVVNTSSYMSYDFYKNSNRYIPASIKSKGKGYILYKGQNVPFEVVQYITLQKFQESGRKGLKNKIDLTKNLTDNIPDKEIKETETLLSEEEQRFINER", "MNGKKQSPKFYKYYPKLFHGYFPHVDEHVVAVLSKAGYLYYQAILNLDAIIDNKEDYRIFDLLSLQEKAIKKLSSIYHENHEFWLLWDKRKLEYITAIQKEKELWNNPDIENYNDVADKKAAFGKIAIDSLFILDNSEKKSSELYNVLLESHYNFSVAFQLYDDVTDFKKDFLGKQFNKAVYELSNILTGREYDVEVMNKLLYIEGVGVKILNESISYLDRAKKYLIDDTTLWYKTIIDFRKTISEYRDITNGYIEVLRTKKQLYKKSIADDLFFDFHHIKEDVIKEGIGFIKKDYLRDYAELKHVMFLGEIEDFENQNNIHISDTFQRTLLNDCICDITNLLKIDSKGYLQKEIEYILGRRNHDSIGGWSYFPTVKEIAADIDDLGQIMQFFIKTGKEDLIHNHCLNAIRIAIEDRVNTEGGIETWIIPNYNLSELQKKQDYFNRSKWGKGPDVEVVANFAYALQLFSPLEYSEVIKNAVEYIASNQEDDGTWKSRWYFGSFYGTFICLRLFDTVKEDGCRVKEKTLDLLQKSQNLNGSYGKEGEYILSTVFAIFCMNILDFPDINYLKQNAKEFLLANQMEDGGWIAEHFIKPKLQEPYGSRTLTTAYVLKALLT", "MKAEDVLKISGDIEISKFTDKEYLLINSKQDSYVKINKQSFCVLSLIDGKRTLNDIRNEYNLLPYKDVSIEQLSALLEKFIEYSVFSGSTTVKKAKIPNYIKFGFIILKPSVISRITPFLEIFFRKKMYITVFLIGVSVFLYTLYKNLENPVHLDIFSMLPFFFILMAFSVFFHEFGHATAAYHFGAKHGGIGGGFYLYYMPVLFANVTDIWRLRRHERIIVNCAGVYFELILYIFLSLIGLLSSNFTIQFLAFFILIKSFYNLIPFLRADGYWILSDLFNKPNLSSHAFNNLKMIFQSLFKFRLPRFRIDNYLLAFYGLINILLIVMFYYYNLFYQYKIILNFPIIVFNGIRELFKNANFRIEIRYFFKYFSVLIFYLITIRIIIYAIRKVIRNNR", "MKYWYVIPILLLVSWSPKSEINNTKEKEQMDCSCSEYDNRSAIGTNIRFGKNGVSFQCATVIRAYVTDENGKNEHEEFHISCIAKKSKTYKLADSITYFDKNDWAKVPRAVFLNRKQTIINRPHGSFYQFELNEKHQIISVNEFYINKR", "MKLKSLLAFLIISFILGSCSKKGIEDIETKADYIQSRSGMSQSQLKEQLDKDAKFIKSVIDTASSVEFSGSFSMSAKGSGSGTVRDTAKAFSLGSSDSIRKDFNDITELNAVQSFRLPVSGFSFNPSKAMQKIDAYDIKYKVNKIFEGNKEITPENLKLKQPDSIAVTASYSFPIAYDTLVISKSNLKEVAYKGTKIEIDKFDGKSAEISIPIEIGSKIIGQQGLTSDGVLVATSNYSSFPSTGISNQVLGEMQKMLDILNKAGGEASKEVMVKDLQELSEKAFTYKNKLQQLTKDIDEFAKADDKKLSFGKIMRIIEEFTNKYKDLIAPKTSKIELGFPAEVDKIYFYVATKEEVLSKDLMASALIKPEGNEVFFDEKSDRYGIIDKNSNIIIPATYEQLERKDNLYFTNRIDTIETSYFLNLKNKKLEKLPDNMSYKRTLNNDFSLFTNKEDYTGVLKNNENEIVPFKYDDITMAGNVFIAKATKRGRPYYDFYSIKGKKLETPFTKEVSTTEGSPNIVIKGKDNKYGVIDENGKLTVEMKPNELRSIGQNMIAYRELPTKEMEYMDLEKLGIMTADGKIISQPQYSYIGNFSSGLAPVAIYGSGESPYFYVNTKGQPVFNYKFEEAYPFYKGYALILSSGEYYLIDTKGNKVLTFPENEGYKAEIISHPTAHYNINGQYYDYKGNPVKL", "MKKIFIITTALFLLSSCSKAPEYGKNVLDLNNFDFKLNLDEFFKDENVFRGKTDYSISSEEQSIKNSSVQQDYIQYSTVSMSKKRPLASFANVKFESLGLCSDENDEKVLLVSAQTDYATPADIIKIIDQLNKDFGESEIKDIGGNGDELILRWAKGDKVSIFSMRIPFTLESASNENNAHDYYNHSNEDKKKEMFSNSLYQQLKDKIKDEKEVKCTLFFSKADFDKALDQASSYSGDLTSYR", "MKNYVIIALAAILTLGSCSPFNVRSDYDQSASFNQYRTYEIRQNDLKLNDIDRERVIGSIRQQMNAKGMTEASPADLVINLKATHKEIQDIQTTSPWGYGWGMGWGGPYWGMGWGYNRTYTDYYNRGTLVMDFVDSRTNKLVWQGIGSGLNVDSPKTKAKQIPNMVAEVLKNYPPQQMQNKR", "MQENTIKENEDFYYNEQGYKVFTEKFHLRRGYCCKNGCKHCPYGYDKKTDTFNKKKK", "LKKKELKEDFVLLNHERIHLRQQLELLILPFYILYMVEYGIKYFKLKNAHKAYLAISFEREAYAKEDDFDYLKKRKFWNFIKYW", "MLTLPKTEIPIQKIKSGDVEIFLKREDLIHPDISGNKYWKLFYNVNHYLNQKIEKPFIITFGGAFSNHIATVAAFGRIFSIPTMGIIRGEELEARFLENITLRKAHENGMDFRFISRADYRDKDAITEKLRKEFPEALIVPEGGSNLQAVEGVKFMLTEQTKDFDYLCTAVGTGGTIAGISKYAEENQKVIGFTVVRDVSLEQRIRELNTKNNFNLIEADYGGYGKISDEIVRFINNFWKQYNIPLDPVYTGKMMMRLFQLAEEGFFPAGSRILAFHTGGLQGIEGANQLLRNKNRNTIEIRL", "MKKLLSICLVVSAFAVKAQTWNTEEQYIQRFAPYAVEEMELYKVPASITLAQGLIETAGGQSRLAQEGKNHFGIKCKETWTGKTMSHTDDAPNECFRVYESPRDSYRDHSLFLTTRKHYSPLFLLDVKDYKAWAYGLKRSGYATNPSYAPALIRKIEQYRLYEFDNISSDKVYATLLNRYPDLKNDAVFMAQVSQNKKNKDIPVQTVVYQEPKKKAEETKLVTPQAILDNILVKNHPNGDLKFIVIPEKIDLSYISQKYGVSVSRLMKYNELDGTQLQKNQIVFLESKNSSGNQKTYNAKTGETMYDISQKFAIKLAKLYKKNRMSFGEQPVAGQLVYLDSKKPRN", "MLYQRSSALFDEAQRYIPGGVNSPVRAFRSVGGVPVFMKSAKGAYLTDADDRQYVDYINSWGPAIVGHTHPVVLEAIQKQAESGFSFGAPTELETEIAKLITSVVPNIDKIRMVSSGTEACMSAIRLARGYTGKDKFIKFEGCYHGHSDSFLIKAGSGAATFGDPNSPGVTKGTAQDTLLARYNDIEQVKELFSQNEGQIAAVIVEPVAGNMGCVLPENDFLQKLRQVCDEHKALLIFDEVMTGFRLSMGGAQEALGVNADIVTFGKVIGGGMPVGAFAARNEIMDNLSPLGSVYQAGTLSGNPLAMRAGLTTLQLIKENKDFFNRINKTTETLDQEITKILTEKGIAHHINRFGSMMSVFFNTNKVSDFDEAAQADHTTFNKFFHHLLAEGIYLPPSGYETWFISDAIKDAEVNKTLEAIRKF", "MKKIGILGGTSYPSTILYYETLNKLYNQKFGAFHSCPILLYSIDYNEIKSNYNDGWDVIPQLLKKELQVLLDSSPSCVMIANNTLHKAFDLIKHELEINVPVFHIIELTKEYILNNNYKNVLLLGTKYTMESDFFKEPLIQAGINVAIPDEEERTEIQKIQIQLSSGKPVTPEYIAYFKKLNEKYSHLDAFILGCTEIPLLYKQMDSPVNLIDTLQIQCEKAMSIF", "LELSTPIEYLKGIGPERAKLIKNVLDLHKVEDFLTFYPIRYIDKSKLYKVGELREINNEIPLKGRITDIQEVAYAKGRRMVAKFRDETGTMELVWFKYSKWLKEQIPLNTEVIIFGRVQIFNNVFSMPHPEIEKNENKENSPTLLPVYSSSEKLTKRGINNRFFQQILLDIVLNVPTFIDENLPSGLMKGLKLISRVDAYQNIHFPKNNQWQKAADRRLKFEEAFFFQLGYGLKKKHNKTSSLGNPFPLVGDYFTGFYENNLPFELTNAQKRVLKEIRNDMKLPVQMNRLLQGDVGSGKTMVALLSMLIALDNGFQSCLMAPTEILAQQHFNGISDLLYGTGIEVKLLTGSTKASERKVIHEMLENGTLPIIVGTHALLEDKVKFKKLGLAIIDEQHRFGVAQRAKLWAKNVIPPHILVMTATPIPRTLAMSFYSDLDVSVIDEMPVGRKPIVTAHRKEKDRLFVFNFAKEEIAKGRQIYFVYPLIEESETLDYKNLNEGFDTVKEFFPVPDYDVVMLHGKMKPDEKDAAMQYFASGKAQIMVATTVIEVGVNVPNASVMIIESAERFGLSQLHQLRGRVGRGAEQSYCILMTSDKMTQESRKRIKTMVETNDGFRISEVDMELRGPGDILGTQQSGVIDFKKLDLMQDSNIIKAAKECVEKLLETDPLLAFQEHQGMKSYYVRQYKGKNKWAKIS", "MKKLHIILAGILTILAVNISVAQENKAKILVLFYSDNGGTYELAKEVAKGIESEKNTETVIKQVKTSSNPKLKNIPVASVDELKSYDGIAFGSPVYFGNISTGMSEFLSKTVNLWTKHALEGIPATVFMSAGSGAGKELAIQSFWNSLAVHGMILVPTGIRGNENIDKNIPQGNTVLGITSLNSVKNTERPSQSERYLAELQGKTIAKVSLALKGIFVQKEIIPTQEKADVNKVLQQKQIVLPQVPKPAGNYQPYVRSGNLVFINQVALKDGKIVNPGKLGTALNEQQVKEATKVTMLNVLAVLREAVGGDLNKVKQCVQLTGIFNTPDDYAKHADLMNIASDLTVEVFGEKGKHARGTLGASSLPVNSSVEIQAVFEVE", "MKVLEATPKDIPLIQDLAKRSWEMAYSKILSPGQISYMMAEMYSEKEISSQMENPDWRYFLIKDDEGNFGGFIGYQFNYEPKTTKLHRIYMVPESKGKGLGKFALNYLKNHVSENGNERIILNVNKYNNAKDFYESQGFKVYEEGVFDIGNGYVMDDYLMEFFV", "MNKLSGVGVALITPFNEDLSVDFDSLTRLVEFNIENGTSYLVVLGTTAEAATLNDEEKDKVVKHVIKVNNGRLPLVLGIGGNNTAEVVKQINETDTSAFDAILSVSPYYNKPNQEGLYQHYKALASTGKNIIVYNVPGRTGQNIEASTTLRLANEFPNLVMIKEAAPNINQYFDILRQKPENFSLVSGDDEFALPVTLAGADGVISVIGQAYPKEFAQMIQLGIEGKAKEAYKIHNKLVEITRLIFAEGNPTGVKYVLAELGIVKNYLRLPLVAASESLEQKLKAEMAKI", "MVQEKGFLISYLKYGENDAVLHIYTHSEGYKSYFLKGIYSKRNKKKALLQFLFEIQFTTNPKVSGLPLISDLQAYGQLPEWNIKTNALQFFIADVLSNILRNEAQNESIYSKISDFIDQLKEENISAHVHFLVILTEDFGIKPLLNDSTYLNPEKGFYEPFSSHHCFDDSTSGLWKSILEEGYAVKLTNVERRRLLESILVYYSIHIPEFKNPASLEVLQQIWA", "MKKLLLGLLVASTVLNSCRKDDYYNQNLIPNVDQATQNSYDDTAIKDYLDTHYFDDRGKIKTFDDTNKPDDKNVKLSTLAKTLPSGVIYVVRPNAQPTNGTDVKDKNVLQIMQVGFATRAIKGTDDKIMFTGSLPFFNTVDQGGVPANDPLWFYAKKALIASEQKRLDAANANNTVKVTNSYFEIEGFQEAIKLFKSFTNDVTANYNLQGLIIVPSRAAFAKDPHYNYVGASLNDYSFFFNFQLYGSRERTPSEE", "MRIDKFLWSVRFYKTRSIATDEIKKNRVSIGGQVVKSSKEVTEGDVITIRKNQIDYKIKVIQIPKSRIGAKLVTLHIKDMTDSEQYALLESRRLAQDYYRQKGEGRPTKKDRREMDGFLDIDDDDDEETAELSDKDWDNFFNDEAEGDE", "MIISLLGYMGSGKSHISRTLAQKINFKLFDLDKEISLHLGKDIPTIFKEMGEIGFRKAEKHILEQLLSSEENIILSLGGGTPVYYNNMDTITRHSKSFYLRAKVGTLAERLSKQKDKRPLIARISEEDLPEFIAKHLFERNTYYNEAEFIIDTDNKTDEEISNEIIHHLQLHH", "MKNVLKIVFGLLLFASFFACRGNEDSLPPGNKIQGEWILQNTVAGRTVTYNFRANDVVITESGTVTSSNTYSYSVVYENYKDPKNQDQKQDVVYIGANKFVLTFEGSGRMVLTNPNSTPAVKLEFERRR", "MKIISYNVNGIRAAFAKDFTTWLSFANPDIICFQESKAQPEQINIGAFSDLQYESYWYSAQKKGYSGVGIATKHKPNHIEYGTGIDYIDFEGRVIRLDFDNFSVISVYVPSATNISRLDLKMQFCYDFLNYLKELRKTIPNLIVCGDFNICHEAIDIHDPIRLASVSGFLPMEREYLSKFLDEGEFTDAFRYKNPETQQYSWWSYRANARANNKGWRLDYNMVSNTLKDKIQRAIILPEAMHSDHCPVMVELDL", "MVKIGNIELPDFPLLLAPMEDVSDPPFRRLCKMHGADLMYSEFISSEGLIRDAIKSRKKLDIFDYERPVGIQIFGGDEEAMAMSARIVETVEPDLVDINFGCPVKKVVCKGAGAGVLKDIDLMVRLTKAVVNSTHLPVTVKTRLGWDTESINIDEVAERLQETGIKALTIHARTRAQMYKGEADWNHISRIKNNPNIEIPIFGNGDIDSPEKALEYKQKYDCDGIMIGRGAIGYPWIFNEIKHFFQTGENLPKPTVRDRLLAVQQHAEWSVEWKGERPGLVEMRQHYNNYFKGIPHFKELKSRFLQALTLPELNELINEAKNQFENVEI", "MQNYLHLLQDILDNGSDKTDRTGTGTRSLFGYQLRYDLSKGFPLVTTKKVHLKSIIYELLWFLKGDTNIKYLKNNGVSIWDEWADENGDLGPVYGAQWRSWRGADNKVVDQISEVIDQIKKNPDSRRLIVSAWNVAEIPNMALAPCHAMFQFYVADGKLSLQLYQRSADVFLGVPFNIASYALLLMMVAQVTGLQVGDYVHSFGDVHIYNNHFEQVNRQLSRDPKPLPVMKLNPDVKDIFNFKFEDFELLNYDPHPGIKAPVAI", "MKKIFIAALFLGTLLNANMAFSQTETSGRDGVYRASHTKMTELKHTKLKVNFDYQKEQMNGEEWLTASPYFYATDSLVLNAKAMLIHEVALDKGGSKTPLKFDYKNDILKINLDKTYNKNQDYTVYIKYTARPNEVKQRGSSAISDAKGLYFINAQGKEADKPTQIWTQGETESSSAWFPTIDKTNQKTTQEIYMTVPDKYVTLSNGILKESKKEGNNLRTDHWVMEKRHSPYLFFMGVGDYAVVKDKWRNIPVDYYIEKEYEPYAKQIYGNTPEMMEFYSKYLNYDYPWAKYAQISGRDYVSGAMENTTATLHGDAVQQKPGQLVDENSWESTIAHELFHHWFGDLVTAESWSNLTVNESFANYSEYLWFEHKYGKDLADYHLMKDVGNYLHNPNDYSKNLVRFNYADKEDVFDLVTYQKGGGILHMLRNYLGEDAFRQGLTDYLKTNEYGNGEAHQLRLSFEKVSGKDLNWFFNQWYFSNGHPKLSYSYNYEPVKKQVTVTINQSQSPLFQFPLAIDVYDNGKPVRQNVWVDAKANNSFTFPSSKSPDLVNINADGILLSTITDTKTPEQYLLQYQGSKEFYSRYKAVQEAAKSADKNDAALKTLLAGLKDPFFRIRMKALNGLDLSKPNQAKLALAEVEKLASNDPKTLVQGEAIAALAKTKDKKYQSLYEKGITAVSNSVSGNSLAALATVAPEKIAAYADKIDLNNANEGLISTLLPIIVKNKITKQMPAIAETAAFYPFIKMQNPEEGKAAEDAYNWIMGSDSAEATKRLTKVLGLAKSQVGDNPQAKMMIVNMLKAGLNTKMAVLKADPQNAELHKQIEMINNTIEAYSK", "MKLSLITGISLAFLLSGQSCAQKVQNKSLQQIVDNRSSQHISKLIDAKTWNKLFPNRNNIQGNDNKHQDFYSYQAFIKAAAHFPSFLNEGSVEDQKRELAAFLANIAQETSGGWDDAPGGYFAWGLYFIEENNKGNGNNYTDIAKTAYPPTEGQAYYGRGPKQLSWNYNYGQFSEAWFGDKNILLKNPGLLAQDNILSFASAIWFWMTAQTPKPSCHDVMTGKWKPTEKDIESGRVSGFGTTVNIINGGIECGLGKALPKTQYRYEYYQYFCKYFGVNPGENITCSSQKPFGT", "MENTKYILNFLTQLSQNNNREWFTENKPQYQQAHEYFISIVEQVISELAKTEPEMERLDPKKCVFRIYRDTRFSKDKTPYKTNFGASFFMGQSKGIGEAGYYMHFEPGKSFIAAGLYQPNSDVLKKFRKEISYNKEEFLSIIENPTFKKNFKIEGEKLKKIPQGFEKEDPMAEYLKYKEMILIHSFEDTEIISSKFVQEIGKLFKIALPFNQFVKESTEFN", "MIKKEAVQDFLKEVEVDDLVKNIQIMGDSVFIDMVAHSPAMHEKKKLEVAMKQAFTSHFGENVTLKLKIDSPEQSEIQQNQIKGKQIPGIKNIIAIASGKGGVGKSTVAANMAVTLAKMGFSVGLLDADIYGPSVPTMFDTVGAKPISVEENGRNLMKPIESYGVKLLSIGYFSGANQAVVWRGPMAAKALNQMLRDAAWGELDFLLLDLPPGTGDIHLSIIQEVPVTGAVIVSTPQHVALADVRKGIGMFQMDSINIPVLGLIENMAYFTPAELPENKYYIFGKEGAKNLAEDLGIPVLGEIPLIQSIREAGDVGRPVALQEGTGIADIYTKTAQNMIESLVERNENLPPTEAVKITTMAGCSLKK", "MSETKHIETVTKVMEALEEIRPFLNKDGGDIELIDVKENTVIVRLLGNCSSCHINTSTLKLGVENTIKQHVPEIVEVINID", "MNKYITTSFFVLGGLMVNAQTKKTDTLSSKDKEIEQVELFGERNKKPQGLEVITRLPLKTRDQIQSISVISYKVIEDLGGLTVTDVAKNIPGVTQFGSYGGTRESMSIRGYRGVPVLKNGVQLDSDFRTAGMLTDMQGVESIQVIKGSAAVTQGIGDGLGSAGGVINVVTKVPKFINQTNVGFRYGSWDFYRPTLDFQRVLDSKGRVAVRFNGAYQNSNSFRRFINTERIYVNPSIAIRPDDKTEIVMEMDYMHNNTTPDRGTVNLAKGDVEAIYNMPGRKFLGFSSDNAKTETFNFSTTASRKLTDKLKLRAAFISSSYQSEIIGAALAPVDRNNPNQYRNRTLTRSDREDLNKVFQFDFIGADVMTGFMKHTFQVGFDWKESNVTTTSYKSKNVDRINVLEDINNALPGNIDAKNFDLIDKNPIINTKTPTVGLMAQDVITFNRYIKAHLGIRYSRLNGSDKETSYAWNPSFGIMLSPIENVNVFGSYTSTTSLRSSNNVLASGGTVGASTTKQWEAGIKSDWLNERLRFNVTLFDIKTDNLSYEVLTNGNSTGTYALAGNLKRRGVEVELIGKILPNLQVMTGWAYVDAQYKDSPSFVNGSAPINTPKHSANAWLNYRFNQGILEGLDVGAGIYYVGKRPVDDYKQTYSNAANGHVNGTLPGEKPFKMPDYTTVDAQLGYALKNGFGVRVFFNNIFDRVGYSSYFRGGYIDQIQPRNFAVQLNYKF", "MRLAAIDIGSNAARLLINEVVENENGQTEFTKLNLLRVPLRLGMDVFKIGEIGPEREQMVIRSMKVFHDLMEIYNVEHYRACATSAMRDAKNGKHIIDTVKKEANINIEIISGDEEATLIYENHVAENMDNNFGYLYIDVGGGSTELTYYENKKLKYKKSFNIGTIRILNNLVKEESWEEMKSEIKDKIFGKKPIIAIGSGGNINKIFSMSKTKDGKPMSANLLKTYYKEAAPLSVQERMIKYNVREDRADVMVPALEIFNKIMLWGEIKQIYVPKISVADGLIHSLYNKIKKKR", "MPQFNARDISWLSFNARVLQEAADSQVPLPLRIKFLGIFSNNLDEFFRVRVAGLKRAMEMKSKITAESFFEEPQKILDKINKLVIQQQDDFNIIWEKIQKEMAEQNVFIRTNSDLNFQQRTFVRNYFDEDVESNVIPILLDEKKPMPFLRDKSLYIGIAMWNKNDKKQKHFAIIEVPSRTIGRFTILPSTNGEKNVILLEDVIIENLPYIFSYFGYDEFSAHCFKVTKDAEFDLDNDVRTSFVEKIEKGLKNRRKGKPTRFVFDKNMDPHLLEFLIKKLSLSKKDSIIPGEKIHNFKHFMDFPDVFKNYIKPVERTSFTHPDFDNKHRVTDVILKKDVLLNFPYHSFVSVIDLLREAAMDPDVTTIHITAYRLASNSKIANALINAVRNGKEVTVMLELRARFDEEANIFWKERLEEEGVKVLVGIPNKKVHAKLCIIKKRVHNKMTQYGFISTGNFNEKTAKVYGDNMLMTSNSTIMADINRVFSLLKKPKQDPVLALEKCKTLMVCPQHMRNKIVSYIDKEIEEAIAGRKAEIIVKVNSLSDKELIKKLYEAAEAGVTIKLIVRGIYCAVNQKTFKKKIHAISIVDEYLEHSRIMYFYHGGKELMYISSADWMTRNLDYRIEAAVRINNKDLKKELKEILNIQLHDNVKARDIGKNLKNQYYENDKKPFRSQIEIYNYLKHKAENEASSN", "MSKIIGIDLGTTNSCVAVMEGKDPVVIPNAEGKRTTPSIVAFTEDGERKVGDPAKRQAVTNPVNTVYSIKRFIGTHFKDDGSEIARVPYKVVSGPNDTVKVKIDDREYTPQEISAMILQKMKKTAEDYLGQEVTRAVITVPAYFNDAQRQATKEAGEIAGLKVERIINEPTAAALAYGLDKSHKDQKIAVYDLGGGTFDISILDLGDGVFEVLSTNGDTHLGGDDFDDVIINWMADEFKAEEGVDLKADAIALQRLKEAAEKAKIELSSSSQTEINLPYITATATGPKHLVKTLTRAKFEQLSADLVRRSMEPCKKALQDAGLSTSDIDEVILVGGSTRIPIIQEEVEKFFGKKPSKGVNPDEVVAVGAAIQGGVLTGDVKDVLLLDVTPLSLGIETMGSVFTKLIEANTTIPTKKSEVFSTASDNQPAVTIRVGQGERPMFNDNKEIGRFELTDIPPAPRGVPQIEVTFDIDANGILSVSAKDKGTGKEQSIKIQASSGLSDEEIERMKKEAQENASADAKKKEEVEVFNKADGLIFQTEKQLKEFGDKLSADKKSAIEAAAAELKTAFDAKDTEAVKAKTEALDAAWMAASEEMYAQQQANPQAGAQQNAGGGAEDVQDADFEEVK", "MIKNLLKEEVSDSIIARVKNLSAVHKSEWGEMTASEMLLHCNSCNRQILEESRGDKKTTIKQYLLRVLALYIAPNFKKNIKGELTHVTKGKANASDFEELRNKFILLIGKFPANDHPLTLSHPAFGNISTNEWGIAAYKHMDHHLRQFGV", "MRKLKLQMHISLDGYAAGLNGETDWIFLSGKQDPAAFQFIVDLAETSDTLLLGRKMTPEFIQHWENVFDNQADSPAYAMAKPIVGMRKIVFSRGENSVVGRNVEVENGDLDTVVKTLKNQPGKDILVYGGCNFVSSLISLDLIDEYYMIINPVALGSGLPIFKNQKTLELQNSIAFSHGKVINKYIPI", "MMNDKMQTINKKIATEYLKISYPSIRNEITQLSAQNNFAGIIQAVINHLKILLQEAKINMISYHIKSMEWLYRNGNNYIKYIIESLFIRSFESMKRISEDQHWEKLYEYMPVRFQEIYQEQIRKDEIIIQKK", "MKRKTRRKFDIEEWKSSNTRHNIEILAVHIAAIVGIFTFAAYI", "MNHLEARQEITAIIPEIKNELSDQNTSGIIQIFTDRIREMIRKNENRLLFKSLEKMDLIYKKGDTALKNAVENIFIYSLDYLTASCNKEYRRVIFCNISPDLQKIYFRQIYKPGM", "MNEDNIRRLEHISVHYVRNKMNGEGMVLSESELELDPDMTILLKDFFLTPFKSDVYYQFYDEDALENNAVFSIASKIFEKPEFCHEESKNLAEHLHNKTLHSNIKDGEFYITYFRDYVVEGKIVDAIGLFKTENKETFLKVLPEDEGFQIQKDQGINLRKLDKGCLIFNTEQEEGYMVSVIDTTKAGVEARYWVDDFLQLQQRKDEYFNTEHTLSMYKSYVTEQLPQEYEVSRVDQADYLNKSINFFKDKEEFEIEEFKKQVLVHPEVIESFDEYKKQFEEERDIKLEDNFTIATGAVKKQQRHFKSVIKLDKNFHIYVHGDRQKLETGEDDKGKYYRLYFEEEQ", "MKVTVVGAGAVGASCAEYIAMKNFAAEVVLVDIKEGFAEGKAMDLMQTASLNGFDTKITGTTNDYTKTAGSKVAVITSGIPRKPGMTREELIGINAGIVKEVAQNILKHSPEAIIIVVSNPMDTMAYLVHKVTGLPKNRIIGMGGALDSARFKYRLAEALECPISDVDGMVIAAHSDTGMLPLTRMATRNGVPVTAFLNEEKIQYVTEETKVGGATLTKLLGTSAWYAPGAAVSVMVQSILNDQKKMIPCSVLLEGEYGQQDICLGVPCIIGKDGIESIVALDLNDAEKEKFQTAAAAVRDVNGDLKL", "MIKKIIIAGVLCLFSNSYFAQKTFKLNFPSKEDTAVSPIVKENIEQYARQINTIIQEEKIKMENEIKKATQEAEQNGLSNQEIAEQKAKIADSYSEKIDKRINDLGFNVDEIIQKQVKYSLLNTDATSVKDLHQKLMYKFRAERSLTLYLSFGVMGLTNNLPNNDLDNNKTFSNNFEFGIKYNRQFSMTSPWGLVSGLGFSWRTLRLENDKFFAKDANNNIIIAQSERDLQKSKLRTGYIMVPIGLQYNFSPLKNAGEDVRYRSYFKGFKVGAGLYGGIQMSTNNIVKGDGVKYRHREEFNVNPFVYGAQFYISYNKFNFFIKKDFSYYFKDQTFKDDKMIQFGILFDI", "MKSDKLENYIKNQLEKREINPSRNLWADIRLDLDEAPKKNKKNTVIWLAAASVILLCSVAGIFIFKNDRQNRNPIIAKQTQPVNSSEPKEISTPETNIKTDSVLRKESPVKNLAQQQPAPAKTEKTPKQKTLPKTIITPVEKIDAAIPVPQNQLVKTEETKEQTVKKKKYVDPKILLFSVENREAIEKTKDGSNVASVEIRR", "VRILSKHKEDLVSLLKKHDPVAQRSFYEQQSPKLLSVSRTYIKDIYHAEDCLIKAFCKIFKNIESFRNDGSINAWARRIVVNECLSFLKSQKTIFYLDENQIADTAEDYDEVNIYEDFNVQELLDQLPENYRLVFNLYVLESYPHQEIAEMLNISVSTSKTQLFRAKAKLKEIFIQQKLIRNEIR", "MKKLFSILSFLVASTFIFAQTSWKADPAHSHVAFTVVHMGISDVSGIFKTFDLAVASQAKDFSDAKVNFSIDVNSIDTNVDARDNHLKSPDFFDAAQFDKITFNSTSLKADKTNTYILKGNLTMHGVTKPVTMTMVYRGELTDKKTGKVTKGLQVYGDVKRSDFGIGGKFPDAMVSDIVRIKADFEVKQ", "METKRAFFLEAYKLGIIKFGRFTLKSGIESPFYVDLRPLASDPKILKHLSNYLLEMLPLDNFDLICGVPYAALPMATAMSLESYIPLIIKRKEAKAYGTKKLIEGIFQKGQNCLLVEDVITSGKSLLETIDEVENEGLNVSDIVVVLDRQQGGREKLQEKGYNVHTLFTISEVVEILKEEKQLTDDEVERINDFLNGNTVTFEEKKKVRYEEKLKNIDHPVATKLLETALQKRSNLIASADVITTQELLDFAEVVGPHIAALKTHIDIISDFDYDKTIIPLIDIASKHNFLLMEDRKFGDIGNTQELQFSHGVYKIANWADMVTAHAIGGSKALEGFHNAGIITILGMSSQGTLTDAHYREEAMKIIINEPNVMGCVAQNQIQDDLLLFTPGVNISAGGDSKGQQYNTPEHVFKNLHTDFIIVGRGIYKADDIEQAALTYKTRGWQAYEAAIS", "MKNKFSLFFFVVSVAFINAQVPDAKLDELVKNTLKTFDVPGMSVGVVKDGKLIYAKGFGVRSLNTKAAMDENTLVGIASNSKGFTATALAILADEGKLNWNDKVTKFIPEFRMYDPYVSQQVTIKDLITHRAGLGLGQGDLMFFPEGGNLTVNDIVHNVRYLKPANSFRTKLDYNNIMFIVAGEVIHRVSGLSWADFIEQRIMKPVGMSSSFGSYNRAKAVTNKIDAHAPVNGKAVAVPHDWNETANAAGGIMSNIPDMTTWANFLINGFVTKDGKRLVSEKNAHELWSLQIPESIAAKNPYDSNFYGYGMGWFLSDVKGHLQVQHTGGLIGTVTQFTLIPDLKLGIVVLTNQQSGAAFNTITNTVKDSYLGVRGRDWLKNYGDRMAKINANYDKEKNDVYAKVEAAQKDSQQLAKPEQFTGTYNDVWFGDVIVKQEGKKYFIYCVNSPRLKGELLPYSYNTFVAKWDDRSYDADAFVIFNFDETGKAQSARLKPISGVTDFSFDFEDLDLKRK", "MKKLILVRHAKSDWPEGIDDFNRPLAERGKEDAPRMAQFLNEKGINIDALISSPANRAYSTCLFFHKTYKDAEMSTASALYNARENDFVSVINQIDDVHNTVALFSHNNGISNFANLFSGNDIISFPTCGVAGIEIDIDSWSHFDEEKCQLKYFYSPKELD", "MKNTWINHPVILQGTSINLVPLEEKYLEELYTAAADKELWALIPTDCSERDVFYKTYEFAISERTSGNQYPFVIIHKDTNKIIGSTRFFEIYPADKKLEIGWTWITKDYWGTSINLECKLLLLTYCFETLKTNRVQLKTKDTNFRSRKAIEKIGGVFEGILRKDKIVSDGTTRNAAYYSILDEEWEQAKAKIRKQIAIKMANG", "MGQIMAVDYGKARTGLAVTDDMQIIASALQTVETPKLMDFLGSYFQQNRVDEIVVGLPTDLKGNMSEIETEIQKFLEKFQTTFPQIKINRFDERFTSKMASFFISQSGKSKKERQQKGLIDKVSATLILQNFLETR", "MILPIRAYGDPVLRKKAHDITKDYPELNQLIDNMFETMYQAHGIGLAAPQIGLDIRLFVIDVRPLAEDEDYLDIREELKDFKKVFINAKILEYEGEPWKFNEGCLSIPDVREDVSRPETITMEYYDENFVKHTETFSDIRARVIQHEYDHIDGVLFTDKLSALKKKIIKGKLTKITAGDVSVDYKMRFPK", "MQLERIISISGKPGLFRLVSQLRTGFIVEDITTGKKANISNTSQVSLLDNISMFTFDSEVPLFEVFHNIAKKEDFKPTINHKSSADELRTFMAEVLPNYDVERVYESDIKKLVQWYNTLQKGGYITPESFVAPAAEETAEVSAEVTEEVKEKKAPAKKAAKKEDAAEEEKPAKKTAKKKTEEE", "MNTRAEKLEAFGRLLDIMDDLREKCPWDQKQTLQSLRHLTLEEVYELSDALLEEDLQEIKKELGDVLLHLVFYAKIGSEKQSFDIADVINSLNEKLIFRHPHIYGNTEVKDEEEVKQNWEKLKLKEGNKSILSGVPNGLPPMIKAYRIQDKVKGIGFDFPSAEEAWEKVEEELSEFHAETDADKKEAELGDLIFSVINYSRIAGVNADTALERTNQKFISRFEAMEELAHERNLVLSEMSLEEMDQLWNEVKKKLEY", "MKKVIILFIAVSSFVYGQKEKKLDSLFTSLYAAKEFNGNVLVAEKGKVIYEKSFGLANEKTKQKLDKNTVFELASVSKQFTAMGIVQLEKEGKLSYNDPLTKYFPELSFYKPITIDNLLYHTSGLPDYMSLFDKNWDKKKFATNKDIVDMLAKYKPELLFVPDDKYEYSNTGYALLGLIIEKVSKQSYGDYLNKKIFKPLGMANTRVYRSRYKPEKISNYALGYVVDSLGNKKLLDDLGKEYYTYYLDGIVGDGMVNSTTGDLLKWDRALYGDKLVNQKDKDLIFSSRVTKDNKDTRYGYGWAIDTKYPFGKIANHSGGWAGYITFIERDLDYDKTIIILQNNDSEAASSPVKQLRNILYDIKPIKVDLATLQKYAGKYTKKNSKTFEVFFENNKLYVPLNPQVKLELEAISTNKFKVRDFSPDVFYEFKILDDGSIKCNMSQPAHNMNEEGIKKI", "MKKQFLLAIAMVSTAVVFSQSRKEDSIYVRENYTKVEKLIPMRDGKKLFTAIYMPKDQKQKYPVLLNRTPYTVAPYGEDKYKTSLGNFPAEMREGFIFVYQDVRGKWMSEGEFEDVRPALKPGQKGIDESTDTYDTLEWLSKNLKNYNQKAGVYGISYPGFYSTTTLVNSHPTLKAVSPQAPVTNWYLGDDFHHKGAMFLNDAFMFMTSFGVPRPEPITPDKGPKRFVPPAKEMYKFFLEAGSNKELKDKYMGTNIKFFNDMYAHPDYDQFWKDRNILPHLTQVKPAVMVVGGFFDAEDAYGTFETYKAIEKQNPKANNILVAGPWFHGGWVRGDGKQFGDIKFDHPTSIDYQQNLELPFFNYHLKGKGQFKGGEANIFITGSNQWKTFDTWPPKNTETKQLYFQPNGKLSFDKVQRTDSWDEYVSDPNKPVPHQDGVQTSRTREYMIDDQRFAAKRPDVMVYQTDELQEDITLTGPVINHLFVSTTGTDADYVVKIIDVYPETEADFNGKTMAGYQMLVRGEIMRGKYRNGFDKPEAFQPGFVTKVNYEMPDIAHTFKKGHRIMIQVQNSWFPLADRNPQKFMNIYEATSADFQKATHRIFHDVNNPTSVEVSVLKEK", "MLRKLIYAPLFFSLVFCTPKAQEAKATSDNLGPLHTVFKFPKKIKEVSGIQISPDGKEFYVHEDQGNRNEIFAVGMDGNLVRTITIEGVENNDWEDIAQDKNGFTYIGDFGNNDNDRKNLAIYKVKIDKENSTPVLQTTKFSYPEQTEFPPNKKSLLYDCEAFLEYNGYFYLFTKNRSKKFDGTSLVYKIPNKQGDFKAQLVGELKLPGKYNDAAVTGADISPNGKKIALITHKNVFILENFEGDNFANAKTTQIDLQSNSQKEGICFSTDTILYIGEERNNKDEGNLYSMELK", "MRIDSGSVNYFLRAYFQYVLIPLVIIGIISYIVPEEEHYPPLFYIIITAFILILFLIISFHEYFSIKKKSKISTLFIRQGELYVNNKKKLPLAEITSITPLYYNPLIGKIMIHFFEIKTGNEIFYFFDKPRFMWNIMTPLSVKKLEKIFPELQPVIQEQATIRKLPNT", "MHFKVYILKNTLSNFLKVSIFGITLQSCATYTVQKGKNLAEIPAQDSSKVAHRFFLIGDAGNADEPRAQNTLNLLQKRLKKEASNSTLLFLGDNIYPLGMPDEKDPGYDLAKLKLENQLKIAKDYKGNTIVIPGNHDWYYGLDGLKAQEKAVVKYLNDKKAFLPKKGCPIDKVKLNNDLTLITIDSEWYLQNWDENPDMNADCNIKTRDDFFDEFEDLLNKNQNKPIVVALHHPLISSGSHAGYFSLKKQLYPIGEKVPLPVIGSFINVLRATSGISPQDLNNERYTALAKRLKSLAQDNQNVIFVSGHDHNLQYLEERNIRQIISGSGSKNEAAKATTPKDFTFGGNGYAVLDINHDGSANVSYYSTENNTESFLARIKVLENLQRPTAGKYPDTFSDSIKTSVYPAKLTQKSKIYTWLWGDHYRKYYSMPIKAKVATLDTLKGGLSPVRAGGGHQSNSLRLIAPNKQEYAMRGVKKSAIRFLNAVAFKNESFGHELEGTFAEKFLLDFYTTTHPYTPFAVGNLAESINVFHSDPQLYYIPRQKALGDFNYDYGDELYMIEERFSDSPDDLKMLNGASTTMNTLDMMKNLQKSEKYSVDQQSYIRARIFDMLLGDWDRHYDQWRWAEYKDGNSYVYKAIPKDRDQAFSKYDGLLFKFIMQMPPLRHMQSFKEDIRNIKWLNREPYPLDLAFLKNATEEDWKKEAEYIQQNMTDEVIDNAFHNLPKEVQDGTIEHIKQNLKIRRSKMVHYATEYYKTLHKTIMITGTNKVDRFVIKKEKHKVSVLQYRVKKEENELVFKREYSKHNTDEIWIYGLDDDDIFDVSGSERTGIKIRLIGGLNHDVYNIKDGRNVKLYDFKSQKNTYNITGYVAKQIKDDYDINTYNFEKPQYNFWAGYPSIGYNPDEGLKVGAVVNYTHNGFDRDPYTSKHTFKTNYSFATSGVEFVYNGVFPNAIGKWTLHLDGRFTTPDFAQNYFGFGNNTENHDKELGRNYNRVNIQQLQIAPSISRKSFMGFVQTFQLGYEDYKVHHNKNRFVTQSDQINPDVFDNQQFLGAKYGFSYDHSDNAAFPTMAFGFAVSAAWRMNIDNPNRNFMTYDARLNVTHRIDDDGKFVFATKIQGVYINNRYFEFFQGADLGGNNDIRSFRNNRFLGNSSLFQSNDIRWNFGRVRNRVIPVNFGILAGYDYGRVWMDGEYSRKWHQSVGGGIWVSILEAFSARATYFSGSDGGRFSAGIGLRF", "MTLVQKAGFFIENLFKDKLSPAFLYHNYKHTQEVVANAEILANAENLTDDEKEILLVACWFHDSGYTEDIMQHEEKSCEIADHFLRTEGANENFIQKVKALIMSTKMCCIPGNRIENIIRDADSSHLASEDYFTYSDNLRKEWESTLGKSFGKKKWNVENVRFFRFHKFNTEYAIQNWEPVKEKNLQKIENMIQEQEATDTKKDKEKNKKEPKKEAKADRSIDTMFRITLSNHTRLSDIADSKANILLSVNAIIISIALSTLLPKLGSAKNEYLVVPTFIMLLFSVITIIFAILSTKPKVTSGEFTKEDLRKRKVNLLFFGNFYKMNLDDYTPAVREMMEDRDYLYDSMIRDLYYLGVVLNRKYRLLSITYQIFMVGIIVSVIAFVISFLTS", "MLQEKESPFILRIAFHKYIEVLEKIRDQDPESYRSEYARALLEKVDKVPELREGFDDIDVIRNNEGLIHQLLADLFPTALTNNEIKAASIPFFNLTFNYTERFKKLLSDAGQSFEIKIRDLDDDYFYIMNCVVIIHTYFKKEIKTSTPLYFDIPDKQGFIRHYRLTINADFTETIPTEKAHFLNNDEIDLLLDNYENIDLWKSKFPPESWILKGFFIISLTDVTADFSLSELKSNLLSIDPETGALDNSFEPILRSYFEIPDLRTGFMFFNHEQNRLEKLQNNRALFSSSILEFLYDKLGFDAIGKEAYDALAQSNKPIVVSDVYKYADSEKFQFISSYFIEHNVHSFIMIPVVKDNQLLAILEMSSGIKGAFNSLKVKKLDFVTPFLLFSISRFHYEWQTKLDAIIQQEYTSLHPSVAWKFREEAQNAFFGSLRNEEYNLKEISFDHVYPLYGQTDIKSSSSIRNKAQQEDLLEQLNRLVSVYENIKNEKAVNEKILFGLKILAEEVEAGLKTDTEQRVLRFLANKVHPELEENNTPEIINYFSLLDSNGQLLYKRRKAFDDTVSAINKNFANLLERKEQEAQLIFPHYFELFKTDGVEHNIYVGQSISPTRHYSKEILHQLRYWQLETLCEMELSHESIKSCLPYNLDVHTLILVFNVSLSIRFRMDEKRFDVDGAYNSRYEVVKKRIDKAYIKNTTERITQPGKICIVYSSNEDEKEYYSYIQILQEKGYLSGHVEKLDVEELPGVSGLKALRANINRDKTVDVSCDFLN", "MKTANFSFALCLSVVIMLFTKCTRSEQDLSVTKDASALKSGVTISAVNLSNLIAYKNSDHQISAGYYRTWRDSATASGNLPSMRWLPDSLDVVMVFPDYTPPANAYWNTLKTNYVPYLHKRGTKVIITLGDLNSATTTGGQDSIGYSSWAKSIYDKWVGEYNLDGIDIDIESSPSGATLTKFVAATKALSKYFGPKSGTGKTFVYDTNQNPTNFFIQTASRYNYVFLQAYGRSTTNLTTVSGLYAPYINMKQFLPGFSFYEENGYPGNYWNDVRYPQNGTGRAYDYARWQPATGKKGGIFSYAIERDAPLTSSNDNTLRAPNFRVTKDLIKIMNP", "MKKIFFAQCSILLLMLGSCSKMTEDMTPESVNKEASVKSSAALAGSNGVCIAYYITDGRNPTFKLKDIPDKVDMVILFGLKYWSLQDTTKLPGGTGMMGSFKSYKDLDTQIRSLQSRGIKVLQNIDDDVSWQSSKPGGFASAAAYGNAIKSIVIDKWKLDGISLDVEHSGAKPNPIPAFPGYAATGYNGWYSGSMAATPAFLNVISELTKYFGTTAPNNKQLQIASGIDVYAWNKIMENFRNNFNYIQLQSYGANVSRTQLMMNYATGTNKIPASKMVFGAYAEGGTNQANDIEVAKWIPTQGAKGGMMIYTYNSNVSYANAVRDAVKN", "MTVFIIIFITAVLSLFAGVFNQSKFSRYIGILGLLIAFYVSYMPDCSFFLKYDNMYLYDGPARLLTQISIVVTLLIFFLGGFAFNNHRSHQSELYALMLLSLCGGITLFGFKNLVTLFLGIEILSIPLYVMAGANKTDLRSIEASMKYFLTGAFATGFLLFGIAMIYGSTGSFDLTEIHKFSLQSGKNPMFILGFILMLCAMAFKTSLAPFHMWSPDVYQGAPSIITSFMATVVKISAFGALFKLMVIGFAGTYGNWLNIMGSFVIITLLLANCMGLAQTNMKRMLAYSSVSHAGYIGLIFFGMNENSLEKMAFYLLAYSLSTIGAFLTLIWVEKVKREVSYNAFKGLAKTEPLLAIVATVSLLSMAGIPLTAGFVGKFSLFSQAMNGAAFLVLVAILGSALSIAYYLRPIIAMFFFKETTFKTSEKVSLTYNILAVVITIAIIVLGIYPDLFNIQFGG", "MLLALLLIPVIGSALVFAWKSPSAKYIALVLAFLEMALSFYMLSGLNFVATIDSQNLQYQINTPESSFLKTSLHLGVDGLSMLFILLTTILTSLIILSSFSQTVKYKNTFYGLILLMQFGLIGVFTSLDGLMFYAFWEVTLIPIWFICGLWGQEDQKLRFTTKFFVYTFIGSLFMLGGLIYVYNHSASFALLDMYNSDLNVTQQTIVFWFIFVAFAVKLPVFPFHTWQPDTYTYSPTEGTMLLSGIMLKMAIFGVLRYLLPIAPGAIMGISGQIVLTLAIIGIVYGALIAIVKNDIKRIITYSSLSHIGLIVAGIFASAILTLNGRFTTEGAEGAVIQAFAHGINIVGLFYCADILYRRFKTRDIRQMGGLAKVAPKFAVLFLIIVFGSMAVPLTNGFIGEFILLKSISDYNTTAVIISGLTVILCAVYMLRMYGKAMFGKGDEVLLANMKDVSGVEFSVLASLVVFVILFGIFPNSILEMVHSSLEFIFKSMNN", "MDNLIIAILILPLIGFLINGLFGKHLPKVVVGGLATLVVFVSFVLAASIFSDYTADSSVTIVRLFKWFQIDGVPINFSLQIDQLSLMMVLIITGIGSLIHLYSIGYMSHDAGFYKFFTYLNLFIFSMLLLVMGSNYLILFIGWEGVGVCSYLLIGFWYDNVEYGKAARKAFIMNRIGDLGLLIGIFAIAYQLNAIDYLTVAQNASKFEYNGGIILFITVSLFIGAMGKSAQIPLFTWLPDAMAGPTPVSALIHAATMVTAGIYLVVRSNFLYTLAPSTMEFIMYIGLATSLVASFIALRQNDIKKVLAYSTVSQLGFMFIALGAGSYTTAMFHLMTHAFFKALLFLGSGSVIHAMSGEQDMRHMGGLRKKIPVTYWTFLIGTLAITGFPFLSGMISKDEILVTAFAKNPVVWFFTFVSAAMTAIYMFRLLYLTFFGEFRGSKEQEHHLHESPLNMTLPLIVLAILSVVGGFFNLPHFVSHDHSQKLAHWLNKVIISPIELPEVSVATEWILLAITVAMFFIVWFIVRNTYVNKKKMALPDSKYVGWERLSAQKLKIDEIYNAMIVKPIEGMGKAASMFDKAVLDRIVNFVANGAEDSGKSFKRLQNGNVETYVLIMSLAVGIILIVNFLLNS", "MGEVTNSMLQAVPIEHYIILCSLLFSLGVLGVLIRKNAIIILGCVELMLNSVNLLLAAFSAYKGDGHAQVLVFFIMVVAAAEVAVGLAILTMMYRNTRSVDVSVFNKLKG", "MEQYIFYFVALLALASAVYMVFAKNPLYSILSLVITFFSIAGMYILLNAQFLGIVQIIVYAGAIMVLFLYILMMLNLNAKDESSKKNYMKFIGIISAGILLTGLLGAYRGIQGTAKVAVSDNGVGLTKNLGKLLFNEYVLPFELASVLILTAIISAVLIGKKDI", "MKLTNRSKVVSNKEMTLAERSYLPAIFKGMGITLKHAFKKNNTFSYPEERRIFAKVWRGQHVLKRDDEGRERCTACGLCAVACPAEAITMTAAERTKEEKHLYREEKYASVYEINMLRCIFCGLCEDACPKSAIYLTDRLVDVETNRGSFVYGKDKLVEDMNNRIDISDRQKKSYNQPE", "MTNILFTTILIVILFVLCIAVAAYSTWAERKVAAIMQDRIGPNRAGPFGLLQPLADGGKLFFKEEFMPKNAEKFLFILAPGILMFISLLTGAVIPWGKTLNIGGESLPVQVANIDVGVLFLIGMASTSVYAIMIGGWASNNKFSLISAVRASSQMISYELAMGLSLLSIVLMNGSLDLRVITENQAGWGGMKWNIVLQPVAFIIFFVCSLAECNRAPFDLAECESELINGFHTEYSSMKFGLFLFAEYVNMFISSALITTLFFGGYNYPGIQWVGENWGENAAGIISIVAFLAKAFLFVFIFMWIRWTLPRFRYDQLMHLGWKSFIPLALINLLVTGAILLFV", "MSEEIKKFKITIDGQTTEVAPGTTILEAARQIGGKSVPPAMCYYSKLEKSGGRCRTCLVEVSKGSEADPRPMPKLVASCRTTVMDGMEVKNLESPKTQEARHAVTEFLLVNHPLDCPICDQAGECHLQDLSYENGLQETRTEFERRTFDADDIGPYIKLNMNRCILCARCVLLADQLTPEREHGILFRGDHAEISTYLNKALDNDFIGNVIDVCPVGALTDRTFRFASRVWYTKPVNATCKCEKCSGKAVLWMKGDEILRVTARKDIYGEVEEFICNECRFERKDTKDWTIEGPRHIDRHSVISTNKYGTLQNSYKRIDSETVIEIDSKHEK", "MKKFFALAVLFLGTSLGFSQSLDSNTDIVEKPTPLTKKGQMFVFFGWNRAAYSNSDIRFSGNGYNFQLNNVSAQDRPTKFGIVYFNPGWFTVVQYNFRAGYFIKDNLAIVLGIDHMKYVMDQNQTVNFSGHISDPKYAGMVQNGQVNLQDEQFLTFEHTDGLNYENLGIEKYQKISTSKNWDIVWSYGVGAGFMFPKSNVKLFGNERSDRFHVAGIGTDARASINLVFAKHWMLRLEGKAGYINMWDIKTTLNNKPDKAQQDFVFGQVLAGIGYTFNTKKNK", "MSKKLLLKDAHIEGIRYFDTYRKQGGYDAVEKALKMTPDEILEEVKASGLRGRGGAGFPTGMKWSFLAKPDGVPRHLVVNADESEPGTFKDRYLMEFIPHLLIEGMIISSFCLGSNASYIYIRGEYSWIPDILEEAIEEAKKEGFLGKNILGTGYDLEIYVQRGAGAYICGEETALLESLEGKRGNPRLKPPFPAVKGLWERPTVVNNVESIAAIVPIINISGAEYSKIGVGRSTGTKLISACGNINKPGVYEIDMTITVEEFIYSDEYCGGIPNGKKLKACIPGGSSVPIVPANLLLKTINGEPRYMNYESLADGGFATGTMMGSGGFIVLDEDQCVVKHTLTLARFYNHESCGQCTPCREGTGWMYRILKKIDSGKGTMEDIDLLWDIQRKIEGNTICPLGDAAAWPVAAAIRHFRDEFEWHVKNPELCLTQNYGLAHYADPLPAPAVTN", "MSETIAFKPEVIEQVNKIIARYPEGRQKSALIPVLHIAQKEFGGWLDVPVMDYVAELLNIRPIEVYEVATFYTMFNMKPVGKYVLEVCRTGPCMLKGSDDILDHIRTTLNIKDGETTEDGLFTLKPAECLGACGYAPMMQLGKFYHENLTKEKVDEILELCRQGAVALD", "MKDNNLSNILSQYESKEQIDQQLYTLNLGPTHPATHGIFQNVLTMDGERILHSEQTVGYIHRAFEKIAERRPYGQITTLTDRLNYCSAPINNFGWHMTVEKLIGCEVPKRVDYMRIIMMELSRIADHMVCNGVIGVDTGALTGFTYLFQVREQIYDIYEQICGARMTTNMGRIGGFERDFSPKFHELLRDFIKTFPKKFGEFQSLMERNRIFMDRTIGTGPISAERALSYSFTGPNLRAAGVDYDVRVAQPYSSYDDFDFIVPIGTAGDTYDRFMVRQTEIMESYKLIKNAYENLPQGSYHADVPDFYLPEKADVYTKMEALIYHFKIVMGEQDIPVGEVYNCVEGGNGELGFYLISDGGRTPYRLHFRRPCFIYYQAYPEMIRGSLISDAVVTLSSLNVIAGELDA", "MELTNELVLEAISREFPEAVLNSSEPYGLLTIELKKEETKKVIHYLKESSYEFIFLTDICGVHYPDNPEKELGVVYHLHSLVNNFRLRLKTFMPRESAAIETISDLYSGANWMERETFDFYGIDFKGHPDMRAVLNMEDLGYHPMLKEYRLEDGTRTDKNDSMFGR", "MSKEVKILETPPPGHAGEGFFATKLDSLIGMARANSLWPLPFATSCCGIEFMAMMNPTYDLARFGGERMVFSPRQADILLVCGTISKKLAPILKTVYSQMAEPRWVVAVGACASSGGIFDTYSVLQGIDKVIPVDVYVPGCPPRPEQILEGFMQVQAIAKSESLRRRDLPEYKELLESYNIK", "MNLPENYIPILIQAAVALGFVIVTIIASSLLGPKVKGEVKDQAFESGYTSVGDARTPFSVKYFLTAILFVLFDIEIVFFYPYAVNFREFGVEGFMAIVTFVAIFFIAFFYVLKRGALDWDK", "MKKQLIIYAILIVIFFAYNQFFRVKDDQLNDLINIIFSSFLFLYIAYIAFVILKRLKGKK", "MSEISLKKVINDKMLTDFIQFPMTLYKNNPNYVPALINDEKQIWDPKENPALAYSEAELYLAYKNSQIVGRIAVMINHKEGKELDIHKVRFGWIDFIDDVNVSKALIEKATEYAKQHNINKIEGPMGFTNLDKAGMLTMGFDKLATMIGIYNFDYYPQHLEQLGLVKEKEWVEFELVFPEVLSEKVIKFNDIIKEKYQLKVLKFNNKKEILPLVEPMFQLLDETYKGLSTYTPITQQQIQTYKEKYFGFIDKDFIVCITDANDKLISFAITMPSYSKALQKANGKLFPFGWWHFLQAGKKNDRANFYLIGIHPEYQRRGVTAIIFKEIYEIFKKKGVKFLETNPELEENKNIQLLWQDYNPVNHKRRRTYSKEF", "MSGKEIAEKMLAENGIRDVVVTSVPGQLTDHYDPANKTVNLSEAVYMQRNAAAAAVAAHECGHAIQHAEGYSMLRLRSKLVPVVNISSRLLQFVLFAGIIVMASSGNKTLLALGVILFAVTTVFAFVTLPVEYDASNRALKWLEKSGTVTVDEQDAAQDSLKWAARTYVVAALGSLAQLLYFASMLSGGRRD", "MKNKVSQSLLLFVLFLVFSAAAFGVNFLLMQQGIDVSYKLHFLFFFLHFLSLASIIGVSLIKKELIAYVYVAFLIVKMGGVIFLAYKFPEMKQNLMLYFGFYWYYLLVETGLVVALIRIQDKNHKINKTDTL", "MNVKKILYLLTFLVAGLVFASSTEGQQKPEKYNPVPDIMHHISDSHSWHFWGEGENSVSISLPIILLDNGLKVFSSAKFGHHEDEVAEVDGNYYKLYHNKIYKTDAAGTLNMHEDHPTNAKPLDFSITKVVAQMLLAAVILILIAFATRGSYKKSQVPSGIARFIEPLIIFVRDEIALQNIGSVKYKRFVPYLVTLFLFIWLMNILGLLPGSANVTGNIAFTMVMAVLTFIIVNVNGRKTYWSHIFDPLGNNMPWAGKLLVYVILVPVEILGMFTKPIALMIRLFANMTAGHIVILSLVSLIFIMQSYAVAPVSVALTLFINVLEVLVAALQAYIFTLLTALFIGMAVEEPHHAH", "MTGSIAAIGAGLAVLGVGLGIGKIGGHAMDAIARQPEQSGKIQTAMIIAAALIEGAGLFGIVVAMLGNG", "MDLLTPSIGNIFWTTVVFLILVILLGKFAWKPILSAINTRETNIVDALNQVKLAKAEMENLKADNERIIREAKIERDAILKEAREIKDKIVGEAKDAAKTEGDKMIEQARQTIIAEKNAAMADIKSQIGELSVNIAESILKQKLDNNDAQNQLVENILNKSNLN", "MRISKVAKRYAKGLLDFTQETGNTASVFAEMKDVVKILDASSELNKFFASPFIEAKKKISITEQIFAQFSQTSRNIIALAIKQGRESQIKGIAQEYINNVEDLNGVQRISLVTATQLTQQNIEDILKGSSLVDHSKTFDIETSIKPEILGGYVLRVGDQQVDASVKTKLSNIRKEFELN", "MAEINPAEVSAILKQQLANFDTQSDVQEVGTVLQIGDGIARVYGLENVQYGELVRFESGVEGMVLNLEEDNVGVALLGQSKAVKEGDTVKRTNTIASIKVGEGLLGRVVDTLGNPVDGKGAVAGDLYEMPLERKAPGVIYRQPVTEPLQTGIVAIDSMIPVGRGQRELIIGDRQTGKTTVAIDTILNQKEFYDAGQPVYCIYVAVGQKASTVAQIVKTLEDKGAMAYTVIVTANASDPVPMQVYAPLAGASIGEYFRDTGRPALIIYDDLSKQAVAYRELSLLLRRPPGREAYPGDVFYLHSRLLERAAKIIGDDEIAKQMNDLPESLKPLVKGGGSLTALPIIETQAGDVSAYIPTNVISITDGQIFLESDLFNSGVRPAINVGISVSRVGGNAQIKSMKKVSGTLKLDQAQYKELEAFAKFGSDLDAATLAVISKGERNVEVLKQGVNSPLPVEEQIAMIYAGTENLMRKVPINKVKEFLRDYVDFLKAKHADTLAALKAGKYDNNLTSVLKQVADDIAAKYN", "MANLKEIRGRISSISSTMQITSAMKMVSAAKLKKAQDAIVMLRPYSEKLQEIIENVSSTLDQETLSVYAQPKEVKRILFIAITSNRGLAGAFNSSIIKEVNAQYQQNSAFEVEVLTIGKKAFDAFRASKKIYDNHSDLYDSLTFEGVAHMAEDIMRDFRQGVFDEVYLVYNKFLNAATQEVQTEKLLPITMPEKKEAEKSAIETDYIFEPNRTEILETLIPKSIKTQVYKAILDSVASEHGARMTAMHKATDNAQALKNDLVIFYNKARQAAITNEILEIVSGAEALKNS", "MHIQIVEKKDKDYSAIQQIYNEVRNEIPFLSEISDFKDALNGEVIYEAIADGETIAFISLWEPDNFIHYLFVSPLYQNKAIGLQLITYLSELYGKPLGLKCLLENTNAVRFYKKNGFHEKYRGTSAEGEYIYFELQ", "MKNTEEHLLKANIFTLNSNNNKKLTHEVQELKMCIKK", "MDPDSFVKLLIALFLVLLNGFFVAAEFSIVKVRYSQIQIKAAEGNALAKKAEYIIKHLDAYLSATQLGITLASLALGWVGESALHHVFEDLFHRFGFAVADSTITTVSVVCSFLIITIMHIVFGELVPKSIAIRKSESTTFFVAYPMILFYNVFRPFIWLMNSISNAFLRLIKINPASENEIHSTEELQLLVKQSADSGEIEEENYEIIKNAFDFTDHSAKQIMVPRQNIFSININDDKKDIVEKMLESGYSRIPVYDGSIDNVIGIFYTKEFIREYIKNFDEWEDFDIRTLLHEPTFVVGSKKISDLMKVFQTKKQHLAIVIDEFGGTEGIISLEDILEELVGEIQDEEDEEEKIVEKVGENVYWVQASQPLEEINEHLPVDLPENPEQYNTLAGFILHELSDIPEENQEFDLNGYHFKILKMQNRGVELVEMIYMEPVIEEKLTDEMGEA", "MKWEKLNSQNYDSPKREYEEEVLVLDQTDDVYKLVLHNDDVNTFDFVIECLIEICKHTPEQAEQCTLLVHYKGKCTVKTGSMDLLKPMHQKLLSRGLTSEIV", "MIKCLKLILFLCFITTYNSQTTNNNSPQELFIQSIRNTSEHKTIPLGKTIYQGIFTGNGLLGTMTYLQDASSCKITIGRTDVYDHRNGEENLFERPRLPLGYFEVKLSDNITDAVGKIDLYNAESSAELKTNSGNIRINAITFSEEDYILLHIDDQNYKNKYEVIWVPEKSESPRRNFSYAKQPENYLPNPTVRITNHNNITTSYQTMLAGGGYSVAYTEKRLNNLKYVLIATNYMIRNNEAGKNAEKRLAAFEWNKLNSKIKNHQNWWHQYYNKSSFNIPDQELQDFYNYQLYKLASATRANKPAIDLQGPWTDKTPWPGYWYNLNMQLTYSPLYMANHLELAESLVKAIDRNYDSLIKNVPKEYQYNSIALGRSGGPDMYAPVKVVKGSNASITNSEAETGNLTWLLYYYYQHYDVTRDEILGNKIFSLLKKSINYYIHLIGKNKEGKYQIEAKTYSPEYSKGYAINTNYDLSILRWGLKTLIEMDNKKGGKDELHTQWQDILQNLIPFPANESGYIIAQDVPYSESHRHYSHLLMIYPFYEINWDQAENHNIIEKSINTWQSKPEALQGYSLTGHASMKAMMGCGDESRDIMKTFIQKFVKPNTLYAESGPVIETPLAGMQSIQELYLQHWNGITRIFPAAPADWKDISFKNLRTSGAFLISAIRKNGKNTEVNIYSEKGGQIKIKPNFEGAFTLSGSAKLIRHSNSVYVYKIPKGKTLTLKAN", "LLNACSTRKVPEDSYLLTSNKFQYKDGKLFEDKVPDFVNQKPNKKFLFVAPIGLWAYNMANPKLDTILNEYMTYPSQMRTQKLRDSIALKYNRPNLVGKNLFWDRFLHNVGQQPVILNEAATEKSADKIRKFFVYKGYWDAKVTGTNKIDSTGKKASALYQIEHKDPTLVKDYYYNIPEEPIRLLYEQNYSKSFIKTGKVLDQEDLEKEVARINDIMRSNGYYNFNGSNEEIFFTADSLKSRKDVPLTLEFKRDSAQDGKQKNPYVISKFSDVNVYISDDPVNKGELKPEDYETLRKINFYNPDKKYKNRALWTAVVLREGDKYNQREIDLTKRNLVGMNNFNINRFEIVQEQDSLLKTDIYLTPLPKYEFKIATDVHYSQILNLGFSPSVELTTRNIFGGAENLSTSFSGIIGTTNNATNPKTYFNAYELSAQVALNVPRLLVPFKYYKFIPKRYTPTSSIIVGSSIQNNIGLGRISFNMGLNYSAAVNDIITHRLTLFNTQFNFTRNKDKYYELFPRDEVYRSLMFGKYFAQDLALAELYSTGKITTDEVSKIIMSDVAYQNSIDAKNDPIFTNFQQSLLNKERQTQDVVINSMIYNFTYNEIGNKAYPNPSYLSFKFETAGNFLSLIDKSFKSFTTGIAGENSEKGLFGVPYAQFMKFDIDARKYFSFSNSRRTLVFRQFIGVGIPYGNSHVMPYMRSYFNGGSSDIRAWLAFGGLGPADIQVDQNVRSYMLDNVKLTTNIEYRFPISKIVEGALFTDAGNIWSLKNTGIGDEFKFNKFYKQLGIGSGFGFRFNIAYVTLRLDLAYKIYDPNMPEGDRWNFKRIKPLQPTFNFAFGYPF", "MLTHNTIKTLQSLDKKKFRQKYNLFLVEGNKIIRELPNSAFKIQTVYSVNPNELAFKDVEVHQITEPELKKISFLQHPKDSVAVCIPAEQKLSKEKKIRLVLDGIQDPGNMGTIIRLADWFGIEEMVCSEDTVDFYNPKVIQASMGSFTRVNIVYTDLSEFLQDKNTPNYITDMDGENVFQSDFPEAFNLILGNEGNGIRPVTEALADKKMTIPRFGSKQNTESLNVAMATGIILGQIFGAK", "MGAKYNSLDELAKKKAIMKGEVKDMENLLRFNNVKESLSVITGGMTDKFLVEKPITNSKGEVSHKTGISLNAESIKDSMIDNAIRLGTVALVTNFAKKNLYHSSWKKKVLGLAIIYGAPILLRKVTSLIEDWQEKEAQQQEDSKDSLI", "MFDIIFDYIEKKIDLLKLEVSEKTVISAGFITFLTCALIALIFFVVLFNIGLAFWLGKMMGNYSYGFFAVSGFYLLCLILIIIFRNNIKRAVANFILKSFND", "MAKGKNTAGILAGLLAGAAAGVVLGMLYAPEEGKATRKKIRSKANDLKDQAKDKYGDVSEKVKDQYNTFASQAKDTYNKVTESVKEGLDKYKTQAADKAKEVAKDIETELDGLK", "MKKKPVIAIDGFSSTGKSSISKIIARELGIIHMDTGALYRGITVFAIQHYLENNSINIPLLISHLNDINLEFRNIDGNLQLFLNNKNIDTEIRDPKVSDYVSEVAKQPEVRAFLLSMQRQMAENGGIVMDGRDIGTVVLPNADYKFFMTASPDERAMRRYKELLSGGTEADLDEVKANLLMRDKIDSERETSPLKQADDAILIDNTHLNKEETIALILSYIR", "MKYKRILLKLSGEALMGNQEYGIDSQRLKDYATEIKKVVDLGCEVAIVIGGGNIFRGVSGAAAGMDRVQGDYMGMLATVINGMALQGALEDQGIKTRLQSAIEMDKVAEPFIKRRAVRHLEKGRVVIFGAGTGNPYFTTDTAATLRAIEINADVILKGTRVDGIYDKDPEKNENAVKFENLSFEEVFAKNLKVMDMTAFTLSHENKLPIIVFDMNKEGNLTRLVEGENVGTLVN", "MEELELIVASVKQEMDAAIKHLDYAFQKIRAGRASTAMVQDVMVEYYGAPTPLNQVANVSIPDAMTIAIQPWDRTAINAIEKGIIVSNLGFAPSNNGEMIILNVPPLTEERRKELAKQAKSEMESTKVTIRNARQDGNKELKRLEGVSEDVIKSTEGEIQDLTDAYIKKAEELLKVKEAEIMKV", "MNINVNRIILGLVLFTTSLHGQDKNNVSSKEQKPNIIFIMTDDHSKRAMSAYSHDLIETPHLDKIAEKGIKFNNAFVTNSICGPSRAVFLTGKFSHINGFKSNDEDVFDGSQPTLPKYLKQAGYYTSVIGKWHLGSVPQGFDKFDILIDQGEYYSPRFFNGKDTVVVPGYATELITEKAIQLFEEQKNSGKPIFLMLHEKAPHRNWLPNTKDLNNVKDKEFPLPETFFDDYSTRSQAAFKQDMRIENMFLGYDLKVYLKQAKDETGTGGDSRNNSFTWLGADLSRMNKEQRVAWDQYYKPISDAYYSNKPIGTDLLKWKYNRYMNDYLKTVKSVDDNVGKLMEYLKKNGLDKNTLIIYTSDQGFFLGEHGWYDKRFMYEPSLQIPLVMSYPGHIKENITENALVQNVDLAPTILKAAGLPVPNDMQGNSLTPFFSGQKVKKWKDKLYYHYYENTVHHVEKHLGIRTKQYKLIYFYDIKDWELYDLSKDPNEVKNLYHDPRYKKTSDKLKLELKELIRKYKDTTAVEF", "MANYYCKCCGSKANSISSLLSRRCDSNPSERRHLLYEGGQKIQYHCEYCSAKSSSLTVLCVGKCSHNPNGGTHIPL", "MAKREQMLRLKLIEEFIRRKKGASFQDIYEFLSEKFQEKDLELSFTERTFQRDKKLIGEISGKEIRYNKARNIYFLEDSSEEDIFDTILLMEAYRETEGKADIMLFEKRKSRGLHNLQDLVQAIKLQKCVSFIYRKFNDDKGTKKLVQPYALKEFKNRWYLLGNETNGKNFFIKTYGLDRMTDLQITSTAFSKKDCDLDAAFENSFGIISSLGKEPENIILSMDAVQGNFLKSLPIHHSQKIILDNSEEVKISLTLVPSYDFIQELLTLTGLVKILEPESLKMKMNELLKTEF", "MNFTPTQEWLYNYDEKKILLSPVSDFNEYFTQDKISNKKLYHLDMGEIVIPTGNIMVRDPLVYLHQEELPYFIKVPTGKFPLTTLVVEVDEGHHRYVATRVKFTENKAVSYTEALIGNEDINSLNSGEYFGFNVDAGLATIVDTETRDLYCNFVDQWEKENPEGNIYDNFFAQEFKKSYEKNPEFQRTDGDWINFKIPGTDLSIPMIQTGFGDGVYPAYFGYDENKNICELVVQYIAIEMAFGDEDE", "MKQSVLIMVKTTLINHSSHDFIFFSILSKILNINSIKIAKHIFSE", "MNLLKNCLFILISFFSCSISAQKKVPQKKVVFIIVDGIADDMLDKAEIPNLNRIKKDGAMLKAYVGGEKGGYSETPTISAVGYNSLLTGTWVNKHNVYDNDIKAPNYNYPTIFRLFRNQFPNKKMAVFSTWEDNRTKLIGENLDATGKIKMDFAFDGLEKDTSRFPHDNQAKYIRKIDSLVAGKAASYIRESAPDISWVYLEFSDDMGHRHGDGDILYKAISFEDRLIGQIYDSVKEREAKYGEDWLFVVTTDHGRTANNGKGHGGQSDRERSTWIAINKPDINAYAKNNRVAVTDILPTMTDFLNLKVPAEIQKEIDGVDLLKKITAYHLKAVLLSDNTLNVTWETTQSSNEIGEVYIADTNNFKKGGKDSYRLLGKVKLSNGKFTSKLKTPNSNIYKIVLKTKAGFLNTWIRK", "MKLQLLIALGLVSGMEAYAQNVPKTNGTDTLKTGEKKIDEVVITALGIKKEKKALGYAVQEVKGDVFEKAKEPNFINSLSGRVAGLNIRNSTDLFQNPGINLRGTTPLIVIDGIPDRTADLWRVNADDIDNISVLKGPTASALYGSVGKDGAIMITTKKGKKGKLTVSFNNSTMFQTSFIRIPEVQTVYGGGNNGKYAYINGQGSGSEGGGWIWGPKLDQRDPNTPSGYFETPQYNSPVDPVTGKLIPLPWISRGKNNIKNFFETGLIQSNNVSVDWGSEKATFRLSLSNIYQKGIVPNTNLKTTSISLAGSVNPVKNLTINTALTYNKTYTSNFPEVGYGPTNYLYNLVLWTGADVDVRDLRNYWVPGKEGYQQRHYNVSYYNNPYFQAYEYQRPYYKDNVMGNVNLDYQIVPKLTAKARVGINVYSLNREYREPKSYIGYGNKSLGNYSQVSNNYFDITSELGLKYQNNYSDNFTLSAEGYVANYYREMKNSEIRTDGLVTPGWYNLFNSIGPLFIPTDGDRNRKEYEQINSVYGYVDMEFYKTFFLNMTARYDKISTLPKGNNEYFYPSVSGSLLLNQLFNLPSWINLAKLRGSYASVTSGKIADDNYGYISAFDKRVGWNGQSAFAFGNILIDQNIKPQTTDSWEIGTNLVFLRNRINLDVAYFNARDYNQLERVPLSEGSGYKFFQTNGNVYKRKGIEFTLSADVVKKTDFRWSTQVNFSQYRRYLAEIYKGKDMTNEYVREGERTDKLTTSGYERSPDGQLVLKNGYPVNNTSPIPIFMGYSNPDWTYGFSHNFSYKNFSLSLLFDGRIGGMMYSTTNQKMWWGGIAPGTVNQFRDDANAGKNTYIAPGVKVTEGSITYDKNGNVVSDTRKFAPNDVAVNYNAYMQSTSNAFESNYHYYKQTFIKLREVTLTYNFGKSFVEKLNLSAASISLIGRNLWLASKIKNIDPDSGVDNLQTPATRSFGVNINVKF", "MKLTKIYSLTLITVLGLSGCSKVEDYQDDPNRVVRVSPDFLLPDIEVNAFKNIDAGAALASRQLAYINGVNAQQYYNWQRGSFGNYDNIKQVEKMVQEADRTGNPVYKSLARFFKSYFFISLSETFGDIPYSEAVKDGVFYPKYDDQKSVYKGVLSELALANTELSKFNTSVSGDIIYNGDLLKWRKLINSYRLRILMDLSKRADDSDLNVKQSFADIVNNPSQNPIMEGSADSGALPFYNLVNNRYPYFNNNDLKTAYYMEQSFVDKLKKFKDPRLFKMAEKKTSTVGVMSDDPFSYYDGLYGSEDLGKNSTDASNGLASRINPRYFDDPINEPTLLMGYAELQFILAEAAVRGWIGGNANAYYTKGISASMDFYKIGSADAAAYLAQSTIALKPNSEIEQIMDQKHIALFFNTGWRIFYDQRRTGFPKFNTDRKGILNDGKIPKRWMYPSTEITNNNTNLTNAVNRQYPDGDNINGVMWLIK", "MKNTIFLAILFITIFAKSQTHRYIYELQFKYDSTETDRTKLNMVLDINPKEVKFYGRDLLIADSINKKTRSDNKFVDMSGQIVKRKINSFENENFTTIKFGYYTYKTNDKVDWKISNETKKVQNYTLQKATTGFGGRNWTAWFCKDIPFNEGPFKFRGLPGLIFEIQDDKQNFIYTLVKSQNLKETYPTQDFVESFFGSKAVPITEQQKQKLFMEYYNDPFAYERNNFTKTNGDWKININGKEIQNLDELNTQTKNMQELIKKYNNPAELNKAIHYPN", "METTNTERTIISDNRQIIAKAIISGNTVTFSYTYTVNPQKAPNLITIVVQRGIAGEQSFTGNHAMTGSYFSDSDTYEIKAVGTKPGDEALKESILTECKAIVSELTITN", "MRYDIKRGNTVIANIRPTGKITSRIMGEELVNMSFALMRKIEFAMGDYVDVKGRRYYLLDSPTIVQKSTKEWQYTLTFKSVKYRLTDVSMLFYDELNNLTVPTFDIMGTAEKMIDLVITNANRDQSGWTKGIIDNTETKLVSFDDINCLSALAKIADEFKLEYWIDADQSIHFTERKPQANITLEYGRNKGLKTLTQTPLADASIVTRLYVKGSDKNLPIKYRNGQKNLRMDVPYLEKNVDKYGVIEHTETFDDIYPHRIGTVTSVDANNPFVFTDNTIDFDLNATDGHGNTTVLIKGLSAKVTFQTGQLAGYILEIKEYGYNSQTKTFTLLPNKEEKAWNIPSDVIRPAVGDTYILSDIQMPAQYVADEEGKLKIKGQNYLDLNSTQRFTYTGESDPLLFKALNYQLSLGSMIRLKSVEFSLDSDVRITGLTEDLQNPYDVQPDFSDVTYTSSIVRQFYKQEKQQQTIIKEQRYNAAAARAAYYFGLELSEKTFDSEGYFDVNNIKPASINTKLISFGGRMQQFSLPDVNFYLENNYTSLRYTSGRIVHATIADTPRTWYIPATTILNLSSVYQYVYIKCQRNGTNANILVTPNQITVEQDPDFFHFEAGYISSVQNGFRVCKMTYGFAQINPQEISIGRWTSPVGGDYIAFNESGIEIKGKVTFASDSPALEQVQEKIDAVNFGSVNLLDNTAYLRLNPNSVGYGTSILIENEGEKFYRATPDLGKNVSLFGAWYKLESNQEYVRGIYVRHSASEPQDVRIYSNSSNVGGDKVTTIQPNIWTFIKTSTINGSGNSSALIMEVATLNISLDYKKAILVKGNRLGNDWMPSANDVQNEITVAKQNAANAQNAANIANQEAIEAKKVLSDIANDNIVTAQEKPTLLQRWKTIESERPKHIAQAGTYNVNTDNYNNYYFALANYLTNTGVFVDMLVSTNVDGRELENNFHNYYDVRTDLNKAITDAAKNYANTLVDNIKIGGQNLVNYSANPWKENSPSVGFSNATLVNNDGEDGGLYVNVTGAPCYMFVDMPYMQGKFIEGKDYTISLEVWSLWPLLMGIGDANMGNPFDNPTSPANGGWIRIFRTFKYVKTTQNLFLIYFQAPVGTNYLVRNFKIEEGNKATPWTASQADIDRQLKRAQDDANIANQKLSDIASDNKLTSSEKTITMKEWAVIYNERPELIATASIYGVSAVVYDGKVNDLAVYLNNIGYSDLSYTSNINGNEFRQKFVDVYTAKADLIKRISDTTKNYTNATTEAAKIEMAKDALNKALSASYASGNCLYRDVDFRNGMNGTMLYNNAGNNTNAYLLTYTNIYNAPTRSPQCLVFGFRANGQPTEPAYGGFTFATPTRANAVFITRMIARFPAGIKIEFAANPYGNDGSYVWTTSQYGTGRFEEYICIVRCGGDGSFSSINYFWFNDMGYGNRAFETIVAYASVFDMTDVDKYLEARIKENEQQTALAKAQADNALTTANRVSQLTSFMNTTVDGNVVASGTMLVGDVNGGNAGMTGVTDRGSDSVRFFLGTNYANKNKAPLAFIDKGLIQMHHPNGVLGFEMGIVNGKLVFNVYDNAGNKTMEMGSQGIIFSNYIPDSWDNYSLLIIPSGSTTSDAAFESFLRSQLNITTHQNDTEGWCNVDLNQNTTYWRYSAGVSYDSANYKQYEKFYFDTDNSKQKPGASTPKKWDGWYAMPAHAQGSDAPIGGMSNWSITVLCIRLAGGEQVQTKNISMSGSEFIHP", "MNANKFKDTLNGKNLLSDFNLVIQTGTAGLLDYPERKESLSNDWAEENGQEYDLSAPKFKDKEVTLSCAMMAPDDGDFWFYYQAFFSEITKPGWQDLYIYDHSQTYKVFYKKTSGFVKSLKRLKDVPTVFVKFQLTIQVKFA", "MADESINIEFILNTPALLEEYNKMIASGKNVDDSVDAIKKRYQELAAAQVLGVEGARDLADAVKNINTTVKDTNFDHFGLTKENIAVQKQVIKDIESEIKKLDKQISNTAPGVAQASLMSERNKIVAELEAEKQALSALEASIKAAESEYESFAKNQRDVNNELKQLAVNGQEATNRYKELKEKAQEFKEASEKVNNDIKDGNTLLQGQVEGLNMLISTISVAQGVMALLGVEEENLQKIMLKVQSLLAITIGLQQISDALNKKSAFSTLVLAKAKEIWAAANLKVATSLGISTTAAQVFTATITMGLSVAIVALIAVLQSWQAAQAQTAADHKKMTETIANSLADPIIQYQKMKTEWNALADDIKAKEQYIHDNAAAFEKLGVKVYSVSDAENLFVKNTSAFIEAMQLRARAAAELEIAQDKWKEYLTNYDKVMANNKRYNEATFGGVGRWAEKNILSPMGLGDKTVDDMNKIFQDQWKMINANIQHTNEAAKKLSDAGIKMTTDPAKRGTVQWLRDQISSLDKQINDGSVGTRALAELVAKREKLQKQLDAALGKKTRTKKERERQVAEIYPDGSIKDLERRISLYNEALDKIKDGQVRLQKIDQFGKTKDKKGNPYFTGEVVSEAEAKKRRDALEVELEEKKNAVKYKSFEEMVSAMESRWQKYYAYEKEYGSEAANKQFPELKKLAASYFDYLENQKSVFDNLVSSGSVLSEGQQKNLDLLNQKIKELRGDKPILENTTRSVEVALAKLPILADQISYLEKEITKSENDGQSKSNGVYAMLTGKWVEKKQELTNMVTEVINTHQSLQVKITEITSRYATLRQEIEKRKLSTQDAAKLLAELEREKQQEIDSVQSAEYAKTTIYERYSQNLMGITKRELAIRIASLEEYLRVAKDSLSTEQQKFIDAEIRKAKALKSTFNVGVEEKSLLQEKERLIKSIRDKQAKGISDVKAESEALEVVNSKLKDILAKKAQMASNIAGAMASGFKAIASSVDDTNEGLADTLNTLGDIMNIAQNAAGAFASFASGDIIGGISQTFNAIAGIFSLGKAARESERKAREEIKKYNDSIFQSGLDYNEMLRKRILDELKLNDVYKARIQNIKDEMAANTKNKESIIRDQEAVLKRLLNADTVVGMHTEKYGGFLGIGRKTRAVEDMAKVGDLLGLKGYKIDPFKGMSEFMKKFFGIKSPVNNDLTETIGLTDELFDRLAKLNAEKPLTGDAKAAYDQLLKLREEYGSIEQMNRELEKQYKDTITGVTAQNIGDSIREGILSGKKSFADFADDIEDILRKGIIAGMEAKVIEPQMQKLQDALAEYLGDGVLTDDERKQFQEMYMKVAKEAKDYMDLINQSGINIGNEIGGANSLQGAYKAASQESIDLLSGNTAGMRLAILEGNGIMKNGFAAMMEVASRQLAVQMDIEKNTRRTADNTEKLHDIDEGIDSLGESLTKEYKALQAAGIIK", "MLADAPKLKKNKEAPKTISTDEELENFFGAEIQ", "MDEKAIDIEKLAPDLLLKRGVPVPVTAPLFLRIFKKKTIKLVLFHPTGRTLLKIASKYLSMGVINDEDLSLPDAIKAYADHGKKVHEIVALGFLNSPYLNWFHKPLAWWLRRKLTEQHFLFLFNLLMTHGGVEDFIATIRLIKTMRITKPMTNLSPTEKAS", "MSTLFGTKALESAPVEVDGSIPADAKFTELCKTYRNSVEFVDDDPNVQDEFSDQDDDPIESLVEPGATNGKFSTFQFDTATLQKLFPTGTVVDSAFTFGKNLGFVTALRFKTDSGHQITYPKVKVIAKKNLKLVRNGIALIDCTVKVLSQPQLKKLP", "MSKTFKNVFAAAAVAIFAAHKDLDEIFVTSDGQGFTDEEKAKDQARYLKNKDVKKFTRGFEDSFIDDEPDSEGEKTKKDADPKKDDAERPALVEKYTALFGKPPHHMIGVDKLKAAITEKEAEQTKGSNPPQE", "MKPQSTNIFAFILVVILLLASIGLNIKQELKRAEKEKEMTTLLTQGGNNKVVEKYTRDSVTHTVFNEKIINNTKSEKIASLDKTYADSIQKALKISLDKIDQVTKINGRLEAQLALLTKQTPSGQTIKTHKDRYLDLAYYPDTDSVKMSYNIMMNDVRYKKKNWFLGAEHNYIDMYSDDPRVTINGVKSFRIKEKPQKRFGFGLNAGYGIAKDGNTMKLLPYFGIGANYNLVEF", "MSPELPQPFDQEDIRKDPKAVVIGLLIGLLLLFGGVIGVLYNRKEQQTEDCSEKTDSLYFTIIKERNKRIDTYEAMIFYKKKSDSFEEKEKKTKELTQPLVTKALQQ", "MKLTNNFKSEEFACHDGNQVPEAYIPNVKKVAENLQVLRDYLGKPITVNSGYRSPAYNKRVGGAPKSQHLTASASDIRVPGMTSVQVRAAILELISQGKMHNGGLGLYDTFVHYDIRKQPTRWDYRKQK", "MPLEIEVWDDTIQEKLTQDNSFLTQVADVSSDNIINGTIVHLPQAGDPSAVVKNRTQVPATPKRRTDGQVLYLIDEYTTDPVYIPNAETVELSYDKRRSVLDQDVQNLSEEVAEGMLTNFIVSPTGDNATLPTASILETAGAPVDSGLAGSTGKRKAYAIGDLQKLRNFLIKQKAWNEGMMNVLLTPDAATQMFPAESAITATYMAAVTEAERRSGIMYKCQGFNIFVRSSVYNLAEDKSFKAYGSVVAPTDCEGIFAWNKNMLEKAIGQTKAFEDIGSPTMYGDVYSFLVRVGGRARRKNFEGLVVLKQAASA", "MNKAPLFITNDQQVRNSYGFYVDTAGIDLKSRFDTNPVCLNNHSNDTKDVLGKWIDIEVKDGKLLMRPAFDTKDPAGEEVVRKVLSGTLKGCSLGIMFDPADLVNEGGKLILKKCVLFEVSIVAVPSNGNAIALFNMNEERLSEADIKSLCLSLQTVNPFENNKTMKILLAHLQLPEGSTEEAILTAIKATESQLTASKTQFTELKTKYDALEAKQNAKLQADYEGLKTAALKDGRIDAAAVPTIEELPLEKRIDLLNTLPKRGTVKEAIESTDGKSTTEKYEKLSWEQLDKGNHLTTLKANHPEYYEQRFEEKYGRKPKN", "MAKKGRLSKDELLEKQELAKLIYLTEDITQKELSERTGVTEKTISKWIEEEGWAKLKRNIPLTRDELLSSWYDELAELKEFIKKKPVGQRFADFKEAQLRRSLLKDIAVLEQDSGIHGTVDVMTAFCQFLRRSNLQNAKEISHLADAFIKYKIRG", "MVIKKKLITTREEREALSFWDEYYKSLQNTEVVDTTETPEEKVDRIKKLEADPEKWFKYYFEKYCTAEPMPFHKRSTRRVLENSEWYEVRPWSRELSKSGRTMMELLFLHCTGKKKFTLMISANKDAAVRLLKPYKLAFEKNPRLRNDYGDQVNYGNWAEDEFVTRSGSMFIAVGAGQAPRGARNEEFRPDSVVMDDFDTDEDCRNPDIIDKKWEWFEQAVYGTRSISNPLLVIFNGNIIADYCCIKKAMEIADFYEIINIRDKNGKSTWPTKNTEAMIDRVLSKISAASAQKEYFNNPIVLGKVFKKLHYGKVQPLHKYKYLVAYTDPSYKKNGDYKATFIIGKYKDEYHVLWVRCQQTSVSNMIEWQFEALKFVNDKSALFLYIEYPWIDDTLKREIKKANKRHNRVLNLKADERSKPDKFYRIESNLEPLNSSVKLIFADYLEGTPDMKTVEFQFLALSPKSRANDDAPDACEGGVWIINHKNLIQTAPPSHYRPPINKKRY", "MNQFITPDELRTHAYDEEIKAIIREDETIALASIDMAIELAESKLMKDYDTAEIFAKRGDDRSTLLVKIIKDIAIWELIGLANPSIDYDDKKLRYEDAKGWLTAVYKGMPTSLPRKETKEASSFKYTSNPKRENYY", "MEETKIGFETLAAKAKDSNAGKNIKISQVLVVQPPKRDSTDVVKWRSAIKSADKGKRSPLTLLINDLLLDPVMADALDRRIRKITNHDIVFLSDGDEIDEMFDLIDSLKFEELLQELILSKAYGKSVVELAFNPAFDIYSVPRQNLDTKRKVILKDVSQDDGISYENDDFILNIGKDDDLGFLTRVAPYVIFKRNGGADYAQFCELFGIPILAGLYDPEDENARTEMEDSMTKRGAGGSIVMSKNSDIKPISSGEGKSAVHDTFLSWLDEQILIGIIGQTMTTKNGSSLSQSQVHQKTEEEIAESDRRFVQRYLNTYFVPILEKRGYPVKAGFFKFLDKDDTPLKDKLDIALKVDEKTAEGVDDEYFYTTFGLPKGNKTNTKESNPSKEEVPTDEAPKGEKKPKKVDAKGLSLYDKVLNFFGDAPR", "LADEWIKALEDIYRNKGNDGSINKPIVTKTTQELVKPIDNVFGQKIDYDTPDYVMREMLKKNVWKFAVAKNYNDCVRLSNLILRPDGSLRPWNEFKREAQLIVGTSNRYLRTEYDTIVSSAQMSRLWQEIQRDKHIFPFVQFDVVMDDHTSEICSPLHNVIMSVDDKRLIYFFPPNHFNCRTTIRKLRTGIPTEDVELPEIPEAFQNNVAVSGEIFTDKNAYIENTPKKVLSISEEFAKRWQNYEKLLKDKNYQEVHFGKSGGMKATHIGHNFNKNTGKYEKEVQELFYEKGDEIILTNERSDIPGKKVDGLLNGKTFDISTIIGTGKNTIKRALNHSKDKKAQIAILYFPNKEMFNLAWLQNSIKMYNGQTSYRFEQIIYVVNGQIVYIQ", "MAKNLGELLLKKRDALAQAFRDLPAIVGNEVINFTLENFEKQGWQGNSFEPWEKRKNPTTWGKKDDTGRALLVKTGKLKRSIRILAIHQDKVSIGVGGADVPYAKAHNEGFKGTVTQNVSEHIRRDKNLKNIKVSAFKRTIKQNIPKRKFIGSAEESSVLNDRIKKVCIDEIKNAMK", "MEKLYLKIVELLGAIPEIKYIDLDSGQLQEEKPPLAYPAVLVRINENREDVDNVFQIVTGNIQLLVIDKTFSETNSITPEAVRKKGLGYMTLNTKIYNILQGYEDSEFRSFTNTAKTDQQLRKGLKTIAQQWTTSWRESMYNSN", "MKKLLLFLTLSTIFINAQSFKLSPDGIINEKDTAKNYIVLDFADKSQAELFKATRMYLQKQFNSPDNVISIVDNDQIVVNAVAKYAVSNLDYTYQDIYTFKDGKIKYQPTIKYLQTNSNYSSSKLPYRGFDGIYNDNGQLNRENLKEPLENYLNTKVILIKQGIQKELTGNNF", "MEEIKINAQPEIIKKIQAALEDCSIGIGIATKTNITVKTITTDSRTIIFSPKKGKEISAKDLFWLGYFVGRDY", "MVKLTQVNDVIRMEIKMHIPQSDIISFLQIEGYEIKAFIQKLPATEEMLVNEPKTEVYTFTATKPDEKQSENTLYLKVFETEVKKLLKTLNK", "MKKRNNLIGKKAKVNCTYEDLRSIGIPSDCKHCFPDKEVKIHEYDSDHDSLGDMYTINDGSGYPPEFFYTVPLKWLQIIE", "VENNSIELASIERKLTIKEVFQTGSSIAQLIKADENTLIKTVYAILYRFNNLINVSKKLNDDQMIALSADLIEAFKYGDTLEDIVLLFKMARNGSFGDFYRLDHVVIMGWIPKYMDEKAEIREQIIVSEQKLTNRQIEETPISDKTAKMFEKLSKKIKVPSKAKADRNDPLFSLEAYISSLPNTAKQMTDKELETMISNTSQRTHPEVYNILITEKQSRNEKKK", "MNTETITPEIEILNYFNEITGKRFKPIKSNTNPISARFKAGYTKEQMQEVIQLKTLEWKNNEVMAQHLCPTTIFRPSNFDKYVNQVETVKANPQQYKKYYEELNKPKHNDPASAFSKIDAMFGGKQ", "MILSFKTQINGKPTLFPEKIFAGLIKNKIVPESKEFFKCYRMPPMLIRLLTPKIHTIREDSSSRWKVGMMIDFFINARTKNMFRFAPQAQVVSVQEIFMTRRGSDLEITISKEHSYIGGDDFYLYYDAKEQLSINDGFDSYSDFVKYFYDIIEENGRKTGNYWFKGKIIHWTDLKYEK", "MMTTPHEQLKELFADRKPMDVAIEISGFTGFRASKIDDLSTEEAINLLAIHKPLPKDVEARNNALLEEILCKEWRSKILAVAEQEGIKESGDFQKFNNWMLQYSVCKKHLNSYNLSELKILLAQMQTLKYNNAKSAEKPMNEAWWRKGQKLKNLN", "MFNFSELGIKPKESTFIGDKISIDKIINTEILILDYKVEPSKVKPGTELLTLQIERKGDKNILFCGSANLIFMIRQVPKDKFPFKTTIVKQDRRLEFT", "MKRLNNLYEKIISIENLMLADEKAQKGKANRYGIMLHNKNKENNIMKLNTQLRMKSYKTSEYSVFKVYEPKEREVYRLPFFPDRITHHAVMNILEPIFVSTFTADSYSCIKGKGIHAASFAVRKALKNVEKTTYCLKLDVEKFYPNIDHEILKTLLRRKFKDTDLLWLLDEIIDSAPGLPIGNYLSQFLANFYLTYFDHWIKEQKSVKYYFRYADDLVILSDSKEYLHQLLKEIETYFKERLKLTVKNNWQVFPVSKRGIDFVGYKHYHSHVLLRKSIKKRFARMLKKRPNKASIASYKGWTKHCDSKNLLKKLGCSTLAN", "MNELKIQIPEGFQIGAFDKVTGIVKFEAKPKNIKDRVKSFEDACDVLGITPQNPDLETIPTKLQKPLFAHYKLCIIAMALNEGWEPNWDDDDEYKYYPWFDMEGSSGSGFSFDGYYCDLSYSGVGSRLCFKSRDLAEYAGKQFETIYREYFVIE", "MNELKIQIPEGFQIGAFDKVTGVVKFEAKPKDIKERIKTFNDVLNYHGIKYEDYEYECTSMTDDEIAYKQLKLIVSALNEGWVPDWDNSNQCKYYAWFRMGSSGSGFSFNGCDYGFSGSYVGSRLCFKNRDLAEYAGKQFLTIYQRFFTL" ]
[ "TTTAC", "ATTTTACTATCACTAAGTTAACTTAATTTAATATATGGAATATTTAAAGATTATTAATTTATACGAAAACGTTTTAGTAGATTTTAATGATTATTTAATACTGTCATGTTAAATCTTGTTAATTTGGCATCAGATTCAAAGACAGAAT", "TGCAGGAGTAGT", "T", "GGTAATAATAAGTCTCCTAATTTACAAAATTATATCAGCAATAAAGAACAAACAAAAAAATAGCTATATTTATCCCTAAGACTTTAGGGTT", "AAAAGCCCTTGTTATAGAACAAGAGCCCTAATTTAGAGATATGAAATATAATGTTT", "AAA", "GATGGTTTGAT", "AGTATTGCTTTTATAAGTAAGACAATTGGAAGGAGTAATCTGTTACATCTAAAATTAAAAAATATTATGATAATATTTAAATATTTGATTTTCAGATAAAAAAAAGAATCATCAGTAATGATGATTCCAGTATATTATAGT", "TTTTTAGTGAGGATAATAATATTACAAATTTAGGTAAAACAAAAATTTCCAAAA", "GTTGTAATATTTTCGGTGTGCAATTAAATAAAAAACACCAAAGAATCCAATTCTTCGGTGTTTTCATTCAGTATAAAACTGTATATC", "TGTCTTAATAATTAGACCGCAAAATTATAAATATTTTTTTAAATGGCAAAATATTTTTATTAATTAAAAAAATGATTTAGACGGTTTTAACTTTCCAGAGGTAGATTCTTCAAAATTAATAGACTTTAAAATGAATGTTTATGCTAGTTTGCTGTTATTTAGTTGTTTATCCGGAAGGGCTGGAAATATTTTAAAAAGAACAA", "GTGGTGTTTTAATGTTAACAAATATAAAATAAATATCACTAGTGGTGATATATTTACATGTTACACAAGGATTTTAGAAAGATTAACTTTCTACATAATTTGATTATAAGCTTTTTATGTGAAAGCTTCAATGAATAAAAATTTTATAAGCTTCTTTATAATACATTATTCCTGATCTATTATAATCAAAAAAATACAATTTAGTATTTAGCTGAATAGGACGAGTATACACAGCATAAACAGATATAAGTTCTGACAGATTAAGTCTTTTAATTGCAGAGGAAGTTATTCATCTATCGTATATTTGTTAAAAAATAATAACCAACAAGCTACAGAATCATAATTCCGGATTCTGTATAATAGCATCATTGTATTAAAATT", "GATAATTTTATATTCTTCACTTCTTTTAACAGAAGGCAGATAAAGTTTAATAAAACTTTAACCCGTTTTGAAAATCTAAAAAAAGCGAACTCGCTTTTTTTATGTTTAATTTTGCGATCTAT", "CTGTAAAATTGTTATTTTTGCACCCTAAATTTTGAATT", "TTATTTTTGTTTCG", "CTAATATAAAACCGGACATTCTGATGAATGTCCGGTTGTTTT", "AAAATTTTACTCTTGAATTTAATAGGTACGAAAAACCATACCAATGTTACAAATAGTAGTATGTTTTACCTAGTAAAATAATTGTGCAATAATTGTAAAGCAGTTTTACAATTATTGTATAATGAAAATCAGTGTTTTAAACTTACTTTTGTTTAAAGTATTGTATGTGGTTAAATTTATTTCTTTATTTGGTGAAAAACT", "TAAC", "TCTTATGCAAAGATACAGGGAATTATTGAGGTAAATTAATATTACATGAAGTCGTGAATAATCTTTATATTTATTTCATTAAACCACAAAATAT", "ATTGTAATGATATAGTAACCAAAGAAATATTATTACACGAAATATTGTACTGAATAGTAAAAATCTTTTTCATTGTATAATTTAAAAATGTTTTTTTCGTTATAACTGAAAAATACTAAATGATATATT", "TTTAACGTTTAGCGCCTGTTCACATTTAAAATAAAAACACTTTAGTCTTGAGATTATTAATCTGAATGCATAAAGAAGATCACGTAAGATAAACATTTCGACATCGCTCAATGCTTTGATTTTCAAAATCTAAAGTGCTATCAGGCTCTTACATTAAAATAGTAAGGCATCAAAAAAATTTTTGATGCCTTTTTTATTGATGCATAAGAAATTTATGTATATTTGATATATAAGAAAATTAGGT", "TAGCAATAGAT", "AAGCGAAAAAGGTGCAATTGCACCTTTT", "GTGGTCAAAGATA", "AAATGTTTTGTTATAAAGAAAGAGTTTACTGTAAAAGTAATCCTTGTAAATGAAGTTTGATAATGTTGCAAAATCTATGCTAAACATAGATTTGGAGCTGTATTGCTTTGAAATAT", "ATTTGTAATTCAGGTTATTAAATTTAAGAAATTAAGATAGTATCACAATCATTATAAGTACTTAATGAAAAACAGATTTGATATAAATAAAAATCCCTCATAGTATTTTCTACGAGGGATTATTTTTTTAGGCTACAGCCT", "ATTAGGGTTTAGTAATAGTTCAAATATAATAAATTGAGGGCATATCTTCTTCAGGATTATATGGTTAACGGAAAGGGCTTAAAAATGGCTGATTTCAGCCATTTTTGCCCATAAATCATAGTATGTAGATTAAAAATCAATACTTTTATGCTCATAAAATTTAATACTAAACACTGCAACG", "TAAGAAGC", "AACCGATTTTAATAGAATCAAAAGCAGCGTTTCAGATATCTGAAACGCTGCTTTTTTATATTCCTGAAGTTTAATTC", "TTTTGAATAGTTTTCTCCAAAAATAATCAGATTTTGCAAAAATGGAAATAAAAAAAGCAATACCTTATAAAAAGAGATTGCTTTTTTCTATGTTGATGTGTTTTTATGTTTGAATTATACACAATTCGTGTGCCAAAATAGGATGAGGTAATATAGATAAAAATCGATTTTTGTATAAGTTTTTGAATACTAGTGTTTTGCTGATTTAAACAGGTGGGTTATATAAAAAAGCAAATAGCTGTTTTGTTTTAGAAAAATTATTTATACCTTTGCAAACCCGTAATGGGGAAAATTATGTTTAATCGTAAACGATAAGTA", "TTAAG", "TTTTCAAAATTCAGGATCTCGGATCATGGATTTCAGACGCTTCACTTGGTCTGTTATCTTATTTCTGAAATCTATTTTAAACAAAACAAAAATTGCCCGTTTAGTTTAGCACCCAAACACTTCTCCCGTTCTTTGAAGTTGTTGATTGCTTAAAGCGGAATGTAAACTAAAAAAATTAAAGAC", "GAATTTTCTTATATACATAAAAGAACCCGTTAGTTTACTAGCGGGTTCTTTTTTTATCTAATGTAGAGTTGA", "CCGTCAAAGATAAATTAATCTTCTTAAAATTAATTATTTCGTAATATACCGAAACACAAAACACAGCTTTTTAATTTGTTGTATTTTTTTTATCTTTGCACTGCTAAAATTTCAAGCATTATTTAATTAAAATATTTCAAACT", "TCAAACTGAAATAACAAGAAACCTCGGGAACTTCCCGGGGTTTTTTGTTAATCAGAATTAATGTTTCTCATTCCATAATAATTTCGTAATTTTGCAAAGAAAGTGCT", "ATTATATTGATACAATAGATAAAAGCCAGCTGTGTAGCTGGCTTTTTTGTTTGAAAATTATTCCGGAAATATGAATATCTTTACGATAACTAATAATCATAATTCCTTAATTTATA", "TATTAAAGCCTGAGATTTTCTCAGGCTTTTT", "TGCATTATGTACTTTAATCTAAATATAGAAAATATTTGAATGCCTTATCCGATAATTGTTATTTAACCAATAAGAAAAGCCACTCAACTGAGTGGCTTTGTATATTTTAAGGAATATAGAT", "TCGTATGAATTCAAGGTCTCAAATTTAAGGATTTTTTATGGAATCC", "GTAAAAAAATTTGGTGCAAAGTTACGGATATTTGATAAGATAGTTGAAAGATGTGTGAATATAAATGTCTATATATACGATATCAGTGC", "GTT", "GATTTTATAATATAAAAATACTTTAATTAAAAGTATGGCAGCTTAATACAAGGTT", "ATAATTTCTTTTTCTAATAGTATCGTCTGTTTTTTGCTATAATTTTCAATAAAGGTAAGCCAAAATTTTAGCTTTATATTGGGATTAAATAAGGTCTTTTAGATTTAATAGATAGTAGCAACCGAATAAACTCTTATAATTTTGGAGAATCTAACATTATTAAAATATAGCAATAGCCT", "TGAGTAGTTCTATTCATGTCAAAAAATCAGATTATTTGGTAAACGAAGTGTATTATAGTGTGTATCTTCGGTAATAGATTTTTGTATAATATTTAAATAGTTGAATTTTAGTTTGCTATCCTTGTTGAGGAAGTTTGTAAAACTAAAAAACAAATAATTAAATCAGAGACTTTGTTACAAAGATTAGTTGCTTTGATTATGTTACATTAGTCCCAAAATTTATTTTTCATTACTTTTTTTAATCTGTTAACTTTTCTCCAAGTATTAAAAACGAAATAAAGTTAACGATATTGTGATATACTAAATACTCCTGTGCGCCTAAGATCAATTTCTTTATAAGATGATAATAATATAAATGGTTGCGTCATTTTTGTGTT", "AGAAGCAAAAATAGAAAAATTAATT", "AATATTTAAAGTTTGAGTGACGAATTTACGGTTTCTATTTCGCCTTCACAAATTCGGGTTTTATGGTATAAAATGCATGATTATTTGGTGAATCATGCAGATTTTGTATTTAATTCTGATGTAAATAAAAATGGCAGGAACTAATTCCTGCCATTCTTTATTTTATATGCATATATCACT", "CTTAATTTAATTTGAAATTTAAAATTGGAAGTTTGAAATTGATGTATTCTTAAGATATTCATCAATTATCATGTAATTTAA", "AAAAATAGTTTTTTAGGGGTCAAATATACGAAATAACAACAAAAAACCATTCAATTTCATGGAAATCGAATGGTTTTTGTATAAATTTCAGAGAAAACCGAGTA", "TGTCTGATATTTTTATATTTTTAATTCTTGTTTTAG", "AAAAATGGTTTGCAAAAATAAATTAAATAATTGAGATTACAAAGTAAA", "TTTTTGCTATCTTTGAAGATACAAATTTAATATTTTTAAATCA", "TAT", "AAAAATCCCGCTTAATATTACTAAGCGGGATTTTACATTATTTATATCATTTATC", "ATCTTTACTTTTAACAATTGAAATCAGTAACTGGTACCGATTTAAAGGCTAAAAATACAAAAAACTTTTTTAGAATAAATGAGTTTTTGTATAAATCAGAGTGTAAATAAATAAAAATGCCTGAAGTAAAGAATACCTCAAGCATTTTAAAATCTATAATAATACAGAAA", "TACTTAGTATATTTATAATTAGTAATATTTCTATTGTATATTTTCAGACTGCAAATTTATGTATTTTTTATTAACCTGCAAAAATATTTTTATTTTTTATTAGAGAATGCAGTTAATATCTTTGCAGGATTATATTTTAAAGACCGTCT", "ATCTTGTTAAACATACGTTAAAGAGCAGGTGTGATAAGTTAATGATTCCTTAAAATTAGCTTATCATTCCCGCAAAATACGTGGGGTACTTTTGTCCGGATTATATAAAAGTATCT", "AATTTTTTATAATTCATATTATTAGTTCAGAAAATAGACCGGAATTTTCCGGTCTGTTTTTATTAT", "TGATGTAATT", "TATAAATTCTTTTTTTACGAAAATACAACTTTAGTCCGGGAACAAAAAGCTGACATATGTCTTATGATTGAATAAAGTTGCTGGAATAAAAAAGCCGACTGGAAATTTTCCGGTCGGCTTTAGCATTAGAATGCTATA", "ATACAGGTTTAAACAACAAAGGTAGGATTTTGCTAGTTGCAAAAATAATCTGAAATTTGTTAAATAGTTTTTACCCATTCGTCATTATATTGTATTATGATTAAAAGCTATTATTAACCTGTATATAAAAAGAAA", "TCTATAAATTTCAAGCG", "CATAAATTCAGTTCCAGATAAAGA", "TATTTATATACTGTTTGTGGAAAAGTTGGAAGAAGAATATTTTTTTATTTATTGCAGCTTTT", "CAAAAAAATAAAGTCAGTACAATTTAATAGATCTCCACAAGCTTTTGTGGAGATTATTGTTTTTATATTGTACTTTTGTGAAAATCTAATTTTAATAAAAAAATAATTTTATAAA", "TAAACTTATTTTTGGTTATATTTGTTTTAATAGATAAAATATATTGTATCAAAAAGAGAATAAAAATGAATAAAAATTAACATTGGTTAATTGATAAAAAATAAGGAGGTTTGTTTTTGATTTTTAAACGATTCTTTGTTATTTAACAAATTTAGTCCCGATTTTGGTTCGATGGATTATCTGAGAAAAACAAAAATAGTTTTCAAAAAATGACAAAAATCATCATTATTGAAATTGCGTCTTGCATTTTCCAATAAAATGACTTTAATTTACAACCTCAAAAAATTGAAGAAATAAACAATAAATAATTTAGATTAAT", "TCAGTACAGAATACAAAATATTCTGTTTAACAATAAATAAATTATAAAGAATTAAGAA", "AAAATATTCAAC", "TTACCTTTTTTTAACAAAAATAATTTAATT", "GTTTATACCTAAATAAAAAAAGAGAAGCTGTAATAGCTTCTCTTTTTTTTGTATTTTTGTTTCCATGACGTTTAATTTGTTATCAATTGTTGCAGGAATTTGTTATATCACATTGGGGATCTTTGTGATTATGTATAAATTTTTCATTGTTGAATTAGGAGAAACCGCGGCCTATCCATTAGGCGGTTTACTGATTATATATGGTATTTTCAGACTGATAAGAGTGGCTAAAAAATTCAGAAACAGAA", "ATATTAGTATTTTTTTAACATTACTTCCGCAATATATGGAATAGTAATTGTGTTTATATTTTTAAGAAGAATAATTAATAAAAATAGAATAATT", "TTTCTATTAAAAGAAATGAAAATATCAAAAACTTCTGGTCCGTAGGATCAGAAGTTTTTTTTAATTTTAGCTC", "TAACGAATAATAGTGTATCTTTGCACACACAAAACCAAAACGGTGGTTGTAGCTCAGTTGGTTAGAGCGTCGGATTGTGGTTCCGAAGGTCGTGGGTTCGAGACCCATCATCCACCCAAAGTAAAAGCTGTCATTTATGACGGCTTTTTTTATGCCAAAAAACAGATGTCTTCATAATCAGGTACAAATTCCGATGGAGTGAGATAGGAAAAATAGTTTTG", "ATTATAATTATAAATATTCGGAGCGTTCCGGAGGAACGCTATCTTAATCAGAAAAGTGAAAAATTAAAAACTTAAGATTATTAAAGCTTATTTCAATTTTACTAAACTATTATTTATAACACTTTAGCTAATACTTCAACAAGTTCAGTATAACACTATAATAGTTCACCTGAGGTCAAAGGCATTGAGCGAAGTCGAAATGTTCATCTTAAGTGACTCACCTATCACAAGCTCTTATTAGAAAGTCAAAAAGATCTCATTTGTCATCCCGAGTCTATCGGAGGATTATTAGCTGAATTTTTTCTTAATCCACTAACTTTTGTTCTGATTCGTTTCATTTATAAAAGTCATTAGAATTTTCTAATAATCATTTATTCTCAACACAATTCTGCTAAATTTGAAGAAAATTGCTTTATT", "T", "TAAATAAGTTATTAGAAATTTTTAATGACTTTCTAATTGGTCTTTAATATTGTTTTAAAATCTTCCTAATCCCCCCTGTTTACTTTTGCTCTGTAAAAAAGTAATTAAAT", "ATGATAAGAA", "GAAGCTTAGTCTTCATATTTTTCAATTATACTTTTAAACAAGAAGAGACAGCCCACAGGCTGTCTCTTTGTTTTGAAAATTTTT", "TGTTCTAACATTTTAAGATTCGTGCGTTAACAAATATAGAAGAATATTCTGAATATCAAAGAATTTTTATTAAATATTGTTAATTACCTTGTTATCAGTGATGAAAAA", "CTTCTGAATTTTGTTTATTTAAAAACGTTCCGTTATGAATGACAAAATTAAGGTTTTTTATGCAAAGAGAAATGCGTAAATTTGTGCCTTAAATTTAAGTTCTTTGAA", "AATAGAATAAAATAATACCTTTCAC", "TTTTTTATTAATCTATTAAACCCATAAT", "TTTTTCTTTACAGATATAAAAACGCCGCAGATATTTATCTGCGGCGTTTTTGTTATATTGTAACTGA", "TTTTTACTTTTTTATTCGTATTTCAAATTTAACAAAAAGCGGGAGAGTATCCCGCTTCTATTT", "TTTATTTATTTTAAAATTTGATTTTGTGGTTTCACTCAGGTATTAGCCTGACAGAATTTTTCAGGTGCAAAGATACGGAATTTAAAGAAAAAAGCCTTTTAGAATTTTATTTCCAAAAGGCTTTTTA", "AATAATATTTGTTGATT", "GGT", "ATTTAATATTTTGATGATATCGCAAATGTATAAATATTTATCGTATTACAATAAATATTTTTTTTAAAAAAGTAAAAATGTTAATTATAAAAAAGAAAAAACCGGAACTTTAGTCCCGGTTTCAATATATTAATTAGTGATAA", "AGTGTTTTTATTTTGTTGTTTACTCAACGAATTTAAAAAAAATAATATGAGTTTTGCAT", "TTTTTATTTTTATCTTTACACAAAATTAAGTCAAATTAATTTAGAAGAAAATAATACCAGATTTAGATACTGTCAATTAAGTATGAAAATTCTTACAGAACGGATATCTGAATGAATAACATCTAATAGCTGATTACCT", "TTTTAATTTATTTATTTTTATTCGTTCTGATTAATTATTTTAATTAAATTTAGGTTATGTATTGAAATTAAGTTTAATTAAAGTGATTTTTG", "TGTTAATTATTTAGATTGAGTATAAACTAAGGTTTAATGATGAATCTCATTTACTACACGCACAAGAATTGTTAATTTTGTGAAATTAGAAAAATAATATAATTAGACT", "CCAATAAATATTTATT", "TGTT", "TCTCCAACCTTAAAATAAAAGTT", "GATTACAAACTCTTTTTAAATAAACAAAGCCGCTGATTAAGCGGCTTTGTTTATTCATAGATCAAGGCTGCTTATATAAGCAAATGAAGTAATTGTATTGTTTATTTTGTTTTAATCTATTGATTTACAATATTTTGTATATTTGATTTAGTATAAAATTTTATTGAAGAGTAAAAAAGCTTAAGACGGTTTGGCTATGTAAGTAACCGCTATAATAAATAAACACTTTATTC", "AAAAAGCTGTATCCTATGTTTGTATTATCTAATGTAGGATACAGCCATTTTTATCGCTTCTCACTATAAGTAAGCGTTAAATAATATTAAAAGATGACAAAATCGGGGGTATATAATGGAAAAAAGGTTATTTTTGCTTCTATTAAAATTTTGAG", "TATAAG", "AATAAAAAGCCTCCTGAAAAGGAGGCTTCCAATCACTTATTACTTAAACATTTTAATCTACTCATTAAATTGTGAGCTAAAACAAAATGCTCCCAAAAATAATAAAAAAAATAATATGAATTTTTTAATGTTCAATATTATTTTACCAAAGTTTTTTATATGCATGAAAAATAGAAAACCCTTTTAGTAAGGTTATAGTT", "ATTTA", "AAAGAATCTTTTGGGTTAAGATAGTAATTTTTCCGGAAATGACCGGTACTTATTGATATTTTTTATAT", "GTGTATAACTTGTTATCTGAAAAAAAAGATTTACAGCAATGTCCTTCGTGGACAATGCTATACTATAAAGATAAGTTAATAAGCTGATAAA", "AACTAAATTTGTGTAGAGTCAAATTTATAAAAAAATAAAGCGCAATCAAATTTCTATTTTTATCGTAAATTAGTGAG", "T", "AAAATATATAAAACAGAAA", "TAAAATAAAAACCGACAGTCAGCTGTCGGTTTTTTTATATAATCAGGAATCTGTCACCAGAAA", "GTATTAATTTGTTCTAATATACAATTATTTAGTGATGGACTAAAAAACAAAAAATCCCTGTCTGCTGACAGGGATTGTATATAAAAGAATAGATTTTTC", "AAATTTTCTTTAATGTTCTTCAACAA", "AGTAAATGTATTTTAAAAATTGTTAATCCAACTGCAAATATATAAAAAATCGAATCATTTGCTATTTTTTTAGTCAATTTTGATGATTTGTGTAGTTTGAAAAATTAATGTTCTAAAAACGAATTATTTAAAATTTAATCTGGATTCTTTCATCCTGATTTTATTCATTATTTTTGCTTGAAAATATTACAGA", "AGTAATATAAAATGATAAAATTTAAAAAAGTTTCGAACCGAATTTTTCTTGCTACAATTATTTGTTGTGGCAAATTGTAATTATATTGGCAGAAAACTACAACAAGATCATTTTAATCTTTCACTTTAAACAACATTATAAATA", "ATCATCCGGA", "TCTTTTTAGACCCTTATTTTTCTTAATTCAGATATCGTATACTGAAAATAGCAAATTGTAATCAAAACCTTTATGTAAAAAATGGTACGCTTTTGGGTATGCATCTTTAAAGTAAAATTGATT", "TTAAAAGGCAGAAAGAGATTATCAATCAAAAAGAACCGGAAGAGAAATCTTCCGGTTTTTATTTGTTTCTATGTTAAATTTTGTTAGCAAAAAAAATCACATTAAGAAATGTATTTATCTTCGTAAG", "AATTCTGTTTTTTTAACGATAAATAACATGAGGATCTTTTAACAGGGTTCTCTTTTTGTTATCAAACACATACAATTTCAATAATTAAAAACAGAACAAA", "GAATATCATTTAAAATAAGAGAGCGAGAATTTTTCGCTCTTTTTTATTTTCCTTATTTTTGATACAAATATCTAAACT", "AATAAACCGCCGAAAGGCGGTTTATTTTTTTTAGTATGCCTAGTTTTCTTAAATTTAAGCAAAATAAAATTT", "ATAGAAATAGAAAAGACATCCTTTTGGGATGTCTTTTTATTTAATCATAAATTATCTGA", "TGGGTTTATTTTATCCTTTAAATATAAGCTTTTTCAGAGGATTTTAAAATGATAGATTTTAGTGTTTTAAATTATTGATAAACAATATGTTATTTTAATATATTTTAACTTTTATTCTGTTGCATATGGATCGTGTTTTGAATAACTTTGCACATAGGAAATGAGTTACGGTAAGAGGTAATTCATGAGTTGTGTCTTAATAAAGATCAATTCCTAAGTTTATTTTTTTAATAGTTTATTATTTGAAGATCCCGAGTACTGATAAGGTACCCGGGGTTTTTTATTAAAAAAGCTTCAGAATTTCTGAAGCTTTTTGTTT", "ATTAATTTTTTGGGTAAAAATATGAATAATTCGCTAATTTTGTATTTTAATAATTATGATGTTCAGGTCTGCGCAGTTTCGGTTGCATTTAATTGTTTTTTTGTGGGGTTTTACAGCGATT", "AATAAAAGGTTTTAGTTAATACTCAGTGATTTTGCATACTCCAGAAATGGAATATGTTTATATAGTGTAAGTTACTAAAATATAAATAAAGACTTTTTCAGCTATTTTTATAATAAATTGAAAGATTCAGTGATTAGAGTTTGTTTTTTGATTAATTTAGTTCAAAATTTTATCAGGTAAAATACCAATGTT", "TGCATAAAAAAAGCAGTGAGTTAATCACTGCTTTTT", "AGAGTAAAATTACGAAAAAGAGTTTATTTAAG", "ATCTGGAATATATTGTGGGGGTAGTCTGATATCTAGATACTTTTCTTTTGATTTAATGACAGCGGGTTTCGACAGATACACAAAAAGGAACGGAATGATAAACAAACTTATCATTCCAGGGATATAATATATTTTTACTAGTTTGGACATTGTAGTCTACATGTGAAACATAAACCAAATAGCCCCGTTACGCGGCTTTTTTATTTTCATATTAAATATAGAGAAATTTTAATTATTCAATAGACTCTCCCTCATTGATTTAGTATGTGAATCTATTTTTTAAATAAAAAACATTTCCGTAACACTAAAAAATTAGTTAAATTTAATTTTTACAAAATCAGATTTAATAATATTAT", "TAGATGGCCATAAAAAAATGTCATCCTGAACTCAGCTCAGGATGGCAAAGTAAAATACTTATGACCTTTTTTTGAGATAATCCCATC", "TAAAGAATTGAGAATTAAGGTTTAAATTTAAAAATAAATTTGCGCTTTCAGAAATTTTATTTACTTTTGCATTTGAAAATGAGATGTAAAAT", "GCCAATTTTTCCAGGTTTATTAGATACAATCACTTCCCGAATGTTTATATTTGGGAAGTGATTTTATTTTATAGGTATT", "AAAAAAAAATGAAAAAAAGTTGAAAATAATTTTGAAGATTTCAAAAAAACGTTCTATATTTGCACTCGCAAAACGGATACAAATATAGATTAGTTGTTCTTTTGAAATCTTTTGCCTCCATAGCTCAGTTGGCCAGAGCACGTGATTTGTAATCTCGGGGTCGTGGGTTCGAATCCCTCTGGAGGCTCAATATATTTAAAAAAAAACTGGGGAGATACCAGAGCGGCCAAATGGGACGGACTGTAACTCCGTTGTCGTGAGACTTCGCAGGTTCGAATCCTGCTCTCCCCACAATTTTTTAAATAAAATTTGCAGTTTTGAATTTTTATTGTATATTTGCAAACGCTTACCAACAGGCGTAAATATTCAAAACTTAATAAGCGGAAGTAGCTCAGTTGGTAGAGCGTCAGCCTTCCAAGCTGAATGTCGCGGGTTCGACCCCCGTCTTCCGCTCAAGAAAAATCACAAACCCTTGCGGAATGTGATTTTTTTTTACCTGCCGATATAGCTCAGGGGTAGAGCGCTTCCTTGGTAAGGAAGAGGCCTCGGGTTCAAATCCCGATATTGGCTCAGTGCAAAGATTGGAAGTCTTCTCTTTATGAATGGGAAGATTTTTTGTTAAAGCTCATTTAGTCTTTTTGTTATTAAGAAGATTTTTGAAGTATTTAAAAAACCTTTTGTGTTTTTTAAGGAATAAATGGATATAAAATTTTTCAAAAAAATTTTTGTTATCTAAAAAATGACTATATTTGCACACTGAAAAAAATCAATTAGTTAATAATTAAACATTAAGATC", "GATCTAAAATAATATAAAGCTTCCGCAAGGAAACTTGCGGAGCTTTTAATCTACGGGCATCGTCCAATGGTAGGATACCGGTCTCCAAAACCGTTGATCTGGGTTCGAATCCTAGTGCCCGTGCAAATTAATA", "AAATTATTTTCT", "GTTTCTTGGAAATACATAAACCGAAAGTTATGCTTTCGGTTTTTTTTGTGCTTAATTGTATTGAGAATTGTATGCGAATATATGCCTGGAGCGAAAACTGAGTACTGGGCAAACAGTTTAGCTGATAAGAGGGTGAAATTATTCTATTTTAATAGTAATCTAAAATTTTTGATTCTCATCTGAATGGTTTTTGCTTTCCGGATTAAGATAATTTTCCTCTGGAATACTCTTGAGGTTCATAATTATAACCCATAGAGATAAAGCTCCTAACGGAGCTCCTTATTATAATACTGATCCGAAGTAAGATTAGAGATGATGTATTAGAAATAAAAAAAGACTGTCATCAGAATCCTGAGACAGCCTTTATATCAATTAGCCAGTTTTAACTCCTAAAAATGTCCTTGAATTTTTGCTATTACTTGTCATAAAGTCGTTGGTAACTGAATAGCATTGGCTATTGATATTTATAAATAGCGAGTTTAGTTTAT", "CTTTTAAATAATTCATTGTTTACAGTGCTAAATTATATATTAATTATGGCGCTTGCTTGATGATATTTTTCATAGGGAATAATCATGTGCTGAAAATCATGCAATAGAAGTTTTTCTACTAAAGAAAATTTGAATCAGGCATTACAGATCAGATATTAGTTATAACTTATACATTTTTTGATTTTTATATAATGATATAACTATTGATAAATGAATAAAAAAAACCTTCTTTAAAGAAGGCTTTTTATAAATTGACGTCGTTTTTT", "ACTATGTATTGTTTTTTTACCTACTTGACTTTGGCACCTTTTCAATGCTATCATTGCAGCAAATTTATATATAAAATT", "AAAAATAAAATCTTTTTGATTTTTAAGTTATTACATATTGCTAATTCAAAAATAATTTATAATTTTGCAGTCCAAATAGCAGGGTTAAGCATGTGAGAATATTAACCCTCTGATTTATAAATGCTTCCACATTATAAATTTAAAATTTTAAAAAAGCTAAA", "AAATTGATTTC", "AATCTGAAGAACA", "TAGACACTCAAGAAAAAATATAATCGTTCAACAATTTAAAACATTTAAAA", "TTCATTTTACATACAAAAAAAAGACTGCTGCAAAGCGGTCTTTTTTTTGTTTATGAGTGTAAGCATTATAAAATTTGC", "TTAGGATTTATTTATGCGATTAATATTGTGAAGGTACAAAAAATAAATCCTTACTTCATCGAAATAAGGATTTTATTATTGCTTTATAGTAAGGTGTAA", "AACTGCAAAAATAGAACTTTATTATCAATATTTAGGACTCTGAAAGATGAATTTAAATAGTTTGTATATCATTGCGTTAAATAACTCAGGATGTCTGATATTGCTTGCTGTAAGAGCTTTCTGTATTTATTGAAGTGAACATTGCAACAGGCTTGTAGCTGGTATATAATCTTCTGTATTATATTGTACAGAACGAAAGTGATAGATTGCTATATTCATTCGTATATTTGCAAAAATCTAAGAAT", "AATACTGATATAATCAGCTCTTCTGTAATGGAAGAGCTTTTTACCGGGCTAACAGAATATAAAACATAAAAGAACAGTGTT", "ATATTAAAACAAATAACAACCGATTATTGACCGTTTCATACGATCAGTTAATACAATATA", "AAATTAGTACCTTTAACTATACAATAAAGAACACGTTACTT", "AATTCAAACAAACTATTAAAAATATTAAAAAAGTTTTGTTCAGTAATTGGCAAAACTTTTTTAGTTTTATAAGCATT", "AAATGCATAAAG", "ATATTCT", "ATTTTTTGAAAGATTTTGCGGAAACATTTTATCTTTAAAGAAATATTGCTATATTTGCAAACCTAAAACGGTGCTTTGGCCGAGCGGCTAGGCAGTGGTCTGCAACACCATCTACAGCGGTTCGAATCCGCTAGGCACCTCTTAACAAAACCCTAATTCATTTATTACAAATGTTTTAGGGTTTTTTATTACGTGAAAATTAAAACCAAATTCCAACGGATTGAAAATCTCTTTAGATTATATGCTAAATGTAATGAAGGTCTTTAAAGAAAAATAATGAGTAAAAGCAAAGAATAACCCCGAAAATAGATCGGGGTTTAATATTCTGATTTGATCGAAAAGTTATTTTATTTGAAGTTCTTCTGAAGTCATAACCCATTTATTAATAACATTGTCCGTAGGGGAATACATAATATATTAATTATACGATATTTTATAAGTGTTAAGATTAAATTGTTACCACTATTGTTCCATCAACTTTGTTTTTACTACAATTTATTCAGTAAATATTACTGTGCTTTACAAGAATATAAATTAACCATTCAAAAAGGAACGTAATATACAGAAACTATAAAAGTAGTT", "AACAATAAATCCCTGAAATCTAAATTCAGGGATTTTTACGT", "AATATTTAATT", "AAAATGTGTTTTTATAATTTATTGTTTTAAATATAGAACAATATTTTGAAAATAAAATACGGTTTTCTATAAATAGATGAATATTTAACATTAAAACAATTGATAGAAAAACTTCCCATCTTTCCAAGAGGGGCTTCTTTTAGTATAAAGCACATAATTTTTAAATATTTGTACACTAATACCTAAATTATTATAAACTTCTTGTACTGGCTATTTTTAGATATAACTTCTGTAATACAGTGAGATACAAATTCTACTTTTTTTACTATAAAAATAAATTTTCTTTCCGATACTAAAACCTAATATTTATCGTTGTTTCATTAGATCAATGACTTTTTGTCCATAATAATTAACATTCGTTAACGTTGGAATGGAATCAATATTGGAGAGCATAGGAAATAAATGCTTTGAAAAGTCTTTTTTTTTCAGAGGTAAAACGAGAGAT", "TTTACTTTTACTTAAATTAAGTATAGTTTCGGAGGGATGCAGAGATTGCATCCCTTTTTTATATGTCTTTCGGGCAAAACTATATTTTCTGAATAATTGAAATAGATAAATGAGACCTCTTTTAGAGTAGTTTTTTATTCCAAAATAACCTAATTTTGTATTACT", "CAATTACAG", "ACATTGGTATAGATTTTGAAATTTTAATGTCATCAAACCAAAATATAATTTACAGAAA", "AAACAATACACAGAATAAGCCACCCATTTCGGGTGGTTTTTTTATTTTTGACCTTTGAAACGATGACTGATAAAATGTCAGCTG", "AGAAATCACGGCCCTACAGCCGTGATTTCCGTTTAAATAGATTATATAAAAATGGATTGACAT", "GTCTATTAAATAATT", "AAGAATTGAATTTTGAAGTATTCATTTGGGAATACTCCTTATTGTTATGATATTCTATAATTTCATTACCTG", "TATAATTTCAGATTTTTTGTTTTTAGGCTTAGTTTCTGTTTTTACAGATTAAAATAAGGTTAATAGGTGTAATATTTTCTAACAGTGTTAATATTTAAATAAAATATAACTGTCTGAAAAATAGAGCGTGTCAAATATCCGGACGCATTAGTTTTATGAGTTTTTTAATTAAAAAATGTGATGAACAGTATGTTTGTTATTTGTATGTTAGTTTTCCTAACGGTGTTAATATTGGGTTCAAAAAAAAT", "ATTTACAGTACTTATTGATCTGTTTCCAAATAAATTTTAAATTTTAACATTTTAGAAGTTTATAAAAATGTGATAGGCTATATTTTTAAAAGAAATTTTATCTTTGTTGTTGAGTTAGTAGAAGCACTTTATAACCGAGTGTGAATGGATCTGTTTATTGTAATGGATTTTTAAACGCTTATAACTTTATAATAATTAAAAAA", "ATTATAAAGAATTACTTTATAAATAGATAGTGGCCGGAATCAATTCCGGCCATTTTTATGCTTTAATATTTTAGATTAGAAATACTGACAGCTTGATTCGTATGAATTACAGGA", "TGTA", "TTCATTATCATATAGA", "TGATATATGTTTGATTAATCAAGTATTTTAATAATGCAAATATATGCATTTTAATTAATTACAAAATTTATTTTTTAAATTAATTGTTTATTTATTTTGTTGAATTTATAGAGATGTGGAAAGTGTTGTGAAAAACTTTATTTGGATAGATTCAATAAAAAAAGCTCATCGATATTGATGAGCCTAGCCTGTTTACTATTGAATAATGATTT", "ATGTT", "TTGCAGAAAAATTTTAAATTTACGTAAGACCAAATTTACAAAACCTTTTCATCGGTTCACCGCGAAAAGTACTATTTAGAATATTTAATAATATGAAAAAATAACTATCAGGATTCTTTCTTGTT", "AGATAATTCAGGTATTTTTTCAACAATTTATA", "AAGTTTTTTATTATTGGTGTTTGTAATAGAGAA", "TGTTATTTTGAATAAAATGAATACAAAAATATCGTAAATTGGTGGGTAATAAAAATCTAAACAAGACTTAAAATCGGTGAAGGACTCAAACTGTTGATGGATAAAGGTTTGCGGGTGTTCGATTCTTTCGATTGATTTTATTTATAAAAAATAAATT", "TAATTACTACTTGTTCTATATGCTTTTATAATCGGTGAAAGCATATAACTGAAAATCTAAAATACAGAAAAGCATTTCCGTAAGGATTGCTTTTCGCTTTTTTATGCCTTAACTTTG", "ATAGCATAAATAAAATTTCAGGCATTAAATCTAAAATTTTAAAAAA", "TTGATAAGAACCAATATTTAAAAGTACAAAAAGGAGAAGGATTTTATTATTCCTTGTTGTACTTTATTTTTTATTGTTTTGGCTTTATGTTTTTTTGTTTTAAAACTTTTTATAAAAGCGCTTACAAATAACCGATTTCGCAATTTTACGATTAGAAAAACAATTTCAAATTAATATATCAATGCTCTGAAAAATAATGAATTATTTCATAAAATCTTTACAGACTTTGCGGTAGAATAATTCAGACAGTTTTATAAATTTGTGAAGATTAAAATTT", "AACCTGTTACTGTTAAACT", "TAACAGAGATATTTAATATATT", "TTTTCAATCTTTCTATTCATCGTAATCACCTATTATATCGATAATGAAAAGCTTATTACAAATCTTTTCAGGAAAATAAAATATAAAATTTTGTGTGTTTGAAAAAAAATACATAATTTTGCACTTCAATTTGAATAAGTAGTAAAAGACTAAAGAT", "TTAGAAA", "CTTCTTAAAATAAAAGACA", "TTAAGTTAAGAACTTAACATACAGAAAACTACCTATTTAGGTAGTTTTTTTTATATATTTGTTATATTAAACATTTAAT", "TATTTAGCTA", "TTTGCAGTTTTTAAATAAATTCTGCTAAATTTGAGATAACAAAGTTAAACTATTATATAATCTATTAAAAATCACAAAATT", "GAATTTATTAATGTTCAATAAAAAAGTAAAGGCGGGAATACATTCCCGCCTTTACTTTTACATTATTCTTTACCAAGA", "CTTTTTAAAAACTGTAATTTTTGTAATATGTCGTCAAATAACGTGATT", "TGTTGAAGTTCCATTCAGTATTATTTGACAACGAAATCATTTATTAACTTAAAAACAAGATTT", "ATTTTCTATTATAAACTAAAAATAGAGTGTACATTATTGTATGCTCTATTTTTTTTGCGCACTCATAATAATGATATAAATAATTTTATAATAGTTTCTTTATTGATTTTTAAAATGTAATTACTTTTATTAATAAATTATTAAATAAAATAAAGAATTGTATAAGATTTGTTAGTAATAATATTTTTTGTAATATTGCATTGTTAATGAGAACATAAAATTTGGCTGTTTAGTTGTTAAAAACTGTTAGTAAAGTTGAAGATACGTTAAGCAGAAAAAGAAAGGATTTTATATTAAAAAGCTATTATAAGGTGCTGTTGAACATTATGTTTAATTTTACTTTTAAAAATGTTATCTTTATTTTATAAAAATCCTTCATGGGGTTGATTAGCACGATGAAACACAAACAGGAATAATAACGTTGAGTTCTCATTCAATGTTATAAAAAATGAAATCATTTATTAACTTAAAATACTGAATT", "TCATTCTTAATAACTTATATAACAAAAGGTGTGCTACTGGCACACCTTTTTATTTTTTAATACCCTTGAAAAGCTTTAATTTTACCCG", "TCTCTGAT", "ACGAGATAAAACTTAATACAGAAATATTTAGTTTCATTAATAAATAACAAAAGTCCGACAATTTTTTATTGCCGGACTTTTTGTT", "TTTTACTTGTATTATATTATTTTCTACAAATTTACTTATTCCGATAGCAATTCGCAATTTTTGAAAACTGTTTTAAAAGATAGTTTCTGGAAAGCAAAAGAAAAAAGATAAACAAGCTGAATTTATAGCTGCATTCAAAGGAACTTATTTCGGTTCCATTACAGGCGGAGTGAATAGCGAAATAACAATTAATATAGCTGATAACGGAAATTATAGATATAAAATAATCATAACTAATACTGTATTCCGGTATAGCTTTTGGCTAATATTTTTAGTCAATTCATAAAATTTTCACAAAAACGCCTTCTAAATAAAACGAGGCTTTTTAATAAAGTAAATATTTCTTATTTTTGCCGTCTAAAAATTTCAATTA", "TTGATGTTGTTTTTCTATTTATACGAACTCCGCTAATCAGCGGAGTTTTT", "ATTACAGAAGCTAAATTTACACAAAAATATAAATT", "AAAAGCGGGAAAATTGTTTTCCCGCTTTCTGTT", "AATCTGTTT", "TTTTATTAAATTTGCGACAAAGTTAATATTAAAATAAGGAAATA", "TAATCCCTTTTTGTATTATAAAATAGGAAGCCGATTGAAATATCAATCGGCT", "TGCTTCAAATTTATGGGTAAATGGATTAAGTTTTCGTGAACAATCTATTAATACATTATTAATTTAATTGATATAATGAAAAATTATGTGAACTTTTATTTGTTGTAAATGGTTATTAATCA", "AA", "AATATTCTTTCACCACTGCATAGTATGGAGTTTTCAAGTAAGAACCAATCACATTATAGTATAACAGAAACTCATCACATATTGCAGGGGCAAAGAAGCTTTCGTTCTGTAGAAAGTAAAAAAGCAGAGAGCAAAAAGGGAACAGAATATTTGCCATGCTGTAACCAATTGCTCCCCACCAAATTGAAATTCTTCTAAAGATGGCAAAAGTAAAAAAAATCAATTAAT", "TAAGCAAATAGTTTTAAATTTTCAGAGTGGTGGTTTCCACCACTCTTTTCTAACATCAATTTT", "ACAGATTTAACACTAAATTAAAATATAGGTAATGCCAACTTTTGATATTTGAAGGATTTTTACTCACAAATTAATAGTTAGAAA", "AATAATTATAGTT", "CCTTACTCTTCATATTTTGTT", "AAGCTTTCTTTCTTACTAAATTAAGAAAA", "ATTGTTTAATATTAGCTATAGAGGGTATATACTCATTTTAGCAATTAGTCA", "AT", "ACAGCAAAAATAGGGAGGAATTATGAATTATCTGGTATAAGTTGGGTGTTAAACACTATTCGCCCGGTGAAATTGCTGTAAAAGAAACTATAGCTAAAACCCAACAGGAAGATGGTACGGAAAAGGTATAGAAATAAATAGCTGTTTAACAATAACTGTACTTGCGAGAAACTATGTAAAAAATAACTTTAAAGATTGTTTAATTCAGATAAATAATTATCTTTAAACAAATTTATATGAAA", "TATTTATCAATATATTCATGAAAAGCATCAAATTTCTTTGATGCTTTTTTTATTGAAAAAGTGTAACGAAAAATAAAACAATACACTTATAGAGT", "TGGCT", "TAAAATGAAAATGGTTATTTTTGTACTTTCAAATTAATTAAAAATT", "TAATGTAGATTAACAGATATTAAAACCTTCCTTCTCGGAAGGTTTTTTAATTTTGTCAAATAAATTTATACAACTTAGGAGTAATTTCTTATAAATTTGCGCTAATTTTTATATTTTAAAAT", "TTTTTATGTTGTAAATTTGTACT", "TCTTATAAAGATATTATAAATAAAGCGCAAGGAGAGGAAACTCTCTTTGCGTTTCAAGGTTTAATAGCGTTTCACATTC", "TCTTAATTACGAAGTACAAAGTTAGAAGTACGAAAAAGAGGTATTCATCTGATTTTTTAATAAATCAATCAACAATTTTAAA", "TTGATATTTTCTTCCTCCGCTATTGTTAAAGTATAAACTAATTTATATATTGCGCAACCAAAAGTTGGTAATTT", "AACATC", "AATAGTTCTGATTGTTATTTATAAATGATGAACTTTCAT", "ACTCAAAAATAATATTTTTTCG", "ACTGTAAAGGATCTAATAAAAAAAGCTGCCCAACGGGCAGCTTAATTTCTTTTAAGAAACTAGTA", "AGTAAATAATATTTAAAGTTTAATTTAACTAATAGCAAATATAACACAAATTTTGTCTCATGCAAATAATTAGTGTTTTTTTTTAATAAAAGCATGCTAATACTTCTCAATGGGTTGAAATTTAATAATGCACGTTTTTACTAAAATGCTGCTTTACGGGTAACTAAAAGTGTGCCAAAAGTCCATGACAACGTATTTTTAAAGAATTGTTAATATTTTGCTTTGAATTATCATATTTTAAATTTTAAGTGTTTATAGGGGTTTATTTAATGATTTTTATGAAAAAGGCTCATTATATGTAGGTTAGCGCCAGTCATAATACCTTTGACGTTTCTTTTTTTTAATATGCATACTTTGAAGAATTCTTTTGGCTTTCGCAGGAAACCAGTAAATTTGCATT", "TA", "AGGCTGAGCATATCTTTATTTCAGATTACATTTAAATCAAAATATTATCTTTGCAAATAACGGTAGCAATAAAATGCTATTATAAATACAATAACAGGGGGAAATCCCTATAAAGAAAGAAC", "AAATAAACGCCCGGCTTTTGCCGGGCATTTTTATTTTAATTAAAACCTGTTTCCTGTTTGCGTTAGCATATCAGGAATTTTTATTTTCCTATTTTTGCCAAAAAAAG", "GAATGCCTAATTCTAATTAGATTGGAGTACACTGAAATCTATTGCAACAGGATCTACA", "CTATATAGACTTATCCGTTAG", "ATGATAATAATTTTTTGTGATATTATGGTGCTCTAATTTACATTATTATTTGAATTTATATATGTTTATCTCTCACCAAATTTTTTTTGAAGAATTGATGGAGCTGAGTGTAGAATATTTATTTTTCAGATTATTGTATAAAACAAAAATCCCCTTAGAATTCTAAGAGGATTTAATATATCTGAATTTATTGGTAA", "TTAAATCTTAAATTTTTAGAGATATAAATTTACAAAATTCGCACCAATAATATTGTTTACCTTTGTTGTTAATAACTATTAAAATCTGAGCT", "AAATCTTATTATAATAAGCCCTCACAAGTTTTTGTGAGGGTTTTGTTTATTATGCCTTAAATCATGTCAGATAGTAGTATTCTAAATTACACAAAATACACCTGGAGCACTATAATATTGCCTATTTTTGTATAGCAAAAAAGAGCAGGGATATTGCTCTGTAAAACGTTGAAAATAAAAAAAGTTG", "TAGAATGAACGATATAAAAGATAAAAAAGGGAAAGAGCAATCTTTCCCTTTTTTATTGAGCTTTAACAAGGTTCCAAACCTTGTCAAGGCTACTTAGTAAAGAAAAATATTGCAAAGTAACTGGTGCCTTAAAAAGTATGAGGATTTAAAAATTACTTGTGCCTTGGTATTAAGGGTATAAATACTTTGCTAATGA", "GATGTATTATTTTACTTTATATGATGGGTAAATATGCTGAAAGGTTGGAATTGTATTCTAAATTTGAGTTGGACTCATTCTAAATCACGGCTTTAATTTTTTTCTTTTCAAAAATTGAAATATTTTTGCACCGTAACCTGAGAAGGTG", "TTTTTAAAATACAGAGCATTCCCACATACAGGGAGTGAATTTTCAACGTTTAAAAATTAGTTTTTT", "CTAAC", "TC", "TACATGAAAACTTATGATAAGAATTGGCGGAAATACTTCCGCCCATTTTTATATTTTTTGAATAAGTATGAG", "AAAATAATATTTTGTTGCTAATAAATATATTAATGATTGGTATAATGCTAATTTATTCAAATATAATTAAAAAATAATTGAAATATATGTTATATAAAAATCCGCTGTGAATTTTCACAACGGATTTTTTCTGTACGTTAAAGTAATAGGTTTGGGTTAACTTACTTTACAAGCTATAAAATAGAACTAAGA", "GTATTTTACTTTTAAAAGTTAAAATAGGTCTTCGGTACATGGAAATGAAATACAATACAATAAGTCTATAGGAATAGCCTTACCGATTGTTCCATTTTCTTCTCTCATCCAGACTTTAACTGTCGGTTTTGGAGTTTCACCAAATCAGTCCTTTAAAATAAAAGGAGTCGCGGACTGTAACCGCCGGTAGGGAATTGCGCCCTGCCCCGAAGAAAACTTATACTAAGTTTTACTGTATGTTCTAATTAAATTTTTTATTTCATTGATTACTATTTAACGTACAGGCCGCTAATTTCCGAAAGTTTTTTCAATCCGGAAATAGCCTTCTGATATAATTTATCAATAAAGATGAATGTTTAGTCGATTACAGGTTTGGAAACATAAGCAGACTATATGATT", "CTGATAATTATTTAAAGATTTATGGCAAATTTAATCTCAGACAGAGCTAAAGAAGAATAGAGATTTGTTCAAAAACTATAGATTAAAGC", "TTTGATGATTAATAAGGTTTGAAATATGTTTTCTGTAATTATACCAGTTGGCTAATTAATCACAGAGTGCGCGGCCTAATGAATTTT", "AGTGTTGCTTGAAAAATGGTGCTTAATCAATTTATATTTTACTAAACTAATAAAAAAGAATTATATCATGTAATTGTGAAAAAATATAAGCAGAGCTAACCACGCTCTGCTTATTTCAAATTTTAAATAACTTATC", "ACAGATGTTATTTAATTGTTATATGATAATCAGTTGATTAACAATTGGGAATGTTACAGATTTAATTGTTAAAATTATAGTTGTAGAGTTAATATAATGTTTAATCACCTCAATCCCCTAAATGATAAATTAAACCATTCAGAGATTAAGATATATTAATAATAAAATTTAAACAGGCTT", "TCTTTTGTTTTTTATCCGTGTGTACTAGTCAAATTTATGCCAATCCTTAACATTCCCTGTAATTG", "TTTAACAGGGGTGATAGGGTGGTATGTT", "GA", "TTGTAGTGTGTG", "TGTTAGTAAGTGTATTGT", "GGTGATGTTTTGGTAATTATAAAAATAAGTAAAATATTGATTGACAAGGCTGTTGTATCATAAAAAAACCGCTCTTAGATTAGAACGGTTTTATGTCAATGTAATTGTTGTAA", "ATGTTGAATATTGTGTTAATATCTCCCCCGAATTTAAGTTAATTAAGTTCTTTATACCACAATGCCAGTCTGAGTGATTTTTGGAAAAAATCGTGTCGAAGACTAACTCTGGATACTGAAATTGATTAGATTTCGACTCTTTCTCTTTCAGGAAAGCTCAATCTGACATCGATTTCGTTATTCCTGACCTGC", "TTTCTGTTAAATAGTTGTTTGTATTGTGT", "GAAGGCTTAGTTTTAACCTGTATTTACATACAAAGTCTAAATTTAAGCATTCTATTGAGATTATGTTTATGAAATATATGGTAATCTGTTGTTTTTGTTTAGAAATTAATATAGTATGATTGAAATATTACGAAAAGTGATGTTATTCTTCAGTTCTTTTGTTTTCCAGAATTTCAGCTACAAATTTTCCGCTTTTCTCTTTAGATACAGCTACTTTGCTAAACTTGTCTA", "TTATTCTTAGATTTACGAGCCCACAGGATAAATATTTCCCTGAAATTAGATTTATTTCTGTTGTTATCTTTAGTAAAAATCACAATAATATTTCCATTCTCCTGTTTTAGCGTTTT", "T", "CTCAATTTGTATACTGTTTTTGCTTTACCTATTTGCTATAGAAACAAATATAAATAAAAGTTGAACAATGATAAATGGTTGGTTCCGGCTAATTTATATGGATCCAACCACGAGTGTGACGCTCGCGCCAGCGAGGTGATTTACCTGCTGTTGTTCATAAGGTTTG", "CTATATTGATTTTTATTAACAGCTAAATTTACACATTAT", "AGTTATGTGGCTTATAAAAACAAATATAAGTAAAACCTACAGGATAAAAAATGACAGGTTTTGGGTATTTTATGTGGGGGTGGGGAAGTTGTATTAAAAAATAAACCTGCTCTATGCTTAGAGTGTGTTTTTATAATGCTTTGCTCTGCACGCGAGCTAATATAACTTTAACAGCAACAAAGGATTTAGGAGTTTTAAATTTAGTCCTTCTTACTGAAATTTGTTAATTTATTGTTAGCAGAAGCAATGAT", "ATTATTTCTGCTAATGGATCGCACATTTGTGGTAATGGTAGATTGGAAAATCTAAATTTTCAATTTCACACTAAGTGACAATTTTTATTATTTACTAAATTATAAAAAAAGAAGTCATAAAAGACTGTTGTGACTAAAAAGGAGAGATTTTCAATTTCTCCCATATGCTATTATTTTGTTAACATGATATTAAATGCTTTTTTTTATATCTTTGAATAACTATATTTCAGAGCGGTTAGTTTGATACCCAGAAACGCAATTGCGTTGTCTTAATATTTTTTGGAGACAGATTATGCGTGCATATT", "TCTTTTTTTATAAAATTGCAAATAGTTCTCAAATACATAAAACGTTGTATAATCAATGATTTATACGACTGTTTTAATTTTATGTTTGTATTAGATACTTTTTCGAGTAAATTAATACATTAAAATAATATTTCCTTACGGAAAACCGTATTTGTGTATAATTTTATTTAAAAGTACAAAAAAACCGCTCTGTTTCCAGAACGGTTTCTTATTTATTGCGTCGGTCGCTGACCG", "TTGAATATATCATTTCGTTTTAGAATTGCATCTTATTCTTCAAAATTGATTTATGTTATTTACTCAAAGATACGAGACTTTGTGCTGCCAGGGTAATGTTAGGCGCGGTTTTATTG", "AGTGTTTTTTAAAATTATGCTTAACAACCAAATTTACGCATTGTGTCAATATAGAATTGCGCTAATGTTGTTTTGATTTATGTATTTATCAATTATTAAATATAAAATATAATATACTATTTTATTACGGAAAACCGTATTTGTGTATAATTTTATTTTTAGGTACAAAAAAACCGCTCTGTTTCCAGAACGGTTTTTTATTTATTGCATTGGTCGCCGATTG", "TTTTATTTAGATATTAGATGTTAGAAGTCAGATATTAGACACTAACATTATTTTTAAATTAATTTTTTATATAGAAAAGCTATCTGCCAGAAGCCAATAGCAATAAGCCTTATTGC", "AATTATCTTAATTTTTTTGATTTCTGGTTTAGTTTTCTCCAACAATGTGCCAAGTTGGTTATTGGTTGCAAGTTGCAAGTTGATGGTTAAAAATTGGCAGAGTTTTTTTCAGGATAAGAAATTTTGGCAGAAAAAATGAAAATAAAATTTTAAGATCCGAACCAGAAAGGCATAAATCTGCCCGGGGATTTATTCACAATATATTATAAGGATATAAAAAAATATAAACGCAAGGAAGGCAAAGTAATATATAATGATTGTGGATATTTTACGAACGCAAAGGCATTTCATTCAGCCAAGATTTAAAGATCATTGCCAGGCACTAGTAACTCAGTGCTCCAGTCGATAATTATTTATAACATCAACACAAAGGCACAAATTACAGCATACAGAAAATATGCTTTAAAGGCACAAGAAAATCAAAGATTTTCAGAATTTAATATAACACACTAAACCCCAGGGGTCATTGAATCTAAGCGTTAGCGGCTTTGCGCTCTCAGAAAAACATAATAAACGTCTAAAGGATCCTTGCGCACTTTGCGATTAAATAATTTTACCACATAGTTTTCATGGATATTGTGCTATGTATCTATTGTGGTTAAAAAGTATTGGGCTTAGATTTTTTTGCTAAGTGTTAGCGGCTTTGCGCTCTTAAAAACGTAATGAATGTCTAAAGAATCTTTGTGCACTTTGCGATTAAACAATTTTCACCACATAGTTACATAGTTTTCATAGCTTTGGATAAGGTATCTTCGATACTAACATAGAATGCATAGCTGATGTCAGAAAATTTATTTTCCTATGTAAAACCTATTTGGGGTTATTGGCTATGTATCTATGTGGTTTAGAAATTAGAATAAACCACAAAAGACACAAAAGATAATGTTAATATGCTACGGTTTTTAGGACACAAAGATTTCACCTAAAGGTAAAATTATTTCTACCATTTAGACATTAATAAAGTTAAGCTCTCATTATCTTTTGCTAAGCGTTAGCGGCTTTGCGATCTTCAGAACACAATAAATATTCAAGGAATCTTTGCGCACTTTGCGTTTAAACTATTACCACAAAATTTTTACCCAAGATACTTATGCTATGTATCTATGTGGTTTGAAAATAACCATAAAAAAGCCTTATCACATAGGTAATAAGGCTTTCAATCATATTAATTAATAATTGGTCCTGG", "GGCCGAAATTATTTTTATTTCAAAGGTATAAAATTGCAGGTGTATTTTCTGCAAAAAGGAATGAACTTTTTTACAGAATTGTTTAATATTTGTTTTATAAGTATTGAATCC", "GGCGTCGTTTTTGCGAAAATATTGATTATTTTTGAATTAACTTTAAAGCAAAGCCTCTTAAATACGAATAATTATAAATGTGTGCGG", "CTTTATTTTATTTGAGTAAAGGTAGCGAATTTGTTCTTTAAGATAGCTCTTGGAAAAAATCTAAATTCTATATACACAATATTTGAAACCACATAGAAACATAGCACAATAACTCTAAATTTAAGATTACAAAGAAGCTAACGC", "CTTTGTAATTGGTTCTGCATCATTTGTTTAGATGCTAAACAAATATAAATTATTTTTTTTGCAATAGCTGGAGGAAACTTAAAAAGATGCTTTGCCTGTATTACAGATCTTTTAAGCAGATGTGCATAAGTTGTGGGAGGAATGGCGGGGTGTATCATTTTTTAATTTTGGTTAGTCAAATGTATTGCAGGCGGGCATTCCAAAAGCCCTTATTTATAAAATGGAACTATATAATAAATGCTTGTATTAATGATAATTGGAGTTGTGTAGTAGTGCGGTTTTATAAACCGGAATGTAGTTTTGGAGTTCAAGAATGGAAGTGCGTAAGCTTCTTGCGGAATACTTCCCAATTAGTGCCTTTATGATTATCCCTAAATTACCTGG", "GAAAGT", "GAT", "TTTTTATCTCTAAACCTTTGATTAATACTGCAAATTACAATTTCATTTTCAGTTTTTGCCAAAAAAGTTTTGCTGAGGAATGATTTTGGTGAAGGTGTAATGGCAGAGAAAATAAAGACTTGTTTTATAAAGGGCTGATTAATTGATTTTTAAATCAATTGCATTTCACGTTGCCCAATTGGATGAGAACCTTTAACTTTGCACAAACCTAATCTA", "TTTTTTAA", "ACTTCTTTACGTATAAAATATATAATAAAGCCTCGGTACACGTACCGAGGCTTTATTTTT", "ATTGTGATATTTAGTTGGTTGTAACCCAAATATAAAAAAAATAATCACTACTAAGTGATTATTTTTTTTAT", "AATTTATGGAGATTTTATTGCTTGTTTTTTATTAATTACTCAAAGTTATTGAAATGTTTTAAAATATGTTAATTTTTTCTTTTGTTATGTTAAGAAAAATTCACATATTTGGAGCAGTGAAAAATTTAATTTGAT", "ATCTTACAAAA", "TAATTACAAATAAAAAAACCGCCTACAGGCGGTTTTTTTTATTCGTGAAATTCAGATATTAACAATATATTAACTTTATTCTTTGTCATATTAATAAAAATTTATATACTTGTGCCAGTTAAAATTTAATTTGAT", "TGACTTATAAATAGAAAAC", "AATTTATTTCAAACAATATTTAAACCGCCTTCGGGCGGTTTTTTATTTCGTAAATTTGCAGCTACAACTATTTTAAGA", "TTTCCTAGATTTGAAATATAAAAACCTGCTAAAAAAGCAGGTTTTTTAGTTT", "ATTATTACTAGTTAATTAGAATAACAAATATCGTAATATGAATGCTAATAAATTAATAAAAAAGCCAGTGAATTGATATTAATTAGTCCTCATGACTATTTGTACCATATTTTCCCTGCTTTACTTTACTCAGTAATTCCAATTCAATTCTTATCTTTAAACT", "AAGTAATGTTGTCCTTTGCAAGAGATTGCTGTAAATTTGGATT", "TCTTTTATCTTTGCTTTTGATTCTTGTATCTTTATAAA", "AGATATAATAACAATCAGTATTTCACTGTCAAAATCTCAAATCCCAAACTTTATTTTTCCCTATCTTTGCAAAAATTAAATAGTAAAAAAA", "TAGAAACAGAATATAAATTTCTTAATAAAAGGCTTATCCAATAAGCCTTTTATTTTTACA", "CTTTAAATGTTTCTTAATCTAAATGATTGATGGTAATCCTTTCAAATTTTCTAAATTATGTGCTTTATACTATAAAGAATACCATGAAAAGAAGAGAAGTTTTTTCTATCAATTGTCTTAATTTTAAATATTCATCTATTTAAGGTAAAATGTATTTTGTTTTGAAAATATTACTCTATATTTAACAGTATTAATTATAAAAATACAATTT", "ATTTCAAAAT", "TATTTTAGAAATTAACAGTAAAAATAAAGTTTGCTAAACAATAGTCGTAACAATTTAATTTTAATACCTAAAAAATGCCATATAATAGACACAATACGTATTCTCCTACGACAACATTATTAATAAATAGGTTATGATTTCTGAAGAGCTTAAATAAATTTTAGAATCAAGATGTTCAACCCCGAAAAATAAGTCGGAGTTATTTTTATGATTTTTGTTTTTTAATCTCTGTGTGAGTTTTTGCAGTGTTTAATGGATCAGCAGAGATCTGTATAAAAAATAAAATTAACCTTTAGTTATCTACATAATACTTCGGGGCTGTTTTCTTTAGGATAAAGAAATAGACTATTGACTGTTCATCAATAACCAGTAACTTTAACTTCTGT", "GTGTATTGTTAGTAAATATTTTTTTAACTTTAGATTAAATACATTCTTC", "TA", "GATGTGACCTATATCACAGCTTTTTTTTGAGGTATATCCTGTGATAATTTTGCTTGCTGACCGAACTTTGTATCAGTAAATATTAATAATACAAAAGCAAAATATT", "GTCTATTCATAATCTGTTTAAATTAAAAGTAAGAACACTTAAGACGCTTAAGGTTATTACATCTGGACAGGAAGGAGATCACGTAAACTATTATAATGTTATACTAAGTTGAGTTTATTATGAGCCTAGTTTATTGTGAGCTTGACGAATTAAACTATCAAAGTATAATCTAAACTTTTGCATCTAAAGTGCTTGAAAAAAATAAACTGGTCAGGGTTCTAAACTCTGGCCTGTTTGTATGGTATAAA", "TTTTATTAAAATTTAATTTACTGATAATCAGTATTAGTATATTTTAGGTATGTAGAGTACTAAAAAGTGCATACTTGACACAAAGATACTAAATGATCTACATTTGCTTCATTAATATTTAAAATAAAACTCAG", "AAAAGTTTGAGTTCAGGATTTTCACCATTCGGTATTTTAAAATAACAGCTCAGCGATATTATTTTTCTTTTCTGTGGTTGGTAGGGTAGCTTTGAACCATAATTTAAAAATCAAATACT", "TTAAAATAAAAACCTCATAGACTTTCGAGATTTATGAGGTTTTAATAAACAATAACTAACTTTTGCGCATCTTTTACCCGAAACCTGCGCAAGAGTTGTTTAATAGTTGCGCAAGAGTTGGTCGTTTCCTGCGCAAGTATTCTCCAAAACCAGCGCATGTTTTATACAAAAGATGCGCTGGTTTTTTAATTCACTCAATTTGGTGAAAATAAAAGGTATATCCGCAGATATACCTTTATTCTTT", "AACGTATAATTTAGGAATGTAAACGCCCGAACGGTTTAGGTGTCCTACGCTTATACGAGCGTTACGGTGCTTTCGCATACCGCCACCATACCATACGGGCAAAAAATTTTTTAATCATTGAATTCGTATAATTTAGGAACCCTAAAGATAGAAAATAAATTAATATTGGATTGGGTAATGCATCTTGCTAAAAAAATAGAAACCCCATAGGTTACCTAAACTTATGAGGTTTGAAAGTAAAACTCCGGACAAAATGCCTGAACTAGTGAACGTATTTCAAAAGCTTTTAAAAATTTTTTGATTAAAATAAAAATATGATTTAAGAATGCAAAGCCCGAATGGCTTAGGTGTCCTAACGCTATTCACAGCGTTGCAGCACTTTCGTAAGCTGCCACCATACCACACGGGCAAAATTTTTAAATCATTGAATCCACATAACTTAATAACACTGAAGATATAAAATAAATTAATATTGGATTGGGGAATACAGCCGGCTAAATATAAGAGTATGGATTTTAAGCCTTGGCAAGGTTCCAAACCTTGGCAAGGCTATAAAGTATAGCGAAAGCAATTTGTGCCTTAACAGAGAATGGAATATAAGTATGATTTGTGCCTTAGTATTGAAATACAAGTGCTTTGCTAAATTTTTGTAGCCTTGTATTCCACTTTTTTATTATAAGTTATCCAGATGAATAATTTGAGATAAAGAATGAGTTTATCCTAAACTTAACTTAATCAAAATAAAAACCTCATAGGATTTGGGATCTCCTATGAGGTTTCATTGAATATATATGAAAAAAAGA", "AATTATTTAGATTTTTTTATTTATGATTTACAGACAGATTTTTTCAAAAAAAATACCATCCTGCAAAAAGTGACAGAATGGCATTCTTATGTTTTGTAAAGAAAAATGAGAGATTTTATTCCTAAACCTCAGTTTTCAGATCATA", "CTAAAATTTAATTTTTTCAAAATTACGGAAATAAATATACTAAAACCACTCTGTGGATAAAAAATCCCATGTATTTTTTGATATTGAAATTCAGCTGAATAGCGTATTTAAGAGAAAAAAGTGTGTATTTTTTTTACGAAGTTCTTGCAAAATAGAAAATTTGTCGTAAATTTGCACCACAATAAAACAGAGACCCATGGTGTAGCGGTAACACTACTGATTTTGGTTCAGTCATCTGGGGTTCGAATCCCTGTGGGTCTACAGTTTAAGATAAGCAATCATCTGATATTCAGTTGGTTGCTTTTTTATTTTGTGGTGCTTTTATGGAATAAGATACAATTACGGATTGCTAATCAGCCGCATCGATATTTTGCTAAACTGAACAAGGAAATTATTTTTGTTCCCGTTTCCTTCCGCCAGGCCTATATCAAGTTTATGTATTAAATACTGAAAGTGATTTAAATATTCATGATCCTAGCTCTGTGTTTATTAAGTAATTATTACCTATTTGACTTATGCTGTTCTCTCAGGGTTTTATCCACCAACATAGATTTATTTCGATTATTTGAATCATTTCTTTAGAAAAAGTATATTTGTCCCCGAAAAGTGAAAAATATTTTCTTTAACTAAATGATATAAAAAACAAAT", "TAATTTCTAATATCATATTGGGTCATTATTGAAAGTTACAAACTTGTATATGAATTTGAAAAATAAAAATTA", "AAGGATTAAAAAACTATTTTATTAAAAGCATGGAAAATATCTTTCGTGCTTTTTTATTGGTAGTATATTCTCTAATACAAAACAATACTTATTTTTGTCTAAGTTCTAATTATAGAAAGTATATAAAACCGGCCTGACAGAAA", "TTTGTGGCGTAAAACTGACGTAAGATTGTCATATTGTTTTTGTGGACAAAAAGATTCATAAATCCGAATCTTGTACAAAAATCTGAA", "AGTGTATTATTACTGTTATGTATTAGTTTAATATAGTTTTCATAAAACTGTAGACAGATTTTATTATTGTTAATTCAAATCATATTTGTAAATATTATCTTTCCTTTTACCGGTGAATTTTGTATCTTTAGTAGGTATTAAATAATTAAGCT", "CCCTGAAAAATAAATATGTGTGATCGATACAACAAATGTTGCTTTTACAACGTTATTAATGATGAAAATTAATA", "ATGAATAAAGCCTTCAGATGACCTGAAGGCTTTTTCCTGAAAT", "TATAATAGTCAATTAAATTGCGGCAAAAATATTTTTTTAGTGTTTACAGTATTTTAATAGAATATTATCTTTTAATGAACAAAAAGAAAATCAGGGCTTTGGATAAAAAATAGATTTCCTATTTTTGCAGATTCTTAGAGAGAATCGTTTAATACAGAAAATTATT", "ATGTGTATATCACCACAATCTATGATAAAAAGCAGATGCACCGTATCTGCTTTCTTTGTTTTTATTGTTGTATCTTTGGGAGGATATTTTATAAGATAAAATA", "ATTCAATAAAA", "ACATAAAAA", "ACATTTCACCCTTCTTTAGTTTCGGGTCTATTTTGTACATTTGTAAATCTAAAAAAATAAAAATATAATCAAAACAAT", "TTAAATTTGCAATCACATAAAAAACAGCCACTTCATTTGAAGTGGCTGTTTTAT", "AATTGTAAATTTATGCAAAAATAAAAATGCCAGCCGGATTAGGGCTGGCATTTTGTATTAAAATGTAAATT", "TTTGTATGATTTTTTGTTAAAGAAATAAATTTTTAAATTTTTTTTATTTGGTTGTATCTTGGTTTGAGGTTTAAAACTCTACTGCAAATATATTTATATTTTTTTTAACGAAAATTATAAATACAACTATTATTCCATTTCATTATTTTTTTCGTAAACAGAGTTTAGTGCTGTAAATAAAAAAGCAGCAAATTTAGAATTTGCTGCTTTTTATAAATGGGTTTGTAGATTCTATTT", "AAGTTGTTGAATTAAATCTATTTAGACTTATTTAAAATAATTTTGCAAAAGTAAAAGTTTTTAGCAATAAATCAATATTTTATTTAGAATTATTAAAAAAAAGAATAAATTTATTGTAATTATCTGATTTGCAGTGCTGTATTTTTCTTTATCGGTAATTTTGCATCATTCTGTAATATCAGACAGTTGAATATGTAATATCTTTGATAAAAAATTGAA", "TAAAAATATTATTTCTTATAGAAGTGAATTAAAATGTTTCTATTTTTATGTCAATAAATTATTGTAGTAAAA", "ACTGAATCTTTATAAAAATAAAAGCTGCATAACAGGGTTATGCAGCTTTTTTATTGTTGGTAACTATTAA", "AATTTTATTTTTTGAAAAGACAAATATAGTGACTTTGATCGTATTGGGCTAAACAGAA", "TCCTGATTCTAAATTTGAATTGCGAAAATACGAAAACTAAGAGGAAATTAAGGCTTCCCGGATTTTGTAAGAAGATTTTGTTTTCCGATATTAGTAAACAAATTATCGAATATTATAATA", "TCGCATTTTATCTTGAAAATAAAAAAGTGAGGCAGCAAGCTTCACTTTTTTGTTTTATAAAAAGTTTT", "AAATTCTGCTGCAAAACTATTAAAAGCTTTTTGTTTTTGGAAGTCTAAATAGTGATTTATTCCTTAAAACATCCTTTTTATTTTTTGTAAATTTGATGCGCATAAACAGTTTTTCCCCATATT", "AAAAGCATAAT", "TAAAATA", "AACATCCGTAAAGAATAAAAAAGCCGCTTCATTGAAGCGGCTTTT", "ATGTCATGTTTAATAATAACGAAATTAGAAAAAACAAATCATAAAATAATAGGTTTACGGCTCCGGTATAGTTAAATTTGTATTAAAATTTAAATAATTCATTC", "ATCTGAGATTTTAAGATAAAAAAGCTGTTTCATTTGAAACAGCTTTTTGT", "CTTAAGTTTTATTTAGA", "TTCTAAGATTTATTTTTCGAATAACAAATTTACTAAATTTTAAAGGAAAAACTTTTCATTGTTCAACGAAATAAATTTGTTTATTTTTAAGAAAAATAA", "TTAAACTAAAAATAATCTT", "AAA", "CTTTGCACATAAAAAAAGCATTCAGAAAATTCCGAATGCTTTTTTTAATTTTATTG", "TGATAGACGGATGTAAAATAAGGGTCAAAGATAGTGTTTTTATTTTGGTTTTTATATTCCCAAAAGTATACTGAC", "TTTTTTG", "TGAAAATGAAGTTTCCTTATCAAATTTATAAAAAATATTGCTTATATGCTCATCTTCAATATATAAACTTACTTCGTTATAAATATAAAACTGGTAACAATAAACTAAATTCGTATCTTTGCAAAAATTTTTGGGCTCGGAAAGACCGGGTTACCCATTCTTTAACGTCTTCTTTTTCGCTCAGATTATACCATACATTGAGTGAGGCCGAAGAGAAACAAAATTTT", "TAAAGAAACCCTTGTTAAAATTAATCTTAGCAAGGGTTTTTAATTTAATGTAACTGGAATATTAGTACTTGTTTGTGGAAAATAAGTTTATTGTTTTGTTGAATAAACCTTTTATAATT", "GAAAATCTGATTGGTGAATAGTTAGCTAAAGGTAATCCTTTTTTGTGTTTGCAAAGCTTTTGATTAAGTTTTTTTAATTGTATTTTTGCCTTACCGAAAATTAAAATTC", "GCAAA", "AACTCATATTGAGTCAGTAAT", "AATAATTTATTTTTAATTTTAGCGAAAATTCGCTGTTGGTGAAAATTTATTTTTTACATTAGCAAAAAAATCAGACTC", "AATCAAAGAATAAATCAAACCGAAAAATAAATATTATT", "AAAGAAAACAAGTGTGGGTAATGCCATCATTTCATGCAAGATAGGTTTTGTAACAAAAGCAAAAACACTATGGTTTGAATGTTAAAACAGCATAACATCTATCAGGATTGCAGAATATTTTTTACATTTGATAAACAAATATTAAAATATTAATGAA", "GCAGTAAGAAAATATTGAATATCAAAAAGACCTTCTGAAAAGAGGGTTTTTTTTGATTATTTAGAATAATAAATAATCAAATATTT", "AATACTAATGTCAAAAACGTTACAAATTTACGAAGTTTTTACGGGAGCTATGAGGTTTTTAGAATTTCCTTTATTACTGGCTCACCTTAGTTGGTAACTTCTACGGGAGCATGTT", "AAAAA", "GTGGCAAAGATACGAATATGAGTTGAGAGTTGACAGTAAAGCCTGTAAGTTACATGAAAGTGTTGCCCTGAATATAAGGGCGGGGTAATGTCATTTGATTTTTCATGTATCCGGACCCACTGTCAACATCAACTCGCTCAAA", "TGTTATGATTGTGTGATTAAAAAGTTAGACAAATCTAACAAAAATATTTTAAAAGAAAAATTTAAAAGATTTTAATTTGAAACATTTGAGTAAAAAAGAAGAAGTGGCTTTGGAAGCTTTGTTATATGAAACAAAAAAAACGCTTCCGGATGGAAGCGTTCTTTATCTGAATTCTGATCTTAA", "TTTAAAATAAATTTAATTGATTAGTAAATAAT", "AAAAAAATATATAATGCGAATTTACGGATAAAAAAGGAATTTTTTTACACATTCCTTTGTCTATTTTGATGATTGTTTCGGTAAATATAGTGAAACTTAATTTATATACAAAAATATAAAAGTTTGGGTTTTGTATTGTCTATATGACATTTTTGGGGATTTATTTTTTACTAAAATGTATAAAATTGGTAAAAAACAAGAAAATATGAAAATTGGAATATTGTTTTTTAGGCCATTATTTCGGGGGTTTCATTTTTACTAAAACGTTTTCGTAAAAAGTTAACGTAATGTTAATATTGGGTTTTGAATTTATTTAGATTGCGTTTAAAATTAACAAACTAATTAACCTAAAATTAACGAATT", "TTAGAAAAAA", "TATAACAAAACCGCTTCAGAAGAAGCGGTTTTTATTTTTGATGTAT", "GGTTAATTAGTTTTAGTC", "GGTTTTTTTTCAAAAATAACAAATATTCTATTAAATCTTGTTTTCCTACTTATCCACATTTTCCTGCTGTAAATACTAAACTACAGTTAAAAGTGTTATTTTAGTAAAGAAATTTAAGT", "ATTGTTCTACGGGTAGATATAACTCACATAAAGTTATTATATTTGTGCA", "CGTATACAGCGGTTTGTATTCTCTTACAGTCGCATAAAGTAGAAACGTTGAATTGTGTATTGGTAAGATGTATTCATGTAATAGTGTATGAATATGTAGTTTGCTCATTATTTCTATGAGTATAACAACATGGCTGTATACTATAATCTAATAAGCTATCAGAAATGCTGGCGAATTTGATGTACATCATTACAACAGACACGTTCTAAAACAAATTAAGGTAAAATT", "TCTCAGTTAAAGATTAAATATACATGGAGGTTATGTTAATTCTAACCATTTATATTTCTTTCGATAACAAAAATAAATTTATAACAAA", "TTTAATAGTAAATATATTTATGGTACAGGGTATGAAACATAGTTTCATGCCCTTACTTTTTACTTCATATTTCAAATTCGTATCTTTGCAAATTGAAAATTGACAA", "TATACTAATCTGCATTTAAATAATAGAATCAGGGGATAACCCTTTAGCATAGCCGGAAGATGAATCTTCCGGTTTTTTGTTTGCTGTAAATAGGATGTTTTTTTATTAAAATGCTGATGGTCAGTTTGATTGTATGTGAAAAAGGAGTGAGAAAACCTGTCAAAATAAAAATGCTTATTTTTGGCTTCTATATGAAATTGATAAAACGA", "AGAAAAGCCTCATATACAGAGGTTTTTTATTTAATACATACTAAATCAGAACTACAAGAAAATTAAATTATATTTGCAGGAGAGACAATTTT", "TAAATTAAAAATAATC", "ACGACCAAAAATATTACTAAAATCCTGATAAGATATTTCTTGTCAGGATTTTTTATTTTCAATTTGTTTACTGTTTTTTTTTAATTTATATATCATAATGTGTGTATGTGAATTTTTTTAATATTTATAATATATTATATTTTATTTAATTAATTTATGTGAAATTAGGTTTTAATATTATTTTATTTAATAGAATACTTTTATATTGAAAATAATTTTATATTTATAGTATTCAAGATTACTAAATATTAATTAAAAAACTCAATTGTT", "AAACAATTAAACAAAACTGCTAATCATGTATTTTGCAGTTTTGTTTAATAATTAGCTAGATT", "ATTATTTTTTATAATAATTGAATAGGACCTAATGTATTTAAGAAATTTAGATTATC", "CAAAGTATACGAGGCTAAATAACTCTAAAATATTACTAAAATCCTGATAAGATATTTCTTGTCAGGATTTTTTATATTTAAATAATCAAATAACAGATAACG", "TATATTTGACTCTTAATTATTTCTACTTATTATCTAAAACTAGACAAAACATCAC", "AACATACTGAAAA", "AAAACGCCCCGGAAACCGGGGCGTTTTTTATACTCAAAAATTATGAA", "AATAGAGACAA", "AAGTTAAAATCTTAAATGATTTTTATCTTTGTCAAATGATTTTGGTATGTAAATCTTTACTCAAAAATACAAAAATTACGGCGATAACGTTGTTCCCTTTTATTCTG", "C", "GATTAATACTTTACAAATAATAAAAACGAGCCCTTTAAGGCTCGTTTTATTTTTAG", "TACTGAAGGGTATTTTAATTTTAGGGTTAAGGTATAAAAACTTTTATAATCCGTTACTTTTCCCGAAATTCGGGCAGCAAATATAAGAC", "TGAAATTTTAATCTATTTTACATTCTGCAAACTTTGTCCTAATTAAATAACTCTTTCAAAAGCCTTCGACAGGTTCAGTATGATATGCGGAACAGTATTTGTTATATTATATTGTCAGGCTGAGCCTGTTGAACTAAACTACCAGCTAAAGTATTATAAATTGAAATAAGCTCTAAAAATATGACGTTTTTGATCTTTCATCTGAATTATATTTGCTTTACCTGATCAAGATAACGTTCCTCTGGAACGCTCTGAATTTTTATAATTATAATCTATAGAGATAAAGCTCCTAACGGAGCTCCTCATTCATTATTTCGAACTACATTTTCTTTACTATCATAATATGAGAAATCAGCAAGATGCTCCGGAGGAGCCTGATCTGTGTAAAAAAGGTATGTAAAAAGCTCAGCATGACATGCGTAATAATATTTGTTGTATTACATTGTCAGGTTGAGCTTGTCGATGTCCTGTTTATTAAAAGGGCAAAAAATAT", "TTTTTGTCCATTAAAATTACGTTGCAAAAATACTAACGTTACTTTTATTAAGTTTGACAAAAAATATATTTAAAACGACAAGAAATGCTGCTTTATTGTGTGTTTTTAAATAAGAATCAGTTAGTTATTGTATGTGGATTTCTGAAAGAATGCGATATAAA", "TTTATTGTTGTTTTGCTGTAATGTGTTTTTCCTTTGAATAAAGGAAACCGAAGTATAAAGATAAAAAAAAGAAAGCATCCATCGGATGCTTTCAAATAAATGTTTTCACTATATCAAATGA", "AGTACGTTAAAAATTAAGTATTCAAATGTAGGGATTTTTTCTGTGTTTTCAGGTTATGCGTGTATAAAAATCTATTATTAACTCCTATATTTGCAATCAATTACTTGGCTATAAAGTAAAGAATA", "AAAAAACCTGCTGTATAAGCAGGTTGTATTTCTATTTCTGATATTCAA", "TGTATCTTTATAAAATTATTGACTATTCTTAAACGTATATAACTACGCAAAAGTATAAAAAAAT", "GATTTTTTTTTAAACAAATGTCCAAAAAAATTTTGAGATATAAAAAAAAGTAGTATCTTTGCACCACTTTAGAAACAGAGATAAACACTGATTAATAAAGTGACCGACCTGGTAGCTCAGCTGGTAGAGCAATACACTTTTAATGTATGGGTCCTGGGTTCGAATCCCAGCCAGGTCACTACTCAAAAAAATGTCTCACTAGAGGAATTTTTTTGCCTGTGTGGTGAAATTGGTAGACACGCCATCTTGAGGGGGTGGTATCCAATAGGATGTGCTGGTTCGAATCCAGTCGCAGGCACACAGAGAAAAATAATTTTGAATAATAAGTCTTTAGACTTTACATTCAAGACCTGGTAGCTCAGCTGGTAGAGCAATACACTTTTAATGTATGGGTCCTGGGTTCGAATCCCAGCCAGGTCACAATTTAATTTGAATTTTTTTCATATTAATATTTTGTGATTTGGTGTTTTAAAAGCCTTTCAGTAACCTGAAGGGCTTTTAATTTTTTTATGAAGTTTTCCCTTTTTATCTCTATATTTACGCTAAAGTACTCCCT", "TCCGGTTTTACCAATAGAAAATAAAAAAAGACAAATTACACAGTAATTTGTCTTTTTGCTT", "AAATTTAATTGGAGATTTGAGGTCAGAAACAAATGTTTTACTCGTTTATTTGTGAAGGATAAACGAATTGTTATGTTTCTGAATTAATATTTTTGTGCTTCGTA", "AAGTGGTGCAAAATTACGATTTTTAGATGAAATCAATAAATGAAACAGGTATAGTCAAAGACATAAATTTTTGAGATGTAGGGAGTAATTTCTATATTTGTTGACAGTAATTTTTACGCTACCCGAC", "AATAAATAAAAAAAGTGAGACTTGTTCTCACTTTTTTTGTAACTTTTCCCTTTGTTTAAAGACAAATCCGCTAAAGTATACCCTTTGTAAATTCAATGTAAA", "TTGCTGCTGGCTTACCATAAAAAAGACTGTTCCGGAATTTTTGGACAGTCTTTTTTTTGTTTACTAACAAATGTGGAAAACTAAAAACTTTGAAAGATAATTGG", "A", "ATTTGAGTGATTGTTTACCTCAAAATTAATTAAAAGTTAGTTCTAAATCGTTTTTAATCTGTACTAATTTTGTGTTAAATCCGATAGATAAAGATTATAAATATTAGCTATAGATGAAATGATCTCTATCATCTGAGATTCTGTAAATAAATGCGTAAATTTGTATTTTATTTAGAATAATT", "GTTGAAAT", "TATACTATTAGAATAAATTTTTTAAAACCTCCCAATCGGGAGGTTTTTTTTTATGAGTCTTATCATGTTGCTAATTAGAATAATTAAAAATAACTTTGCAACAAATTAACACTAT", "TAACCCCAACAATATTGATATTTTACAAAAAGAAGCGCTGATATTA", "AGGTAATATTTGTGCTTCAAAAGTAGTTAAAGATAAGTCTTTTTGATGTCACTTTAATGAAAATTAATATAAAATTTTCTGTTTACTGAAGGCTGTTTGAAGTAGTATTGTGTCAATTTGTCACAAAAATTCTATTGGTATAGATATTGAGAAATAGCAGTCAAATATCAATATTAAATTTCAAGAAATAAAAAATACTAATT", "TTATTGAGCAGTCAATAATAAATAATAAAGAACCGCGATAAGCACAATGCTTATTGCGGTTTTGTTTTATGTGGATTGTAGGAAACAAATATTAATATTGATTAATCTATAGATTTTGTTTTGGATACAAAGCTTAAGATTGGAATGTAAAGAGTACTTTTGAAAGATTTGTTATAGGTTTTAT", "CTGTTTTTGCAGAGGTACATTAAAAGTTATCAACAATAGCCACTATCAAAAAGTATAAGTTTAAATTTTTGTGAATAAATATTATTAAAATAATTATTTATGTTTGTGATTATGAGTAACTTCATATTCTAAATTATAATGTC", "TTTGTAAAAAACATGAGGCTGTCTCAAGAGTGAGACAGCCTCATGTTTATA", "AATCTTTAAGTTTTTTTAAGCAATTAAAGTATCGCGAAAATTATGCCATCA", "AATTTTAGATGTTAGTGATAGTAGTTAAACGACAATGTCAATCATAATATTTGTTAAAGAATAAAA", "TTTTTGAATTTTGAACGAGATATGCCAAATAATATTCCTTTAGAATTTATTGTTTTTTAATCTGCTGTAAATCTGTGATTTAATTTTATTTCGATTGTTTTTTAATAGATGAAATTCTTCTCAATCTGATAGATTTTTATCAAAACGAGAGCACAGATAAATTGATTTTTACTTCTAATTTTTATTAACAATTAGGTTTAATGAAAGTGGAGAATGAATATCTTTGCAACTCTGAAAAAATAAAAAT", "TAGGGATATACCTGTTTTTGCATTTAGAAAAAGTTATCCACAATCTTTTCTCTGTTGTGGATTATGAAATAATAAAATTGGCCGGATATTTTCCGGTCATTTTTATGTCCATTCCGGAAGTATTTTTGTGAGTAAATCAGGTCTTAATTCTTTTTGTTCATCAGATAATTTATTATATTTGGTAGAATCATTAAAATACAAAAAT", "AACAGCATATCAAACATAATGAAGAAAGCAACCCGGAAGGGTTGCTTTCTTGTTAGTTGAAATAATATAAGTTAATATGAATGTTGATAT", "AATTTTTCTTTTTTATAAAATGATGGT", "GGAAAAGTTTTCTATTAACAAGACAACAAAAAAACAAAAAGGTTACACTTCTCAATTATTTTTTTGAAAAAATATGAAAAAGTAGTTAAGAATCAAGTACAAGGTCCAAGAATATATGGAGGAAAGTTATCTTAATCAGGGAAGTAAAAATCTCCGGTTTTCATCTTCGTATTAGCTAAATATTTTGCTTCGTCTCTAACTTTTACTCCTGATTCGTTATTAATCAGTATTTTAAAGTGAGATAAAAAAGGATAGTGATATGTTGTTTTACTATTTATAAAATAAGATAGTAAATAATAAGAATTTTATTTTTTCCTGTGAAGCCGGAAATTAAATAAAAATGGGCAGAAAAATAAAAAAGCAACACTGCCGAAGCAAGTTGCTTTTTTACTAGTTAAAAAAATCTGTTAATATGAAGTATTTTATAATTCGCAAATAAGATGCCAAAAAATAAAAAATTAACAATTTTTAAGTAAGATTTATTTCATGTTATGAAAGCTTAACAATATCAAAAGTTTGTAAACTTTTGTTTAACAAATAGTTTGGATTTGTGATCAATAAAAAAGCCCCGAAATTTCCGGGGCTTTATGAACAAAAATTTATTT", "ATTTATTGGTTTAAATTGATGTCTGCAAATTTACATGCTCATCAGAAGCCAGACATAGACATATGATAAGAAATACTTCCTATAATAAATTTACAAGT", "TTACTTTTTTAGATTTCGGCAAAGATACGATTTAGTTAGCAGTTGTTCTATATTGGACATCATATCCAATATATTCTGTATTTGTGCGTAGTTTGTGGCTGGCAACTAATTCTTATCTTTAAACTCAAAATAAATTTC", "TTTTTATCGCAAGGAACGCAAAGGGACTTTTATTTGTTATACTGTTTTAAGTATCATAAAGCTGTTACACTTAGTAAAGACCATATAGAAATTAATATCTAAATGGTGGAAATAATTTTCGGGTAAAATTTGTTTTCTAAAAGCGATAGCAATTAACATCACTTTTGTGGTTTATATACACGGTTTACAGTTAACAAAGATATTATTCCGGATTAATTGTAAACCGTTTTTTATGGCTTAGA", "ATTAAATAATTGTACTGGTGGTGTAAAATAATGGTTAAGTTTTTTTGAGAAATTAAAGGTAGAAAAAAAATATAAATCCTGATGCAAAACTATATATGATAATTATGCAGATATTAGGAATTATATCCAGATTATTTCGCAGAAAAATATTTATGAAGAGATT", "GAGTAATTTATTAAATAAGTATAATGGTTAATGACAGAACTAAGTTAGAAAAACTGATGCTTTACATTATTAATATTTTGTAAAAATATTGATCACAAAGGCGATTATAGTAGGTTCTGATATTCTTTGGTATATTTATGCCAGAAGAATTAGAAAACAGAAATGAATGTAAAATAATTACATCATCTAAAACTTAAAAAAAACACTAAATTTGCAAA", "AACAGAATATTAACTAAGATAAAAAAATAAAA", "TATCTTCATTTTACTATAAAAAAACTCTGCTCTTATCGGGCAGAGTTTTTTATTGCAGTAAGATTGAACTAACTTTGCTTTTCCTGAAAATTGATAAAT", "TTATATTTCTATATTTGTAGAGTATTTATTCACCAAATATAGAAATACTGATT", "TAAAATAAGCCGGTATTATACCGGCTTATTTCTTTTTAAATTATGTAAATTTGGTAGGCTTTTACAGCATTATTACTATACTAGGTAAGAAAACTAAATTAAC", "AAACTTTTGAAAAGCTGAATCAGATTCAGCTTTTTTTATTATCTGTTGGCGTGATCATTGCTGCAGAAAATACTTACATTTGTTCATAAATAAATAGCT", "ATTTTCTGAATACAGTATTATATATAATATATGGAGCTCCCGGA", "TTTAGTATTACAGCTTTACATTT", "AATTTTATCTGATCAAATTCGACAAA", "AAGATGCAAATTTAAACAATAATAGGTAATTTAGGAACTAAACGGTTGTTTAAATTTGGGAATTATGTTATAGATAAAAGCATTTGTACTTTAATTAAAAACCCTTTATGTATCAGTTATTTATAAGGTTTTTTTGGCTTTGTTAGAATATTTGTGGGCACGTATAGTTCAGGAAAATAATCTGGAACTATAAGTTGTCTGAAGAAAAAATAATCTATCTCTGGACTTGTTTTATATATTTTGGGGTTTTAATGTTATAAAAATATTTTAAACCGGGTAATATCTTATTGTCTTATAATATTTTACATTGTTTTTTTTGTCCGGTATCTTTTTGGAAAAACATTTATTGTATTAGTACTAGGTAAAAAGTCTTTTGTAGTGCGGTTTTTAAAATTTTTACACAGATTAATAATGAAAAAAATAAAAAATATTTGTTTTTAATATTTTTTATTTAATTTTATATAAAACTAAATCACAAAAATTAATTAG", "TAAGTTTTTTAGCAACCCCGAAAAATACAGATTTCAGAAACTTTTGCATTGAATTATTTTCATTGCAGTACGGGTTGGTTTCTATTGTAACACTAATTATTAAATAAAAACAGACT", "TATTTATAAATAAAACCAATGGTTAGCCAAATAGGTTAACCTGGATAACCGTTACAATAATTCGTGAGTTTGTTAATAGAGTAAGGCTTCAGAAATTCTGAAGCCCTACTTGTTTATGATTATAGAAGAGGAAAATAAAAAGCTGTCCTTATAAAGACAGCTTTTTTATTAGGTAAGTATGGATTGA", "TTTCCTTTCAA", "C", "CAGCAA", "T", "CTTATTGTAATTATCAGATGTCGGAGGTTATTCTCAGAGTTCGGAAGTTAATCCTCTTTCCTTCAATTTGTCCCCTTCACCACTAATTCAAAATTTAATAATTCAAAATCCAAAATTGTT", "GGT", "ATTATATGCTTTAAGCTATATGCATGAAGCAATAGGCTTTG", "TATCTTTCTAGCAATTTA", "TTTATTATTT", "TTTTGAAATTTTAGATTTTAGATTTTAAATTCTGAAATGAATTCAGCAGTCTAAAAATCAATTTATAATTTATAATTCAAAATTTAT", "AATTTAAAAGATTTGAGATTTCAGATTTGAAGTTTGAGATTCATAATTTCAAATTTCAAATATCCAATTTCAAATTATTT", "GGGC", "CTCTTTTTTGTTTTAATCTTCTGATTAAAAATTTAA", "AATATCTTAATTACTAACTTGCAATAATACTAAAATTATAGGGAAAATTGAAATTACAAAGGCAATGTTTCTCGCTGAAAGCCAGAGGGAAAGGTAATTTAGAATGATTAAAAATAAA", "GTTTTTTGTCTTTTAAAATTAATAGA", "GTTTATTTTAATTTTCTGCAAATATAAAGAATTGCATTTTTTCACCTTGTTTTTGGCATGGTTTTTTCCTTCATATGAAGTGAGATTTAGACATAAATTACACAAATGTTTAGTTATTATTTTATATTATTAATCATATTTATAGCCAGCGTAATTGTGCAAAACAGGCTCAGATCGAAGTTTGATTATTATTCGAAACTGAGATTGCGCAATCAT", "TTTAATTACAATTTATCAATAAAACAAGTAAAAGACTGTTTCGTAAGAAGCAGTCTTTTTTTTATAAATCTTGCCAAGGTTTGTATTGGGTTTTATAATGGTCTTGACAGGATTGTATTTTTATAGATTTTAAGAGCCTATTTAAATTTACAGTTAATTACTATTCGATAGTAAGTCTGACGAACTATCGAAGTCTGGATTGTTTTTGAATGAAAATCTGAGCAGGTTCTAAAAAGGGTCTAGGTATCTCTTTTTGAATTTTTGTAATTGAAAATAAGAAATATGATTTTTTTTCTGTCTTTTTTATTGGAATAGGAAGTTAAAAAATAAAATAGCATCTTTGCGGCT", "TATGTCATTTAAATCCTTATTTTTGCAAAAAATTTAGAATTCTGGT", "GATTTTAGAAATAAGAAAATAAATTATAAAACAACCATTTTAATTATAAAGTT", "GAAAAATCTAGAAATTCTGTAAACGGTTGGTTTGCAGAATTTCTTTTACTTTTGAAAAATTAAACTGAATAGTATAAAAATATTATAA", "G", "GATAATCAGACCAAA", "GATTTAGTTGATTGTTTCTGGTTGACAGTTACAAGCTGGCAACCAGAAGCCAATAACCAACAACTATATAAAAGAGA", "AAAAGTTCAACAAAATAATTAAAAGCGCTTATCTTTCGGGTAAGCGCTTTTTTGTATTTTTATAT", "TACATATCTTTGCATTTGATTATATTATTAACGAATTAA", "AATAAAAAAGAGAAGCTTTCCTTTGGGGAAAGCTTCTCTTT", "GGTTGTATAGTTATGGTAGTTTTT", "AAAAAATGCAATTGCAGGTGCCGAAAATAAGTAATATTTTTGAAAATATTTTGAAGA", "TATTATAAAAATTAGAACCCAGTAGAGTTGGATCAGGCAATACCTTATCCGGCAAACAGAATTTT", "TTT", "AAGGAAGTGTTTTGTGTAAATATACAAAAAGATAATAAAAAAGGGACTTGAAAAAGTCCCTTTATATTTTTAAATTCAGG", "TTTAAATAATTTTTAGAGTTAGTATTTTGTTGTATGGTTGAAAAATTAATTTGATAAGGATATTTAAACAATTAATATACCAAAGAAAGAATCGGGATCTTAAATTTTGTTAAATATTATTAATACATCAAATAAATAATCTTTCTGTTTAAAGGTAAGAAAT", "AAGCTTGTGTAAATATTATTAAAAGAACTCTAAAGGTTCTCATATAATCCTTTTCTGGGGTACAAAAATAAATTATTTTGAAGCTTCTTCAAATAAATAATTTTACCTGTTGCATTTCATGAGATTTCATTAAATTTGCTACCGAAAAAATAAAAATGAAATTTCTGTGTTTTTATTTATAATAATGATCCGCACAGAGGTTACATTTTAATAAAACCCAGGCTGCTAAAAAGCAGTAAAATAAAATCTTAACGA", "ACAAATCAGATATTAAAATTATTAGCGGTTAGTGATTACCGTAAACTATTAAACTAAATAATATT", "TCATAATTGCTATTCTTATAAAATAAAACCTTCCACCCGGAAGGTTTTTTTATTGCTTTTGATTTACTTATTTTGTTAAAAATTATAACC", "TCAAACACATTTA", "AGCTACTGATTTAGATTTGGTTGTTGATTTATAGGAACAAAAATATTAGCGATGAACGACAAAACATGTCGTTCATTTTTTTATTTTTGGTTTTTATTAAAAA", "ATATTTCAGAGCTTGTTGTTAATACTTTTATTTTGTAGTAAAAAGGCCATAGAAATTTACTCCTAGAGAATGCAGAAGACTGTTTCTTAAGGATAAGCTTATTGTAGAACAATTTTACAAATTGATTTTAAAATTCAGTATGAGCCAATCTGAAAATTATAAATGACCT", "GTGATTTGTTTGTATTGTTATTTTAATATTTCTCTTGTTAAATATATAGAATTAATGTAGTGTCATAGAAATTATTATGTTAATATTTCATTAATCTTTTGTGGATATTTCAAAGATAATTATAAATATATATATTATTAAAACAGATATAAATTTTGTTTTTATTTTTTTATAAAATATAATTTTCAGATATTATTCGCTACATAGATACTACATCTGTGAAAACATAAAAATACAGAAATGTTCGTAAAACCTTATATGATTAATTAGCATTCTACACTGGTATTAC", "TTGTTAAAATTTTATTAAAACGGGATATCTTTTTTTTGTTGAATTTTGACAGAATAAAAAACATACC", "AACACACTAAATAAGAATCTATTC", "TTAAAAACACAACAGAA", "AAACAGTTTTAATAAAATATACAAGCCTGAATATTATATTCGGGCTTGATTTTTTTTTAACTAAAGCGTTCTTTCTTTTTGCTTTAATAATGAGTTTTAACTAAAGTGATTAAAACTCAGAAGAATAATGTGGATAAAAAAGTAATTGTAAAACCTGA", "ACGATATATAGCATTGGTGTTGATTAAAAATATTATAAATCATTATGATTCATCTTTATTTACTAAATTATAAAAAATGCTAATATGAAAAAGAATCGTATTAAATAAATAATACGATTCCCAAAAACACACTTGATGAAATGATTATTCATTATTATGAATAACCGATATATAAAAATATATCATTGTAAATTTATAAAAAAATAATGATCTTAACTAATATTTTATGTGTAAAAATATAATCGGCAAAATTGAATTTCACAAAAAATAAAATTGAATGTCCAAAAAATAAAGCCTTTCAATATTTTTGAAAGGCTTTTTAAATAGGCTTTAAACAGCGG", "TATATTTAAATTTTGTTTGTTATTAA", "GGTTA", "GA", "TAATAGTAATTGTGTAAATGGAGTGTCCAGTATTTTGTTTTGGCTCCAACCTGTCTTTTCTGCAATTGTATAAATGAACCCTAAGACGCTATGAAAGCTTTCGCTTT", "TTTAATTTAAGTTTAAATGGTTATTAAATAGTTTTTAA", "GATATAGTTT", "A", "GGTTTGTTTTAGTTTTTAATAAACACCCGGAAGCTTTGACCCTTCCGGGAGTTGGGTATAATAGATATGAAAAAGAG", "TGTTATGAGTTTTTATGGTTATTAATTTTTG", "GGTTATTAGCTTTTTTATTTGCTTTTGTTGATGCAAATTTTGCCTGAAAAATCACCGATAAAAAGCTGGCTCCGTATGATACCCCAATATCTCGGGTATCATACCCCCGATTTAAGGGTATGATAGATCGTCAGCTTCTCTAAGCCCTTCTATAAAGGGAACTTTGCTACAACTAATAACCAAAACA", "A", "TC", "ATTACTATCTATACGAGGAGCTGGACTGGCAGCGTCTTGAAAATGAGTACAAGCATATTTGTAGCCATGGACACCAGCTTTCACATAAACTACAGCCAGATTGGGCGGATATA", "AAAAACCACTCTGTAAAAGAGTGGTTTTAAGCACAATGAGCAGCCGAACTGGTCTCTTTGTTTCACAAATATACAAAACTTTTTGAATATCAAGCAA", "CCTTTAAAAACTATTTAAACA", "AAAATGGGAATCTGGATTTTAACTGTTGTACAGATACCTGGTTCCTTTCAAGCTCTGAAATAATGTCGCCGCATTCTGAGATCAAATCACAGATGCGGCTTTCTGATATGTCGAATTCCTGTTCTAATTCGCTAAGACAACGAGAGAAATTAAGTCCTAAAAGGCAGCTGTACCAATAAAAACGAGCCGCTAATTTGTTGTTGCGATTTTGGATTAAGAACGGGCTGCGACCCTTTGATATGTTAGTAACACTTGACATTACTACAAAAATAAAAAAACGCCTGAACTTGTGCAAGTTGGCGTTTTGTATGGAAA", "AGTTTAAAATTTTTCAAATTTAA", "TTTAAATTTATTAAAGGTTATCAAAAAAGCCTCGGTTTCCCGAGGCTAACCAATGATACATTCATTTTAATCTACATTTTTACCTACTTCATTATTTTTATAACCAAAGATAATTCCAGATTTTTTTTATCTATTGTGGTAATCCGTAAGGAATTTTCTAT", "AT", "AA", "ATTAGTAGAGTT", "TTTGCCTTTGCTTTTAAAAAAGTCGTTTTCGGAAATCCTACCAACGCCTTTTGGAAAGTGAGTTGTTTTTTGCCAAGAGGCAGGGTTTGCAGTGTTAAAATGAACATAGGTGAGAGCTGACGTTCGAATTCGAGTTATCGTAGTTGTAGTCGTTGAACGAGAACCCGGAACCGGAAGAACTACAGCAACACACTGCACAACCTTTATTATCAA", "GATATTTAATA", "AATGATTATTTAAATTGTTTTGAAATATTGT" ]
[ false, false, true, true, true, false, true, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, true, false, true, true, true, true, true, true, false, false, false, false, false, true, true, false, true, true, true, false, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, true, true, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, true, true, true, false, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, true, false, true, false, false, true, false, true, true, true, true, true, true, false, false, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, false, false, true, true, true, true, true, true, false, false, false, false, true, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, false, true, false, true, false, false, true, false, true, true, true, true, true, true, true, true, false, false, false, false, true, true, true, true, true, true, true, true, true, true, false, false, true, false, true, true, true, true, true, false, false, true, true, false, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, true, true, false, false, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, false, true, true, false, false, false, true, true, true, true, true, true, false, true, true, true, true, true, false, false, false, true, true, false, false, true, false, true, true, true, true, true, false, true, false, false, false, true, true, true, false, false, false, false, true, false, true, false, false, true, true, true, false, false, false, false, false, false, true, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, true, false, true, false, false, false, true, false, true, false, true, false, false, true, true, false, false, true, true, true, false, false, true, true, true, false, false, false, true, true, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, false, false, true, false, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, false, false, false, false, true, true, true, false, true, false, false, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false ]
[ 0, 1, 3, 5, 6, 8, 10, 11, 13, 14, 16, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 48, 50, 52, 54, 56, 58, 60, 62, 64, 65, 67, 68, 70, 72, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 171, 173, 175, 177, 179, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 207, 209, 211, 213, 214, 216, 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, 240, 241, 243, 245, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 269, 271, 272, 274, 276, 278, 280, 282, 284, 286, 288, 289, 291, 292, 294, 296, 297, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317, 319, 321, 323, 325, 326, 328, 330, 332, 334, 336, 338, 339, 341, 343, 345, 347, 348, 350, 352, 354, 355, 357, 359, 361, 363, 365, 367, 369, 371, 373, 375, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398, 400, 402, 404, 406, 408, 410, 412, 414, 416, 418, 420, 422, 424, 426, 428, 430, 432, 434, 436, 438, 440, 442, 444, 446, 448, 450, 452, 454, 456, 458, 460, 462, 464, 466, 468, 469, 471, 473, 475, 477, 479, 481, 482, 484, 486, 488, 490, 492, 494, 496, 498, 500, 502, 504, 506, 508, 510, 512, 514, 516, 517, 519, 521, 523, 525, 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 554, 555, 556, 558, 559, 561, 563, 565, 567, 569, 571, 573, 575, 577, 579, 581, 583, 585, 587, 589, 591, 593, 595, 597, 599, 601, 603, 605, 607, 609, 611, 613, 615, 617, 619, 621, 623, 624, 626, 628, 630, 632, 634, 636, 638, 640, 642, 644, 646, 648, 650, 652, 654, 656, 658, 660, 662, 664, 666, 668, 670, 672, 674, 676, 678, 680, 682, 684, 686, 688, 690, 692, 694, 696, 698, 699, 701, 703, 705, 707, 709, 710, 712, 714, 716, 718, 720, 722, 724, 726, 728, 730, 732, 734, 736, 738, 740, 742, 744, 746, 748, 750, 752, 754, 755, 756, 758, 760, 762, 764, 766, 768, 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 825, 826, 828, 830, 832, 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, 856, 858, 860, 862, 864, 866, 868, 870, 872, 874, 876, 878, 880, 882, 884, 886, 888, 890, 892, 894, 896, 898, 900, 902, 904, 906, 908, 910, 912, 914, 916, 918, 920, 922, 924, 926, 928, 930, 932, 934, 936, 938, 940, 942, 944, 945, 947, 948, 950, 952, 954, 956, 958, 960, 962, 964, 966, 968, 970, 971, 973, 974, 976, 978, 980, 982, 984, 986, 988, 989, 991, 993, 995, 997, 999 ]
[ 2, 4, 7, 9, 12, 15, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 49, 51, 53, 55, 57, 59, 61, 63, 66, 69, 71, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 172, 174, 176, 178, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 208, 210, 212, 215, 217, 219, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239, 242, 244, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 267, 270, 273, 275, 277, 279, 281, 283, 285, 287, 290, 293, 295, 298, 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 327, 329, 331, 333, 335, 337, 340, 342, 344, 346, 349, 351, 353, 356, 358, 360, 362, 364, 366, 368, 370, 372, 374, 377, 379, 381, 383, 385, 387, 389, 391, 393, 395, 397, 399, 401, 403, 405, 407, 409, 411, 413, 415, 417, 419, 421, 423, 425, 427, 429, 431, 433, 435, 437, 439, 441, 443, 445, 447, 449, 451, 453, 455, 457, 459, 461, 463, 465, 467, 470, 472, 474, 476, 478, 480, 483, 485, 487, 489, 491, 493, 495, 497, 499, 501, 503, 505, 507, 509, 511, 513, 515, 518, 520, 522, 524, 526, 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 557, 560, 562, 564, 566, 568, 570, 572, 574, 576, 578, 580, 582, 584, 586, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620, 622, 625, 627, 629, 631, 633, 635, 637, 639, 641, 643, 645, 647, 649, 651, 653, 655, 657, 659, 661, 663, 665, 667, 669, 671, 673, 675, 677, 679, 681, 683, 685, 687, 689, 691, 693, 695, 697, 700, 702, 704, 706, 708, 711, 713, 715, 717, 719, 721, 723, 725, 727, 729, 731, 733, 735, 737, 739, 741, 743, 745, 747, 749, 751, 753, 757, 759, 761, 763, 765, 767, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 827, 829, 831, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 855, 857, 859, 861, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891, 893, 895, 897, 899, 901, 903, 905, 907, 909, 911, 913, 915, 917, 919, 921, 923, 925, 927, 929, 931, 933, 935, 937, 939, 941, 943, 946, 949, 951, 953, 955, 957, 959, 961, 963, 965, 967, 969, 972, 975, 977, 979, 981, 983, 985, 987, 990, 992, 994, 996, 998 ]
[ "2841813427|Ga0349638_01|CDS|2841815096|-|1765430:1765543", "2841813427|Ga0349638_01|CDS|2841815097|-|1765543:1766175", "2841813427|Ga0349638_01|CDS|2841815098|-|1766199:1766525", "2841813427|Ga0349638_01|CDS|2841815099|-|1766546:1766722", "2841813427|Ga0349638_01|CDS|2841815100|-|1766719:1767006", "2841813427|Ga0349638_01|CDS|2841815101|-|1767012:1767638", "2841813427|Ga0349638_01|CDS|2841815102|-|1767641:1768594", "2841813427|Ga0349638_01|CDS|2841815103|-|1768587:1770629", "2841813427|Ga0349638_01|CDS|2841815104|+|1770723:1770926", "2841813427|Ga0349638_01|CDS|2841815105|-|1770907:1771092", "2841813427|Ga0349638_01|CDS|2841815106|-|1771095:1771238", "2841813427|Ga0349638_01|CDS|2841815107|-|1771235:1771444", "2841813427|Ga0349638_01|CDS|2841815108|+|1771524:1772216", "2841813427|Ga0349638_01|CDS|2841815109|-|1772887:1773831", "2841813427|Ga0349638_01|CDS|2841815110|-|1773874:1774473", "2841813427|Ga0349638_01|CDS|2841815111|-|1774533:1775447", "2841813427|Ga0349638_01|CDS|2841815112|-|1775814:1776134", "2841813427|Ga0349638_01|CDS|2841815113|-|1776440:1776748", "2841813427|Ga0349638_01|CDS|2841815114|-|1776886:1777131", "2841813427|Ga0349638_01|CDS|2841815115|-|1777135:1777440", "2841813427|Ga0349638_01|CDS|2841815116|-|1777517:1778041", "2841813427|Ga0349638_01|CDS|2841815117|-|1778052:1781555", "2841813427|Ga0349638_01|CDS|2841815118|-|1782011:1785970", "2841813427|Ga0349638_01|CDS|2841815119|-|1786667:1787791", "2841813427|Ga0349638_01|CDS|2841815120|-|1787798:1788697", "2841813427|Ga0349638_01|CDS|2841815121|-|1788703:1789884", "2841813427|Ga0349638_01|CDS|2841815122|-|1789896:1791068", "2841813427|Ga0349638_01|CDS|2841815123|-|1791052:1792209", "2841813427|Ga0349638_01|CDS|2841815124|-|1792505:1793545", "2841813427|Ga0349638_01|CDS|2841815125|-|1793753:1794253", "2841813427|Ga0349638_01|CDS|2841815126|-|1794882:1795589", "2841813427|Ga0349638_01|CDS|2841815127|+|1795768:1796895", "2841813427|Ga0349638_01|CDS|2841815128|+|1796900:1797070", "2841813427|Ga0349638_01|CDS|2841815129|+|1797102:1799300", "2841813427|Ga0349638_01|CDS|2841815130|-|1799391:1800611", "2841813427|Ga0349638_01|CDS|2841815131|-|1800635:1801711", "2841813427|Ga0349638_01|CDS|2841815132|-|1801708:1802163", "2841813427|Ga0349638_01|CDS|2841815133|-|1802244:1802525", "2841813427|Ga0349638_01|CDS|2841815134|-|1802522:1803076", "2841813427|Ga0349638_01|CDS|2841815135|-|1803121:1804230", "2841813427|Ga0349638_01|CDS|2841815136|+|1804412:1805473", "2841813427|Ga0349638_01|CDS|2841815137|+|1805466:1806167", "2841813427|Ga0349638_01|CDS|2841815138|-|1806171:1807115", "2841813427|Ga0349638_01|CDS|2841815139|-|1807155:1808051", "2841813427|Ga0349638_01|CDS|2841815140|-|1808133:1810073", "2841813427|Ga0349638_01|CDS|2841815141|-|1810169:1811050", "2841813427|Ga0349638_01|CDS|2841815142|-|1811269:1812615", "2841813427|Ga0349638_01|CDS|2841815143|+|1813064:1814245", "2841813427|Ga0349638_01|CDS|2841815144|+|1814288:1815718", "2841813427|Ga0349638_01|CDS|2841815145|+|1815735:1816391", "2841813427|Ga0349638_01|CDS|2841815146|+|1816403:1818319", "2841813427|Ga0349638_01|CDS|2841815147|+|1818337:1819086", "2841813427|Ga0349638_01|CDS|2841815148|+|1819476:1824386", "2841813427|Ga0349638_01|CDS|2841815149|+|1824386:1826722", "2841813427|Ga0349638_01|CDS|2841815150|+|1826724:1827644", "2841813427|Ga0349638_01|CDS|2841815151|-|1827660:1828325", "2841813427|Ga0349638_01|CDS|2841815152|-|1828375:1829130", "2841813427|Ga0349638_01|CDS|2841815153|-|1829338:1830645", "2841813427|Ga0349638_01|CDS|2841815155|-|1830861:1831349", "2841813427|Ga0349638_01|CDS|2841815156|-|1831449:1831949", "2841813427|Ga0349638_01|CDS|2841815157|-|1831960:1832706", "2841813427|Ga0349638_01|CDS|2841815158|-|1832845:1834272", "2841813427|Ga0349638_01|CDS|2841815159|-|1834285:1837428", "2841813427|Ga0349638_01|CDS|2841815160|-|1837451:1838605", "2841813427|Ga0349638_01|CDS|2841815161|-|1839061:1839954", "2841813427|Ga0349638_01|CDS|2841815162|-|1840112:1840732", "2841813427|Ga0349638_01|CDS|2841815163|-|1840813:1841382", "2841813427|Ga0349638_01|CDS|2841815164|+|1841580:1842173", "2841813427|Ga0349638_01|CDS|2841815165|+|1842208:1843392", "2841813427|Ga0349638_01|CDS|2841815166|+|1843416:1844816", "2841813427|Ga0349638_01|CDS|2841815167|-|1845393:1846661", "2841813427|Ga0349638_01|CDS|2841815168|-|1846730:1847155", "2841813427|Ga0349638_01|CDS|2841815169|-|1847176:1847754", "2841813427|Ga0349638_01|CDS|2841815170|-|1847980:1848795", "2841813427|Ga0349638_01|CDS|2841815171|-|1848931:1849677", "2841813427|Ga0349638_01|CDS|2841815172|+|1850209:1851555", "2841813427|Ga0349638_01|CDS|2841815173|-|1851624:1854788", "2841813427|Ga0349638_01|CDS|2841815174|-|1854791:1855663", "2841813427|Ga0349638_01|CDS|2841815175|-|1855736:1855942", "2841813427|Ga0349638_01|CDS|2841815176|-|1855944:1856459", "2841813427|Ga0349638_01|CDS|2841815177|+|1856684:1858042", "2841813427|Ga0349638_01|CDS|2841815178|-|1858129:1859187", "2841813427|Ga0349638_01|CDS|2841815179|-|1859210:1860592", "2841813427|Ga0349638_01|CDS|2841815181|-|1860895:1861641", "2841813427|Ga0349638_01|CDS|2841815182|-|1861771:1863153", "2841813427|Ga0349638_01|CDS|2841815183|+|1863249:1863581", "2841813427|Ga0349638_01|CDS|2841815184|+|1863668:1864681", "2841813427|Ga0349638_01|CDS|2841815185|-|1864817:1865299", "2841813427|Ga0349638_01|CDS|2841815186|-|1865323:1866021", "2841813427|Ga0349638_01|CDS|2841815187|+|1866313:1869039", "2841813427|Ga0349638_01|CDS|2841815188|+|1869063:1870406", "2841813427|Ga0349638_01|CDS|2841815189|+|1870396:1871988", "2841813427|Ga0349638_01|CDS|2841815190|+|1871992:1873131", "2841813427|Ga0349638_01|CDS|2841815191|+|1873154:1873636", "2841813427|Ga0349638_01|CDS|2841815192|+|1873844:1874569", "2841813427|Ga0349638_01|CDS|2841815193|-|1874572:1874949", "2841813427|Ga0349638_01|CDS|2841815194|-|1874942:1875232", "2841813427|Ga0349638_01|CDS|2841815195|-|1875273:1875518", "2841813427|Ga0349638_01|CDS|2841815196|+|1875674:1875901", "2841813427|Ga0349638_01|CDS|2841815197|+|1875984:1877789", "2841813427|Ga0349638_01|CDS|2841815198|+|1877799:1878422", "2841813427|Ga0349638_01|CDS|2841815199|+|1878484:1879269", "2841813427|Ga0349638_01|CDS|2841815200|+|1879335:1880744", "2841813427|Ga0349638_01|CDS|2841815201|+|1880763:1881326", "2841813427|Ga0349638_01|CDS|2841815202|+|1881337:1881783", "2841813427|Ga0349638_01|CDS|2841815203|-|1881865:1882197", "2841813427|Ga0349638_01|CDS|2841815204|+|1882413:1883942", "2841813427|Ga0349638_01|CDS|2841815205|-|1884019:1885443", "2841813427|Ga0349638_01|CDS|2841815206|-|1885508:1886443", "2841813427|Ga0349638_01|CDS|2841815207|-|1886472:1887602", "2841813427|Ga0349638_01|CDS|2841815208|-|1887599:1889614", "2841813427|Ga0349638_01|CDS|2841815209|+|1889667:1889879", "2841813427|Ga0349638_01|CDS|2841815211|-|1890146:1890514", "2841813427|Ga0349638_01|CDS|2841815212|+|1890624:1891778", "2841813427|Ga0349638_01|CDS|2841815213|-|1891775:1892560", "2841813427|Ga0349638_01|CDS|2841815214|+|1892635:1893837", "2841813427|Ga0349638_01|CDS|2841815215|-|1893864:1894976", "2841813427|Ga0349638_01|CDS|2841815216|-|1895162:1895857", "2841813427|Ga0349638_01|CDS|2841815217|-|1895920:1896504", "2841813427|Ga0349638_01|CDS|2841815218|-|1896529:1896870", "2841813427|Ga0349638_01|CDS|2841815219|-|1896885:1897253", "2841813427|Ga0349638_01|CDS|2841815220|-|1897257:1897634", "2841813427|Ga0349638_01|CDS|2841815221|-|1897658:1898431", "2841813427|Ga0349638_01|CDS|2841815222|+|1898627:1899892", "2841813427|Ga0349638_01|CDS|2841815223|-|1899915:1900751", "2841813427|Ga0349638_01|CDS|2841815224|-|1900782:1901024", "2841813427|Ga0349638_01|CDS|2841815225|-|1901212:1902702", "2841813427|Ga0349638_01|CDS|2841815226|+|1902763:1903392", "2841813427|Ga0349638_01|CDS|2841815227|+|1903367:1904473", "2841813427|Ga0349638_01|CDS|2841815228|+|1904709:1905329", "2841813427|Ga0349638_01|CDS|2841815229|+|1905387:1906382", "2841813427|Ga0349638_01|CDS|2841815230|+|1906367:1907635", "2841813427|Ga0349638_01|CDS|2841815231|+|1907794:1908681", "2841813427|Ga0349638_01|CDS|2841815232|+|1908807:1909238", "2841813427|Ga0349638_01|CDS|2841815233|+|1909286:1909723", "2841813427|Ga0349638_01|CDS|2841815234|+|1909762:1909941", "2841813427|Ga0349638_01|CDS|2841815235|+|1910004:1910408", "2841813427|Ga0349638_01|CDS|2841815236|+|1910496:1911215", "2841813427|Ga0349638_01|CDS|2841815237|+|1911237:1913480", "2841813427|Ga0349638_01|CDS|2841815238|+|1913542:1913994", "2841813427|Ga0349638_01|CDS|2841815239|-|1914052:1915698", "2841813427|Ga0349638_01|CDS|2841815240|-|1915817:1916668", "2841813427|Ga0349638_01|CDS|2841815241|+|1916798:1917298", "2841813427|Ga0349638_01|CDS|2841815242|+|1917288:1917911", "2841813427|Ga0349638_01|CDS|2841815243|-|1917957:1919408", "2841813427|Ga0349638_01|CDS|2841815244|-|1919389:1922511", "2841813427|Ga0349638_01|CDS|2841815245|-|1922544:1923632", "2841813427|Ga0349638_01|CDS|2841815246|-|1923691:1924020", "2841813427|Ga0349638_01|CDS|2841815247|+|1924734:1927880", "2841813427|Ga0349638_01|CDS|2841815248|+|1927910:1929433", "2841813427|Ga0349638_01|CDS|2841815249|+|1929442:1930764", "2841813427|Ga0349638_01|CDS|2841815250|+|1930770:1931240", "2841813427|Ga0349638_01|CDS|2841815251|+|1931252:1932283", "2841813427|Ga0349638_01|CDS|2841815252|+|1932290:1932970", "2841813427|Ga0349638_01|CDS|2841815253|+|1932939:1934057", "2841813427|Ga0349638_01|CDS|2841815254|+|1934069:1935139", "2841813427|Ga0349638_01|CDS|2841815255|-|1935136:1935912", "2841813427|Ga0349638_01|CDS|2841815256|+|1936102:1936266", "2841813427|Ga0349638_01|CDS|2841815257|+|1936624:1936794", "2841813427|Ga0349638_01|CDS|2841815258|+|1936706:1936813", "2841813427|Ga0349638_01|CDS|2841815259|+|1936937:1939138", "2841813427|Ga0349638_01|CDS|2841815260|+|1939215:1939418", "2841813427|Ga0349638_01|CDS|2841815261|+|1939505:1939681", "2841813427|Ga0349638_01|CDS|2841815262|+|1939716:1939898", "2841813427|Ga0349638_01|CDS|2841815263|+|1939998:1941302", "2841813427|Ga0349638_01|CDS|2841815264|+|1941364:1942878", "2841813427|Ga0349638_01|CDS|2841815265|+|1943276:1944808", "2841813427|Ga0349638_01|CDS|2841815266|-|1945007:1945201", "2841813427|Ga0349638_01|CDS|2841815267|+|1945333:1945536", "2841813427|Ga0349638_01|CDS|2841815268|-|1945612:1946502", "2841813427|Ga0349638_01|CDS|2841815269|+|1946650:1947222", "2841813427|Ga0349638_01|CDS|2841815270|+|1947309:1948799", "2841813427|Ga0349638_01|CDS|2841815271|-|1948873:1951737", "2841813427|Ga0349638_01|CDS|2841815272|+|1952028:1952480", "2841813427|Ga0349638_01|CDS|2841815273|-|1952369:1952917", "2841813427|Ga0349638_01|CDS|2841815274|-|1952940:1953080", "2841813427|Ga0349638_01|CDS|2841815275|+|1953164:1954285", "2841813427|Ga0349638_01|CDS|2841815276|+|1954519:1955010", "2841813427|Ga0349638_01|CDS|2841815277|-|1955391:1956440", "2841813427|Ga0349638_01|CDS|2841815278|+|1956565:1957263", "2841813427|Ga0349638_01|CDS|2841815279|+|1957471:1957758", "2841813427|Ga0349638_01|CDS|2841815280|+|1957752:1958546", "2841813427|Ga0349638_01|CDS|2841815281|-|1958672:1959964", "2841813427|Ga0349638_01|CDS|2841815282|+|1960385:1962184", "2841813427|Ga0349638_01|CDS|2841815283|-|1962438:1962593", "2841813427|Ga0349638_01|CDS|2841815284|-|1962852:1964285", "2841813427|Ga0349638_01|CDS|2841815285|-|1964285:1966180", "2841813427|Ga0349638_01|CDS|2841815286|+|1966402:1966812", "2841813427|Ga0349638_01|CDS|2841815287|-|1966867:1967469", "2841813427|Ga0349638_01|CDS|2841815288|+|1967818:1968222", "2841813427|Ga0349638_01|CDS|2841815289|-|1968206:1971958", "2841813427|Ga0349638_01|CDS|2841815290|-|1972157:1973542", "2841813427|Ga0349638_01|CDS|2841815291|-|1975759:1977528", "2841813427|Ga0349638_01|CDS|2841815292|+|1977957:1979582", "2841813427|Ga0349638_01|CDS|2841815293|-|1980345:1980824", "2841813427|Ga0349638_01|CDS|2841815295|+|1981294:1983612", "2841813427|Ga0349638_01|CDS|2841815296|+|1983686:1984861", "2841813427|Ga0349638_01|CDS|2841815297|+|1984960:1985526", "2841813427|Ga0349638_01|CDS|2841815298|+|1985529:1985741", "2841813427|Ga0349638_01|CDS|2841815299|-|1985922:1987124", "2841813427|Ga0349638_01|CDS|2841815300|+|1987129:1988358", "2841813427|Ga0349638_01|CDS|2841815301|+|1988359:1989390", "2841813427|Ga0349638_01|CDS|2841815302|+|1989447:1990427", "2841813427|Ga0349638_01|CDS|2841815303|-|1990479:1991915", "2841813427|Ga0349638_01|CDS|2841815304|+|1992196:1992366", "2841813427|Ga0349638_01|CDS|2841815305|-|1992411:1994519", "2841813427|Ga0349638_01|CDS|2841815306|-|1994638:1995858", "2841813427|Ga0349638_01|CDS|2841815307|-|1995939:1996790", "2841813427|Ga0349638_01|CDS|2841815308|-|1996977:1997639", "2841813427|Ga0349638_01|CDS|2841815309|-|1997660:1998994", "2841813427|Ga0349638_01|CDS|2841815310|-|1999110:1999574", "2841813427|Ga0349638_01|CDS|2841815311|+|1999628:1999846", "2841813427|Ga0349638_01|CDS|2841815312|+|2000081:2001412", "2841813427|Ga0349638_01|CDS|2841815313|+|2001652:2002122", "2841813427|Ga0349638_01|CDS|2841815314|-|2002212:2003873", "2841813427|Ga0349638_01|CDS|2841815315|-|2004168:2005835", "2841813427|Ga0349638_01|CDS|2841815316|-|2005914:2007119", "2841813427|Ga0349638_01|CDS|2841815317|+|2007256:2007594", "2841813427|Ga0349638_01|CDS|2841815318|+|2007684:2007983", "2841813427|Ga0349638_01|CDS|2841815319|-|2008219:2009157", "2841813427|Ga0349638_01|CDS|2841815320|+|2009241:2009900", "2841813427|Ga0349638_01|CDS|2841815321|+|2010009:2011019", "2841813427|Ga0349638_01|CDS|2841815322|-|2011104:2011868", "2841813427|Ga0349638_01|CDS|2841815323|+|2012053:2013105", "2841813427|Ga0349638_01|CDS|2841815324|-|2013159:2013545", "2841813427|Ga0349638_01|CDS|2841815325|-|2013762:2016434", "2841813427|Ga0349638_01|CDS|2841815326|-|2016498:2016872", "2841813427|Ga0349638_01|CDS|2841815327|+|2017008:2017340", "2841813427|Ga0349638_01|CDS|2841815328|-|2017400:2018839", "2841813427|Ga0349638_01|CDS|2841815329|-|2018841:2019896", "2841813427|Ga0349638_01|CDS|2841815330|-|2019967:2020566", "2841813427|Ga0349638_01|CDS|2841815331|+|2020665:2021270", "2841813427|Ga0349638_01|CDS|2841815332|-|2021625:2021993", "2841813427|Ga0349638_01|CDS|2841815333|-|2022142:2023170", "2841813427|Ga0349638_01|CDS|2841815334|-|2023249:2024706", "2841813427|Ga0349638_01|CDS|2841815335|-|2024770:2025411", "2841813427|Ga0349638_01|CDS|2841815336|-|2025437:2027911", "2841813427|Ga0349638_01|CDS|2841815337|+|2028109:2031384", "2841813427|Ga0349638_01|CDS|2841815338|-|2031448:2032062", "2841813427|Ga0349638_01|CDS|2841815339|-|2032199:2032612", "2841813427|Ga0349638_01|CDS|2841815340|-|2032615:2032872", "2841813427|Ga0349638_01|CDS|2841815341|-|2033056:2033190", "2841813427|Ga0349638_01|CDS|2841815342|+|2033399:2034265", "2841813427|Ga0349638_01|CDS|2841815343|+|2034307:2034486", "2841813427|Ga0349638_01|CDS|2841815344|-|2034563:2034853", "2841813427|Ga0349638_01|CDS|2841815345|+|2035040:2037313", "2841813427|Ga0349638_01|CDS|2841815346|+|2037331:2038209", "2841813427|Ga0349638_01|CDS|2841815347|-|2038994:2039593", "2841813427|Ga0349638_01|CDS|2841815348|-|2039583:2040191", "2841813427|Ga0349638_01|CDS|2841815349|-|2040246:2040680", "2841813427|Ga0349638_01|CDS|2841815350|+|2040686:2041723", "2841813427|Ga0349638_01|CDS|2841815351|+|2041909:2042859", "2841813427|Ga0349638_01|CDS|2841815353|+|2043873:2044700", "2841813427|Ga0349638_01|CDS|2841815354|+|2044832:2045677", "2841813427|Ga0349638_01|CDS|2841815355|+|2045764:2046057", "2841813427|Ga0349638_01|CDS|2841815356|+|2046054:2046872", "2841813427|Ga0349638_01|CDS|2841815357|+|2046892:2047470", "2841813427|Ga0349638_01|CDS|2841815358|+|2047687:2048103", "2841813427|Ga0349638_01|CDS|2841815359|+|2048384:2049631", "2841813427|Ga0349638_01|CDS|2841815360|-|2049813:2050181", "2841813427|Ga0349638_01|CDS|2841815361|-|2050293:2050565", "2841813427|Ga0349638_01|CDS|2841815362|+|2050715:2051134", "2841813427|Ga0349638_01|CDS|2841815363|+|2051193:2051987", "2841813427|Ga0349638_01|CDS|2841815364|-|2052066:2055848", "2841813427|Ga0349638_01|CDS|2841815365|-|2055873:2058167", "2841813427|Ga0349638_01|CDS|2841815366|-|2058308:2058799", "2841813427|Ga0349638_01|CDS|2841815367|-|2058810:2059985", "2841813427|Ga0349638_01|CDS|2841815368|+|2060232:2060357", "2841813427|Ga0349638_01|CDS|2841815369|+|2060874:2061581", "2841813427|Ga0349638_01|CDS|2841815370|+|2061611:2062321", "2841813427|Ga0349638_01|CDS|2841815371|+|2062606:2063028", "2841813427|Ga0349638_01|CDS|2841815372|-|2063124:2063369", "2841813427|Ga0349638_01|CDS|2841815373|-|2063374:2063778", "2841813427|Ga0349638_01|CDS|2841815374|-|2063778:2064272", "2841813427|Ga0349638_01|CDS|2841815375|+|2064782:2064985", "2841813427|Ga0349638_01|CDS|2841815376|-|2067206:2068675", "2841813427|Ga0349638_01|CDS|2841815377|-|2068916:2069296", "2841813427|Ga0349638_01|CDS|2841815378|-|2069916:2070449", "2841813427|Ga0349638_01|CDS|2841815379|-|2070744:2071112", "2841813427|Ga0349638_01|CDS|2841815380|-|2071128:2071277", "2841813427|Ga0349638_01|CDS|2841815381|+|2072154:2072336", "2841813427|Ga0349638_01|CDS|2841815382|+|2072430:2074121", "2841813427|Ga0349638_01|CDS|2841815383|+|2074991:2075191", "2841813427|Ga0349638_01|CDS|2841815384|+|2075205:2076815", "2841813427|Ga0349638_01|CDS|2841815385|-|2077556:2078524", "2841813427|Ga0349638_01|CDS|2841815386|+|2079351:2080418", "2841813427|Ga0349638_01|CDS|2841815387|-|2080544:2081671", "2841813427|Ga0349638_01|CDS|2841815388|+|2081770:2082696", "2841813427|Ga0349638_01|CDS|2841815389|-|2082885:2083151", "2841813427|Ga0349638_01|CDS|2841815390|+|2083546:2085189", "2841813427|Ga0349638_01|CDS|2841815391|-|2085215:2085904", "2841813427|Ga0349638_01|CDS|2841815392|-|2086043:2086693", "2841813427|Ga0349638_01|CDS|2841815393|-|2086680:2087513", "2841813427|Ga0349638_01|CDS|2841815394|-|2087510:2088148", "2841813427|Ga0349638_01|CDS|2841815395|-|2088145:2088942", "2841813427|Ga0349638_01|CDS|2841815397|+|2089396:2090163", "2841813427|Ga0349638_01|CDS|2841815398|+|2090129:2090842", "2841813427|Ga0349638_01|CDS|2841815399|+|2091013:2093289", "2841813427|Ga0349638_01|CDS|2841815400|+|2093366:2093833", "2841813427|Ga0349638_01|CDS|2841815401|-|2093839:2094486", "2841813427|Ga0349638_01|CDS|2841815402|+|2094836:2095777", "2841813427|Ga0349638_01|CDS|2841815403|+|2095788:2095883", "2841813427|Ga0349638_01|CDS|2841815404|+|2095901:2096812", "2841813427|Ga0349638_01|CDS|2841815405|+|2097081:2097275", "2841813427|Ga0349638_01|CDS|2841815406|+|2097650:2098495", "2841813427|Ga0349638_01|CDS|2841815407|-|2098619:2099407", "2841813427|Ga0349638_01|CDS|2841815408|+|2099559:2100101", "2841813427|Ga0349638_01|CDS|2841815409|+|2100337:2100924", "2841813427|Ga0349638_01|CDS|2841815410|+|2100978:2101661", "2841813427|Ga0349638_01|CDS|2841815417|+|2102963:2104003", "2841813427|Ga0349638_01|CDS|2841815418|+|2104170:2105573", "2841813427|Ga0349638_01|CDS|2841815419|+|2105658:2108573", "2841813427|Ga0349638_01|CDS|2841815420|+|2108859:2110355", "2841813427|Ga0349638_01|CDS|2841815421|+|2110523:2112784", "2841813427|Ga0349638_01|CDS|2841815422|-|2112853:2114988", "2841813427|Ga0349638_01|CDS|2841815423|+|2115122:2115601", "2841813427|Ga0349638_01|CDS|2841815424|-|2115651:2115833", "2841813427|Ga0349638_01|CDS|2841815425|-|2115893:2116021", "2841813427|Ga0349638_01|CDS|2841815426|-|2116033:2116677", "2841813427|Ga0349638_01|CDS|2841815427|-|2116755:2118482", "2841813427|Ga0349638_01|CDS|2841815428|-|2118488:2119597", "2841813427|Ga0349638_01|CDS|2841815429|-|2119734:2120822", "2841813427|Ga0349638_01|CDS|2841815430|-|2120915:2121481", "2841813427|Ga0349638_01|CDS|2841815431|-|2121493:2123532", "2841813427|Ga0349638_01|CDS|2841815432|-|2123557:2125251", "2841813427|Ga0349638_01|CDS|2841815433|+|2125837:2126862", "2841813427|Ga0349638_01|CDS|2841815434|-|2126867:2128195", "2841813427|Ga0349638_01|CDS|2841815435|-|2128309:2130294", "2841813427|Ga0349638_01|CDS|2841815436|-|2130352:2131059", "2841813427|Ga0349638_01|CDS|2841815437|-|2131059:2132213", "2841813427|Ga0349638_01|CDS|2841815438|-|2132290:2133027", "2841813427|Ga0349638_01|CDS|2841815439|+|2133191:2133676", "2841813427|Ga0349638_01|CDS|2841815440|-|2133681:2134106", "2841813427|Ga0349638_01|CDS|2841815441|+|2134241:2134705", "2841813427|Ga0349638_01|CDS|2841815442|-|2134766:2136772", "2841813427|Ga0349638_01|CDS|2841815443|-|2136848:2139304", "2841813427|Ga0349638_01|CDS|2841815444|-|2139685:2141019", "2841813427|Ga0349638_01|CDS|2841815445|+|2141122:2141616", "2841813427|Ga0349638_01|CDS|2841815446|+|2141690:2142403", "2841813427|Ga0349638_01|CDS|2841815447|-|2142472:2145075", "2841813427|Ga0349638_01|CDS|2841815448|-|2145321:2146169", "2841813427|Ga0349638_01|CDS|2841815449|-|2146175:2147065", "2841813427|Ga0349638_01|CDS|2841815450|+|2147164:2148507", "2841813427|Ga0349638_01|CDS|2841815451|-|2148678:2149244", "2841813427|Ga0349638_01|CDS|2841815452|+|2149420:2150529", "2841813427|Ga0349638_01|CDS|2841815453|+|2150539:2151015", "2841813427|Ga0349638_01|CDS|2841815454|+|2151110:2151787", "2841813427|Ga0349638_01|CDS|2841815455|+|2151883:2153802", "2841813427|Ga0349638_01|CDS|2841815456|+|2153873:2154556", "2841813427|Ga0349638_01|CDS|2841815457|+|2154728:2154961", "2841813427|Ga0349638_01|CDS|2841815458|-|2155047:2155745", "2841813427|Ga0349638_01|CDS|2841815459|-|2155903:2156325", "2841813427|Ga0349638_01|CDS|2841815460|+|2156432:2157388", "2841813427|Ga0349638_01|CDS|2841815461|-|2157409:2158329", "2841813427|Ga0349638_01|CDS|2841815462|+|2158458:2158928", "2841813427|Ga0349638_01|CDS|2841815463|-|2159010:2159870", "2841813427|Ga0349638_01|CDS|2841815464|+|2159924:2160460", "2841813427|Ga0349638_01|CDS|2841815465|+|2160504:2161592", "2841813427|Ga0349638_01|CDS|2841815466|+|2161651:2163120", "2841813427|Ga0349638_01|CDS|2841815467|+|2163166:2163519", "2841813427|Ga0349638_01|CDS|2841815468|+|2163554:2165680", "2841813427|Ga0349638_01|CDS|2841815469|+|2165703:2166461", "2841813427|Ga0349638_01|CDS|2841815470|+|2166497:2167324", "2841813427|Ga0349638_01|CDS|2841815471|+|2167387:2168331", "2841813427|Ga0349638_01|CDS|2841815472|-|2168423:2169262", "2841813427|Ga0349638_01|CDS|2841815473|+|2169452:2170462", "2841813427|Ga0349638_01|CDS|2841815474|+|2170491:2171972", "2841813427|Ga0349638_01|CDS|2841815475|+|2171972:2172571", "2841813427|Ga0349638_01|CDS|2841815476|-|2172697:2174064", "2841813427|Ga0349638_01|CDS|2841815477|-|2174082:2174912", "2841813427|Ga0349638_01|CDS|2841815478|-|2175030:2175794", "2841813427|Ga0349638_01|CDS|2841815479|+|2175990:2176466", "2841813427|Ga0349638_01|CDS|2841815480|+|2176570:2177046", "2841813427|Ga0349638_01|CDS|2841815481|-|2177043:2178389", "2841813427|Ga0349638_01|CDS|2841815482|+|2178611:2179651", "2841813427|Ga0349638_01|CDS|2841815483|+|2179654:2180814", "2841813427|Ga0349638_01|CDS|2841815484|+|2180830:2181891", "2841813427|Ga0349638_01|CDS|2841815485|-|2182037:2182819", "2841813427|Ga0349638_01|CDS|2841815486|+|2182934:2184598", "2841813427|Ga0349638_01|CDS|2841815487|-|2184658:2186856", "2841813427|Ga0349638_01|CDS|2841815488|+|2187151:2187567", "2841813427|Ga0349638_01|CDS|2841815489|-|2187637:2188908", "2841813427|Ga0349638_01|CDS|2841815490|-|2189168:2190949", "2841813427|Ga0349638_01|CDS|2841815491|+|2191159:2191914", "2841813427|Ga0349638_01|CDS|2841815492|+|2192042:2193178", "2841813427|Ga0349638_01|CDS|2841815493|+|2193247:2195493", "2841813427|Ga0349638_01|CDS|2841815494|-|2195566:2196966", "2841813427|Ga0349638_01|CDS|2841815495|-|2196968:2198125", "2841813427|Ga0349638_01|CDS|2841815496|-|2198128:2198457", "2841813427|Ga0349638_01|CDS|2841815497|-|2198644:2199249", "2841813427|Ga0349638_01|CDS|2841815498|+|2199368:2200363", "2841813427|Ga0349638_01|CDS|2841815499|+|2200386:2200943", "2841813427|Ga0349638_01|CDS|2841815500|+|2200959:2201621", "2841813427|Ga0349638_01|CDS|2841815501|+|2201625:2202245", "2841813427|Ga0349638_01|CDS|2841815502|-|2202404:2203300", "2841813427|Ga0349638_01|CDS|2841815503|+|2203437:2204129", "2841813427|Ga0349638_01|CDS|2841815504|-|2204126:2204230", "2841813427|Ga0349638_01|CDS|2841815505|+|2204142:2204480", "2841813427|Ga0349638_01|CDS|2841815506|+|2204602:2207088", "2841813427|Ga0349638_01|CDS|2841815507|+|2207397:2208305", "2841813427|Ga0349638_01|CDS|2841815508|-|2208529:2209908", "2841813427|Ga0349638_01|CDS|2841815509|+|2210080:2212215", "2841813427|Ga0349638_01|CDS|2841815510|+|2212359:2214017", "2841813427|Ga0349638_01|CDS|2841815511|+|2214199:2215908", "2841813427|Ga0349638_01|CDS|2841815512|+|2216037:2217551", "2841813427|Ga0349638_01|CDS|2841815513|+|2217584:2218117", "2841813427|Ga0349638_01|CDS|2841815515|+|2218351:2221113", "2841813427|Ga0349638_01|CDS|2841815516|-|2221183:2221896", "2841813427|Ga0349638_01|CDS|2841815517|-|2221997:2223421", "2841813427|Ga0349638_01|CDS|2841815518|-|2223436:2223984", "2841813427|Ga0349638_01|CDS|2841815519|-|2224056:2225204", "2841813427|Ga0349638_01|CDS|2841815520|-|2225218:2225757", "2841813427|Ga0349638_01|CDS|2841815521|-|2225786:2226112", "2841813427|Ga0349638_01|CDS|2841815522|+|2226319:2226822", "2841813427|Ga0349638_01|CDS|2841815523|+|2226830:2227621", "2841813427|Ga0349638_01|CDS|2841815524|+|2227696:2228187", "2841813427|Ga0349638_01|CDS|2841815525|+|2228201:2228488", "2841813427|Ga0349638_01|CDS|2841815526|-|2228601:2229821", "2841813427|Ga0349638_01|CDS|2841815527|-|2229848:2232436", "2841813427|Ga0349638_01|CDS|2841815528|+|2232613:2233290", "2841813427|Ga0349638_01|CDS|2841815529|+|2233300:2234328", "2841813427|Ga0349638_01|CDS|2841815530|+|2234456:2235034", "2841813427|Ga0349638_01|CDS|2841815531|+|2235157:2236440", "2841813427|Ga0349638_01|CDS|2841815532|+|2236458:2237648", "2841813427|Ga0349638_01|CDS|2841815533|-|2237652:2238713", "2841813427|Ga0349638_01|CDS|2841815534|-|2238782:2241661", "2841813427|Ga0349638_01|CDS|2841815535|-|2241663:2242295", "2841813427|Ga0349638_01|CDS|2841815536|+|2242494:2243444", "2841813427|Ga0349638_01|CDS|2841815537|-|2243649:2244290", "2841813427|Ga0349638_01|CDS|2841815538|-|2244417:2245712", "2841813427|Ga0349638_01|CDS|2841815539|-|2245814:2247127", "2841813427|Ga0349638_01|CDS|2841815540|+|2247200:2247352", "2841813427|Ga0349638_01|CDS|2841815541|+|2247306:2247866", "2841813427|Ga0349638_01|CDS|2841815542|+|2247859:2248731", "2841813427|Ga0349638_01|CDS|2841815543|+|2249143:2250699", "2841813427|Ga0349638_01|CDS|2841815544|+|2250763:2252304", "2841813427|Ga0349638_01|CDS|2841815545|+|2252309:2253847", "2841813427|Ga0349638_01|CDS|2841815546|+|2254310:2254483", "2841813427|Ga0349638_01|CDS|2841815547|+|2254536:2256119", "2841813427|Ga0349638_01|CDS|2841815548|+|2256335:2257168", "2841813427|Ga0349638_01|CDS|2841815549|+|2257172:2258422", "2841813427|Ga0349638_01|CDS|2841815550|+|2258554:2259222", "2841813427|Ga0349638_01|CDS|2841815551|+|2259375:2261438", "2841813427|Ga0349638_01|CDS|2841815552|+|2261547:2262797", "2841813427|Ga0349638_01|CDS|2841815553|+|2263053:2263631", "2841813427|Ga0349638_01|CDS|2841815554|+|2263705:2265777", "2841813427|Ga0349638_01|CDS|2841815555|+|2265870:2266712", "2841813427|Ga0349638_01|CDS|2841815556|-|2266778:2267698", "2841813427|Ga0349638_01|CDS|2841815557|-|2267700:2268524", "2841813427|Ga0349638_01|CDS|2841815558|-|2268608:2268922", "2841813427|Ga0349638_01|CDS|2841815559|-|2268979:2270226", "2841813427|Ga0349638_01|CDS|2841815560|-|2270318:2270809", "2841813427|Ga0349638_01|CDS|2841815561|-|2270852:2271982", "2841813427|Ga0349638_01|CDS|2841815562|-|2272045:2273319", "2841813427|Ga0349638_01|CDS|2841815563|-|2273344:2274498", "2841813427|Ga0349638_01|CDS|2841815564|-|2274763:2276100", "2841813427|Ga0349638_01|CDS|2841815565|-|2276116:2276934", "2841813427|Ga0349638_01|CDS|2841815566|-|2276996:2277622", "2841813427|Ga0349638_01|CDS|2841815567|-|2277747:2279225", "2841813427|Ga0349638_01|CDS|2841815568|+|2279320:2279892", "2841813427|Ga0349638_01|CDS|2841815569|+|2279897:2281486", "2841813427|Ga0349638_01|CDS|2841815570|-|2281574:2282047", "2841813427|Ga0349638_01|CDS|2841815571|-|2282052:2282852", "2841813427|Ga0349638_01|CDS|2841815572|-|2282942:2284498", "2841813427|Ga0349638_01|CDS|2841815573|-|2284602:2284694", "2841813427|Ga0349638_01|CDS|2841815574|-|2284721:2285017", "2841813427|Ga0349638_01|CDS|2841815575|+|2285065:2286093", "2841813427|Ga0349638_01|CDS|2841815576|+|2286241:2287161", "2841813427|Ga0349638_01|CDS|2841815577|+|2287205:2289046", "2841813427|Ga0349638_01|CDS|2841815578|+|2289112:2290485", "2841813427|Ga0349638_01|CDS|2841815579|+|2290811:2291743", "2841813427|Ga0349638_01|CDS|2841815580|+|2292342:2292518", "2841813427|Ga0349638_01|CDS|2841815581|+|2292553:2294193", "2841813427|Ga0349638_01|CDS|2841815582|+|2294639:2294842", "2841813427|Ga0349638_01|CDS|2841815583|+|2294909:2296579", "2841813427|Ga0349638_01|CDS|2841815584|+|2296937:2297113", "2841813427|Ga0349638_01|CDS|2841815585|+|2297146:2298636", "2841813427|Ga0349638_01|CDS|2841815586|-|2298721:2299008", "2841813427|Ga0349638_01|CDS|2841815587|-|2299021:2299824", "2841813427|Ga0349638_01|CDS|2841815588|+|2299910:2300845", "2841813427|Ga0349638_01|CDS|2841815589|-|2301064:2303109", "2841813427|Ga0349638_01|CDS|2841815590|-|2303114:2304433", "2841813427|Ga0349638_01|CDS|2841815591|+|2304574:2305062", "2841813427|Ga0349638_01|CDS|2841815592|-|2305090:2306070", "2841813427|Ga0349638_01|CDS|2841815593|-|2306080:2306733", "2841813427|Ga0349638_01|CDS|2841815594|-|2306756:2307628", "2841813427|Ga0349638_01|CDS|2841815595|+|2307788:2308957", "2841813427|Ga0349638_01|CDS|2841815596|-|2309031:2309972", "2841813427|Ga0349638_01|CDS|2841815597|-|2309977:2310375", "2841813427|Ga0349638_01|CDS|2841815598|+|2310593:2311003", "2841813427|Ga0349638_01|CDS|2841815599|-|2311092:2311610", "2841813427|Ga0349638_01|CDS|2841815600|-|2311655:2312473", "2841813427|Ga0349638_01|CDS|2841815601|-|2312545:2312889", "2841813427|Ga0349638_01|CDS|2841815602|-|2312889:2313464", "2841813427|Ga0349638_01|CDS|2841815603|-|2313504:2314112", "2841813427|Ga0349638_01|CDS|2841815604|-|2314099:2314344", "2841813427|Ga0349638_01|CDS|2841815605|-|2314346:2315059", "2841813427|Ga0349638_01|CDS|2841815606|-|2315069:2315914", "2841813427|Ga0349638_01|CDS|2841815607|-|2315975:2316499", "2841813427|Ga0349638_01|CDS|2841815608|-|2316866:2320357", "2841813427|Ga0349638_01|CDS|2841815609|-|2320509:2321243", "2841813427|Ga0349638_01|CDS|2841815610|-|2321310:2321969", "2841813427|Ga0349638_01|CDS|2841815611|-|2322082:2322984", "2841813427|Ga0349638_01|CDS|2841815612|+|2323432:2323632", "2841813427|Ga0349638_01|CDS|2841815613|+|2323664:2325187", "2841813427|Ga0349638_01|CDS|2841815614|+|2325356:2326033", "2841813427|Ga0349638_01|CDS|2841815615|+|2326052:2326411", "2841813427|Ga0349638_01|CDS|2841815616|+|2326377:2326898", "2841813427|Ga0349638_01|CDS|2841815617|-|2326981:2327568", "2841813427|Ga0349638_01|CDS|2841815618|-|2327559:2328317", "2841813427|Ga0349638_01|CDS|2841815619|-|2328381:2329037", "2841813427|Ga0349638_01|CDS|2841815620|-|2329067:2329783", "2841813427|Ga0349638_01|CDS|2841815621|-|2329785:2332982", "2841813427|Ga0349638_01|CDS|2841815622|-|2332997:2333575", "2841813427|Ga0349638_01|CDS|2841815623|-|2333678:2334811", "2841813427|Ga0349638_01|CDS|2841815624|-|2334845:2335219", "2841813427|Ga0349638_01|CDS|2841815625|-|2335352:2336386", "2841813427|Ga0349638_01|CDS|2841815626|-|2336373:2337692", "2841813427|Ga0349638_01|CDS|2841815627|-|2337804:2338658", "2841813427|Ga0349638_01|CDS|2841815628|-|2338890:2340299", "2841813427|Ga0349638_01|CDS|2841815629|-|2340372:2341610", "2841813427|Ga0349638_01|CDS|2841815630|-|2341726:2342610", "2841813427|Ga0349638_01|CDS|2841815631|-|2342629:2342931" ]
[ "2841813427|Ga0349638_01|IG|IG_001436|+|1766176:1766198", "2841813427|Ga0349638_01|IG|IG_001437|+|1766526:1766545", "2841813427|Ga0349638_01|IG|IG_001438|+|1767007:1767011", "2841813427|Ga0349638_01|IG|IG_001439|+|1767639:1767640", "2841813427|Ga0349638_01|IG|IG_001440|+|1770630:1770722", "2841813427|Ga0349638_01|IG|IG_001441|+|1771093:1771094", "2841813427|Ga0349638_01|IG|IG_001442|+|1771445:1771523", "2841813427|Ga0349638_01|IG|IG_001443|+|1772217:1772886", "2841813427|Ga0349638_01|IG|IG_001444|+|1773832:1773873", "2841813427|Ga0349638_01|IG|IG_001445|+|1774474:1774532", "2841813427|Ga0349638_01|IG|IG_001446|+|1775448:1775813", "2841813427|Ga0349638_01|IG|IG_001447|+|1776135:1776439", "2841813427|Ga0349638_01|IG|IG_001448|+|1776749:1776885", "2841813427|Ga0349638_01|IG|IG_001449|+|1777132:1777134", "2841813427|Ga0349638_01|IG|IG_001450|+|1777441:1777516", "2841813427|Ga0349638_01|IG|IG_001451|+|1778042:1778051", "2841813427|Ga0349638_01|IG|IG_001452|+|1781556:1782010", "2841813427|Ga0349638_01|IG|IG_001453|+|1785971:1786666", "2841813427|Ga0349638_01|IG|IG_001454|+|1787792:1787797", "2841813427|Ga0349638_01|IG|IG_001455|+|1788698:1788702", "2841813427|Ga0349638_01|IG|IG_001456|+|1789885:1789895", "2841813427|Ga0349638_01|IG|IG_001457|+|1792210:1792504", "2841813427|Ga0349638_01|IG|IG_001458|+|1793546:1793752", "2841813427|Ga0349638_01|IG|IG_001459|+|1794254:1794881", "2841813427|Ga0349638_01|IG|IG_001460|+|1795590:1795767", "2841813427|Ga0349638_01|IG|IG_001461|+|1796896:1796899", "2841813427|Ga0349638_01|IG|IG_001462|+|1797071:1797101", "2841813427|Ga0349638_01|IG|IG_001463|+|1799301:1799390", "2841813427|Ga0349638_01|IG|IG_001464|+|1800612:1800634", "2841813427|Ga0349638_01|IG|IG_001465|+|1802164:1802243", "2841813427|Ga0349638_01|IG|IG_001466|+|1803077:1803120", "2841813427|Ga0349638_01|IG|IG_001467|+|1804231:1804411", "2841813427|Ga0349638_01|IG|IG_001468|+|1806168:1806170", "2841813427|Ga0349638_01|IG|IG_001469|+|1807116:1807154", "2841813427|Ga0349638_01|IG|IG_001470|+|1808052:1808132", "2841813427|Ga0349638_01|IG|IG_001471|+|1810074:1810168", "2841813427|Ga0349638_01|IG|IG_001472|+|1811051:1811268", "2841813427|Ga0349638_01|IG|IG_001473|+|1812616:1813063", "2841813427|Ga0349638_01|IG|IG_001474|+|1814246:1814287", "2841813427|Ga0349638_01|IG|IG_001475|+|1815719:1815734", "2841813427|Ga0349638_01|IG|IG_001476|+|1816392:1816402", "2841813427|Ga0349638_01|IG|IG_001477|+|1818320:1818336", "2841813427|Ga0349638_01|IG|IG_001478|+|1819087:1819475", "2841813427|Ga0349638_01|IG|IG_001479|+|1826723:1826723", "2841813427|Ga0349638_01|IG|IG_001480|+|1827645:1827659", "2841813427|Ga0349638_01|IG|IG_001481|+|1828326:1828374", "2841813427|Ga0349638_01|IG|IG_001482|+|1829131:1829337", "2841813427|Ga0349638_01|IG|IG_001483|+|1830646:1830860", "2841813427|Ga0349638_01|IG|IG_001484|+|1831350:1831448", "2841813427|Ga0349638_01|IG|IG_001485|+|1831950:1831959", "2841813427|Ga0349638_01|IG|IG_001486|+|1832707:1832844", "2841813427|Ga0349638_01|IG|IG_001487|+|1834273:1834284", "2841813427|Ga0349638_01|IG|IG_001488|+|1837429:1837450", "2841813427|Ga0349638_01|IG|IG_001489|+|1838606:1839060", "2841813427|Ga0349638_01|IG|IG_001490|+|1839955:1840111", "2841813427|Ga0349638_01|IG|IG_001491|+|1840733:1840812", "2841813427|Ga0349638_01|IG|IG_001492|+|1841383:1841579", "2841813427|Ga0349638_01|IG|IG_001493|+|1842174:1842207", "2841813427|Ga0349638_01|IG|IG_001494|+|1843393:1843415", "2841813427|Ga0349638_01|IG|IG_001495|+|1844817:1845392", "2841813427|Ga0349638_01|IG|IG_001496|+|1846662:1846729", "2841813427|Ga0349638_01|IG|IG_001497|+|1847156:1847175", "2841813427|Ga0349638_01|IG|IG_001498|+|1847755:1847979", "2841813427|Ga0349638_01|IG|IG_001499|+|1848796:1848930", "2841813427|Ga0349638_01|IG|IG_001500|+|1849678:1850208", "2841813427|Ga0349638_01|IG|IG_001501|+|1851556:1851623", "2841813427|Ga0349638_01|IG|IG_001502|+|1854789:1854790", "2841813427|Ga0349638_01|IG|IG_001503|+|1855664:1855735", "2841813427|Ga0349638_01|IG|IG_001504|+|1855943:1855943", "2841813427|Ga0349638_01|IG|IG_001505|+|1856460:1856683", "2841813427|Ga0349638_01|IG|IG_001506|+|1858043:1858128", "2841813427|Ga0349638_01|IG|IG_001507|+|1859188:1859209", "2841813427|Ga0349638_01|IG|IG_001508|+|1860593:1860894", "2841813427|Ga0349638_01|IG|IG_001509|+|1861642:1861770", "2841813427|Ga0349638_01|IG|IG_001510|+|1863154:1863248", "2841813427|Ga0349638_01|IG|IG_001511|+|1863582:1863667", "2841813427|Ga0349638_01|IG|IG_001512|+|1864682:1864816", "2841813427|Ga0349638_01|IG|IG_001513|+|1865300:1865322", "2841813427|Ga0349638_01|IG|IG_001514|+|1866022:1866312", "2841813427|Ga0349638_01|IG|IG_001515|+|1869040:1869062", "2841813427|Ga0349638_01|IG|IG_001516|+|1871989:1871991", "2841813427|Ga0349638_01|IG|IG_001517|+|1873132:1873153", "2841813427|Ga0349638_01|IG|IG_001518|+|1873637:1873843", "2841813427|Ga0349638_01|IG|IG_001519|+|1874570:1874571", "2841813427|Ga0349638_01|IG|IG_001520|+|1875233:1875272", "2841813427|Ga0349638_01|IG|IG_001521|+|1875519:1875673", "2841813427|Ga0349638_01|IG|IG_001522|+|1875902:1875983", "2841813427|Ga0349638_01|IG|IG_001523|+|1877790:1877798", "2841813427|Ga0349638_01|IG|IG_001524|+|1878423:1878483", "2841813427|Ga0349638_01|IG|IG_001525|+|1879270:1879334", "2841813427|Ga0349638_01|IG|IG_001526|+|1880745:1880762", "2841813427|Ga0349638_01|IG|IG_001527|+|1881327:1881336", "2841813427|Ga0349638_01|IG|IG_001528|+|1881784:1881864", "2841813427|Ga0349638_01|IG|IG_001529|+|1882198:1882412", "2841813427|Ga0349638_01|IG|IG_001530|+|1883943:1884018", "2841813427|Ga0349638_01|IG|IG_001531|+|1885444:1885507", "2841813427|Ga0349638_01|IG|IG_001532|+|1886444:1886471", "2841813427|Ga0349638_01|IG|IG_001533|+|1889615:1889666", "2841813427|Ga0349638_01|IG|IG_001534|+|1889880:1890145", "2841813427|Ga0349638_01|IG|IG_001535|+|1890515:1890623", "2841813427|Ga0349638_01|IG|IG_001536|+|1892561:1892634", "2841813427|Ga0349638_01|IG|IG_001537|+|1893838:1893863", "2841813427|Ga0349638_01|IG|IG_001538|+|1894977:1895161", "2841813427|Ga0349638_01|IG|IG_001539|+|1895858:1895919", "2841813427|Ga0349638_01|IG|IG_001540|+|1896505:1896528", "2841813427|Ga0349638_01|IG|IG_001541|+|1896871:1896884", "2841813427|Ga0349638_01|IG|IG_001542|+|1897254:1897256", "2841813427|Ga0349638_01|IG|IG_001543|+|1897635:1897657", "2841813427|Ga0349638_01|IG|IG_001544|+|1898432:1898626", "2841813427|Ga0349638_01|IG|IG_001545|+|1899893:1899914", "2841813427|Ga0349638_01|IG|IG_001546|+|1900752:1900781", "2841813427|Ga0349638_01|IG|IG_001547|+|1901025:1901211", "2841813427|Ga0349638_01|IG|IG_001548|+|1902703:1902762", "2841813427|Ga0349638_01|IG|IG_001549|+|1904474:1904708", "2841813427|Ga0349638_01|IG|IG_001550|+|1905330:1905386", "2841813427|Ga0349638_01|IG|IG_001551|+|1907636:1907793", "2841813427|Ga0349638_01|IG|IG_001552|+|1908682:1908806", "2841813427|Ga0349638_01|IG|IG_001553|+|1909239:1909285", "2841813427|Ga0349638_01|IG|IG_001554|+|1909724:1909761", "2841813427|Ga0349638_01|IG|IG_001555|+|1909942:1910003", "2841813427|Ga0349638_01|IG|IG_001556|+|1910409:1910495", "2841813427|Ga0349638_01|IG|IG_001557|+|1911216:1911236", "2841813427|Ga0349638_01|IG|IG_001558|+|1913481:1913541", "2841813427|Ga0349638_01|IG|IG_001559|+|1913995:1914051", "2841813427|Ga0349638_01|IG|IG_001560|+|1915699:1915816", "2841813427|Ga0349638_01|IG|IG_001561|+|1916669:1916797", "2841813427|Ga0349638_01|IG|IG_001562|+|1917912:1917956", "2841813427|Ga0349638_01|IG|IG_001563|+|1922512:1922543", "2841813427|Ga0349638_01|IG|IG_001564|+|1923633:1923690", "2841813427|Ga0349638_01|IG|IG_001565|+|1924021:1924733", "2841813427|Ga0349638_01|IG|IG_001566|+|1927881:1927909", "2841813427|Ga0349638_01|IG|IG_001567|+|1929434:1929441", "2841813427|Ga0349638_01|IG|IG_001568|+|1930765:1930769", "2841813427|Ga0349638_01|IG|IG_001569|+|1931241:1931251", "2841813427|Ga0349638_01|IG|IG_001570|+|1932284:1932289", "2841813427|Ga0349638_01|IG|IG_001571|+|1934058:1934068", "2841813427|Ga0349638_01|IG|IG_001572|+|1935913:1936101", "2841813427|Ga0349638_01|IG|IG_001573|+|1936267:1936623", "2841813427|Ga0349638_01|IG|IG_001574|+|1936814:1936936", "2841813427|Ga0349638_01|IG|IG_001575|+|1939139:1939214", "2841813427|Ga0349638_01|IG|IG_001576|+|1939419:1939504", "2841813427|Ga0349638_01|IG|IG_001577|+|1939682:1939715", "2841813427|Ga0349638_01|IG|IG_001578|+|1939899:1939997", "2841813427|Ga0349638_01|IG|IG_001579|+|1941303:1941363", "2841813427|Ga0349638_01|IG|IG_001580|+|1942879:1943275", "2841813427|Ga0349638_01|IG|IG_001581|+|1944809:1945006", "2841813427|Ga0349638_01|IG|IG_001582|+|1945202:1945332", "2841813427|Ga0349638_01|IG|IG_001583|+|1945537:1945611", "2841813427|Ga0349638_01|IG|IG_001584|+|1946503:1946649", "2841813427|Ga0349638_01|IG|IG_001585|+|1947223:1947308", "2841813427|Ga0349638_01|IG|IG_001586|+|1948800:1948872", "2841813427|Ga0349638_01|IG|IG_001587|+|1951738:1952027", "2841813427|Ga0349638_01|IG|IG_001588|+|1952918:1952939", "2841813427|Ga0349638_01|IG|IG_001589|+|1953081:1953163", "2841813427|Ga0349638_01|IG|IG_001590|+|1954286:1954518", "2841813427|Ga0349638_01|IG|IG_001591|+|1955011:1955390", "2841813427|Ga0349638_01|IG|IG_001592|+|1956441:1956564", "2841813427|Ga0349638_01|IG|IG_001593|+|1957264:1957470", "2841813427|Ga0349638_01|IG|IG_001594|+|1958547:1958671", "2841813427|Ga0349638_01|IG|IG_001595|+|1959965:1960384", "2841813427|Ga0349638_01|IG|IG_001596|+|1962185:1962437", "2841813427|Ga0349638_01|IG|IG_001597|+|1962594:1962851", "2841813427|Ga0349638_01|IG|IG_001598|+|1966181:1966401", "2841813427|Ga0349638_01|IG|IG_001599|+|1966813:1966866", "2841813427|Ga0349638_01|IG|IG_001600|+|1967470:1967817", "2841813427|Ga0349638_01|IG|IG_001601|+|1971959:1972156", "2841813427|Ga0349638_01|IG|IG_001602|+|1973543:1975758", "2841813427|Ga0349638_01|IG|IG_001603|+|1977529:1977956", "2841813427|Ga0349638_01|IG|IG_001604|+|1979583:1980344", "2841813427|Ga0349638_01|IG|IG_001605|+|1980825:1981293", "2841813427|Ga0349638_01|IG|IG_001606|+|1983613:1983685", "2841813427|Ga0349638_01|IG|IG_001607|+|1984862:1984959", "2841813427|Ga0349638_01|IG|IG_001608|+|1985527:1985528", "2841813427|Ga0349638_01|IG|IG_001609|+|1985742:1985921", "2841813427|Ga0349638_01|IG|IG_001610|+|1987125:1987128", "2841813427|Ga0349638_01|IG|IG_001611|+|1989391:1989446", "2841813427|Ga0349638_01|IG|IG_001612|+|1990428:1990478", "2841813427|Ga0349638_01|IG|IG_001613|+|1991916:1992195", "2841813427|Ga0349638_01|IG|IG_001614|+|1992367:1992410", "2841813427|Ga0349638_01|IG|IG_001615|+|1994520:1994637", "2841813427|Ga0349638_01|IG|IG_001616|+|1995859:1995938", "2841813427|Ga0349638_01|IG|IG_001617|+|1996791:1996976", "2841813427|Ga0349638_01|IG|IG_001618|+|1997640:1997659", "2841813427|Ga0349638_01|IG|IG_001619|+|1998995:1999109", "2841813427|Ga0349638_01|IG|IG_001620|+|1999575:1999627", "2841813427|Ga0349638_01|IG|IG_001621|+|1999847:2000080", "2841813427|Ga0349638_01|IG|IG_001622|+|2001413:2001651", "2841813427|Ga0349638_01|IG|IG_001623|+|2002123:2002211", "2841813427|Ga0349638_01|IG|IG_001624|+|2003874:2004167", "2841813427|Ga0349638_01|IG|IG_001625|+|2005836:2005913", "2841813427|Ga0349638_01|IG|IG_001626|+|2007120:2007255", "2841813427|Ga0349638_01|IG|IG_001627|+|2007595:2007683", "2841813427|Ga0349638_01|IG|IG_001628|+|2007984:2008218", "2841813427|Ga0349638_01|IG|IG_001629|+|2009158:2009240", "2841813427|Ga0349638_01|IG|IG_001630|+|2009901:2010008", "2841813427|Ga0349638_01|IG|IG_001631|+|2011020:2011103", "2841813427|Ga0349638_01|IG|IG_001632|+|2011869:2012052", "2841813427|Ga0349638_01|IG|IG_001633|+|2013106:2013158", "2841813427|Ga0349638_01|IG|IG_001634|+|2013546:2013761", "2841813427|Ga0349638_01|IG|IG_001635|+|2016435:2016497", "2841813427|Ga0349638_01|IG|IG_001636|+|2016873:2017007", "2841813427|Ga0349638_01|IG|IG_001637|+|2017341:2017399", "2841813427|Ga0349638_01|IG|IG_001638|+|2018840:2018840", "2841813427|Ga0349638_01|IG|IG_001639|+|2019897:2019966", "2841813427|Ga0349638_01|IG|IG_001640|+|2020567:2020664", "2841813427|Ga0349638_01|IG|IG_001641|+|2021271:2021624", "2841813427|Ga0349638_01|IG|IG_001642|+|2021994:2022141", "2841813427|Ga0349638_01|IG|IG_001643|+|2023171:2023248", "2841813427|Ga0349638_01|IG|IG_001644|+|2024707:2024769", "2841813427|Ga0349638_01|IG|IG_001645|+|2025412:2025436", "2841813427|Ga0349638_01|IG|IG_001646|+|2027912:2028108", "2841813427|Ga0349638_01|IG|IG_001647|+|2031385:2031447", "2841813427|Ga0349638_01|IG|IG_001648|+|2032063:2032198", "2841813427|Ga0349638_01|IG|IG_001649|+|2032613:2032614", "2841813427|Ga0349638_01|IG|IG_001650|+|2032873:2033055", "2841813427|Ga0349638_01|IG|IG_001651|+|2033191:2033398", "2841813427|Ga0349638_01|IG|IG_001652|+|2034266:2034306", "2841813427|Ga0349638_01|IG|IG_001653|+|2034487:2034562", "2841813427|Ga0349638_01|IG|IG_001654|+|2034854:2035039", "2841813427|Ga0349638_01|IG|IG_001655|+|2037314:2037330", "2841813427|Ga0349638_01|IG|IG_001656|+|2038210:2038993", "2841813427|Ga0349638_01|IG|IG_001657|+|2040192:2040245", "2841813427|Ga0349638_01|IG|IG_001658|+|2040681:2040685", "2841813427|Ga0349638_01|IG|IG_001659|+|2041724:2041908", "2841813427|Ga0349638_01|IG|IG_001660|+|2042860:2043872", "2841813427|Ga0349638_01|IG|IG_001661|+|2044701:2044831", "2841813427|Ga0349638_01|IG|IG_001662|+|2045678:2045763", "2841813427|Ga0349638_01|IG|IG_001663|+|2046873:2046891", "2841813427|Ga0349638_01|IG|IG_001664|+|2047471:2047686", "2841813427|Ga0349638_01|IG|IG_001665|+|2048104:2048383", "2841813427|Ga0349638_01|IG|IG_001666|+|2049632:2049812", "2841813427|Ga0349638_01|IG|IG_001667|+|2050182:2050292", "2841813427|Ga0349638_01|IG|IG_001668|+|2050566:2050714", "2841813427|Ga0349638_01|IG|IG_001669|+|2051135:2051192", "2841813427|Ga0349638_01|IG|IG_001670|+|2051988:2052065", "2841813427|Ga0349638_01|IG|IG_001671|+|2055849:2055872", "2841813427|Ga0349638_01|IG|IG_001672|+|2058168:2058307", "2841813427|Ga0349638_01|IG|IG_001673|+|2058800:2058809", "2841813427|Ga0349638_01|IG|IG_001674|+|2059986:2060231", "2841813427|Ga0349638_01|IG|IG_001675|+|2060358:2060873", "2841813427|Ga0349638_01|IG|IG_001676|+|2061582:2061610", "2841813427|Ga0349638_01|IG|IG_001677|+|2062322:2062605", "2841813427|Ga0349638_01|IG|IG_001678|+|2063029:2063123", "2841813427|Ga0349638_01|IG|IG_001679|+|2063370:2063373", "2841813427|Ga0349638_01|IG|IG_001680|+|2064273:2064781", "2841813427|Ga0349638_01|IG|IG_001681|+|2064986:2067205", "2841813427|Ga0349638_01|IG|IG_001682|+|2068676:2068915", "2841813427|Ga0349638_01|IG|IG_001683|+|2069297:2069915", "2841813427|Ga0349638_01|IG|IG_001684|+|2070450:2070743", "2841813427|Ga0349638_01|IG|IG_001685|+|2071113:2071127", "2841813427|Ga0349638_01|IG|IG_001686|+|2071278:2072153", "2841813427|Ga0349638_01|IG|IG_001687|+|2072337:2072429", "2841813427|Ga0349638_01|IG|IG_001688|+|2074122:2074990", "2841813427|Ga0349638_01|IG|IG_001689|+|2075192:2075204", "2841813427|Ga0349638_01|IG|IG_001690|+|2076816:2077555", "2841813427|Ga0349638_01|IG|IG_001691|+|2078525:2079350", "2841813427|Ga0349638_01|IG|IG_001692|+|2080419:2080543", "2841813427|Ga0349638_01|IG|IG_001693|+|2081672:2081769", "2841813427|Ga0349638_01|IG|IG_001694|+|2082697:2082884", "2841813427|Ga0349638_01|IG|IG_001695|+|2083152:2083545", "2841813427|Ga0349638_01|IG|IG_001696|+|2085190:2085214", "2841813427|Ga0349638_01|IG|IG_001697|+|2085905:2086042", "2841813427|Ga0349638_01|IG|IG_001698|+|2088943:2089395", "2841813427|Ga0349638_01|IG|IG_001699|+|2090843:2091012", "2841813427|Ga0349638_01|IG|IG_001700|+|2093290:2093365", "2841813427|Ga0349638_01|IG|IG_001701|+|2093834:2093838", "2841813427|Ga0349638_01|IG|IG_001702|+|2094487:2094835", "2841813427|Ga0349638_01|IG|IG_001703|+|2095778:2095787", "2841813427|Ga0349638_01|IG|IG_001704|+|2095884:2095900", "2841813427|Ga0349638_01|IG|IG_001705|+|2096813:2097080", "2841813427|Ga0349638_01|IG|IG_001706|+|2097276:2097649", "2841813427|Ga0349638_01|IG|IG_001707|+|2098496:2098618", "2841813427|Ga0349638_01|IG|IG_001708|+|2099408:2099558", "2841813427|Ga0349638_01|IG|IG_001709|+|2100102:2100336", "2841813427|Ga0349638_01|IG|IG_001710|+|2100925:2100977", "2841813427|Ga0349638_01|IG|IG_001711|+|2101662:2102962", "2841813427|Ga0349638_01|IG|IG_001712|+|2104004:2104169", "2841813427|Ga0349638_01|IG|IG_001713|+|2105574:2105657", "2841813427|Ga0349638_01|IG|IG_001714|+|2108574:2108858", "2841813427|Ga0349638_01|IG|IG_001715|+|2110356:2110522", "2841813427|Ga0349638_01|IG|IG_001716|+|2112785:2112852", "2841813427|Ga0349638_01|IG|IG_001717|+|2114989:2115121", "2841813427|Ga0349638_01|IG|IG_001718|+|2115602:2115650", "2841813427|Ga0349638_01|IG|IG_001719|+|2115834:2115892", "2841813427|Ga0349638_01|IG|IG_001720|+|2116022:2116032", "2841813427|Ga0349638_01|IG|IG_001721|+|2116678:2116754", "2841813427|Ga0349638_01|IG|IG_001722|+|2118483:2118487", "2841813427|Ga0349638_01|IG|IG_001723|+|2119598:2119733", "2841813427|Ga0349638_01|IG|IG_001724|+|2120823:2120914", "2841813427|Ga0349638_01|IG|IG_001725|+|2121482:2121492", "2841813427|Ga0349638_01|IG|IG_001726|+|2123533:2123556", "2841813427|Ga0349638_01|IG|IG_001727|+|2125252:2125836", "2841813427|Ga0349638_01|IG|IG_001728|+|2126863:2126866", "2841813427|Ga0349638_01|IG|IG_001729|+|2128196:2128308", "2841813427|Ga0349638_01|IG|IG_001730|+|2130295:2130351", "2841813427|Ga0349638_01|IG|IG_001731|+|2132214:2132289", "2841813427|Ga0349638_01|IG|IG_001732|+|2133028:2133190", "2841813427|Ga0349638_01|IG|IG_001733|+|2133677:2133680", "2841813427|Ga0349638_01|IG|IG_001734|+|2134107:2134240", "2841813427|Ga0349638_01|IG|IG_001735|+|2134706:2134765", "2841813427|Ga0349638_01|IG|IG_001736|+|2136773:2136847", "2841813427|Ga0349638_01|IG|IG_001737|+|2139305:2139684", "2841813427|Ga0349638_01|IG|IG_001738|+|2141020:2141121", "2841813427|Ga0349638_01|IG|IG_001739|+|2141617:2141689", "2841813427|Ga0349638_01|IG|IG_001740|+|2142404:2142471", "2841813427|Ga0349638_01|IG|IG_001741|+|2145076:2145320", "2841813427|Ga0349638_01|IG|IG_001742|+|2146170:2146174", "2841813427|Ga0349638_01|IG|IG_001743|+|2147066:2147163", "2841813427|Ga0349638_01|IG|IG_001744|+|2148508:2148677", "2841813427|Ga0349638_01|IG|IG_001745|+|2149245:2149419", "2841813427|Ga0349638_01|IG|IG_001746|+|2150530:2150538", "2841813427|Ga0349638_01|IG|IG_001747|+|2151016:2151109", "2841813427|Ga0349638_01|IG|IG_001748|+|2151788:2151882", "2841813427|Ga0349638_01|IG|IG_001749|+|2153803:2153872", "2841813427|Ga0349638_01|IG|IG_001750|+|2154557:2154727", "2841813427|Ga0349638_01|IG|IG_001751|+|2154962:2155046", "2841813427|Ga0349638_01|IG|IG_001752|+|2155746:2155902", "2841813427|Ga0349638_01|IG|IG_001753|+|2156326:2156431", "2841813427|Ga0349638_01|IG|IG_001754|+|2157389:2157408", "2841813427|Ga0349638_01|IG|IG_001755|+|2158330:2158457", "2841813427|Ga0349638_01|IG|IG_001756|+|2158929:2159009", "2841813427|Ga0349638_01|IG|IG_001757|+|2159871:2159923", "2841813427|Ga0349638_01|IG|IG_001758|+|2160461:2160503", "2841813427|Ga0349638_01|IG|IG_001759|+|2161593:2161650", "2841813427|Ga0349638_01|IG|IG_001760|+|2163121:2163165", "2841813427|Ga0349638_01|IG|IG_001761|+|2163520:2163553", "2841813427|Ga0349638_01|IG|IG_001762|+|2165681:2165702", "2841813427|Ga0349638_01|IG|IG_001763|+|2166462:2166496", "2841813427|Ga0349638_01|IG|IG_001764|+|2167325:2167386", "2841813427|Ga0349638_01|IG|IG_001765|+|2168332:2168422", "2841813427|Ga0349638_01|IG|IG_001766|+|2169263:2169451", "2841813427|Ga0349638_01|IG|IG_001767|+|2170463:2170490", "2841813427|Ga0349638_01|IG|IG_001768|+|2172572:2172696", "2841813427|Ga0349638_01|IG|IG_001769|+|2174065:2174081", "2841813427|Ga0349638_01|IG|IG_001770|+|2174913:2175029", "2841813427|Ga0349638_01|IG|IG_001771|+|2175795:2175989", "2841813427|Ga0349638_01|IG|IG_001772|+|2176467:2176569", "2841813427|Ga0349638_01|IG|IG_001773|+|2178390:2178610", "2841813427|Ga0349638_01|IG|IG_001774|+|2179652:2179653", "2841813427|Ga0349638_01|IG|IG_001775|+|2180815:2180829", "2841813427|Ga0349638_01|IG|IG_001776|+|2181892:2182036", "2841813427|Ga0349638_01|IG|IG_001777|+|2182820:2182933", "2841813427|Ga0349638_01|IG|IG_001778|+|2184599:2184657", "2841813427|Ga0349638_01|IG|IG_001779|+|2186857:2187150", "2841813427|Ga0349638_01|IG|IG_001780|+|2187568:2187636", "2841813427|Ga0349638_01|IG|IG_001781|+|2188909:2189167", "2841813427|Ga0349638_01|IG|IG_001782|+|2190950:2191158", "2841813427|Ga0349638_01|IG|IG_001783|+|2191915:2192041", "2841813427|Ga0349638_01|IG|IG_001784|+|2193179:2193246", "2841813427|Ga0349638_01|IG|IG_001785|+|2195494:2195565", "2841813427|Ga0349638_01|IG|IG_001786|+|2196967:2196967", "2841813427|Ga0349638_01|IG|IG_001787|+|2198126:2198127", "2841813427|Ga0349638_01|IG|IG_001788|+|2198458:2198643", "2841813427|Ga0349638_01|IG|IG_001789|+|2199250:2199367", "2841813427|Ga0349638_01|IG|IG_001790|+|2200364:2200385", "2841813427|Ga0349638_01|IG|IG_001791|+|2200944:2200958", "2841813427|Ga0349638_01|IG|IG_001792|+|2201622:2201624", "2841813427|Ga0349638_01|IG|IG_001793|+|2202246:2202403", "2841813427|Ga0349638_01|IG|IG_001794|+|2203301:2203436", "2841813427|Ga0349638_01|IG|IG_001795|+|2204481:2204601", "2841813427|Ga0349638_01|IG|IG_001796|+|2207089:2207396", "2841813427|Ga0349638_01|IG|IG_001797|+|2208306:2208528", "2841813427|Ga0349638_01|IG|IG_001798|+|2209909:2210079", "2841813427|Ga0349638_01|IG|IG_001799|+|2212216:2212358", "2841813427|Ga0349638_01|IG|IG_001800|+|2214018:2214198", "2841813427|Ga0349638_01|IG|IG_001801|+|2215909:2216036", "2841813427|Ga0349638_01|IG|IG_001802|+|2217552:2217583", "2841813427|Ga0349638_01|IG|IG_001803|+|2218118:2218350", "2841813427|Ga0349638_01|IG|IG_001804|+|2221114:2221182", "2841813427|Ga0349638_01|IG|IG_001805|+|2221897:2221996", "2841813427|Ga0349638_01|IG|IG_001806|+|2223422:2223435", "2841813427|Ga0349638_01|IG|IG_001807|+|2223985:2224055", "2841813427|Ga0349638_01|IG|IG_001808|+|2225205:2225217", "2841813427|Ga0349638_01|IG|IG_001809|+|2225758:2225785", "2841813427|Ga0349638_01|IG|IG_001810|+|2226113:2226318", "2841813427|Ga0349638_01|IG|IG_001811|+|2226823:2226829", "2841813427|Ga0349638_01|IG|IG_001812|+|2227622:2227695", "2841813427|Ga0349638_01|IG|IG_001813|+|2228188:2228200", "2841813427|Ga0349638_01|IG|IG_001814|+|2228489:2228600", "2841813427|Ga0349638_01|IG|IG_001815|+|2229822:2229847", "2841813427|Ga0349638_01|IG|IG_001816|+|2232437:2232612", "2841813427|Ga0349638_01|IG|IG_001817|+|2233291:2233299", "2841813427|Ga0349638_01|IG|IG_001818|+|2234329:2234455", "2841813427|Ga0349638_01|IG|IG_001819|+|2235035:2235156", "2841813427|Ga0349638_01|IG|IG_001820|+|2236441:2236457", "2841813427|Ga0349638_01|IG|IG_001821|+|2237649:2237651", "2841813427|Ga0349638_01|IG|IG_001822|+|2238714:2238781", "2841813427|Ga0349638_01|IG|IG_001823|+|2241662:2241662", "2841813427|Ga0349638_01|IG|IG_001824|+|2242296:2242493", "2841813427|Ga0349638_01|IG|IG_001825|+|2243445:2243648", "2841813427|Ga0349638_01|IG|IG_001826|+|2244291:2244416", "2841813427|Ga0349638_01|IG|IG_001827|+|2245713:2245813", "2841813427|Ga0349638_01|IG|IG_001828|+|2247128:2247199", "2841813427|Ga0349638_01|IG|IG_001829|+|2248732:2249142", "2841813427|Ga0349638_01|IG|IG_001830|+|2250700:2250762", "2841813427|Ga0349638_01|IG|IG_001831|+|2252305:2252308", "2841813427|Ga0349638_01|IG|IG_001832|+|2253848:2254309", "2841813427|Ga0349638_01|IG|IG_001833|+|2254484:2254535", "2841813427|Ga0349638_01|IG|IG_001834|+|2256120:2256334", "2841813427|Ga0349638_01|IG|IG_001835|+|2257169:2257171", "2841813427|Ga0349638_01|IG|IG_001836|+|2258423:2258553", "2841813427|Ga0349638_01|IG|IG_001837|+|2259223:2259374", "2841813427|Ga0349638_01|IG|IG_001838|+|2261439:2261546", "2841813427|Ga0349638_01|IG|IG_001839|+|2262798:2263052", "2841813427|Ga0349638_01|IG|IG_001840|+|2263632:2263704", "2841813427|Ga0349638_01|IG|IG_001841|+|2265778:2265869", "2841813427|Ga0349638_01|IG|IG_001842|+|2266713:2266777", "2841813427|Ga0349638_01|IG|IG_001843|+|2267699:2267699", "2841813427|Ga0349638_01|IG|IG_001844|+|2268525:2268607", "2841813427|Ga0349638_01|IG|IG_001845|+|2268923:2268978", "2841813427|Ga0349638_01|IG|IG_001846|+|2270227:2270317", "2841813427|Ga0349638_01|IG|IG_001847|+|2270810:2270851", "2841813427|Ga0349638_01|IG|IG_001848|+|2271983:2272044", "2841813427|Ga0349638_01|IG|IG_001849|+|2273320:2273343", "2841813427|Ga0349638_01|IG|IG_001850|+|2274499:2274762", "2841813427|Ga0349638_01|IG|IG_001851|+|2276101:2276115", "2841813427|Ga0349638_01|IG|IG_001852|+|2276935:2276995", "2841813427|Ga0349638_01|IG|IG_001853|+|2277623:2277746", "2841813427|Ga0349638_01|IG|IG_001854|+|2279226:2279319", "2841813427|Ga0349638_01|IG|IG_001855|+|2279893:2279896", "2841813427|Ga0349638_01|IG|IG_001856|+|2281487:2281573", "2841813427|Ga0349638_01|IG|IG_001857|+|2282048:2282051", "2841813427|Ga0349638_01|IG|IG_001858|+|2282853:2282941", "2841813427|Ga0349638_01|IG|IG_001859|+|2284499:2284601", "2841813427|Ga0349638_01|IG|IG_001860|+|2284695:2284720", "2841813427|Ga0349638_01|IG|IG_001861|+|2285018:2285064", "2841813427|Ga0349638_01|IG|IG_001862|+|2286094:2286240", "2841813427|Ga0349638_01|IG|IG_001863|+|2287162:2287204", "2841813427|Ga0349638_01|IG|IG_001864|+|2289047:2289111", "2841813427|Ga0349638_01|IG|IG_001865|+|2290486:2290810", "2841813427|Ga0349638_01|IG|IG_001866|+|2291744:2292341", "2841813427|Ga0349638_01|IG|IG_001867|+|2292519:2292552", "2841813427|Ga0349638_01|IG|IG_001868|+|2294194:2294638", "2841813427|Ga0349638_01|IG|IG_001869|+|2294843:2294908", "2841813427|Ga0349638_01|IG|IG_001870|+|2296580:2296936", "2841813427|Ga0349638_01|IG|IG_001871|+|2297114:2297145", "2841813427|Ga0349638_01|IG|IG_001872|+|2298637:2298720", "2841813427|Ga0349638_01|IG|IG_001873|+|2299009:2299020", "2841813427|Ga0349638_01|IG|IG_001874|+|2299825:2299909", "2841813427|Ga0349638_01|IG|IG_001875|+|2300846:2301063", "2841813427|Ga0349638_01|IG|IG_001876|+|2303110:2303113", "2841813427|Ga0349638_01|IG|IG_001877|+|2304434:2304573", "2841813427|Ga0349638_01|IG|IG_001878|+|2305063:2305089", "2841813427|Ga0349638_01|IG|IG_001879|+|2306071:2306079", "2841813427|Ga0349638_01|IG|IG_001880|+|2306734:2306755", "2841813427|Ga0349638_01|IG|IG_001881|+|2307629:2307787", "2841813427|Ga0349638_01|IG|IG_001882|+|2308958:2309030", "2841813427|Ga0349638_01|IG|IG_001883|+|2309973:2309976", "2841813427|Ga0349638_01|IG|IG_001884|+|2310376:2310592", "2841813427|Ga0349638_01|IG|IG_001885|+|2311004:2311091", "2841813427|Ga0349638_01|IG|IG_001886|+|2311611:2311654", "2841813427|Ga0349638_01|IG|IG_001887|+|2312474:2312544", "2841813427|Ga0349638_01|IG|IG_001888|+|2313465:2313503", "2841813427|Ga0349638_01|IG|IG_001889|+|2314345:2314345", "2841813427|Ga0349638_01|IG|IG_001890|+|2315060:2315068", "2841813427|Ga0349638_01|IG|IG_001891|+|2315915:2315974", "2841813427|Ga0349638_01|IG|IG_001892|+|2316500:2316865", "2841813427|Ga0349638_01|IG|IG_001893|+|2320358:2320508", "2841813427|Ga0349638_01|IG|IG_001894|+|2321244:2321309", "2841813427|Ga0349638_01|IG|IG_001895|+|2321970:2322081", "2841813427|Ga0349638_01|IG|IG_001896|+|2322985:2323431", "2841813427|Ga0349638_01|IG|IG_001897|+|2323633:2323663", "2841813427|Ga0349638_01|IG|IG_001898|+|2325188:2325355", "2841813427|Ga0349638_01|IG|IG_001899|+|2326034:2326051", "2841813427|Ga0349638_01|IG|IG_001900|+|2326899:2326980", "2841813427|Ga0349638_01|IG|IG_001901|+|2328318:2328380", "2841813427|Ga0349638_01|IG|IG_001902|+|2329038:2329066", "2841813427|Ga0349638_01|IG|IG_001903|+|2329784:2329784", "2841813427|Ga0349638_01|IG|IG_001904|+|2332983:2332996", "2841813427|Ga0349638_01|IG|IG_001905|+|2333576:2333677", "2841813427|Ga0349638_01|IG|IG_001906|+|2334812:2334844", "2841813427|Ga0349638_01|IG|IG_001907|+|2335220:2335351", "2841813427|Ga0349638_01|IG|IG_001908|+|2337693:2337803", "2841813427|Ga0349638_01|IG|IG_001909|+|2338659:2338889", "2841813427|Ga0349638_01|IG|IG_001910|+|2340300:2340371", "2841813427|Ga0349638_01|IG|IG_001911|+|2341611:2341725", "2841813427|Ga0349638_01|IG|IG_001912|+|2342611:2342628" ]
[ "MFYIGVAAGAAAIIAFVCCLTTLTLMGVEFWKKRKGF", "MKTIDLTSVPAAALEAALAEKKEAERLAREKQRTDYEALKKETIEELAPIAEDIHLQLMRYKSKAFSQLGALYNLLQEYSKRHQDGKGNFEISNEDYKIQFKRQGKGTFDERSHQAEQHIIDFINNRFGGDADTRDFIMLCLERKKGALDVDQVQKLYSMEDRFNDKNWKEGIKLLKESYSFIHSKDYVTVSKKERNGAWKPLVLNFSSL", "MNTKKVLKKLEEIGGFENVTLEGKKKIIATYTGIMNSIDDQKLKEITKKFPSERYDYEINVQEGKLILEIKDSKRYLRSQALNNTMRSGRVFFDPTDFMYRDPWKPRF", "MTTGDTGAHIVAKANAKEQERIKAFAALAKAKEIQAKRKGHWDYDPKMKIRKFIVDKN", "MKHQYLTLLQLDSIYRLLTWDDRIQVHLLMQNKTKDSNESIHVLLALIEEFSWYAPDMRYDQDRLLYYFEEEQERWLPIEQYKNNNPELTKELLI", "MNKALSSTDILSKKYKLFDFDGKWYDAFDTPETSGVWFIWGNSGNGKTSFILELVKELSKFGRVLYNSLEEGTSHTMQNAWKRHRVHECGRKVQLVCEDMQALDERLSRRKSPDIIVNDSWQYTNQTFQQYLAMKRKYPNKLFIFTSQADGKNPSGKSAVKVMYDASLKIWIEGFRAFSKGRYIGHNGGIYTIWDEGAQKYWGTTETK", "MNNATKQKIIAIAENFLDEKGYSANEFCQRFGIPANYFSFMRKGIQHIDVGDKKVPIDDRYYRMIAVAVDYDITETEKLWKHVDTSQSTEILAKMEDAKEYGLTSVIIGKTGAGKTYSSNAFVKSDPKNNIKITVGAMDNISDFLEKICDELKLPQVGSKSKKIKNIINELKRLNLNGRKPTLIFDECEYMKITTLANSKELYDHLNNNCGLIFLGAPEFLDKIERLKMKGKPGMAQWYRRIKFRITKLKDIDTRFHGFIEDIEDPGLVKLLQRECENYGELHDVLVPAKRESIRLSEPLTENLVRKMLNMPNIPKL", "MYDFYHNTLTIPGRALYEDLGVMSESNYKKQCLTGKINRIRQGKGLDNCALVEFDSIPERFRVEIVKKLGIPPKKEAQHLILKYYKTDYEAIDFYATHLLEDYRRLSPEKQDEYVRNAQMIQAVDAYMKDMKAFRKSRGGSITKIWQEAAIAVKEVKGQIGHTLPGTDRRLRDKLAEFAEHSYKSLISDNYGKKKAIKVKNATQEALLRQLLRDHRNLDNEQIAMIYNSVAKIQGFPELSAGTIGNYRKKWNLLVYAGTNGEKAFNDNIGMQVKRKAPSAPLLFWTVDGWDIELLYQRQTVNLKGEKVTTYHNRLTMVVVLDPVIKYPIGYAIGREESSGLIQQALRNAILHTEELFGQKHKVLQIQSDNYSKKTMTPIYEMMSKKFTPAKVGNAKSKIIEPWFKFFNKKYCQLAPNWSGHGVKFKTQPNEEYLNKIRHSFPDENGCITQIVTMIEIERERLKEQYIEAYNNMHEDAKKEISNQDFLLHFGETTGYTNKVNHNGLHIAINGKKHEYDSFDINFRMHSHLDWTIKYDSNNLNEVLAYNEDHNISFMLQEKYVQPMALYDRKEGDAEELSRIGQFNKEIKQVILDTQADDYKEVNQMFIQHPELDGTLAKMVIVDSKGQHKDQRNSKRIDRSKKLLNQQEKKIEKKEAFSWDKEHENYIKNKVNTSKYFDNE", "MTNYSRSKCPKCENSRFEMVEDVPEDSNYKMMFIRCTSCKTVIGVGDYYNIGTLIHKLAKKLNVRLD", "MEILLIISNTTSSLSQASIESQKKKLDISIEQKGILLPPEQYEEVWKKIKEIEKTISLNEH", "MKLLQKLLQNIFCISTYEVENVDSHIKELATSYQLLGFTYKTTYKAL", "MNKETKKRESYNTEIINALSQEFLVSTRFVRMCVRKEKHSLTAETIRKKYYELANPSIKALENFKKQPL", "MIKDRIIQVVEYKGIAKENFYKKIGMTSASFRGKAKETPINSTAIENILSEIQDLNPEWLLTGKGEMLKKDQPLYGTQIYTSDIINETEMIYKEPKSINTGIPLIPIDAMAGYGTGSMQIMDYETSYYEVPEFTELRAEFMIRVKGSSMYPKYSSGDLIACKKISLNTFFQWNKVYVLDTEQGALIKRVKKSQKENCILLVSDNPNYDPFDIHLDNIYSIACVLGVIRLE", "MKAVVLKAFGDIDQLEIKDIPVPTIGEDEVLIKTKAISINPVDYKIRKGIGWAPELLAYDPIILGWDVSGIVEAVGAKVEQFQIGDEVFGMVNFTGHGKAYAEYVAAPAAHLAKKPQNISHEEAAASTLAALTAWQAFNSYGKLRPNDKTLIHAASGGVGHFAVQIAKHLGAYVIGTSSAKNKNFVLGLGADEHIDYKLVKFEEVLSDLDFVLDAISGDNFAKSIQVLKPFGTIIGLPSGYKADDEIAARAKHLHASFYMKVYSSGEDMQHIAGLLEREIIKPYIYKIYALSEIKEAHQQIESGTTVGKIVIRI", "MKLFKLIAPALIAILFSISVKGQQKATDFLSIPGPVQFDNASWRLNWSSHPNANYYKQEYLTPGDQTDKYKRMLMIDYIAGSYAVKDIAGIKLNELKKLKETNPIVQFQMYENNGEIILDFLLSANDNKGSVAIIERNIYRYRSITDSSGNPGIVLFGLSERGYAPDIEKFLTDLKSNIQTSLNAVAAFNIPQVNLKNK", "MKKDFYLTRYALIIKRLESSPATYAQLEEYLLGSFEFQDAKITGYSIRTLQRDIKEIASLFNLNIHNKKKGDNRYYIESRPVMEIDEYNQKLLESFQISNALNLHPDFSSFVFFETRKPTGLEHFYDLFFAIRNKRVVTFEHFSYQTRLMTSRKVQPLALKESKNRWYLIAVDTKDKALKSFGLDRINYLEVSNGKFREKYNLNFKEHFQNAFGVMNLAQQKPERIVLKCSRHQGEYIRSFPLHTSQKSFRETPQHIWFEFFLHPTYDFMQEVLSFGQEAVILEPICLSEQVTAHLKNALKNYE", "MAKTIVVPKNKEAEIALDYDTATPDQVIELILSNDEFNKLWSKGVFSLINSISQSNIDDFEDEHIIDLNLIYNSCRELKKNFNDISEIIKMFELALMYRTSIHFYF", "MKNRKILFNTMLFSLIYVILGTIAVIVSFPKYSFLGFDYNSPLWIPLVIVTFPVNITLFGLVMVDNSISSILILQTIVFFIIWLTSYKLILYYSKIKNKKRK", "LNYFKKNCEHLSRKQGYKVWQDGYHAEEVFSNKWIKEKINYIHQNPVKERIVTESENYYFSSARNYSDLDSALDIEVIFMG", "MSTKYKATEITQTYFITMTTVGWIDIFTRLNQKYIIINALKYCQENKGLTIFAYCLMHSHLHLLCRADANISLAEIIRDFKKYTSKKIIHTIIEEPESRRE", "MNKIFFYFLLFLFTNCASQTQSLKNTSREHLQKIMNEEFSNRVNNKTLVYAVDDKWYLIIDDKGSYYEEFYIKADGNKIIKEKLDKINKPNSMLDNAFDLSNYKYDYIDFSSDGYKDYKLAQGNKTYFCVIDKEKYHGEFNLSVIVDPIPIDKNLNKYLMTKLFKEISNNKATH", "MFKFKHFYTMGILMAGTLSSHAQIILTNPVTEQNKSVTDPYSIRLLPGFNAASPAVNSFRASLGASSNPNPTPNNYAPDPTASISVNENYIYSRTYLAPRSSSDPAAPQQQSISYFDGLGRPKQELSIKSTPNGNDLVTDIPYDSFGRQVQSWLPVPMNTLNGNIQSGVQTAASGYYKKADGSTDPLAYGEKTLENSPLDRVLAQAAPGSDWDGKKVQYQYQANADGEVYRYTTSTSWSNNATVSVLGLSGTYGANSLYKNVVTDEDGNSTIEFKNGQGQTVLVRKKNGSEDLDTYYVYNEYNQLAFVIPPLAVHKGVDLALLNELAYQYRYDGQNKLVEKKLPGKDWEYMVYDQQDRLVLTQDGKLRQLNKWLFTKYDKFGRVAYTGLLDSAPGRDAQQSNMVHFGGNNEERSASGFTQNGTTVYYSSSAYPVANFTLLTVNYYDEYPPGSPGVFNGASVLGSVPVNGRSTKGLPVASMVKNIEDNGWTKSYTWYDDKARPVATESRNHLGGYTRTSSVLAFSGVPTSTTTYHKRDAASGEMVMKEDFSYDHQNRLVKHTHQVNGGPVEILTENIYNELGQLESRNIGNGIQSIKNEYNIRGALTKMNDPKNLLNKLFGFELKYINPSGTSKKYNGNIAETDWATQSDGTLRRYSYQYDGVNRLKEGNYWDNAGAISGSYAERLNYDLNGNITGLQRTGQGAGVMDQLSYTYDQSGNSNKLIRVNDASGNAAGYPVGGNTIAYDINGNMVNHLDKGISNIAYNYLNLPSSITASMGNTDYVYRADGTKVRKVFGGKTTDYLDGFQYENGVLQFVPTSEGYYDVVKNKYIYNYTDHLGNVRLSYTKGASGGAEIIEENNYYPFGLKHQGYNSNSLASNAYQYKYNGKELQETGMYDYGARSYIPDIGRWMSPDPLSEEYRRWSPYNYAVNNPVRFTDPDGMSVYDIIGATKQDAQKFKADVHKVLSDSKFAAVRGLIDVKGKEFNKVDAKALSNALSGVTVSKDEQAYIDTVVNAINDSKVHTVEYLSLGDTVSSAGGTALKDHMNKAQAGVGDAMVPDASNVKADLINALGGEGFNVPSAKGSHSIIVETASTTPESRAETSSHEVLGHGIPSAKGASNTVNNTNAIRMSNLVRRVTGSTQPPRDGTNPPHAGGKVTNENDLPMSR", "MNLFKTSPETSKLFQKVDIPVNYSTGGINYSLPIYTIKLKNIEIPIQLNYRSSGFQINENATSVGLGWDLEIGGSITQTKKQGSGPENRINFDENRILDPRCTGDESKPQVEARCSGSDYEWVMNSAFGGNQNYTLYASQLRTGNPIDGYVTRKSFLPTLTYDLKTEQDIFYYSFPGESGKFFYDSNKKKYLTIPYTRNEIEHTSEFYIMKDSKGNKYYFSKTENPEWQDAFSMATYYSNGTEHNEGIPRNPTAGVAKYFLKKIETSNGDIISFNYSPVTYRIEHPEVFNYITSVNSDPRIGISSMDDFSQINSTYRDSKVWGRTVITTNRLDKITVNGKEIVNLKYSAEARKDLNHSHLELGIPPENVVAVPENQKPRSIEEITITEGSRKIICNLDYDYFGKTGVNNPNDNVITSKKYRLKLNSVAFNDGALYNFNYYEDNNITLPDKNAADKKDHLGYFNNQSHFYTAYKTKDEVYEMATAPYKSSNLETSQLTVLKSIEYPTKSKDEFIYALGTSGGLNIESIKTYENINNTIPSSYKKFKYYDSHSIEPKYSNIVFANVFKGEYEGIPLSLRDAVRSYSFTSYSSSAINQLIAFNGFPNFYETVEEYSYDKDNNNKGMTKYRFTYEKDPEYSSYGPHHFTIPLTDNSWKRGLLKSTTTFKAGDFITPIKSIMNTYKFGDLNLDYSNLTLGSALPSVNKNQGYFVNYFISLINPEVKFQNHTPDISFITPYQPEAKFTTHINLLVSGSVYKTAETLIEWLDDKSLITNTSYSYDETGSNQLKSQKITFPDGNVSETTYTYAEEEGKTDMINANMVSIPLRTETKFNNKLVSKTFTDYLKNTQTNNLILPTLSQVFDLQNPAIAKNEIIYDRYDNKGNIIQFTEKGSNTTAVIWGYNQTLPIARIDGASYDQISAYIAPIIYASDIDQAEGSTISEQTLINALDAFRNQTELSEYKITTYTYDPLAGVSSITPPSGIRENYKYNNITNRLELVSDVNNKVLKEYQYHYPDTYYSSEKNKVFTKNNCPDRFIPGTYNYVVPVGKYYSIISQADADQKAENDINQNGQNITNQNASCTGYFNAQFDKMFTRNNCQSNETGGTYIYIVPNGKYFSTISQEDANQKAQDDIDQNGQNAANQNASCRINGFYNVIKGATFTRSNCPNDTTPGTYYYTVPAGKYYSNISQEDADQKAQNDINQNGQNSANMYASCIAGECEINSENAYDVVSISSRFAETTPGRFRGTISARFSDSVNQFSTVVLGYIGSSCSPTTSERQVLASSNGNTWRVYIHPSGEVSVRFISGTFSKQISLSFEYDKN", "MKNSIYILFLLFAISLNAQAFQQKDFSVGFPHEQPNQAVDILFGNSYINGWIEVDITGTFSHQNSVGIIKKLFQIGANPSNSIWSPPTSRIVEAAGPILDNIYIGDIVWDPAINQYKLTIYHTSPNANPYNIRLTQHSYDQTVLESTTLGTIYTNPLNGNNRHSVYYNDNVGIGTTTPENAENWEKVLEVKGNEHSKIITSSSKITTGVWSHNYGFYGSPAGGMAGTSSNHPYSLVTNKTPKMTIATDGNVGIGTTNPQNKLDVNGTVHAKEVKVDMTGWADFVFEKDYQLPTLDEVEQHIHEKGHLPNIPNTKEVTENGISLGESQKLLLQKIEELTLYSIEQNKLNKEQSELLRQQQQEIQDLRNEIKVIKK", "MKNSIYILFLLFAVSLNAQTFQQKDFSVGFPHEQPNQAVDILFGNSYINGWIEVDITGTYIYQNSVGIIKKLFQIGVSPSNFIWYPPTSRIVEAAGPILDNIYIGDIVWDPAINQYKLTIYHTSPNANPYNIRLTQHSAESTTISTAVLSNIYTNPLNGNNRHSVYYNDNVGIGVTDPKNKLDVNGTVHAKEVKVDMTGWADFVFEKDYHLPTLDEVEQHIHEKGHLPNIPNTKEVMKNGLSLGENQKLLLQKIEELTLYSIEQNKLNKEQSELLRQQIQINKTLEQRLQNLENNNQKN", "MKKIQILSLLLPSLFFAQQEFSEIKIKSWSPTIYLQRNYQEGGFTQGIQTQLLDGTNNWYFGNLGTGEWRVSKGNWENSKFSIIENGNVGIGTNNPTEKLSIKGNISVQSNLTNTSPRPQIAAGTTEGEIRGISNSGNDMDDGFLRLSAGGGTNVITKSFIDLSGYTANTSDRHKNITMGTSGVERLRIDANGNIGIGTTNPQSKLDINGNMTIASGAAIQLAGNQPYHGLQYSNTGFANTFVDGPVLYGWSGGGLGIKKENRDWLALKWNAEGNVAVSNKLEAREIKVTTTPTADFVFEDSYQLPDLESVEKHIKEKKHLPEIASAAEMQKDGVSIGDFQIKLLQKIEELTLYSIEQNKLNKEQSELLHQQIQINKTLEQRLQNLENNNQKN", "MKNLINLLLLASVAFVSLLKAQTVQTFTSAGTQDQYVPVVFSFNNKPFSISRPMIHDNRDWLAYGTATINGIGYAWGSGNTMIKLENYTYGMKNSDYTGGVYSYIGKVLAEWAESGIIVYLRGGTTYNTDGIIKKNDGTYTATAGSQINLSAVPITEPNFNIPRGIYVADWEINANTQSMNINNLYSKNGNIGIGNNSPQSKLDINGTIMVGGDTGNLDITSLPNSLDMLKNTGKLAIGWNLSGGGGETNFIANRGKGNTGGFHFYDYTNEGQRKRLLLLNSNGNALLDGKLEAKEIKVTTTPTADFVFEDSYKLPNLESVEKHIKEKKHLPEIASASEMQKEGVNIGDFQIKLLQKIEELTLYSIEQNKLIKEQQERLKKLEEKQNIKP", "MKKLTNLLLLASVAFISLIKAQHAPSEFYTQVTFPSDYQIGDYIEFASTNLLDANSSGYFEVSISYTRGNIASAATFITSISHVNKNVWKEAGMINTNDYIQYKNRNFTIDVNGGKNSFRVRAINTLGVDTPITVNIKIRSINQVYNWTTLSNNGNDNSITALQPMTDEWNLYVGNLFSDESAKIALKAISNGNVGIGTSSPQYKLDVNGSFRAGLDNFFSYNGGADIFLRYLGRGSGGRAIVHDNNNTLTLNYANDFSGGTLLGTSFLVKGDDARLNGKLEAKEIKVTTTPTADFVFEDSYQLPNLESVEKHIKEKKHLPEIASAAEMQKDGVNIGDFQIKLLQKIEELTLYQIQLNKEVTNLKQENIQLKETLQKIQNNEKSY", "MKKICILSLDGGGIRGIISCIILRYMEEQLQKQDNSQNKLGDYFDLVAGSSTGGLITSIMLYPDENRNARYSIQKGLELYSEKGEDIFQVSFFKRLINPFGLFNEKISQEALEKNLNDFFGKLELKELIKPCLITSYDIENRRAKLFNSADASISTNNFLVKDICRATSAAPTYFSPARIQSQYGQNFSLIDGGVYANNPALCAYAEARKMPFGQIFKTDQKPDYPTVNDMMIISIGTGSEAKSYPYKKMENAGKLAWIGPLIDILLSANAETVDYQLSQMFQTLGSRNQKNYYRINPSLKNASPSMDNVREENIENLIQAGLAYIDENKEMLNQIVQKLIRNKTG", "MDKITQTHIGKLHPVIREEVMQIIKDCDTALTGRAKVRITQGLRTYAEQAKLYAQGRTTPGLKVTNARPGQSIHNYGLAVDICLIIDGKTASWDIAKDWDGDRIADWQECVKIFNRYGWEWGGNWKTFKDYPHFEKKSLRTRYGTISTDWKVLSKMPKDKSGYILI", "MTEKSLKRKLNFLTTYVIVSSLAFIIFILSGFRDKEKKESFNELTVKKINVVGEKGDLRMVISNEHRQHPGIMNGEKLPDRERSSGIIFFNSSGDECGGLVYDGNEKDAGLVLSVDKFRDDQIMQLQYMENTQNYDRKYGLQIWDYPKEKTFNERMRRFKELDKLKNKEEQQQAIKKMKTDSLLMEDRLFIGKNFNKDVGLFIKDQKGKPRIKIYVDKNNEAKIEILGEDGKAVK", "MKLITAKRWFKWHKWTSLICTLFLLLLCITGLPLIFHEEIDEYLTEHKDAVIPPGTQKINLDKLAKIAEAKYPGEHARYAFWDQNDHPNQVLFDVVKSPDSPPEQSKFLILNEYTGEILGQPKDEGVMSVIRHLHVDLFAGIPGKLFLGLMGMLFVISIISGIVLYGPIMKNFDFGMVRKNKSKRLKWLDTHNLLGIVVMAWMLVVGITGVINAMSDVIVGLWQQGQLAEMTAPYKNEKPYTGTLSSLQAAVKSAEKTVPDMKVSIVAYPGTLFTSKHHYAVFMKGNTEVTSRLLMPVLIDAKSGDVTDSRVMPWYVNTLFLSQPLHFGNYGGMTLKIIWGIFDFLTIVVLITGVYLWIARRKSEKKHWKNLSKN", "MNKKIFRLWGMPVLLAVLSLYGLISALIGKGIWDVLACVTLIIPILIIMKHYYKKS", "MKKVLLNAVLLSGVLAYAQEKDTINAKKIDEVIINSYIKKDSDYVNKMPLKAIENPQVYSSIDKAVLDNQLIYTVDDALRNVTGVQRMWSANNRAGDGGAYINLRGFISSNSMRNGLVGPVTTSIDAINLEKAEVLKGPSATLYGSNVTSYGGVVNRVTKRPFETLEGKVSLIGGSYNYYRAQADVNTPLTKDKRLMFRVNTAYTTEGTFQNKNAGNTYFAFTPSLRYKINDVLDINLEYEGFETRAVPEQVFFYLSPALGKNMKDVENLGLDYKNSYMGSGLYTTARVRNLFGQVNVKINDNIRSSTNISNSYSYSDGYNPYFYIAPKVTATGVATDTELGVVRADQSTINSTKKFFQIQQNFNFDYKFGSMRNRTVAGFDYMRTNDNQMFAFIGVVDWVPFRGGDYSTLNDKTLGAKYNELRNRPGYDFNANNTWPSSGVLNTYSGYISNVLTPIEGLNVLASVRYESNQFNGGKRGQADVAAYSQSAWSPKFGLVYEIIKDQFSVFGNYQNSFKSNGYYIYNKAGDVALSDPEKANQFEGGLKANLLKGKITATLSYYDIKVKNTLMTTMELTVGGQAVQNQAGKLTSRGLEADINAYLVKGFSLIAGLSYNDMSFTSGENAGFRPNTASSPWLVNFNASYQFVDGQFKGLGFGIGGNYASDNKIVNTAQGSFILPKYFVMNANAYYDTKKFRIGVKVDNFTNQQYWIGYTTANPQKLANVLGSITYKF", "MKKVFLSAILMAGTAGILSSCNDSTNDMTPQPQQGTFTVENVVQNKDFVQSGAFQGTGSATVQLPVVLPGQSISFKFNAGKGQRLMFATMYGASKDWFFAPENPGIKLYNDNGTPVTGDISAQIKLWDNGSKDNTTGNPESNPIAMVPNVDASKLMKLSLTYDDTASEFTLSITNTSGGTMNETPFSPGIWAVSNILGGKLLNEMPFYKAGEKSNPEITAIAESGNNASLNTKTKNNTGIITGLSPVLVVVYKGDTNPIYQVGAKDMGMGLKDIAQKGDAAKLKDALTKPGVSVYVLGNAPIAPGAKVSANITTTPGDKIAYVTMFGYSNDWFYANESEVTANTKGDLTAKTALFDNGTGVDQYPGAGNKQALFGGTPAPEDKNISKVGNNYPVPQVSSVLKVTYQ", "MKKIAIGCLTLITGLIFLISWKQNKTSTEKAMIVDPQAPSAEIYFAGGCFWGTEHFFKQVRGVTATEVGYANGNIKNPDYKTVSSDATGFAETVKIIYNPDIINLDLLIELYFKTIDPTSMNQQGNDMGTRYRTGIYYSSKNDLSTIRSEVDKLSKAYKKQVVVEVKPLKNFYKAEDYHQDYLGKNPGGYCHIEPGLFEVARKANPPHTYQKPDDKTLRKKLTAQQYEVTQQNATERPFENQYYNEFREGIYVDITTGEPLFVSTDKYESGCGWPSFSRPISETLVQEKTDNSHGMQRTEVRSKTGNAHLGHVFNDGPQDKGGLRYCINSASLKFVPKNEMEKQGYGKYLSLIKPIKK", "MFTKEPSLSSRNFLIGYYISLYGVAIVLLWIGIFKFTPTEAAAIKPLVENHPLMGWLYNILSIRGVSNLIGIVEIFTALAIVLAPYVHFLRIGASIGILITFITTISFLFTTPGTWRIVDGIPVTDFFILKDLVSLGFGLMILQFPKNITK", "MSTLKKILHILILPCSKATFLIEKRMHSPLTFSEKTQLNAHLILCKWCMAYEKKAQFLHQALQNMVRKKEPEASIYNIDNKQLKEEVLKKIKK", "MHATKQLEEWIDLYGQKLFDRAFYLLSSREDAEDIVQEVYVAAYSAMDNFQEKSSPLTWLMGILQHKVTDLYRKKYKGNPQISLDHFFDNHEFWKDPDGILKNWDTSDASLLDNEVFNAYLEKCLEELPDRWLTLVKLTYLQEKKSTEICQETNISTTNYWKILQRSRLQLRECLQFNWFGKEK", "MKTSSERLNGLDHLRALAIILVLMYHYRAFSHPDWIDTIGRFGWIGVDLFFVLSGFLISGQLFNNIKVFHNIHLKSFYIKRFFRIIPPYSFTLLLYFCIPVFREREALSPLWKFITFTQNYELDVINKGTFSHSWSLCIEEQFYLVLPFSLLLLIKTKTLPWIKWLAPFLIITSIFCRFIAWNEYIIPNINSNQFWLEWYMKIYYPTYTRLDSLAIGVLISYFFQNSSVFRNFIHLNGNRLFFGGVILLCLSLWLCDDQTSVQASIFGFTAVAISFGIIVLSAVSESSFLSCSYSWITYQLASLSYAIYLSHKGVIHIIQQLLKDSQISASGIFMLLICLAVSILTGLFYRYTIEKPSSRIKNKIMNKS", "MIKPLSGNSVRQTVYFQIFFWIALFLLVTARNYGEHDNPDFQEMIIYDFCHWIFQILGANFIYYILVRKYSDNKRYVAFSVYLLLSLYCVSVINRLFIVYVAEPFFVSYPQDTLVSIFTDLKYLFFHYTLPIITGSFIFISVMFMLRYRNEKQNHEKLMKEKAELELHALKSRLNPHFLFNTLNNIYSLSLLDSEKTSESISRLSDILDYILYKGQGRMVTVSDELTIVNHYIELEKLRYDERLKLNLQTQLNSPNIIPPLIYLSLVENAFKHGAGKMTDGAEINIDIKTTPEESVLRIENTCPESMEVNNRGIGLDNIKEQLKLYYNNNFTFSILHRQNRFMVELITPAFHD", "MINCIIVDDEPLAIKLLENHISKIENLCIVGTARNAIEAYRLLQEHTVDLMFLDIQMPDLNGIAFLKSLLQRPKTIFTTAYREFALEGFELEAVDYILKPVTFERFFRSVERVLRNDQKEEVAEFIILKSEGLQRKVILADIVYLESQGNDVKVFLKDNTEFMTKATMTEMEIYLSDKGFLRVHRSFMINTEYITAFGHDEVVLGIQSVPVGRSYKKAFDNFIQNFFSKGLRG", "MVIQTSDYLQHNGIVKGKLTKSYYTLFRPENGNIKATLLILHGMQEHSGRYTEFAQYLAEQGLAVITYDHLGHGRTAKNTEELGFFQISNPAQQVVNDAENIADYLEKRYSDVPHFLLGHSMGSFIARCLLQQAGSRFNGAIIVGTGGKVPGAKLGKAVSALLNKIAPRHRSRFINTFFNKQNNLRFKNEPNESGTNWLSVDKNNRQAFLQDELCGVLFSINGFYTLLSVNVKATDRRWAKTLPQAFPMLFISGSDDPIGNFGKGIKQTVSDLEQDGFKDITMKLYTGMRHEILNETDKQNVYNDIINWIEKHL", "MNFKNITVAGSGVLGYQIAFQTAFHGFHVTVYDINDEVLEKAKAKFSILSEAYKTDLGATPEQLDAAFKNLQYTADLAEAVKDADLLIEAVPESPEIKIDFYEKLRSVAPEKTIFATNSSTMLPSQFAGSTGRPEKFLALHFANEIWKHNTAEIMGHPGTDKNVFNDIVAFSKAIGMVALPLQKEQPGYIVNSLLVPLLSAATNLLVNEVADAETIDKTWMVATGAPTGPFGILDIVGITTAYNINKMAADATNDPLKIKTVEYLKTNFIDKNKLGVSTGEGFYTYPNPAYKKDDFLK", "MNPVTSKFDKVLNASSEYGHVNHEPDSSKEQQRNTPQKSMPFSDQIGNYQRNKGIPVQSYDNSKIYIIGSGIAGMSAAYYFIRDGHVPAKNITFLEQLHIDGGSLDGAGNPTDGYIIRGGREMDMTYENLWDMFQDIPALEMPAPYSVLDEYRLINDNDSNYSKARLINNKGEIKDFSKFGLNKMDQLAIIRLLLKNKEELDDLTIEDYFSESFLKSNFWTFWRTMFAFENWHSLLELKLYMHRFLHAIDGLNDLSSLVFPKYNQYDTFVTPLRKFLQEKGVNIHLNTLVKDLDIHINTEGKVVEGIITEQDGKEVKIPVGKNDYVIVTTGSMTEDTFYGNNKTAPIIGIDNSTSGQSAGWKLWKNLAAKSEIFGKPEKFCSNIEKSAWESATLTCKPSALIDKLKEYSVNDPYSGKTVTGGIITITDSNWLMSFTCNRQPHFPEQPDDVLVLWVYALFMDKEGNYIKKTMPECTGDEILAELCYHLGIEDQLENVQKNTIVRTAFMPYITSMFMPRAKGDRPRVVPEGCKNLGLVGQFVETNNDVVFTMESSVRTARIAVYKLLNLNKQVPDINPLQYDIRHLLKAAKTLNDDKPFVGEGLLRKVLKGTYFEHVLPAGAAEEEEQESFIAEHVNKFREWVKGIRG", "METRMYENLQETLSFYGINCHKPYYISSGNPIFQFPKTPFRIDFYAFCICTEGYTDVEIDNQKYNITQNSFLVSAPSTVIKFLQTSKDFRMKLLFFDKNFLLKNIIDPFFIEKLGLFRNLSFSIISPDQDQAFKLLRLLEYLQNKTSITGRFSEDIVRTIIFNLLLETAEIINSEKENTPEEAFSEGNNLFLKFTQLVQSNVIRHKDIQYYADHLFISGKHLIKLVKKASGKTPHEIINESLLKEAFILLNDSELTFTEIAYQLNFSSVSAFGRFFKRYSLLSPTEYRKQQNL", "MRSKLLTSTFLGIALFAFQSCRENNMTAEDSVSPQAKASGAVAGSTFSVPVAGNSFLTVKPSGANEVITSTKLGNWTNANSVISTYFRVSNAGTLNIGLKASVPSGTSVVKVTVGNVSKNVTLTGSANTSYTAGDFNISTPGYVKVDLQGVSKTGGYFADVTDITFSGTAASGTNIFSNDTSYYYWARRGPSCHLGYTVPTSSNVSYYYNEVTVPVGEDKIGSYFMANGFGEGYFGIQVNSATERRVLFSVWSPFPTDDPNNIPPDHKIVLNRAGSGVTIGEFGNEGSGGQSYYKYNWTAGQTYKFLLKGEPDGTGKTDYTAWFLSPDTTTWKLIASWKRPQTSTYLKGFYSFVENFNPENGYMGRKAEFKNQWVRTSAGNWQAVSAAKFTVDATYNAQQRIDAMGGTNGNSFFLQNGGFFSTIVAPGTQFSVTAPTQAPDIDFSTLP", "MSNRKYRKFIFIPLLLGAFFSNAQTKDSVNVPVKDSLTAKKQEVAEVKYPQFQFKGLFQARYLVGMTKDVDVNGLHHSDHSGTSNNFMIKYMRVQMKAQISKRTEVVALANLADFKNDPKGRVLENAYIKYTFNPKIAITVGQFRPWFGIEETYPVDIIKSLDWSNQYTEFGKLGWTSFQIGASVGGQMQLGKIPFQYAVSVVNGNGKNQINDNDNGKQYSTRLVFGLAPKYNFNLGLNGGVGEVFSKKVYAVGVDVTGDIQFDSRWSLDMQLEAKQATNHILYNSLAEGVRTSNPDDYLVRGIYFLPNFRYEINHKNLSALEFSCRYEYLDNNFRRDSNPRQTITPMFGLEFLKNYGARIQLGVQIDRYKKQVENTNQYNNNLFIVQVQSRF", "MKEINIKNVAFTFAIALIIWFIPVPDGVTPEAWHLFAIFAATILGIILKAAPMGTMCMMAIGFTALTQVLAPGDAGKSITKALTGFGDKVIWLIGISFFIARGFIKTGLGNRIAFLFIRVFGKSSLGLAYGLGLADMCLAPAIPSNTARGGGIIYPIMKSMAISFGSVPDQPATHRKLGSFLTLNSYYMNLIASSMFLTGTASNPMCQKFAANLGIDITWMSWAVAGFVPGLVAFFVVPLVLYKLYPPELKKTDGAQKMAADKLKEMGAVSKHEWLMLLAFFILLFLWIFGGSLSIDATTTAFIGLTLLLLTSVLTWEDVKSEKGAWDTIVWFAVLVMMASSLNELGFIGWFSDLIKVKIGGLSWQLAFPVIILVYFFSHYIFASATAHVAAMYAALLGVGVSLGIPPMLLAMMLGFMGSIYGVLTHYGHGPAPVYFGSGYVELKAWWLRGLEIGIVLLIIYMVVGGLWMKVLGYY", "MLNTLSRKMLMCLTGLFLGFFLLIHFLGNLQLFLPQEQAHLQFNAYSHFLSGNIVIKIVSLVLYTSIILHAIDGLVITIKNKKSGGTYQSDRRGRASKWYSRNMGILGTLILIFLVIHFQNFWYVYKFGSLPLDDKGNKDLYILVVTVFKEWWYVVIYVISMIALCYHLIHGIYSAVRTLGLFHPKYVKWIKIAGITYSVIISVGFALMPVYVFLTVK", "MMLDSKIPKGPLEEKWANYKKTAKLVNPANRKKLDVIVVGTGLAGSSIAASLGELGYNVKAFCFQDSPRRAHSVAAQGGVNAAKNYKNDGDSVYRMFVDTLKGGDFRAREANVYRMAECSLNLIDQAVAQGVPFGREYGGYLNNRSFGGVQVSRTFYARGQTGQQLLLGAYQALMRQVGKGSVQLFSRHEMLDLVVIDGKARGIIVRNLDTGEIERHAAHTVVLATGGYGKIYYLSTLAMGCNGSAIWRAHKKGALMASPSWIQIHPTSLPQSGDYQSKLTLMSESLRNDGRIWVPLKNGETRKPNDIPEEERDYYLERRYPAFGNLAPRDISSRAAKERSDAGFGIGPLKNAVYLDFSKAIKEQGKAKIKEKYGNLFDMYLKITGYDAYKEPMMISPSAHFSMGGLWVDYELMTTIPGLFALGEANFADHGANRLGANSLLQASVDGYFIAPYTIANYLSGEIHTGKISPDHPEFEKAEKEVKDQIQKFMEVKGNKTVDYYHKTLGKLLYDYCGLARNEEGLKYAIEEIRKLKQEFYQNVTIPGVGDKMNGELEKAGRVADYFEIGELMCYDALTRNESCGAHFREEYQTPDGEALRNDADFQFISAWGWKGEEQEPELVKEPLVFEEIQPVVRSYK", "MQLYLKIWRQKDRNAEGKLEEYKLDQLNPHMSFLEMLDTLNEKLILEGKEPVEFDHDCREGICGQCGMMINGIAHGPLKNTTTCQLHLRSFKDGDTIVIEPFRAAAFPVKKDLKVDRSALDRIIASGGFVSVNTGQAPDAKTIAITHQLAEEAFDAAACIGCGACVATCKNASAALFTSAKISHMALLPQGKEERNERVVNMVKQMDEELFGHCSNTEACEVECPQGISVLNIARMNYEYSRALFYKKN", "VQNFIKDRLVVYLENKIKTKVSLEKVYVAFPNNLKLQNLYLKGQDVDTLLAVRKLDVGLNMMKLMSSTADITSIDLEGVNANVVRNQKGKFNFDYIINAFATNDKEESSSKPFIISLNKIKLKDIGVTFKDQQSKNDIKLYFNSFDTWVKTFDLQKNNYAVGDINLDGLKLKLKQDLVAEVSEKVGKKVDSLNKQQPMKIGLQGIKLTNFNIDYGDENTKTFAKVLFKELSTKVNQLDLENNAYNIDNVLLAGADIRADLYLPAKKEDPKKVQKISAGSGKEKAMKVLLGNLNFNDVKVVYNNTAVVPSKQGMDYNHLNFSRMNVDIRNFKMENNEFAGTVKSAEIKESKGLDIQRLTTNFTYGSKEAYLKNLYLQTPKTILRDEVVIGYDSVEQLTANPGAIKVSASIRDSKVGFSDILNLVPALRNTVPFNKYPNAVLLVNANAKGSVNNLVIQNFRLSGIDQLKVAASGRVKNAMNPDQLYYDLKIGELSSSDKTIYNLVPKNTIPSNISLPSFFSVKGIAKGTTKNVNTNLNLTSAFGNAEIIAQVDMRRKNHELYDVNANLHNLQIGKIIQNKEVGAITAKIAAKGEGFDPKSAKADIRGYVNSAVYKAYNYRDMDLTGKINHGAYSLALNSKDPNANMNLAASGVYNEKNPTVKVNGAITKLDLNKLGFYEKPMIVAGKLDGDFSNLNPDELNGSLNLQNFAISDTKEVFPLQEVNFKAVSTKDSNELNLNSQIADITLTGKYKLTQIFGALQQTINQYYQFQKPGKAQKIQPGQHFAFSAKIKNDNLIRKFVPDLKDFETITLNGNYDADSQKIEVDGRIPQLQYGENSIQGGALKITNENQALQYNLGVVSLKSSSLALNKISVVGDVADNTINYNITTKDTKDATRFLIAGKAKSLNNITEISLNPDGLKLNYDDWTVAENNRIQISSKGILADNFRLSNAGSEILLQSENNSPASPLNVSLKDFKIETITEAIKKDSLLAKGTINGTAQLRDLTKKMTFTSDLNISDLMVYGSPVGNVAVKVKNNSANILNADVALSGNDNDVKILGDYNISAGTFDMNMAINQLQMKTVQGFSMNAIHHTEGYLSGNLKLTGSTDKPNILGKIKFNKVGLEIAKTGSDFRNIDDEIDFTNRGIEFNRFKVKDKDGNALTVNGQVLTQNYRDFAFNLNVNARDFKVVNSEKTNDAMMYGILAIDAGLRIRGNLDLPKVEGRLAVAENTDFTFVLPQSSPALQERDGIVEFVDQDQVVLNKTIKTDSLNAQSRIKGMDVSVNIEVNKEAKMSIVIDKANGDFVKLQGEAQLTGGIDPSGKTTLVGVYEVEKGAYELSVSMLKRKFDIQKGSTITWTGEPTAAIMDITAVYKTETAPIDLVEQQVNQGETNLFKQRMPFNALLKMKGELLKPILSFDITTDENNNSVATNVKQLVDAKLAQLRQQEPEMNKQVFALLLLNRFIGENPFQSSAGLSAETMARQSVSKILSQQLNNLASDLIKGVDLNFGLESTDDYSTGNKNTRTDLNVDISKKLLNDRLKVTVGSNFGLEGQARQNENMTNIAGDVTVDYSLSRDGRYMLRAYRKNDYQVALQGQIVETGIGFIITLDYDKFREIFQKSKKEKNRKVRDKKNQVVEFK", "MKKNKFHIYYKYWLVSGIAMSLFSCSGTGYLREGQNLYTGGSVKIESKTISKNDKKELLTALESNLTPKPNPSILGMRPKLFFYNITKEPKTDKGFRYWMKYKLGQKPVLLGDVDREFNKDIIENYSENKGYFNVKATDSVIVKSKKAQVIYTVKPGERYLISQVKFQKDSSLINKEIQNTTKRTLLKSGNPFDLDVIKAERERIDNRLKEKGFYYFHPDNIIVQADTTVSKNHQVELNVKLKDDTPKLSKEQFTIDKVVVFPNYNLQDVRKGMYKIPMSEDSLAPYAYKNMYVIDPQHKFKPKVFDRALYFEKGDLYNRTNHNLSLNRLINLGIFKFVKNEFVVSDSLKNKFDAYYLLTPRQMQSLRLEALGRTNSANYGGSELNLNWTHRNIFRGAEQFKAAVYGAFDIQMGGGDGAKNMFRAGVNTQLSIPRIVAPFRFHSSSEFVPRTNISLGYEFQNRRQYYTLNNFNASFGYSWKENARKEHELKVFDATYVSPTNVTAEYEALAEANPELKRAIEKQLVFGPTYTYTYTNTMQPKTNTIYYRGMVDLAGNLTGLFTGADAKAGKEKKIFGIPFSQYVKIENDFRFYHKFGEKSMFASRIIAGIAYPYGNSATVPFSRQFFVGGSNSIRAFRARSLGPGSFDPRKPDANIQSFFNQSGDIKLELNAEYRANLYKFINVAAFVDAGNVWLVNKSADLPGGQFSKDFLSEIAVGAGVGLRLDFSILVLRLDLAMPLRVPYYEKGKRWALDRINFGDSQWRKDNLVFNIAIGYPF", "MLKHIKFFWEVLRDTFTEWNDSSAMKDSASLAYYAIFSIPGLLIIIIWIAGNFFGEEAIRGEISQQISGFMGTDVAKSVESLIAGALIDKQNTFMKVVGVGALVFGSTTLFFQLQHSLNTLWDIQSAPKKALLKFLLDRANSLGMILILGFLLMITMILSSLISLFNNWITQYFGFETYMLVELVNFAIGFGLVMLLFALMFKVLPDVQVSWKPVWKGALLTTILFTLGKFLLSLYFGKVKPTSAFGTAGTVILIMMWINYTCMLIFFGAKFTKVYAYKKGYKIVPSKHAKWSQAKLYEESLKSES", "MKYCLSLLLYSLSLSLYSGQNFQLPKNCTAVRTIYGDLDKDGRDEKVIVCNLNQTKDPKKNYKRVLYILKKDNNNQDQLFKKNTNILWGSKECGFCFEESSDPLVDISIKNNTLIIEHETHNNSRRTENYKQIFRYQNNDWYLIGSKYRYWDTCDFDYTYDINFSTQKINIAKDTGNCDDIEDSKKESSSFETYTYKFPKVTMDNYKATEIKFKPKVYFYY", "MQRFKDKFALITGGTNGMGYATAKQFIEEGGFAIVTGRSNASVHKAISRLGERAFGIVSNAGDMQDIFQLQKNVKQFTNNIDLLFVNAGYGQFASVEQANEAHFDELFNMLVKGTFFTVQQILPLMKSGSSVVLNTSFVTKTGTPYFSVYSAAKAAVKSFIKTFAAEFTEKGIRVNGISPGHIKTNIFNNTGLNQEQIDEAVENLIPTIPFRRQGEPSEIANAVLFLASQEASYIHGTELAVDAGISVISS", "MSIKKQKSVSKKFSLSIQIFFRRYPSTLYLLRWLLITLFIGTLIGSASAFFLQTLDWATNFRENHLWLIALLPIGGFLIGLLYYYLGKDIEAGNNLLIDTIHDPKQIIPFRMAPFVYIGTIATHFFGGSAGREGTALQMAGAIADQFSKPFRLNAGERAILIIAAIAAGFGSVFGTPLAGALFGLEVFLIGRIRYNAIFPAFAASIIADLVTKMWQTHHTHYHISFIPDISFLNILYAIMAGIFFGLCASAFSKIIHWAGRIFKSKISYPPLRPFVGGIIVASAVWIIGTTKYIGLGIPTIVASFDQQLPAYDFALKMLFTIVTLSAGFKGGEVTPLFFIGATLGNALSYFIPLPPGLLAGMGFVAVFAGATNTPIACMIMAIELFGSECGVYVAIACVVAYLLSGNNSIYGKQMIGDAKNPRFMNLQGKRLNDL", "MNRIASIIGIGALLLASSCNSPKQTMTDTTSSTEKTSSDQKNVKIEFTGTDTFKITNAKLKVSLYGISANLADAPATLITEKEIEQKTVPFTIDLPVPANASSLIKPAVKEGEPVKYYVTINWDSDGNGKEEKGDIVIDYDKKFPNITIDETTQQVYLKVSK", "MNEELHKLENRLLKNIDLKISAITQDAECEEYFGYNFRLKQLNIKYRKAKVTPKKNGLFVTLWKRNIKGQTEPFNHNDPFNFYIIAGEHSDKSGYFIFPRLLLAEKHILTSDSKEGKRGFRIYTPWDISLNKQAEKTQLWQSEYFIDMALPEDLIAEKLTAIFKSS", "MRKLAIIGYGWLGKRLADYFSDHYTIYTVNRSNHAESNHIHFSIDFDSDEEYQTNSDINNSDVVIICLPFSQRTELEILIRRFNNIEKYIGAYKGSVFLTSSTGIYPQDQKLIDETTYPDSELSSNMVYVENMIKKSFPQANILRLGGLMGDNRQLKSYKISDPEQAVNHIHYYDICRSIETIINNNISSETFNIVAPQHPTKGEVIGIQNKKENKHISETTQQRIISSEKSEKLLNFIYEKPDPRLF", "MNSKRIIHITAGIALVSGLVTSCKVQKYEQPKLTVPESYGSQQANIEDFQNIAKISYRDFYKDPVLVALIDKALAGNNDLQVALKQIELASLGFQQSKWGNIPKVSLNIANASINRPSDNSINGISASQFLGKRYTEDYTTAVSLSWEADIWGKIKNTKEEALASYLQTQEAAKAVKTRLVAEVAQGYYNLLMLDKQLEITKSNLALSDKTLALIKKQFEVGIGTALAVQQQETNQDQIRKNIPVIESAISTQEHALNILTGSFPSRVERNISLDSTEAPAGLSTGIPSELLSYRPDIKTQELNFRRSVASINIAKANMYPVLNITAQGGLNAFKASDWFSIPGSLFGMAAGSLMQPLLQGKQLKTQYEQSKISSQQAELQFKQSVIQAVGEVSDVLVQTDKLKEQRSIAEALVKRSNETVKNAETLFKYSEASYLEMLVAQTNKLQAELDLANIKTQQLNATTSLYRALGGGWQ", "MLKNIIKRPVLATVISILMVILGIVGMLNLPITKFPEIAPPTVMVTAVYPGANAETIARSVAPPIENAINGVENMDYITSTASNDGTLSITVIFKLGTNPDQAAVNVQNRVAQVTNQLPVEVVRAGITTIKRQNSMISMLALTSKDGKMDELFLENYAKINIIPELKRVKGVGDAMVWGNKDYSMRVWLDPEKLNSYGLAPIDISNAIQSQNIEAAPGKFGENSNEAMEYVMRYKGKYTEPEQYENIIIKAQNDGSILRLKDVAKVEFGAYSYGSSSKFDRKAGPMMAVFQMAGSNANEVQIAINEKMKQLEKSFPPGVEYRIPYATKESLDQSISQVISTLVEAFILVFIVVYIFLQDFRSTLIPAIAVPVSIIGTFFFMNLFGFSINILTLFALVLAIGIVVDDAIVVVEAVHAKMEHRKLNARAATMSAMHEISGAIVSITLVMSAVFVPVAFMKGSTGLFYQQFALTLAIAIIISAINALTLSPALTALFLKPHEGDHHEKKNFKDRFFAGFNAAFNAITNRYGKSILFLIKKRWIAFAIMAVFGGLFIWMSMTTPKGFIPDEDQNFLAITVNLPPGASKTRTIEVIKTAEHYLSGHPAVENVMTVDGFNMFSSTSSASAGAIWIKLKHLKDRGDVKKIDDIIGQFQAKLAEDKRANFLVLNMPTVDGFGNTSGMELVLQDRTNGELQKLGQVSYEMMGALMQRPEIAVAFTTFDVSFPQFELLVDEAKAAQLGVSLADVMGVMQGYYGSIQSSDFNRFGKYYRVQIQSAFDARKDQRSLDGVFVKNNTGGMVPINTLVTLKPTTGAEIVDRFNLFNASNLTVMAKPGYSTGQAMKAVEEVSEKLLPQGYTYDYKGMSREEAQSGSQSALIFGLCIVFVYFLLSAQYDSYILPLSVLLAIPVGLSGVFIGITFADISNNIYVQIAMVMLIGLLAKNGILIVEFAIQRRRVGKSLVAAAVEGAKARLRPILMTSIAFIAGLTPLLFVVGPSALGNHSIGYAAIFGMLFGTVLGVFITPVLFVIFQYLHERVSGKQISEADWEYN", "MYKNFILKSSFIVSVALILSSCSKGGDNQAYNQQPPELPIGVISQQDVTIPREYAASIEGVSTVEIRPQVSGYLSRIFVDEGDYVRAGQALFKIEDRIFQEQLRSAQATLISANATLANANIELNRKRELAKNNMISPIQVKEAETAYNSARGSVSQAQAAIESAKINLNFSTIKAPVSGYIGRFKYRIGSLLSPTNADPITILSDIHQIYAYFSLSENDFVNFQNQYTGNSIEEKLKNTPPVNLIMSNGIQYETSGRINAVEGQFNKMTGAITLRAVFDNSKAILRSGNTGKVLLEQKYDNAILLPVGSTMMIQDKVYVFSLDKQNKAIQIPVEVAGKAGTNYIVTSGLKAGDRYIVTGFERLQPGTPVVPQKEQKKEQKKAQ", "MERDFISFLTLQDALEILKLQVINKDILTYDLTKQDYHLEENSLYRSDSFCVILVKSGVVSYISNGEYLTLYAGDILFSPILETFSIEYLSDDYVASYILFTEKPITQAGFNYHNLLKELRQGDSLIINGQPELFARMKFHIGEISQLNSNTLQEYFMEDMISHHFSIILFELSNYIKKDGYSRNLLSRDEEITTKFFTLVKEKYRFEHSVQFYAEQLFITRKYLSKVIKKTMDKTPKDIINQTIIIESKLLLKRTNANISEVAALVGFSDQAMFSKFFKKQSGKSPSEYKIDDKTF", "MEDSTRKNHWETVYETKNPDQVSWTQEVPKTSLDFIHSFGPDKTVSIIDIGGGDSNLVDFLLREGYENISVLDISAKALERAKKRLGKDAEKVKWIVSDITSFTPETHYDIWHDRATFHFLTTPEQIAKYIGIAERYVIGYMIIGTFSVNGPTKCSGLEIMQYDEQSLSAKMKNKFDKLSCMTEDHTTPFNTYQNFIFCSFKKRTD", "MRKLIFIVHTSLDGYIANTDGSFDGLNPGSNNLDYVCSIAEDADTILAGRTTFQLLNTYWPDAFKKPEASLSEVRYSTWYNSTRKVIASTTIDAPDKSTAIIHKDIPEYIRKLKQKKGKSIVIFGSPALFQSILAFDLIDEYHIILYPVILGDGIPLFKGNYNKQEFFFSGITPLDNGEVALKCLTGRR", "MNKAEKTKLFIIEKTAPVFNTKGYAATSLSDITEVTGLSKGSIYGNFDSKDEVVTEAFKYNAALLYKGFEEAMSTADNAYMRLRAFVNFYRNNWNQIYSIGGCPMLNAATEADDHLLFLQEVVKKYFMIWHKRIVRVIEEGKDEAAFKAEINSDQYAYTIMMLIEGGVLLSRTLGSITQLNIALDRILKIIDEEIKV", "MKFDMENVFIVAAKRTPVGGLLGSLASYTATQLGALVIKAIYNEMQIPESAIDSVYLGNVLSAGVGQSPARQAARFAGVPDDKDATTINKVCAAGMKAVVLGAQQIQLGIDNVVLTGGMESMSNTPHYAYMRNGNKLGHATLTDGMIKDGLWDVYHDFHMGNAAEIGIRHFGFTREQLDNFALNSYKRAQDATQNGKFSNEIVPVPVRYKKEESLFVEDEDIYKVIPEKMASLPSVFEKDGLLTAANSSNLNDGASVLLLASEGAVAKYNLKPLAKIIGYADAAQAPEWFTTTPAVAIPKALKKAGLELEDIDYYEINEAYASVILSMQELLGISPDKINIYGGAVAIGHPIGASGARILTTLTHVLQQENGKYGVAAICNGGGGATAIVIEKM", "MENYDVTVIGSGPGGYVAAIRSAQLGYRTLLVEKYNTLGGTCTNVGCIPTKALLDSTHHYSDALKKFSQHGINLDAIGLDFGQMFRRKADVVQKNTEGLEFLMKKNNITRVTGTASFVDNSTIEIRNEGTVQKVTSKNFIIATGSKPSALPGINIDKERIITSTEALSLKELPKSMVIIGGGVIGVEMASVFNRLGTEVSILEYADHLISAMDRELGKTLQKILKKDGMDIRLQQAVYKAENLGNRTKVYFRDKNGTENSLEADYILVAVGRRPYTENLGLENTGVKLDERGFIITDEKLQTSVPGIYAIGDIIGGAMLAHKAEEEGVFVAETIDGQKPHIHYERIPSVVYTWPEVASVGATEEELKKNNIDYQSGKFPFSASARARASMDMEGFAKVLVDPKYGEILGVHIIGPRAADSIAQAVVALEYEVTAKDMFSISYAHPTYTEVLKEAYMLAYGQPAINI", "MNAKKVAIVGYNRTPFVRYNTVFANATNQDLLLSALQGLINKYNLQGKLLGEVAGGAVIKHISESNLIRETVMKTNLDPATPGCDLQQACDTGIEAAIYIANKIALGQIDCGIACGVEVMSNIPFESSLRLRKALLSANKEKSTFGKIKQLLKPSLKDWLPIPYKGQELETGLVMGEHTELTAKYYQISREEQDALSLRSHQKLAKAYDEGFYEDMITPYLDIKEDNNLRRDTSLEKLASLKPAFDKKNGTLTAGNSTPFTDGASTILLASEEWAEANGLPVLAYITYAELAGIEYVQNRQNLLLAPVFAADRMLKKANIKLEDFDYYEIHEAFAAQTLATLKIWETKELALQFGLQDALGKVDPEKLNIKGGSLATAHPFAATGGRIIATLAKLLNEKGNGKGFISICAARGQGVTMIIEK", "MLSLVSFIGDTTSTDEVSVENVLAYENRLYKAMKESDISMLDLLLHDDLLFIIPTGEVITKEQDLKNYKEGIIEIEELIPETESLNIIEDTAVITLTIVLKGRFRGDSFETRCRYIRFWKNIENELKVIGGSCIPSIALTE", "MTPEVKITNTEILSDNWYVLRKVTFEYTKEDGSTQTQSREAYDRGNGATILLYNKDTRTVILTRQFRLPTYLNGNKTGMLIEACAGLLDQDNPEDCIRRETEEETGYKVKEVRKVFEAYMSPGSVTEILYFFIAEYSKDMKVAEGGGLEEEEENIEVLETDIDKAMDMIATGEIKDAKTIMLLQYIKLQQIL", "MNQLKNDSPNTNDIKAVFFDIDGTLLSFKTHKVPASTEEAIRILKERGIHVFVSTGRSYNDIGHIRYLDFDGFITYNGGYCITKEGEVLFKKCINPNDVKALLNYADNNPLSFSLMSEHGNFIHDVTPEIAGMYAHLNLPVPPLVDMSNTDTENVLQGNIFISSEEEAAFMAQVMPDSVASRWSPLFADVNPSGQSKQVGIEVFCKHYGIDVSQTMAFGDGGNDITMLKYVALGVAMGNANPEVKEIADYVTDDIDNDGILKALKHFEVID", "MQHNDYNESGYIHFENFFAETKLQETERILKKFHKSWLAVNAEGYKNGSINSHSITSGEFINEQERLALFRFITQEKIKNILNSIFPEKAVFLNTQLFFDPFNPLQQNYWHRDIQYTGLSIDDQKEAIRNQNVVHFRIPFKPELGIELVPGTHKNWDLPEELETRLSLNGRKPGDSLERGKVISLDRGDLLVFSANMIHRGLYGRNRFSFDIIFCDDTPDFKEFIDPKNQPTPQELDVLDRQLFLNNP", "MKKRFQPPSVRKLYTIVFFSLFLSFVLSSCSRDDVTGNKLPESSANNNGYKTYGDLVLQKNVIVLNDESVQAITENDQQISFNYTTNQTDSIKEGTVIVATKIENDKIRNILAKVVSVSGAGSQTVFRTTSAKLEEVIYSGNISGVYDPSQQASLQVNGQQANYVPVEGLISQATNAKISNLEAKAVNIRKSADIEKSAISNTINFRYFSFDKTIPLPAQQVGPVTAAADINVKGGFTPKIKYNISFSLGHLSSYYVDFIMDDISLQALANIQGKLGYTLSVTDYINIPIVPIVLGPTGLIISPTIAAGPYVGASATGRVQLQLFSLTGKANFLVGVKPDLNVNLQTITGPKITKVDGELAAEVGVEVKGAVGLQFLTASIANSGVRGRVAAVPSLRLELVPNRSMPFELKGKIQADMFYGFGIPPLRYEGTFPLLNKEIPIYKTNLL", "MNTIFLFETQRYKKHWFSYAIAIALMGIGVFCGNNFNMSVGDGIYLNSPYTIGFITGMLSLAVIFIAIIYAFQQLFKDQDSKFDIVLFSFPLSRNKYLNGHFASYFLYTFLSFVFIVLGFIIGQHLRSGSEIQNDFNAWYYIYPLLIFGFLNCFLVCSFLFFISFTTRRKLLVVVGGLLLYVFYMIVLVFSNSPFMAGSLPQSTETQHLSAIADPFGLSSYFLEAKSLDIQQKNTKVVPFSGILLLNRGLYFAISLALLLLTHSLFSFSGAAAKKFKKGIITLSESTTAGFVEYKIVNLNLGNKTGIVSALSFARIDLIYLFKSIAIPAISILLLFFTGMEMYAEIEKGIRLPQKFASSGLMAVTISENFHLLGLLVMTYFINDLYWRSQISGFALIEKSTFFYKNKLTGHFLSSCILLFFFTGILIAEGLVFQWAYHYFHIDWYAYWGAVLFNTFPLMLFSGLILLINDNIRNRFLALGLSILAVFLFTGPLSKKLISFPVLRIFSDYAGVYSDFNGYGAYAFSFAERLIFGLALVFLFWKLNKFVKAKKWNLKGSVLMIILLIAGIFSANLFMKGYTPKDKEKALADAAGYELKFRKYESLPQPVITDIKTDIQLYPATNSYKIQGHYSLVNHTDKAIDRVLINFSPDLKIESAIFTTATERKNIDSDITEIILKKPLEPNESAGLDFRLSYHWYSVNGHQSFNAIIENGSFMRISRYYPVIGYQKDRETEDEHIRKKYKLGELDKLKKPEGPEVFKNDFINLDMTVSTDKNQTAIGTGDLIKRWTKDNRNYFRYTVKSIPFRFAVSSAEYDQKSLIYKGIKMNIMYLRKHAENVDHLIRNAKLTLDYCIRNFGQYPFKSITFAEISSFTKGFAATAYPSAVFMPEDMVFHANIHADKKQDVINELAGHELSHLWWGNSLINPDEREGAVMLTETLAMYTEMMLYKKMHGEAKMKERLKIHQQIYDNEKGLSENQPLYKVTVEDAHISYSKGAVVMVKLSELIGENKVNMALKKFLENNRYPKKPRSIDLLNEFYKVSPDKRKEIDKLFKEI", "MNTLSVNHLSLIYKNGFQAIKDISLEIGNGMFGLLGPNGAGKSSLMKTIVGLQKPTSGSIVFNGVNVSEDPDYIKQNLGFLPQDFGVYPKVSAYDLLEHIALLKGISNRTERKKQILNLLEKVNLSDFIKKEVHTFSGGMRQRFGVAQALLGNPKIIIVDEPTAGLDPEERNRFNSLLNDISKDVIVILSTHLVEDVRNLCSEMAIMNKGQVLRKGKPNELIAELENKIWSRSIDKSELENYRNIYHIISQQLIERELYITTYSSEQPQDFISVNPLLEHVYFHTLTQKP", "MPIVVNLDVMLAKRKMQSKELAEKLGITPVNLSILKTGKAKGVRFDTLEAICKILECQPGDILEYRED", "MNQNKIISKILYYICCTLSAGYLVTAVYSILCLITGFAITPYKQNLYLHINYPFTETPFLNIENNYPYIIFSFMTVLICYGIFFWLSAKVFRVFIQPKLFTKENISELRRFYIYNIFFPLPVAILASFFVEVESIVWGLVFIHFMLGIFCLFLANIFSQGLHLQNEQDLFI", "MSNIFANIRQAITLFKSVDFEKLSKISQRVDIPKLMDNFSKLDDKQLKGLMKMMDQDRPKKELPPIDGDFYDIYHTLTPEQREVQLKVRAFMEKEVKPLVNNYWLHDEFPFELIPKFKELNICGVTYEGYGCPGMPFLMEGVIAMEMARIDASIATFFGVQSGLAMGSIYICGSEEQKQKWLPQMQKFDKIGAFGLTEPEVGSGAAGGLTATCKRTAEGWVLNGEKKWIGNATFADLIIIWARDLDDGEVKGFIVEKDNPGFSVEKIKGKMALRIVQNGHITLKDCLVTEENRLQNANSFKDTAKVLRMTRAGVAWMATGCARGAYESALDYTRKRKQFGKPIASFQMIQGHLVEMLSNLTAMQTLVFRLSEMQDEGILKDEHASLAKVFCTMRTRDIVSRAREVMGGNGILLEYDVARFVADAEAIYSYEGTKEINSLIVGRSITGFSAFV", "MTIEDLKANKLILFEAVSGSRAFGLATENSDTDIRGVYYLPKDSFLGLDYIPQISNETNDITYYEIGRFMELLQKNNPNILEILASPDDCILYKHPLMDLLSPKDFLSRQCKDTFAGYAISQIKKAKGLNKKILNPVAKERKSVLDFCYILQDHFSVPLKKWLTEQKKTQDKCGLVNIDNTRGMFALFYDETGTMGYKGVIQNEEANQVSVSSIPKEAKCITYLFCNLDAYSVYCKDYRDYWKWVSERNEDRYNVNQKHGLNYDSKNMMHTIRLLQSCEQIFRTGSLQVRVDNRSELLDIKSGNHAYEAVMQKAEDLIQTIEHYYTTSTLPDYPDIQRTEGLLVEIRKKLYN", "MMSKLNSKKTGFASGIIAGKQTNAAGKYSDYELLRRVTLANLLFESDYYQPADEIMAQIENLCYKVTGQQIIDLALECRFEQKLRHTPLWLLILANDIHNANVKDAIARVANRPDMTMDLLQMLKARNGSYKMSKSVKKGLAKAFDQYDEYQIAKYRKSNMEVSLVDVVNLVHPKPIPENEKALKALVEDTLKPANTWEVALSQGADKKETFERMLTEKSLGSLAILRNLRNMTEAGLSRKTIREAIAQVKSNWLTPLNFLAAQRNAPEYTAYINDAMENCFSQEKIAGTTILAIDVSGSMGQVTSSNSKFSRMDLAFAMVAVGSYIFEDLILVFTAGSDYSRKGKHMIWDSTKGLGIFKYYKKIYSELGGGGIFTYQLCEWLKEKGYAKDADRLVVISDSQDIDAQYGSKRKPDTAPYKTSYIIDISTHTHGIKTGNWTAEINGWSDRVFHYIKALENN", "MKTKIIEKLRETEAKYNIEILLAVESGSRAWGFASPDSDYDIRFIYRHSKDWYLTPWDKDETIEFMTEDDLDGSGWDLRKTFHLLLKSNAALLSWFYSPIVYIENKSFTKLFKPLADQSFSPIAVSFHYLSMSKKYLESCRNEKVKLKSYFYCLRTALSGKWITEKKTVPPVLFSDLLVLADPAIRTKIENLIVLKATKGETYFHSNDWQLFEFMELLISENEKKAKNLSGSKTDKVEMEKIFRKIIS", "MKITGNELIGLGFRPGKWFAEALEYINENNLNEEQMTAYLEQFRLPEPIPLYEEPKDFIVNIRAEHESEQDNVEKVIQTMKVLMKTPTLVGGAVMPDACPTGPEGLIPVGGVVVAKNAIHPGFHSADICCSVMLTDFGKADPKEVLDTAHSVTHFGYGGRARGNQMPMSAILIEAFRGNAFLNDERLISLARDHMGTQGDGNHFLFVGISKNTGNTMLVTHHGSRAPGAALYDKGMKVANRFRQDISPETLKENAWIPFDTEEGQAYWEALQLIRQWTKENHTSIHDAVLAKMNTEKENRYWNEHNFVFRDGDLFFHAKGATPLDDKFMPDITGPRLIPLNMAEPVLIVQGTTNDRNLGFAPHGAGRNFSRSQHKRTLAHKTNEEVFAEETKGLDVRFFSNEIDISELPSAYKNAQNVRAQIEEYGLCEVLDEVMPYGCIMAGDVMKNAPWKKKKKLRNS", "MILEQLDKSPETIAFNDVIAYIDGNYDFTPTEFKNGNTVNEAGQNNGSCKVFSFAKSNNLSKEDTLNLFGAFYREDVLKNPEGTDHQNIRNFIEFGWDGIAFKGEALKAK", "MSSNKNALIRYKTLDKCLKNKYRKYTLDDLIDECSEALFEYEGKESYVSKRTIQLDLQNMRSEKFGYEAPIEVYERKYYRYSDPEYSIHHISVNESDLKAMNNAIQILKQFKDFSMFREMNGVLQKLEDSVNAVQQKSIIHLDKNEQLKGLEHIDVLYQAVLNKKVLKILYKSFTARDFSTYTVHPQLLKEFNNRWFLICLYKGSMYNLALDRMENIDTDEQSVYIDKDLNGDEYFKDVVGVTVSESMHPRNVVFFVDKSNAPYVKTKPLHHSQQIVEEKEDGTVFVIKVQLNYELERLLLGFGESLVLYQPLRLKKRIEQKLQKAVSNYKESESLN", "VKKLILINFLILILVGAAFLASEYFMTYPAKFNIGKFFQQISFTPGILFIIASAVFSLPFSFLRMKRLNFREKYLRVFPVMNLILIVLIIKVSYPIYAETKTRIEGMQQQYIIKAKNDIRNDLIIYEYAGGITDTYNEKLAQQTDSITKNTELYIRIQAV", "VKSETKEIESGRITKQFTNGKLTSFTVDMAAVNYGNTLFFTKEDNIINIKDGQKPDALIRIYLKDKRYTTDLQYQNKELMYIESIDLDLNNLPPNSIISSQYKDGKPESFISRSQMEDIRDLDKVMKLFLRMDKKTSLTNIDTIFDTLADDFSQEDALLKIYFGRYAEKFEPLPVAYLNTDNTGKIKKGIVWTETSGQNGKYNIYSNGKVIKSVNQNLTDFQKTIMDYMEKM", "VNKSLLSASMLLVAASSLYAQNWQSKTIHDGKVSYFRYAEGASLNIRDCNFIKTKSGDIKLLGKCSFIIKESGKEPETITLKENEEYIVVTAKPREKSEIRIEEVELFAKKKVFSEIGIRQEALHNVQAFSIGDVLQQLPGQYVQPFNNTQFKNIVFRTASGASITGSSQIPGGDDYGNKAFGVQLMVNDVVVSNNENMQSANSAYDSPYRTSFGTTSKAGNLTPGQPNYGMDLREIPVVNIESVEVIQGIPDAKYGDLTTGLIKVNTIARQSPLRLEASIKEGTYQFGLNKGFKIKGNQALSISVDYMNSIVEPRSSLVSYNRFSTNAMWSVTGSRLRNKLSFSFSQNISEGKKDPDDLTGLTINSNNKNFSLSNNFTYRLTSKPGRENGLKNLSADVGVSYGDQYSMRKYFLNMGARPYGNATDNSVYYAPYTPPSYDNLIFVEGKPFNLYSNVSLNGRYISAQSKWIHDYSIGFNFRYGDNFGVGRYGTAGQYATTTITKSSVDGARDYNYRDNVLASTQTAFYIQNNIYKLFANKHSLRANLGLRYDLQNSVSTLSPRVNVAYKMGRTSLRLGGGLTSKAPSLNQLYTGPRYIDLLLGDYRLPGYYTVGIMQTVVTPGDNVDLKPSKSWKTEVGVDYQLPFASISLTAYYNKLFDGFTSKSVVKEVDKAKVNVNIVGTERPTFEIVGTEKFRYVQTQVVNGATSTDKGLEMMVGFRKIKALNLVPSFNASYVETESVRDNSVLFLKAPEVVDPNFQYGLYDNTGGKVTMARASVSLDYHLPDSGLIIGLRSDNFLLDRRNTYSDSVYPVGYIDYNFRTTMIPENDRQNPKYSNLFRKASEERVSGLTRKTLHNFHLRVTKDFLSGFRVSVYVNNVFNLKPYNESGYEYANFTPISFGANLSYKF", "MKKLIFLLLIPVIFLIGCNRGDDFNGNNEIRPMAFTVTVKFDNASGNVANKGVANAKVVLVNTLTRDSIKGNTDANGELKLNSILPGTYNVTSELKMTKDEYQKAFGQITSYPVVNFGGSQEKVTVNANVSSTVITVSSGNLGDLVIKQIYYAGSSTSEGAAFRDQFIEIHNNSDQTIYADGLYVALMEGNTNNNVTSYTLANGQYDWSQTASGGSSANTDYAYASSIIKIPGNGTQYPILPGKSIVIAQTAINHKAPFDGNDGKQVTIKNPSLTVDLSKADFEVYVGDYAKSQGRNPYQWDIQNIMVPDMEFVHWVNALTDYLLNLTSKPAVAIISATPQQVASWAKVASPKNPKGSLFVQVPASFILDGVDITDKDQKAPKDLPTSVDATRTFINKNGLALPEYSSYSVIRKTKEIINGRVVLQDTNNSANDFTTIEANPRGYAQ", "MHNKNKIFSHFFISGITGVAVLCSQFLYSQSTRLDSINISSVEKDIRIENPYINFFQPLDFSTTSLNAEFGKQNFKRVQSPEKMSNYNFESKGVYQLNPKVVISGNLKINREHEDNVPFILTDERTTNTSFIHNPSYYWAPRAAKWMKQNYLINGQVAYRPVKFLITQLGAEGDFSKAYRQDADPRPEVSTYQYKVFGKIGFSWNKHSLFGKGRYFNNFRRNNIVSVNANTNVPSNDSIFIRYNEGYGNQYNGLRYGLTEYKVNGYVWGGEYAFNTSNTHISIGYDYVNAIERFYRIYEYQAVDLSKYRIYEKYSGLKTDLHSFYINYLGNFSGYKMASRLTYKDQLDANYNYVLQYTSYRLEQQNLKWNNSLMWYNRKNEAYKLLLDLGYGKNRVKDISVVMDRRLSFFEYYAGIEKEFAIIPGHKLAVGVRQSLYIPVQKEFNYVPYQSLQENTFIQNIARPDYAYDSTPKIGLDLNAAYRWDSNKIRYELVGGFNQLWLTSKTYKETMTAYNGRPSTVATIGLNVYY", "MKDRYLAIIAIVAVGLMLGYRPNDPTAYTVDELRDLYSGGDKSKWPKPHLFVEAEEDFQDIGPLADMQYPADNPYSEDKMELGKTLFFDPRLSKSGQIACASCHNPELGWADGNRVSFGHDRQNGTRNAPTLLNIGFAKTFFWDGRSATLEEQVKAPIENPVEMNLHMSLATKKIRKIKGYKPYFEKAFGTTEITEDRIAKAIATFERSLISPPSRFDKFVSGKRNALTDAEVKGLHLFRTKANCINCHNTSYFSDQKFHNLGLTYYGRKYEDLGRYLVTLKNEDVGKFKTPTLREVSENKPYMHNGLFPELANIVMMYNAGMGRETPRGDQVNDPKFPHKSGMIEKLNMTDEEVFDVVAFLKTLNSYKYKMRAPELPK", "MKKLLFLSLLFVSIALFAQPPVGNANKGEEYGAGVSKTKEAGAVSMAELSKILNERKEVQDITVKATVTDVCPKKGCWVTLDNPDKTKVFVKMKDYAFFLPTAIKGKTILLDGKAQLKQTSVEELRHYAEDAKKPQSEIDKITTPVTEIRLLASGIRVTQ", "MNFNGLEFSDYLNVFQKFSWGEWIIFSLVVNLFLYLFSIGLYLFVDKTCRKDKLQKKDHTVTKSDFLLSLLTVICNSFIMLLGVVLWKSEWITLDNNTPAGIIFLEVVALIFLMDFCMYIFHYAAHAPSIYKMLHGKHHEHISTNFLSLFVLHPFETIGFGLMMIVLLMCYNFSLTAIVIYLTINLIWGTIGHLNREFFPAKFDRMGIGTTRFHNLHHLDESKNFGFYTSIWDRFFGTYRN", "MSKTNPVVYFEIPVNDLQRAVKFYSAIFNFIFEKEIMDGYEMAFFPFEETKSGVTGALVKGDVYKPTKNGVILYFKTDSIENTLKKVLEYDGSILYPKTLNEKFGFAVAEFEDSEGNRIALHQDL", "MSQKFVECGQHGKQEMALICTHLAHSVSGDTPLGFHEHDEGDMGRPDAWCDQCNLVLDKAETEDELDEWFTSCDHKIVCVNCWDEVKIQNINTSDE", "MDREILKEKLLFYIAQGNGLSSEVRDLLIEFRNLGGHQADAEEIVKEIKQESVEELQDHADDVLDIITGWCASEMRVWNDE", "MLSSASLNLESALFYITLLAFLASGFVYTLSVLIVHAFQKRIKNFRYYFISYLISGVIGILLICLFAFIWLASLN", "MPKIKKSKTPKFIRRSIYNIKSILGLLRIVLSDRQFLYFSCVIVGITSALAVTVLKTFAHNVFQFTTYVNKILKLPYLNSILPILGIVLTVLIIRKFLNGSLEKGTAQIMIAVAKRSGFMPKKQMYAQIVTSSLTVGMGGSAGLESPITITGAALGSNYAQDFRLNYKDRTLLLACGVAAGIATAFNAPVAGVLFAIEVILADMSVSAFIPIMISSATGAIMSNLTLKGGILLSFKRGLNFDYHNTIYYVLLGIIAGFLSVYHARLFRWVEHRIGSYSKSVYTRAFVGAGILGMLIFLFPPLFGEGYENIKVLANNQAGELLDNSLFEHLNGNEWWIMLFVMITMMIKSIATGLTLGSGGNGGNFAPSLFVGSYLGYLVSKVVTLIGIKNLPIDNFTVVGMAALLSGLFHAPLTAIFLIAEITGGYGLIIPLMLVSSISYAIAKRYDNYSMDIYSIADKGIVFTSDKDRNILDKIDVSLLYSSHLKTVFTDFTSQEIKDIFINTNQYFIPVLDRSLMIQGIIMLNDVRKHLFSDQEIDFSKFIIPANIVSVDDATAKIIRIMEESRHDYVLLTKDGKYIGYITKSTIMDAYRQNLKKLRIE", "MTELQQISEFESSPELIERLYANSVQKDYKAGCVIQDENSHIRAIPIVVKGSLKVIRTEEDGREILLYYIKPGESCIMSFLGGLHNDTSKVRAEVEEDAEILFLPVDKVSLFIKEYPQWLDYIFRLYHKRFEELLEVVNAIAFKKVDERLLDLLKKKSSLAVSKTIQTTHEQLANELGTARAVVSRLLKQLEENGTVKLGRNKITLM", "MEIVGYLASVLIGVSLGLIGGGGSILTVPVLVYLFGIGTVQATVYSLFIVGVTSIAGSVSYFRKAWVDFKTVIIFGVPSVISVFLSRNFLLPAIPQKLIQIGSITITKDNFIMLLFAVLMVGAAYKMIRKIQSENLTESNSGYLLAVVQGIIVGLLTGLIGAGGGFMIIPALVGLLKMPMKTAIGTSLAIIALNSVSGFIFSLHHTIIHWPFLLGITAMAVIGIYIGSFFSRRIDGKKLKPAFGWFILVMGTYILAKEILM", "MKVEQIYTGCLAQGAYYIVSQGESVIIDPLREVQPYLDKLQQDNAKLKYILETHFHADFVSGHVDLSDKTGASIVYGPTAKPEFEAIIAKDEEVFEIGDIKIKVLHTPGHTMESSCYLLIDEKGKETALFSGDTLFLGDVGRPDLAQKGKDLTQEDLAGMLYDSLMNKIIPLSDEITVYPAHGAGSACGKNMQKETVDTLGNQKKTNYALNQPDKASFIKEVTDGLTPPPGYFAMNVAMNKKGYESFDQVLEHGLKPLSAEAFEAMADETGALILDTRPAAEFHKGFIPQSVNIGVKGDFAPWVGAMIVDVKQPLLLVTDEGSEEEVITRLSRVGFDNVVGYLKGGLSAWQSAGKETDSVERITPEEFAQRYTKDARIIDVRKEGEYAAEHIAEAYSRPLAYINTWIKDIDPKEHFFLHCAGGYRSMIAASILQARGYRNFTEVEGGFGKIKLTEVPTTDFVCQSKTMK", "MIEFLKQPWPWYIAGPLIGLTVPALLILGNKSFGISSSLRHICASCIPADISFFKYDWKKEAWNLFFVFGIFLGGVIAVTLLNNPDPVKVNPKLAEELSGYGISDYSNLVPAEIFNWNALLSIRGFIMMIVGGFLVGFGTRYAGGCTSGHAIMGLSNLQWPSLVATICFMVGGFIMANLILPFILSL", "MNNNLKEIHSKWYSNLKYMLVGILFGIIFVKSEVISWFRIQEMFRLQSFHMYGIIGSAVLTGMISVWIIKKFNIKTIHGESISIAPKQFNKGQIYGGLLFGFGWAITGACPGPLFAQIGTGVTVITVTLLSAIAGTWVYGLIRNRLPH", "MGKFEISKRKNGEFQFNLKASNGQVILASEGYSTKANCENGIESVKKNSQDDNKFDKKTSSNGKHYFNLKATNGQIIGTSEMYESAAGRDNGIASVKTNAPDASVEDTTV", "MNNLLNLESFRSINLKNEILAGLTVAMTMIPESLSFAILAGLSPLMGLYAAFLMGIVTAVLGGRPGMVSGGAGATIVVLMALAASHGVQYLLAAVILAGVFQLLVGVFKLGKFVRLIPQPVMYGFLNGLAIIIFMAQVAQFKIVQNGVSGWMSGSILYVMAGLTLLTIAIVLIFPKITKAVPSSLVAIIIVSALVYFLNIDTKKVVDIASVSGSLPSFHIPAIPFNTETLKIIFPYSLIMAGVGLVESLLTLNMVDEITRTKGESNREAMAQGTANIVNGFFGGMGGCAMVAQTLVNIGAGARTKISATIGALTILLIILVGGPVIEQIPMAALVGVMMMVAIGTFQWVSLKIVNKMPKSDIFVGILVAVITVVLHNLALAVLVGVIISALVFAWDNAKRIRARKFLDEDGNRVYEIYGPLFFGSTAAFMDKFEALTDTDKIIIDFKESRVADMSAIEALKKLTEQYKNHHKKVVLRHLSADCVRLLENAGGFIEVNIEEDPVYNVMPE", "MRKTYTSFALPLLSLLALSSCSNDDNFTEQIDPDNTIVVADSAPSAVVSAQGFYVANEDWFGHDNGSVNYFKNDGSIIYRAYRAANNGEKLGVTTQFATIYGNNAYLISKQKNRLVVADAKTLKMKAVLTEIGGDGRAFVGINPKKAYISTGNGISIFNIETLKVEGSISGISNQTGNMILAGDYVIAITQSKGAYVINTKTDTVEKLISGTDFASVVQSKDGKIWIGASTKLIQINPYTLEKTDEVDIAYAPIGSLWGAWNAGSLSASTKQNVLYWTKGNSVVKYYIETKSINTSFYALGKDDQGIQLAFYGAGLRVDPLTDKLVLMVKRNGWGDAGSYNWMHLVTNTGTLEKSIVVNGGNGTGSQDERYYWFPAVPFFEDANAPEILLNQIIVAPGKRKAIALNDKIVDADNASSSIIKSISTKGTELATYELKTDSLIVTAKAITGKEKITISAISNGKYVEKAIRIDVRK", "MKFSDKANIAKTFLIGSIVLTSLYNCSSDNDTTEPVVYSKYISEVLDYMPAPGQFTNDLPKYNAGETQKDMIQKANNAIAKGANGMISLGGFGGYVTFRFDHTVQNGEGADFKILGNTFAGSSEPGIIMVALDKNKNGKPDDDEWYEIAGSEYFKDTTIKNYSITYYKPNESKTPVKGSASWQTDTEYIRWEDNQGNKGYLTKNSFHNQSYYPLWFGETSITFKGTRIADNFKQNNGLWSSVPFEFGYADNATNESDASNIDISWAVDKNGKYVKLPGIDFVKVYSAIRQENGMLGEVSTEVTGAYDLRIK", "MKLKNLAFLLFVVFLTSCRGDQYIIQKETEEVTPPQQTEIKGFYLLNEGNMNSNKATLDYFDYTKGTYFRNIYAEANPNVVKELGDVGNDIKIYGNKMYVVVNASNKVEVLDARTVKKIKSITIENGRSLAFANGKAYVSSYAGPISVDPKAPLGKVMEIDTISLSVTREAVVGYQPEEMAVVKNKLYVANSGGYRVPNYDKTVSVIDLANFKETAKYDVAINLNKLKTDANGDLYITSRGDYQNISSNLFVVDSQTGAIKKTFNIPVNNFTIVNEKLYYFSNEFNYNTQKAVKSYGIIDLKTKEIISKKLFDSKYETEIETPYGIAVNPITEDLYITDAGNYVSTGSLYCFDKSGTFKWKTEGGNIPAHFAFLYK", "MLKRLHSFCLFLLLLIFAAGYTFGQKKDTTRIREVEAVDIFKKNSRTVIQPQKLTSDIIEKLNNNSVADALRYFSGVQIKDYGGVGGLKTVDIRSLGAQHVGVFYDGIPIGNAQNGIVDLGKFSLDDLEEITLYNGQKSDIFQPAKDFGSSGTIYIQPKKPVFRDSRTTNLAVRTKSGSIQTFNPSFRLEQKLSNSVSASFSGEYLDTDGIYKFRYYRKYPNGQIAYDTIAKRHDADVKAKRFETSINGDLKNGKWDLRAYAYLSNRGLPGAIVNGRFGDEGQRLKDANYFVQGSWMQKIFPKVQTQLKAKFAYDYNRYIDTLAPQRPKIDNQYIQREFYISSSTLYQINNNWDAAISADFQYNNMAANLYNFSYPKRYTTLLALATNYRWGRLKAQGSLLGTFVQNKVLQNAAPGDENKWTPALFINYQPFSQHEFYLKAFYKQVFRLPTFNEMYYKTLGMSLLKPEFTHQYDFGFTYRKNFSRGIVKNISLNVDGYYNDVTNKITSTFNGNMFIWMNLSLGKVEIIGTDVNLQSELELGQWRIRPLLTYTYQRARDFTDPKKSYYGHQIPYTPWNSGSFALMTEYKSWGLNYSFVYVGERYDSSQNNIQYNYLLPWYTHDLSVQKTFKLNKHQLKASLEVNNLFNQYYDVVLNYPMPGRNFRFILNFTL", "MTIPDRNPEEFIQVDIALFHESIKHILLAGLLTYFSFAPSHYKSNSDFIAKIFYEVYSSGSVQDLHLIPF", "MPEFFHDKRLYYTPIEFALNHIGGTWKMPILWRLQEKALRFSELKKDIPHIADKMLTSQLRELESKGMINREVFPVVPPKVEYSLTSKGKKAIPVIETIMKYGYDLIKETGIEYPPKNTDSK", "MKSKRTLYVLALGIFGITTTEFGVIGILPDLAKAFSISIDKAGWLLSAFAIIVAVFGPFMLMLLSSFRRKNLLVFSLLVFAAANIISAYIQNFYLLLLIRMVPAFFHPVYWSVALSVAEKNVLPEEQSKAAGLIFSGLTVATVLGVPLATLISDTVGWQYSFLITALINLIAVAGIQFYLPSIEYYNKTVQISYKRILDNRLLWINLLLSFMLIMAMYSTYGYMSDFLKTVTHMNGKQISFMLLIFGSIGILGNKLAGRYMSRFPVITLALFIVSLSLMHLLIYEYGSLFIPMVWITCFWGLIHSGGFLIGNINVVTSALDAPEFMNSMFTSCGNFAVTAGTLAGGYWIAHYGIQSLAWSSIICLLIAGVLLLLKNKLIKKTEL", "MSCSQNPINIDDIKKPYFVWFEDNWVHDDELHSHQKGQLVYVESGFQYLTVEGKIYLLPQNHAAWIPSGHIHKTNSHSEKIRLMIMFFDVEKDIPFYNEVSVFLVPPVLKEMIKYAEKWSKKIQEDPHETLFLKALSNELPQFVARSLQLHISPPEDKRLCKAIDYLHEHYMEDLSMEDLSEIAALSLRTLERIFKKETGLTLSKYQQMLRIIKSLELLSDQQWTISEIAFKTGYKSLQAYTNSFFSVMQYRPSEFLKKLV", "MKYETRKEASVFLLLINVLVVILVSSNLRSPITAVGPVLDQISSTLHLDHFQSSLLTSIPLFMFASCSVLVSRFSHKLSINRFLLYALIILSFGLFLRVFGSVWTLFAGSVFIGLGIAIGNVITPGYIKNNFPKQIGLMTGIFAVAMNLTAALASGYSISIGQWTGYGWKGSLGIWLIIALLALLVVTLELIFNKNSAQQQKTDMAKSDFNMFKSAQAWNISIFMGLQSLVYYSLVSWLPAVLGDYGMTGNAPGWVLFAIQIAMLPITFVGPIIANKMKDQKIMIFFVCIPMLASVLMFAWLKSDWVYFTAILLGLSNGLSFSLSILFFSLRTKSSANAIKISGMAQSVGYLIAAFGPPVFGKLHDWGSGWQSSFYFLAVAVLLMFFFGFRAAGGRYVED", "MKPILISFLAFILCQQISAQEVNYDEANRKTDAFIQKKMKDLSIPAIAVAVIKSGKIVKKTVYGTANIEWNNKVTPHSAFQIASCTKLLTSTLLLKSIYNKKIDLYESLGKYLDSIPEAWKKIKIMNLISHSSGIPEFYESNTYLPTKEIVKQIKDKPLIFEPGTKEQYGQSDFMVLSYIFEKIYNKSFTKILHDEVIIPFGMTDGGFDMEYKVDGRFLKTDLIKEKVTTYYDDAGKLVSYKFLYPQYTYPGGGYFASINDMANWAIGLDKNTLFPLDFANELVYSSDKLGDKTAEFSKVGWALENDGNIFYGGHSGGPGLGDVLRFPKEKITIITLSNDGELYPQFSRAIASWYIKGLSPKLEIKKFDR", "METNSVTIILVHGAWGDGSHWRHVIENLHNEDYIVRSVQLPLTSLEDDIQKTRDLIDMQEGRVILVGHSYGGAVISGAGHHDKVIGLVYIAAFAPDKGESLGGIFARREQPSGAANIYPDAKGFLWIKYDKFHESFAQDLNPDDSVVMSLSQKPIHGSIFGTEAGEPAWKTKPNWYQISDQDHMIPPATQKEMAERMDPRRILHLDASHASLATHPKEVTDLIKEAVSVMY", "MKEILDDYETYGPLFLVDREHYEEFCSYLKKMTLRKSEYFLKEGEQCEYLGFLKEGLMRTFYINENGEDINFNFHFNHHFFTDYESILQNVKSKMNIKALKDSEIMLLHKDDLQKLYQKEAYWQEFGRKMTEVIYLSAQKRIEELLYYVPERRYYNLLSENPQVFQLIPLKHIASYLGIKPQSLSRIRNRTVKH", "MSNIKHTAAGLLIFAMNIIYPQIQNVNKVIDTSIKKDNFNGAVLLAKNGKTELLIYTGLANRHYNIGFSDTTKFHIFSLTKTFTAILIILSNTKDIKYLNKMREKLISAYYGQ", "MVRTETIIAVKNISASSVFYQRLLGCNSAHGGETFEILKDGNVVILCLHKWGEHEHPTMIDAEKENGNGLILFFRVSNISRILENAKNLHASIEKEIHYNENSLKNQFILRDPDNYYLIISE", "MSRKEHIIRSYINAYNQFDIPGMVANLHDNIVFKNIQDGETNLLLQGKKEFRQQAELTKTYFKERQQNITSVKHSEDHTEIEIDYYAVLATDMPNGLKKGNELRLTGKSIFKFSDDRIIELTDIS", "MTEIYHINCVRIVSPLHDNVCGHCLLIKENNKLILIDTGIGYLDTQHPVERIGQQLIDMVGYRFNENITAIRQIENLGLDPKQVTDCVISHMDNDHIGGIADFPQAAVHVGAEEFDSFNSGNPRYLKLPMAHQPAIKTYAEKTDEWYGFEARRIDIDTKAEIYLIPLFGHTAGHCGVAVKAKDQWIFYIADAYYLRAELDDDSHPVNELARMRAENNDLRIENISRIRKLIDEHPEIEVFGYHDIEEFRHYNSKTIH", "MERKKVIVRHYFRLVLLSLLVGLICALLAFSLKHITEHIEKKLFSLAKDYNSLLFIVLPTIGITMIYFLRKYFFQNRKNKGITEIYKTLDQRKDHLPFFKIPSHYLNGFLTVIFGGSTGVEVSTVVASATVGNMAYEQGFSAKMYKRELICVGVTAGVAVLFGSPLAGWLFAMEVIARKTNKSLAISCTASALVAGLFIFLFDSKPLLDISVSGWKWGALPFFLILSLLGGILSVYFTILVIRIKDFFSKIDNNFLRVNLGALIVGSMIFFLPVLYGDSYHGLKGILNQALNHREVSMSLLLLLIFLKPVASSLTLGAGGDGGVFAPSIVSGALLGLLFALACNTYFNTDLILINFVLVGAAATLSASIYAPLTALFLVCSLVPNGYLLFFPLLLGSFVSKFFAQRLLPYNVYTYGMKVGK", "MKEVLLLLLHVIIFPIHTKAQSIRFEYELSQIEDTLSKYPQKFNTAMEITQSVKKFYDMDIYQHDSILAKKGGSGYMNTGFDQNLLKSKSDQINQTFHNIAYDDLYRLQSKDHFNWEITKEEKNEKGYQLQKAVTNFGGRKWTAWFTQNIPIPEGPYKFSGLPGLIVEIYDSQNHYHYELTKVTKLSKASDTTGILERQRGKKPIDITLATYQRLLLDHYIEPYKHLLSSESFSLYDANTKKEYTKTQELREAKTNEQSRIKKYYNPLELDKAVTYPE", "MKNLKSLSRNALRAVIGGFGSTCSTTCSNGKNIEVTCPAGCSSIDGKHVGCNGGGSWETNICPKTDKENKETKANQDIDY", "MSSPDQFLYKKIIYIDNKSSRPVYLQIVHQMINAIQRGYLMKGMKLLGTRSMSDILQVHRKTVIAAYEELDAQGWVETIPNKGTFVIESGSFKNMNIKNLQRQDLATYPQQTGFSFRQSNLLDNPFEHSSCTYIFNDGVPDTRLSQIGNYSSFYSANLKRKSSYRKIGYYNTEGSEYFKEHLSQYLNLSRGLHISKNNLLITRSTEMSIYIISEILLSEGDMVVVGDPSYFAVNMIFQKSGAVIKTIPVDEEGIRTDLIEELCKKTKIRMLYLTPHHHYPTTVPLSAQRRIELLSLASQYGFAIVEDDYDFEFYYDNSAILPMASSDTDGMVIYVGSFGKSLAPGFRTGFIVAPENLMTEMRKYLGIIDRQGDIIMEQALGEMIAEGEINRHLKKSAKIYKERRDLFTDLLQKHLRDQVKFSIPSGGLAVWLEWQSPVNLFQLSSYCQQHDLFIPRTLLYQNKDYTALRLGYGHLEEDEMNKSLQILHDANESLKK", "MQKIIEAIIRNNEIHAKWLNTLSFMENAGARKISACEDPVTVDLIQLKHAAEEHRHAYYLKKQILKLLPDYCINYRADEMLAQGYTRQYLHRLDMQASRFLKDTFQLDGKALRYVSYLFVTYAIEVRADELYPVYQDALDKSKSRVMVKSIILEEEGHLEEMIQQLERFHADWEDYAGEVLKIENELFQDWINAVGKEVLSYESATALV", "MNQPQHWYKALAGRQEIGNYRNLRINDMGVDFLSNDYLGMTCNKVFQQHLLELLNVNPKLLSGATGSRLISGNSPICKEVEKSIAKRHQTESALLFPSGYKANLALFSCIAGRNKTILVDELVHRSVHDGCTLSYAQKKKFKHNDINHLEYLLSRTTGSVFIAVESLYSMDGDFAPLEDIVILAERYGAWVIVDEAHAVGVFGEGLVYRYNLQNRVLATVVTYGKAFGAQGAAVLGNKMLKEYLVNFASPFIYSTAMPDIQILIISETYKFLEVHPYLADELQYNIKYFRKHKVHSLSQDMSPVQVVQFPAVKNLYKAVQELKQQKINVYPILSPTVKEGGERLRITLHQFNSIAEIDELASIIKDNL", "MNTKYFITGIGTGIGKTVSSAILRQYFQADYWKPVQSGDLDQSDSMLVKQLTSDELRIHPERFRLQYPASPHQSAAMEGIEIKISDFQLPVTESILLVEGAGGLFVPLNHREFIIDLIEHFNIPVILVVRDYLGCINHTLLSLEVLKLRNIEVAYVIFNGNFVPETREVLLKHIPENSRIIELPEVKDFTRVSIQEAVESIQRNLK", "MAKERTLRHDWTKEELLEIYNKPLMELIYEAATVHREWHKADEVQISTLLSVKTGGCPEDCSYCGQAARYHTDIKVQALLPTATVMAHAQKAKERGASRFCMAAAWREVRDNRDFDRIIDMVKGVNDLGLEVCCTLGMLSESQAKRLQEAGLYAYNHNLDTSEEYYDEIISTRKFDNRINTINNVRKAGITVCSGGIIGLGETHGDRVAMLRTLANMEIHPESVPVNALARVKGTPLENNPKVDIWEMVRMIATARISMPASMVRLSAGRIEMTEAEQAWCFMAGANSIFTGERETLLVTPNPGVSEDMQMLLNLGLKPMVREKETTCSKN", "MQQELTDRDRKVNWHPYTQMKTTSHIPIVRGEGSYIYDADGKRYIDAVSSWWVTLHGHAHPYIAAKVSEQLQTLEQVIFAGFTHPNAIELSERLLALLPDNQEKVFYTDNGSTAIEVALKMCLQFHYNRGEKKNKIFAFRNGYHGDTFGAMSVSGRGLWTNPFGEQLFEVLFIDVPTKENLEEIKSYIDLHAGEAACFVYEPLVQGAGGMLMHEAEALSELMQFCKSKEILLIQDEIFVGFGRTGKLFAANHLSEVPDIMCFSKGLTGGTLPLGITTCTEEIYNAFYSDDKTKALFHGHSFTASPLACVAALASLDLLLLKDTQRNIERIVNQHKEFGEALKKHPKVKEVRQTGTIFAMEWKINEETSYFSDMHEILYPYFLQRGILMRPLGNIIYLVPPYCTTKEDLEEIYQAILEALDIL", "MRKSVLMLMSLFIALTVYAQQKVKHVVLIGCDGFGAYALPEADMPNLKNLMKDGSWSLKARSVLPSSSAVNWASMIMGAGPTLHGYTEWNSAVPEIPSSDLTKEGMFPSIFSILKEQKPSLITALIYSWQGIDPLVQKGTTDIRIPAKDNDDFCTESAVEVIKTKKPTLTFIHLDQPDGVGHNTGHRTPAYYEELKKVDARIGKIVQAVKDAGIANETVIIVTADHGGKDKGHGGKSLDEVLIPWVVYGKGVKKNQELKNTVITYDTGATIAWLLGLKMPESWRGLPVKQAFLTK", "MFSKTCEYAIRAVIFIAQQTKDGSRIGIKDIAKGIDSPEHFIAKILQDLSRKGLVQSAKGPTGGFYLDDYNLNRPIADIVKTMDGDRIFNGCALGLKECSESHPCPVHNEFKHIRKNLKEMLENAKIGEFINKLDAHETFLRR", "MKTQIRYPFVFAVLCFWLGLMLSISFLETPLKFQVPGMTLPVALELGKLMFGVSTNIQLFLTGVIALNLLLVKKYLTAGIVISYILLVILLLLEKFWMLPVLDVRADMLASGKPVQPTSLHDYFIYAEVGKLILIVGGILLQLKK", "LAKIGKKVLRAGGKDLTLKMLELLSINTNYELALIQEALDESVEVDRSYKSKYQTSYSG", "MKKIVFTLALVSLAVISCFKKEAEAEQLQTESNVMLEEPKTTQESAVAGTPEEEGKKLVEGADCLSCHKVDAKLVGPSYQDVAAKYTDADIDHLAGKIIEGGKGVWGDIPMTPHTGLSQDNAKLMVKYILSLKK", "MNAKTDYIGAMVAEDFRTAAVFKKYGIDFCCKGGRTIADACEKKGIDEQKIYEEIENLPKSGGTNIDFTSWSLDLLADYVEKTHHRYVEEKTPVLQAFLDKLCKVHGDRHPELFEIRELFDESAKDLAAHMKKEELILFPFVRNMIKAQQTGEALRLPHFGTVENPVNMMQHEHTIEGERFEKIAKLTGGYIPPADACNTYKVAFAMLQDFENNLHTHIHLENNILFPKSIHLEKDFSA", "MTPKKLWTWLAAVIIASFAVLIYYGVDIYRKIPPIPEKVITTEGQVLYTGQDVKDGQNVWQSIGGQTVGSIWGHGAYIAPDWTADYLHREAVLLLDELAKKDDKVYHNLPDEEQARYKILLQKELRTNTFDKASNTIVISPERAKVQCELAAYYEKLFMGDPSMNKLRDAYAIPVNTVKDTGRMEKMNAFFAWSTWVCITSRPGDNVTYTNNWPHDELVGNTPPGSLHMWSGFSILMLLACLGILVLYHARNKEEEIKEELPLEDPLRNMKPTPSMKATLKYIWVVALLILVQMLAGVVTAHYGVEGSAFYGIPLDQYLPQSVSRSWHVQLAIFWIATSWLATGLYIAPAVSGYEPKYQKLGVNVLFGALLIVVLGSLTGQWLGVMQKLGLVDNFLWGHQGYEYIELGRIWQILLLVGLVLWLILMLRALMPALKKKDENRHMLTLFVLASVAIAMFYGAGLMYGRQTHMAIAEYWRWWVVHLWVEGFFEVFATVVAAFLFTRLGLLRMKSATNAVLFSTIIFLAGGILGTFHHLYFSATPTAVLALGATFSALEIVPLVLIGYEAYHNYQLSKSTKWIKAYKWPIYCFIAMCFWNFLGAGIFGFAINPPIALYYIQGLNTTAVHGHAALFGVYGILGIGLMMFMLRGLYPERQWNDKLIGWAFWLTNIGLLVMVTISLLPIGIMQSVASIKEGYWYARSAEFMQTDIMHFLRWMRVPGDILLALGELLLVIFIIGLKFGWSLKEKR", "MKRNENIVLLSRDHHFGLLCAWKIRQGLKKEAEIERIKNYVQYFWASHLKEHFREEEEILFPYANDEMTHQIRREHQLIKTLASDIETTVSTELLTAFADALEQHIRFEERTWFPHLEEILDTQTLEKIGKALDLIHETEADTYHDEFWK", "MYKFGCITLLSLLSISTHAQSVITGIVKNQDNKTIAYCSIGIKDSKTGTITDGSGNYKLEIPDEAKNKEIIFMAAGYSDKSIPANELKTNSNIVMDYKVTNIEAVVVGSKKLKEKTIGQKSRPFLTFSKMFDQNVPTIEQGNIFTVYQKTRLVAYNFYIIPSSKFEQITMKLNIYSVKNNEPDRPLLQENIIYKTSTTGWQKIDLSEYKLNFNNLDKIAVTLQLVDHKALPDIGFVFGVSAKKSLSKNLLFRYQSQGNWEVSEGSFITNLDIRYDKAKGEKDITEEQDTDNDNDADTKALISYYEHKKTAQKTVYGKNKEGKYIDLKDAKIYYEEYGKGQPLILLHGNNGSISDFSKQIPFFAKHYRVIAVDTRGQGRSTDLTQDAYSYEKFASDLYQVIKSLNLEQVDIIGWSDGGNTALIFNYEHPEMVNRIVTIGANMNPAGVKETLIELFKKQIIANDPKTNPRLVKLMLNHPDIKSNQLSVITNPVLVVAGSDDVIKDEHTRLIHKLIRNSELAIIPNATHYIPFEQPEKLNELMLNFLKNKS", "MRLILFLLFCFTLSFSQDLKPFKTDSLFGYKNENGQVIIKPQFQYATRFTYGYAIVAKNKKLGVINSNNDMLINYKYEFLQALDSLELLYGNRAKYFGEYYIGVIGLNDQIKIPNKYKFITKKNNLYIVTTEKSKVINKNEYGGMRTVENKYGLIDSNGKTILPCKYSYIAWKTPKLLDVSKNNHKSHALFDVNGKRLTNFRFMVFGDFTEGLAKARIKNKYGFVNEKGKIAIPITFDYCEEFKNGYSIITQGEKHGAIDKNGKIVIEPIFDYQTVKSKLLSQ", "MGLKEKEFLEKIEKHKGMIFKISKMYLENQEDREDMFQEIILQLWKSYQAFEGKSQFSTWLYRVSLNTAITFLKRDKKRTDKNELHENIDIEDEQNTDKELQTEFLYKAVQELNPIEKALIFLFLEGQNHKQISENMGITEVNARVKLNRTKEKLQQIIKNYGYEF", "MNFDKLQEQWKSEPQNIPEIPKELDKIKEAHNPIDKVRSNMKKELIVQSVLIIAVAFFPFLNIGKWDSQLITMFVIFYVLMVGFMLYYGLKFYRFYKESYNLAYDSRKNLMWFSYELRLFIELYRALTFIMMFLGMAFGLYWGTHHRQPSEHIHLDQGNYLKLLGIVLLVMVALLGFIVAFAEVFIRIAYGRYLKQINKILAQLDEI", "MRKRVHKIIYIPLVVLGLNSCTTPQVSEIKKAPALPVNILPTGKDQQAEFKPVNIKTYFNDQALIELFDQAVKANPDFQIAQQRVEIANSFLRRSKMDLLPSLEIGANVSGDHYGKYTMEGVGNYDTNLSPNITEEQKINRDFTPNYWLGARSSWEIDAWGKLKNKKIAAQKRYLASTEGLRLLQVELFTDIANLYYQLVALDHKLAIYKQNYQLQQRAFEIITAQRAVGKATELAVQQFRAQNNNWLAEVEHIKAEIVAVEQAVMTLTGSYGGEVKRSKVLITSNMDILNKSIDVKSIIHSRPDVASNYYVLEATHADAKAAKAAFYPRIDIGAGVGFNSFSAESLFKPSSLAAQLLGGLVVPIFNKGQLKHEFNVADKEQEIAFLNYQKSITTAYNELQSILKQTKIYEKVLKLKSEEVGFLERGIEVSNDLYVTGYANYLELINSQKNKLQAELDLLEFQHQNTRNNVLLFKALGGNLDD", "MVEMFIRRKVLSLVISIIIVLLGVMALLKLPITQFPDIVPPSVTVTARYTGANAEVSANAVALPLERAINGVPGMTYMSTVTSNDGLTLIQVFFEVGTDPDVAAVNVQNRVTTVLDELPEEVIRAGVTTEKEVNSMLMYLNITSKDESQDEQFIYNFTDINILQELKRIDGVGRAEIMGQKEYSMRVWLDPQKMAAYHISADEVITSLQKQNIAAAPGKVGETSGKTSSQLQYVIKYTGKFFDPKQYEEVPIRSDANGTILKLKDIAKVEFGAMNYGMVSKTDGRPSASIMMKQRPGSNASEVIKNVKEKMAELKETSFPPGMEYNMAYDVSRFLDASIDSVLHTLIEAFILVGIVVFIFLQDWRSTLIPVLAVPVALIGTFAFMQTLDFSINLLTLFALVLAIGIVVDNAIVVVEAVHVKMEEGLSPLDATITATKEIAGAVVAITIVMSAVFIPVAFLDGPVGVFYRQFSLTLAISIVISGVNALTLTPALCAIILKPHDHNKKKNIVDKLFQRFNNGFDKLTQGYTNVLSKFATRTTITFGLLFLFIGLTWATSKFLPGGFIPMEDQGMAYVSITTPQGATVERTEKVLDEVTEIAKKIEGVENVTTLAGYSIVTEIAGASYGMAMINLKDWKDRNISVNEFIAELSKKTKGISDAQIEIFAPPTVPGFGNTSGFELRLLDRSGGDIVNTDKVTKEFIKKLNEAPEIQNSFTSFDATFPQYMIHVDYDMAAKKGVSVDNAMSTLQTMLGSYYATNFIRFSQMYKVMVQASPEHRDTPESILNLYLKNDAGEMVPFSTFITIERVYGPEVLTRYNMYMSAMINGEPAEGYSSGDAIAAVERVAAETLPRGFDIEWSGMTREEILSGNQTVYIFGICLLFVYLLLAAQYESFLLPMPVLLSLPTGIFGSYIALVLVGLDNNIYAQVALVMLIGLLAKNAILIVEFAIARNKEGYDIIPAAIEGARQRLRPILMTSFAFVAGLIPLCIATGAGATGNRSIGIAAAGGMLIGTIFGLVIIPGLYIFFAKLENKKKDEKKGS", "MYLKHVIICVVTVLLIASCSKAKDAANKEVKNVPVLKITKKDTLVSNQFVTDIQAKKNVEIHSRIAGIMQYVSVNEGQFVKQGQRLFKINDAELQMELLKSNASLKQAEADVRIAEVEVKQIQSLYDKKFVAGNELEMVKAKLSSAKAKLAYADAERKTVLQKISFTNIVAPFDGVIDVIPYKEGSLVENGSLLTTLSQLNEIYAYFSIPENKYFEMLANDKIGAHQKIELMLPNGIKYQYNGTLRTAEGEIDKATGSIRYKVSFPNPDHLIKHGTSGKLIISEDQPDAILIPQKSTFSIQDKTYVFIVDSQNKVKMRNISIGTTLRDSYIVDSGLKAGDIIVYEGTQSLRDGESIRVKKTY", "MKNINSYILLFFLSFVSIFSNDWTDSVTKNIPNTQDSIVVHTIDLGEDTDISILVSSRTIHVLKKVFYDDSYFYSLLAPSKVGKKVYVTDLPVHIKCSVKSFLHLLQLF", "MKKILFLTFIGGMAYMVKANELVIKKYATERGVIQTEVTGRVVNQENNTPIAGASVYAKSNPDIKTLTDEKGNFVLNLPEGETHVVVSADGYTTIEYRADAGSELLVKLKSSENVIEQVVITALGVKKVGKSVTYAITELKGDQFDKAKETNVANALTGKIAGVNVSSTATGPNGSSRVVIRGNGSLNGNNQPMYVVNDLPIDNTQLNLPVIGNGANLTRINVDRGDGTTVINPDDIQSITVLKGGTAAALYGANAANGVILINTKRGAPQKGIGIDYNTSFTLENVAIVPDWQYEYGAGDKGKKPLTQSEAVSTGRWSWGAKMDGSDVIQFDGVKRPYNPQKNNIRNFYRTGNTFTNSVALSGGTEKAAGRLSLSNMDNKNIIPNADFNRKTINIAGNVNLTNWLKFDVVAQYNIEKSNNRPTVSDAEANPNWGAYMIANTVDIRNLAPGYDVNGMEVAWNPVPIATNPQYVINKIKNNDTKNRFIGMLNVKLNFTPDLFLVGRVGQDYTNYNFTGYIPKTTLNNPIGYLQSSKMALSTLNSEAILNYTKKNIYKDFSLNALLGVNSRTTLRDETRIEGSGFILDNVYSLTNLSTVSYTYPYGKTKTNSVYGALDLDYKNVFFLNFTGRQDWFSTLSPKNNSVFYPSIGTSVIVSDIFRMPKWISYAKFRSSWAQVGGATPDPYALQPSFILSQGGHNGQQLQGYTNYRVPNATLSPLTSTTFEIGTDLGFFRNRLNVDFAWYDRSTTNDIVETTISNSSGATTSLLNIGKMRNRGIELLINSKVYKSKNFSWDVTLNSSYNKNTVEALTDQLNSISMATSVNGYAIVTSDVGRPYSIIKGYRPLTDASGNIVYNVSGGSATIARGPLEELGLGVHPWGIGLSNDFKYKSFTLSFLIDAKFGGSLFSGTDLYGTRMGLTKLTLEGRENGLPIKGVDTNGKPVDMVIAPENLRTYYDGMRNITSMFVYDASFIKLRQVVIGYKLPAIKRLPAIREISVSFVARNLFILYKKTPNVDPESVFSAGNAQGIEQFGVPRTRSFGLSLNVKL", "MNKIILYTLFVLLFCVASCTRGFEDINTNPNSVGTPNPDFVFSKSQLDGLNNNYFYTSILQCGQMIQHYASYKEASGVGDKYLDNEVYYSAYFSQAYPNAINQITLVINELKKDPKNINKLNTARIWKVYLYHRITDLYGDIPYTEAAKAYSDKIFLPKYDSQELIYKDMLKELEEAATALDASKTGFGKADLIYDGDINKWKKFAYSLMLRLGMRLTKVDQNLSKEWVTKAINGGVILNSADNAMMRYTDGPNDFNRNPSAFDPIRWDFSRGSNGRTNNEGGKLSKTFIDFLKSTADPRISVYSGVWEGSVQNTVPAVQKGFPNGTNVAPSPAEQATYSEPNQSTVLRMDAPMLLLGNVETQLYLAEASLRGWYGEAARTLYEKAVKASFLNMGIYGASYAISDAAPYLTANPFKDSGTFEEKMNQIHTQLWVGLFVDEQEIYANWRRTGYPQLTPVNFPGNVTNGTIPRRIKYPTSEYSVNSSNLAKALERQGRDVFTTRIWWDK", "MNILILSLCIVVLVLQIVRFKINPFIAFITTSLLAGLTLGVPVDKLANTIQKGFGDMLGSITLIIIFGTCIGKLTVSSGAASVIADTVMKWTGEKYVRLGLMITGFIVGIPLFYSVGFILLVPLIFSVAYQFKLPKVYIAIPMLASLSVAHGFLPPHPSPMALNSMLHADVGLVLIYGVIIAIPTLLIAGLLFSNTLRNIKTTETSAFNSTMSVSAIHTEKPGFWISITSSLFPVFGLTITTLLPLIWKNEYVSLVCKTIGEPSIIMLLSLVICTYTLGIRAGKDMRTIMNEFTEAIKDVILIILIIGGAGSLKEIMMVSGVSQTIVDSLSQINIHPYLLAWLMAALIRICVGSATAAGLMTAGVLLPLLQLDALDANLLVLAIGAGSLMCSHVNDPGFWMFKEYFGTSMKDTVKSWTVMESLVSVLGIVFIFILNTIIH", "MMNLLPQEKFETLGLSLPPAPAPLGIYKPFLVDGKYLYLSGHGPVQNDKSLIIGRIGEDMDIEQGKLAARQVGLTMLSTIVTNFNSLNAVKKVVKVFGMVNCSTDFLRHPYVINGCSDLFAEVWGKENGIGVRSAVGMGSLPDNIPVEVEAVFELY", "MKDSTSSLKITTFGELLLRMQVSGGNRFTQAKELQVYTGGAEANVCILLSQLGLNTHYVTRLPDNDLSKLALSELYKYKVDTSDCIFGGERMGLYFVESGNYIRASQVIYDRSNSSFSTINEDEINWDAVLADSTLFHWSGISPGVSLNAALMCKKAILCAHDKNIGISSDFNFRSKLWQYGKHSSEIMPGLLYYSTITIADLDSAEIYFGITTSKNDSHSDRFLKVYESLKEKMPYLKTLAMSFRSSEDATQVYYGMLLYEGQLYESKKAKLHVITDQIGTGDAFCAGLLYGLTNNLSGQETIDWAIACGALKQSVPGDFAIITPAEIQHFINHNSSNRINR", "MYDILKEQGVLPLITKIGTETAKILVQSAADSEIKIIEFAARSEDSKEVFAQMVQFRNVSNLNVKLAVGSVLSARDAAEYHKLGADCIVCPHIDPQIAAYCNENNLYWIPGAATLNEILYANKLGAEIVKLFPADAIGGANYVKAIRSPFPQLKLMPTGGVRLDEDNLNEWFSAGVVCVGIGSHLFSAETLVNLNYQKALEVFKYLKDTVQKIKNQHGTKMVGNKS", "MEQKWWEINPDTLIDTPFLAIYPDRIRYNIEMLITSVNGDLTKLRPHIKTHKLGEILQLFRDYGIEKVKCATISEAELCALYNVPDILLAYQPAGLLKQHRWLQLLQNYPEINFSTIVDNFETVFELSKLGQNNNQTFGVYIDINTGMNRTGVDFKSNWDELVCDISERPNIQFLGIHIYDGHLHGTEEARIKDASEIFSVIKDRLYSLQQRLGTTLKIVAGGSGTFPFYVTQEGVECSPGTFVFWDTNYQIHLPEQDFLPAAVLVGTIISKPENATLCIDIGYKAVASENPLDKRLTILNDINLIPVSQSEEHLVLQNNGSQQYNLGEIIYAVPYHICPTCALYETVQVVNARHDIYDQWTVLARKRKINI", "MFIFDAHLDLSMNAMEWNRDLRNNVNLLRHLEQGMDDKPDRGRATVSFPDLRKGNVGIVVATQIARFVKPDSLIPGWNSPQQAWAQTQAQISWYKCMEEEGELVAITDKQSLQQHIALWNDGTPNDKKPIGYILSLEGADSIVDVSYVERAYHYGLRAIGPAHYGPGRYANGTDSTGKMNDKGIQLLKEMERLNIILDATHLCDDAFWQALDHYNGPVWASHNNCRSLVNHNRQYDDEQIKELIARGAVIGGALDAWMLVPDWKRGVSIPLDMQCNLETVFRHMDYICQLAGNTFHIGIGSDLDGAFGTEQCPYDLDTIADLQKLVSIFRDHGYSENDLKNIFHQNWINFLMRNWS", "MDNNHLANYTKEERKAHILKEINLHTRVSFDTLSNQLFVSEDTIRRDINELEAESLIIKIKGGAMTKAYHHSSATNQVYSGEAKQIIAQKTLELLHDGIVLLMGGGTTVREFIRLIPNDLHLTVFTVSVISAIELLDKPNIKTIMIGGAISPYSQMCISGDVYNTLGSIKADLLILGTNALDIEGGFSDSDWDTVQVKKMMIKASQKVAILTISEKLNTVLKMKIADLSEVDYVVTEANADNEKLQPYKNAVPNLTFI", "MKKLQKKDLKSIKGGNPRPPASDGSCPSGWRRCTIAGGDQMCVSLEQVKYFCLD", "MKKLKKTELRSINGGRQQCGGELPRCPGESCCNGSFCITLDSIPKYGYCKAEPVFD", "MSHVVMDLSVLLWIPFLSMGIVKQNLYLINTFILL", "LKKFPFYKQPDAKDCGPTCLRIVSKYYGKSIPLQQIRGLSETTREGSSLLGLSDAAENLGYRTLGVQINFDDLVSEIPLPCVVHWNKNHFVVVYKIEKKKNDYTVYVSDPSYGLITYTREEFIKFWIGENADEKTEEGIALILETTPSFYQTEWDDTESKASFKFLSKYLLKYKNLILQLAVGLLAGSLLSLIFPFLTQSIVDVGIQNHDINFIYLVLLAQIMLFVGRMGIEVIRSWILLHLSTRINISIISDFFIKLMKLPISFFDTRMTGDIMQRINDHHRIEQLLTNSSLNTLFSLVNLIIFSIVLLFYDYRLFLIYLLGAFLYIGWITFFLKKRKELDYKRFSQISQEQSKVIELVNGMQEIKMHNAEKQKRWGWEFLQVKLFKLRIKSLSLEQWQSVGGNFINQMKDILVSFLSAKLVLDGNLTLGMMLSVQYIIGQLNGPLMQLIDFIRQTQDAKISLERLGEIHDKEDEESAEEQYASEIPHEDIEVKDLSFRYVGSDTYVFEHLNLSIPYQKTTAIVGASGSGKTTLLKLLMKFYEPQEGELRIGNTPLKNVSPRFWRDHCGVVMQEGYVFNDTIANNIAVGEDYIDKQKLRKAVDIANIKEFIEGLPLSYNTKIGNEGIGVSGGQKQRLFIARAVYKSPEYIFFDEATSALDANNEKVIMENLEQFFKGKTAIVIAHRLSTVKHADKIIVLDKGKVVEEGSHTELVALQGEYYRLVKNQLELGN", "MKKLERKELKSFSGSGSKVCNNHLVPGDQLPEEGGYYDCPCNTQVFCRNMGACITVSANGIPDFCEL", "MKKLVRDQLKVVTGGIGCNPSAGIDCPRNTVCCALEWNSPSGVCRKSQDDGACWAPNP", "MKKIERKELKEIIGGGEPLPEGWGICIVKGEHIPTPCNEFCPDKLKTQPICMAPNPGNPF", "MEVKRDILDEIELRSEGVQDILTRPPHWMIRWGNTLIFIILLLILLMSYIIKYPEFVPSQVVISTQNPPEKLESRINSKIEALFVKDHQVVKKGQQLLILQSTARYQDVLKLRDIVNNLDNRKLENFPLGETSGFKLGEVQGDYNAFAKALTDEQLYTRLQPYAPDNIAAEQSLSESRNRIQALQQQKRLEQAKYELSKKEFERSQQLYNQGVISASELDQERIKLLQAGQSVENVNMSISQLQEGISGIQKTRSGVSINAQKDKVNFSSQTIQLFEQLRKSLNSWEQNYLFTSSADGTVSFQQYLGEHQFVKSGEVLITVMPKERETLIGRLTVPSTNSGKVKPGQKVLIKLDNYQFQEFGIVEGRVRNMSSVPDKDGNYYVDVSLPKGLITSYNKKLSFDKELKGNAEIVTQDLRLIERFFYQFRKLLGYQS", "MNFDQLIHQLKLDKEEFYFQFNSHPDYPSALALSDTLGFFRIENDAYEIEEDIWGELPDKYVAIVKQNGQSKFTLVQRTKDGYDLFSDKKYHYSEKEFLENVGNFVLIMNDQHQEKSDKIEKKNIFYILIGLFLLISLPFNTLWSNAFNVLSVIGIMLSYELFFQDLGQTSAIISNICGGGAGQGPDVRSSCDKVIGSATFSLFGLKLQDYSFIYFLSLFFIGILIPLSGTVLFVFSTISLLVVVYSLYMQSVVLKAFCKVCLFIAAILIGQFVLSLLKLNGGYHIYPFLVSLVLMGGIFGLVYYLKNLNLKYDELKKNHMKNIRFKRNFQLFKRELEAEEPISFENPKELFFVGNPGGKLHMAIISNPYCGFCKGGHEIIQKLLDKSEDISVQIRFNYRDFRADENFKKLLSKLYEIYLKDQKEFLNALHFWFEKRDHDVFFKTYGEAEINQPFLHALEIQTLENDKHSLNFTPIFIVNKHKYPSVYEREDIYYFIDDLMEEQ", "MKKIILGIITVLVLLVVIVLVKTYTYPFKKVNVNAKSAMNIPQNDSAIYRFSGGLKIPTISTGELGDFDYTSFEQFKKYLKETYPLIYQHTEYQEVNGYGLVFKLKGSNSGLQPILFLSHMDVVPPGDADVKNKEENIFRPQDKPAASPKEVAEDWDYAPFSGAVANGRIYGRGALDMKGMLFSLMESLTTLIKEKHIPQRDIYLAFGFDEEVGGRKGAVKIAEYFKSKGLEFDAVYDEGGIIVEKGSISGINSDVALIGCAEKGFLSAKIKVKGLGGHSSMPPTESAIGKAAVIMQRLEDHQMKPMITPLIQEFFDNVGGAMPFTNRMAIANRWLLNPVLLSQLTKNNSTNALVRTTTALTMMKGSDGTNVLSPEVEFVVNFRLLPGNTVKDVKDHIAKATKGFDVEIEEVDNTREASAVSSTKTKAYKVIESGIKELYPETLVSPYLTVGGTDAYKYQIVSKNIYRFIPFKINHAEQQSIHSTNEYISIENYMRMIRYFEYVMKNYDK", "MKNLKKITRNELKSVLGGQPGFVELGGGGSGPRCPKYMHMEESVAYCDPAVNPSDDCKPKCVRD", "MELEEKIIEILKAKKTKTGGNCGTYAAAMCYDLDIPFQEVKPVLEKLEAEDKIFYRIGVAGRLIFWK", "MENTLTSKPEIRKNIATYLVLTLLLCIPVYYMCIRNGQLGGGAISYAMIIMWCPAIAALLTCRIRKIPIASLGWKWGLTKYQLLAYAIPLLYSVIPYLVVWISGAGGFYNHEFVAETAKGMGWNLPDGIVIFLYILLMGSFGMIRSMSSALGEEIGWRGFLTPQLAKINSYTSTSLWMGVIWSLYHYPLLLFSNYNTGGPKWLALICFTVMIFACCFIFTWFRMKSGSLWTGVILHASHNLFIQAILTPLTIDNGKTMYYIDEFGIGLPIATSIVAFYFWRKRNELPKLQEDVSPS", "MSKLKTARELKNLTQEELSEKSKISVRTIQRIEAGTEPKGHTLRALAQALDIEEYALQDKIIISEVEIPKDETNPKEELTEVNYTSVKIINLSSVLFILLPPLNILVPLLLMFTMKQKNNLVKQIVSVQIMWTVLAPVVFMLGVFLKLGRQFTLVLMILIVLSNLFIILRNAAGIDKNKRLFYRLNFNMI", "MTKYALFFISAGIGIFNALHAQADQNSKLYKAIMAKDSLLFSVGFNTCNLEQTERLLKEPFEFYHDKTGLADKKKFLTDLRNNLCSTPETFRARRALVNESTTIYPLYKDGRLYGAVQNGDHWFYTTINKEPERLAGAAKFTHLWLLENGDWKLSRSLSFDHQPKENINQGSVFENDQTFESWLKENKIPVLGLGIIEEGALKQVKVFGEIKKGISAPYNTYFNVASLTKPVTAMVALRLVSLGKWKLDEPLDQYWTDPDIANDPRRKMLTTRIVLSHQTGFPNWRWSNKDKKLNFEFDPGTKYQYSGEGMEYLRKALERKFGKPLQVLASELIFQPLGMKDTDYIWNKNFDESRFAIGYDKEVKPYLTEKRTTANAADDLITTVEDYGNFLVNVLKGGNLKSEVYQEMIKKQVKTGTDKYFGLGFEIYDLGNGEFALSHGGSDNGTRCLVFILPKTKNGILIFTNADEGYKVYEKLILQYLGKQGRKIVDIEMKK", "MNTNHKKSMALGVVCFLAGNFCIYAQEKDTLKSKTIEEVKITIGSRNKARVATDTPVPIDVINIGQQSVLSPQTDLTQILNYAAPSFTSNSSTVADGTDHIDPAQLRGLGPDQVLVLLNGKRRHTSSLVNINGTPGRGSVGTDLNAIPSFAIERVEVLRDGASAQYGSDAIAGVINVVMKKNTNQLTAAITAGAFNSKGSNDHQKGWDGDKYQLDLNYGTKIGSNGFINFTGSLMSRGDTRRANAATGTIFNAYNAIEQRALQNGVNINSLFSNISNTPNSQRIIDYIHQYAGNVGYFTSAQQSAIQSANTISALQSALNFDVTENELAYRGLTRNDFNMRIGQSKLKSGQLFVNSEFDITSGIRGYAFGGYSYRDGNAAGFFRRPNQSRTLTSVFPNGFLPEIASAVTDISFAAGFKGKIGKVNYDISNTFGQNTFDYTIKNTTNSTMSFSDKTEFKAGSLGFSQNTINADFDTKFNWLKGFNLAFGAEARFENFKITQGEESSWASYDINGNLVTPKTNASLKPTDFYGNSRPGGAQVFPGFRPENALNKGRRSVAAYVDSELDITDKWLLSAALRFENYSDFGSTFNYKIATRYKITDNLNFRAAHSTGFRAPSLQQIYFNSTSTQFVGGVPYEVGTFSNDSEAAKILGIPSLKQEESKSFSVGFTAKIPQANLTFTVDGYYIRINDRVVLTDQFSKPSAPGTPGSNQEKLYNAFEQAGANAATFFANAINTQTKGIEAVISHKARFGAKTMLNSDFALMVAKTNRIGDIKGSDILVNAGQINRYYSETSRVYLEEAIPRLKMSLNNTLDLGNLSFLMRNVYFGKVTDPNTVDVNGDGLIQAQVINGQAVETEHPVWGGRVVTDLSVGYKINKSIRLTVGANNIFDVYPDLNYGPVNAKRPSGVDANGNITYPATPATIDLSNQNQFVYSRNVSQFGMNGRFLFARINLTF", "MSLEEIFQDKSIKAKAKVAQIGAWLISQELPVDELLAFADAQSSTNKATCIEAVEFATKKSVITTDDSLLSYVTKSLAEEEPRVKWESAKVIEYKQKRQRKRTLALSFSGIIYFYFTFSRRYSFAPEKANLGIRFPSSTIVLLFTSITEI", "MKKIVFIISAAFLLNSCVVSTAAKVVKGAVNVSYKAVKGTVNGISWAVSKAKGKIDEDRIDGTWKVVGVYRGSFEDFTKDQNPESNFATECTNDSFDQIIFNSRKSKFKPVHCSSDKEEWQKYAMEFGKNPITKEKENYIEYNSNNYISVIDVNNKTMVLEGNLMPKLAFSGAKLYLLEKVK", "MYSDRSIINYKSIYYFSHTGKNININRSDSPRKNYLCGVFYKTLSS", "MKFKLIAVLTVAVFASVHLYSQNTYVFFGSFNWDKKAEGLYVYQLNINSGKLTKVTSVRGILNPSYLTLSPDGKYVFACTESKTEEAGSVSSFEFNPDKRTLTYINSQKSGGENPVYITVHKSGKWLINGNYTEGSASVYPVSENGIIQPYVQNFQFSEGSVDSGRQDRAHIHSTVFSSDYRYVFMPDLGADKIRAYRFDQDKKEPLTEIPFTQTVPGSGPRHFTFHPNGKFAYCIEEMGGAVSAYTYEEGKLNNLQRINIHSDQYKEAFESSDIHISPDGRYLYASNRGKENNIAIFSIQADGTLKTIAYQPTGGKHPRVFTIDPTGKFLITANTGSGNAVVFRRNAETGLLTKTGRGAKIKNVSTVQVREY", "MDNKSYTTEFSVNQTPGECFNAIKNFRGWWSEEIEGETDKLGETFLYHYKDVHLSKLRLSGEIPDKKLVYDVLDNQFSFTENKNEWRGTKLVFEVSENNGKTTVKFTHDGLVPEEECYNVCTDAWGNYINNSLYNLISNGRGVPNPKEADGFNAEIVKKWNLT", "MKKYTFFLACVLLSFCISCKDIGKPVNKQKSGSYFIDSKGKIAYCQNGNWFSLGVLPMNADAKSFQVLAEDIAKDKDSVYFRNMTQKLVDRNSFYVDNEIPKDRLHVYYIDQVLGFGIIKGADPKTYELVKDHINWARDKDHYFYADRMINADRNTFAFINDYFLKDKDSVYVSPNIGKFKSILPNSGNVEAINKQYIRIGNTIYFPSFREDSEVVTNSFDKIEKIRGINQDIIGINNNTILSRGKKFKYNNVDAGSFQLFPIDKKNSAYAYPPYSKDKNNVYYDEEIIPEADVKSFILMDNNFGKDAKNAYYKNQLLKGVDAQSFKKEGNFYKDKSGNKFSAITGNKV", "MDNRFSDNNQVGVVSTFAELVNTDFQGNMNALCWNRSLEGDFEEIVSKLQLKENITEVSVDDLLALQLSEKGSAARDIILSDLQLLTDFGASPSLNLLKCYERDDEFDFISTDVYSWHVDRSPVGTDTFLCTYYGTTSDIIPNNQVVQKILIPEVRKKLKELYDGPESEFEDFLKENYFDLHYQSKPDAKPVNLGLGHLWRLAVDHPEQKVLPCVHRAPVENNGEYRLLLIC", "MPTFRDLWEIIQYLKPKNMKKLFTLKHTNTKVLTRKQLRSVVGSGIPMAMLMCKSSVEGEAPTLISFQGCDDAGQFKDRSGIFCKRGDAMYVKTC", "MLRFALLFCAFIALSGQNTRFVYKHSYLKDSLKPETKTEDVAFLDVSPKGSFYYKYEEYKRDSVLQKFRKNNMFISPKTYYKTFIEKQYASPETDMYTELLDTYYKIKEERPLKWEVLQEKSVYEGYNVQKASTVFAGRKWTAWFTNEIPISDGPYKFRGLPGLILKISDEKQQHKMELVKTSDVFIMFEKPEPRYIEIPAKKYNKLYRDNVKDPLAWLRERGTDPDRINKVVVNGQEVNAKEFFKSGKMSFQKEENPIELVKE", "MKLYPILLGISLFPFAAWGQKMVAPGSPDINTKYIKPEKSLYTVYYVKDNNWDKQGSLIYDVTSTGNELTLKNSYTPKDNSRVNVRTSVVDPRTLKSISYTGDEKKTKLNLNFGETITGNYYSKETKKDKKVNFRPTEAFIDFNWTDLMIGTLPLDVGYKARIPQFYYNDGSNVHVEYYTIKEVKSYSYNSPKTGKHATWLVTLLEESTNAIYNFVIDKKDRRLWQREMSMSKGMWEITVNEELDYQPIKNKFNKEQAIRQISNGNSVVIGTAFARSSSGKKLGGLVNTAKKQYAPKGTEILLFPNSAYYDEWLDVNKKIRKEKKVAEVPLDPDFGHSIKRTKIYDDEGHFEFADLMPGNYIIMTSFDFTNSYNYSYVSGYTNYYNYWGYAGSTTNYGTGRSSYTDKANIEKRITIDKDGEKKEVNLKEM", "MNKSIIVLMFFLCGFFSLKAQEKKDSLYYKIEEFSDQRKVTKFFHRLIFRREADSTSVKSRTEKLSQETYNKKYIRNILIETIDPFGYGSKDNKEKLKWYDWLAGHLHATTRNSTVDNYLLFKEGEGYNAQKLYESERLLRRMPFINRVNISVSDTDSGKDSVDVVVKVLDSWSLKPRMSYSGSKIGLGVTDENVLGLGHTFDFLYRNDSKERQNYVLGSYTAYNLFGSYINAQILGERDFSRNERINFNIRRDFFSPLTKWAGGFSFDYFMRNVLLPIGTDTTYPEVQIKAYSQDLWGGYQIPVSSDTREKVSSNIAVIGRFQNYQYKDSPEIDKYKYFNSYNSFLMSVGFIRRNFSVQRNIFQYDLPEDIAYGNSVNFTTGALSRSNNVKPYVGISASYGSFTKLGYFTLKAQFGRFFNENSRNRESFRLDGTYFTNLIDWKFAKVRHFFSPTLALGNPQHNYSYIDRINLSSPDEFPVYNSDYIGTKKLVLRYQLQLFINKTWKNFHFSPYLTVAAGWLGMPDDKLLSTKTNTKIGIGVLINNPFLVFNRIQISFTYYPRVPFDNNSVFDFNSNRNNLLPMNSFATEIPHFVNFGN", "MWWHYEQHYFMDVDDRKRFPNIDEFIKWIMETLMVVFLESMLKIDNCNLKK", "MKISLSEHCKFQVFQSVENPDKNRSYLSDLDFSLVKINNRKKLFTKVFPYHISESTTEKCYDLQANYYVGLDWLVQGKKFLQVEPKINKRLLEIYQTSIQKEIENAEDVKQEDKENETIVQASQNHQENLAQVDYLKMLLDVYASGISAKEIGDLLEIYWEDPKIKIEQKEDHLTPFLIVQFLSLLKVIVRKGLKKSYYKIQENLTNQVKGKILVGQHIKQNVFKNRFTTTYCEYQFFGEDNLENQFLKKTFEFCTSYVENQSQFFNDTKADIENLINYIRPTFQNISDFSKQNQLKHFKYNPFFKEYKDAIRIGNFILKQFSYNISSTTDTKIETPPFWIDMPRLFELYVYQKLLRANPNDSRIKYQFSTHGNYLDFLIKDGQNSIIIDTKYKLHYQHGQIHEDIRQVSGYARLKKVRKECNILDDTHIKCLIIYPTLEVVSESFTLDKIKEHLSEENEIKTYHEVYKLGIKLPVI", "MIDKIINEIKVWDNWQNNYKNFVPLFIEEAKIKNNWTEWDNKVFQEFFEKNRDQCVASLQQGYYSHDEKLKIKNNWSELAQMLSKISYQQDTLDLETYDKIRNWLRQFTTQNRKASANRLIASLQPKLLCTIVNEDRIKVLMQRINKNDSSASLVISNNWFENSNRVLNYFKNKLPNKDYYEIITYPWQTYDILNNQNNSQNSTPIYNNNDMSETQDETDFLEILQYKKQIILQGPPGTGKTKLAKEIAAEMLGLSHTEKLENNEQFKLIQFHPSYTYEDFVRGIVAESKGEKIEYKDINKTLGLFAEEALKNYLDSKKESSELSKEIQLKKYFDQFVESIEDELEKNHSVILTDSVSIINVEEDAFRYKGENGWAALGNRMTFKDILQAYNDSNTTRQEIKHNTKLSGLARQHSSYFIRVVNKFIAFLAKQNKIIEKHEIEKVTLKNYILIIDEINRANLSSVLGELIYALEYRGESVNSIYAVENSVLSNKNHLILPPNLFIIGTMNTADRSVGHIDYAIRRRFAFIDVLPKNLSTDDTIKFDSELFISIKNLFTTDDYKTRSVYLSNEFEPKDVALGHSYFIDKSDEGGSMAIRLEYEIKPILLEYIKDGILIGEDIKEKINSLQASI", "MEHKIHQGRNVKRFREMLGIKQEALAFDIGGDWNQKKVSLLEQKEVIEDSLLQQIAEVLKIPVEAIQNFDEEQAVNIISNTFDNGAFLNMGHTPTFNINPIEEIKKLHEEKMELYERMLKEKDEMMARLEKLIDKK", "MIYGYIRVSTDKQTVENQRFEINQFCQHQETIVDKWIEETISGAKNVDDRKLGKLLKKMKKGDILICSELSRLGRNLLMIMGILNECMNRDIQVWTIKDNYRLGSDISSKVLAFAFGLSAEIERNLISQRTKEALARKRAEGVILGRPKGSKSSKTKLTGHEKKIQELLDKKVSYSAIGRILGVHRLTVSSFVSRQESFN", "MEDFFRHKIVWKRIGSILRFSFDRSGSLALDSTCFAVGNHIKYLVAILNSKFGKYLMKESPKTGTGDLLISVQAIEPLLIPIPNSQDLKNIEDLMDMILNDNSISIENCEFQINQIIYSQIGLTKDEIDFIESQ", "MKTNKLEPQKALNPAYKKFKPLRADIDDFSLKLQDCINAINLVDSRNESEEHLKSPISKFLSSTFYSENEINTKEKIDLAIYLGNDATSDIGVLIEAKKPSNKSEFPTVKQLNKKGFQEILLYYLRERVDYKNNNIKHLIITNGFEWFFFNASDFYNLFYKNSELIKEYKTFRDGRKDTTKNELFYNEIAKKYIDKVEDALPFVHLDFRDKEVSKFKEKELVNIYKLFSDVHLLGKSFGNDSNQLNKAFYNELLHIIGLEEVKESGKKVIQRKKSKDSDYGPLLENTIFTLEDKDYLPKIKNIPNNEEKSFTVGLELCLTWVNRILFLKLLESQLRSYNGDSTEYKFLNSDFIPGFDSLNELFFSALAKPVKERHQRYSEKFRYIPYLNSSLFERSELEDLTFDITALMDEEMEVYSSTVLKNSNGKKQTGKLNTLEYLFKFLDAYDFSADASVEINDKQQNKTLINASVLGLIFEKINGYKDGSFYTPGTITMFMCKETLRKSVVQKFKEEITDTIETFEDVIDYCNNFFKKEERKKFNELVNSIRICDPAVGSGHFLVSALNEMIVLKSELGILGDEDGKKLPCTIEIDNDEIYISDADGELFSYNRKDPQSLKIQKAIFHEKETLIENCLFGVDINPNSVNICRLRLWIELLKNAYYTDEGELQTLPNIDINIKCGNSLISKFALNDSLKNAFKSKEITFSVEDYKQAVKDYKTTNSKTKKREIEDIISTIKNNLKSSLDNKEKEKVSKALGEYQNEEQRQNNLIAFGERIKKAEKDKLKKLKQKAEEAKKQKEEILNNIIYRNAFEWRFEFPEVLDNEGNFTGFDVIIGNPPYIQLQKMGEASDALQKLGYETFVRTGDIYSLFYELGYKLLKEKGLLTFITSNKWMRAAYGESLRKFFTDKTNPEILIDFGGTQIFDTATVDTNILMFSRDKNRQQTLACIVKEKELKNLSDYFRQNNENVSFVSFESWVILSEIEQSIKAKIETVGVPLSSWDINIYRGILTGYNDAFIIDGKKKDELIAEDPKSAEIIRPLLRGRDIKRYSYEFADLYLITTFPSLKIDIEQYPSVKQHLISFGYHRLEQSGAKGSRKKTSNQWFETQDSIGYWEDFFRPKIIYPNMTKFLPFIYDDEEFVTNQKCFIITGLNIEFLTAFLNSSVFKYCFRDKFPELQGGTRELSKIFFDKIPVINVSESINNQFKDIVSNIQKFRRLGKETKDLELKIDNLIFDLYDLTKFEREEIGFIEIQ", "MNKETICALATANGMGAIGVIRVSGDDSFRIVNTVFEGKNLEKATSHTVHYGFIKDADEVIDEVMVAVFHAPKTFTTENSVEISFHGSPHIAKRILEVLIKNGARMAKAGEFTLRAFMNGRIDLSQAESIADLIASENEASRKVALNQLKGGISQEISLLRTDLLNFTSLIELELDFAEEDVEFADRTALNGLLNKIEYKLSSLIESFQYGNAIKNGTAVAIIGKPNAGKSTLLNALLKEERAIVSNIAGTTRDTIEEVLHIKGHAFRLIDTAGLRETVDEIEAIGVQKAKEKVAQAEVLVYLADAATTDFSEDFEMLRSLLRDKLELIICLTKIDEVVPTQFEAIEDLFRKEIKHPFDFIKISALENRNMQELKNELSSFVEQMKSEEGNVVITNQRHFEALQRSLDAVNRVKEAVSSQITTELLAYELRNAIEYLGEISGEFTNDEVLGNIFSKFCIGK", "MTSKEKIAALRSAMHNNNIDAFIVYSADPHMSEYLPQEWQERSWLSGFTGSAGFVVITKDKAGLWTDGRYFTQAPIELEGSGIDLFKDGIEGTPNYIDWIISEIPAGGKVAVNALATSHSNWEALDAKFSAKNISLTDLPLLKEIWTDRGTAAKNPIYVHPVERAGQSVQDKIAAIRQKMEDQHADVHIISSLDDVAWTLNLRGSDVQSNPVFLGYIVLSKNDAILFTDLEKLDTEARRQMDDAGVKMMPYDEFFNHLKQIKQQNILVSPNSNQSVFDTLKDANTFIKAAVPGNLMKAQKNEAELEGFRTVMVRDGVAMVKFLYWLTHQAGKEPMNEYSIGEKLRGFRAEGSNFVGESFSSIIGYKGNGAIIHYSAKAEGSKEVTNDSSILVDSGGQYLEGTTDITRTLALGAVTDEFKKDSTLVLQGMIRLSMVKFPKGTRGVQLDAFARLPLWMAGKDYNHGTGHGVGSFMNVHEGPQSIRKDLNPQELLPGMVLSNEPGYYVVNQYGIRHENLIAVREAETTEWNTFYEFETLTLCPFFKDTIVKDILSVDEIQWLNSYHKTCEEKLAPHLEGDVKNWFLELVSPL", "MSKAISQVPFAQNEPVKTYAPGSEEVKSLIATYKKMYAEELEIPMVIGGKNVTTKEKVTIHPPHDHKHTVGFYHQGTMKHVDEAIKAALAAKKQWNDLGWEQRAAIFLKAADLIAGPYRDRINAATMIGQSKNVHQAEIDAACELIDFLRFNVEFMTELYAEQPVSDAGIWNRAEYRPLEGFCFAVTPFNFTAISANLPSCMALMGNVVVWKPSDKQAYSAHVIMEVFKEAGVPDGVINMIFTDGKETAERVLAHPDFAGLHFTGSTKVFQGMWKMIGDNIHNYKSYPRIVGETGGKDFVMAHPSADVDALATALVRGAYEYQGQKCSAASRAYVPKSIWKDVKKVMEKQIKTIKVGTTEDPSNFVNAVIDRNSFDKCKGYIDRAEKAKDAEVVIGGKCDDKKGYFVHPTVIETTNPHYESIEQEIFGPILTVYVYEDKKWAETLELVDSTSPYALTGSIFSQDRYAINEAYKVLENAAGNFYINDKPTGAVVGQQPFGGSRASGTNDKAGSKMNLLRWVSARSIKETFVPAKDYKYPYLG", "MLQLDHTDLRLLKILHNDSKLTVKELAAQVNLSPSPVFERIRRMEKEGYIKKYIAILDAEKLNQGLIVFCNIRLKQHDRNIGHTFVDDIHNIDEVVECYNVSGDYDFLLKVHARDMKHYQDFVFNKLGSLTSIGNTHSTFVMAEVKNTYGVQPFEGKAL", "MQTHNLGYPRIGKKRELKKACEQYWSGKIIQKELLDVSRRIINENLKLQQEAGIDLIAVNDFSFYDHVLDMTLTLGAIPQRYHDVILNKANNELDLYFAMARGYQKDGLDITAMEMTKWFDTNYHYIVPEFSKGQSFKLFSNKIINEFIGARQIGINAKPVILGPVSYLLLGKEKEEGFEKLDLIDNLLPVYLEILKSLQSHGAEYIQIDEPFLVLDLTDKAKEAYTAVYAKIQKELPNLKIILTTYFEGLEDNLPLALSLPVDTLHVDLVRKPEQLESILAAIPENLKLSLGVVDGRNIWKNDFESSLQFIRKAKEQLGEERILIAPSSSLLHVPYDLDLETKEESLPAEIKQWMAYAKQKIKEVALLRDLSSENPSAESLVAFGENKKAIENKRISTLIHDAKVQQQMDALDAVPVSRQSAFVQRKVQQQEILKLPLFPTTTIGSFPQTKEVRSWRAQFKKGEISAEHYTDLLKEETKNTIQRQEKIGIDVLVHGEFERNDMVEYFGEQLKGFAFTENGWVQSYGSRCVKPPVIYGDVSRPEPLTVFWSQYAQSLTSKWVKGMLTGPVTILQWSFVRNDQSRKDTANQIALAIRDEVLDLEKAGIRIIQIDEPAIREGLPLRKKDAAAYLKWAVLAFRISASSVKDDTQIHTHMCYSEFNDIINHIADMDADVITIECSRSQMELLDAFADFEYPNDIGPGVYDIHAPRVPSKEEMVKLLEKAAKVIPSSQLWVNPDCGLKTRGWDETEKALIEMVNAAKEMQKEFASIV", "MKKLISTLFLFIGLLAFAQTGIKFDEGNFSSLLAKAKKENKLIFLDAYASWCGPCKLMAKNIFTLQSVGDYYNSHFVNAKMDMEKGEGVELAKKYNVKAYPTYLFINGDGEVVHRVLGYVEEKPFIQFAKDAEDPKRNIGALKARFEKGDADPEFLRNLAMLTIYEDADFSGKVTKKYFEVKSDKAFSQEDAQLLLSGLMNTEDPRYEIFKNKKEEIVKILPAAQYEAFDKNIKMNTIVKKAYNPDTKTLNTEYFLTETEKAFGKEQAEKMLVRVKASYALKNKDYAAYEKLTLEQYKDFSKANANELNSAAWNFFENVKDKKSLQTAILWAQESVKKDESYANTDTLANLYNKVGDKKNAKLWAEKSVELAKKSGEDATETQKLLDSLKK", "MKKILAVFILMLSLGGMQAQEYKNQIKGNMLFAPLGILNVGYEHAFNQHWTGQADIFISPWKSFAGRHLQIYMGHVEARYYFTKAMEKWYVGANAGMGVYNMQKWNYWNSDMYQKGFNFMLGATIGYQVKLSNRWSIDLYVGGGTSQSFYHGWYKDKYPRQRYDSAQAWNKSGEFIPFRGGAMISYKF", "MKDLFNNSKYYVIIVLTFVIVFLMNYIGNDAPDKLSRALMNGGAGAVGIAVGLIIYQRGKGGDNKHHDID", "MNFSLKIKNLVLICLIYFGFQSFFNAQNATTFKTGADQPEVYLPLLKNKKVIVLTNQTGVLSDSKHTHLVDFLISKKVNITKIFTPEHGFRGNADAGEHVKSDIDKQTGLPIVSLYGNNKKPKPEQISDSDIILFDLQDVGVRFYTYISTLTYVMEAAAEAGKEVIVLDRPNPHDGYTDGPVLNKKWTSFVGMHEIPVIYGLTIGEYGNMVNGEGWLKNNIKAKYTVVKMANYHKKQRYGILEKPSPNLPNDKAINLYPSLCFFEGANISLGRGTEFPFQAYGSPYTKDFSFKFTPKPSYGSKNPPLNGQECFGEDLRNYPEALTGINLEWLIKAYKDYKSPAGKGDFFLKNLFFDTLAGSDQLRKQIISGLSKEQIKASWKKGLEDFEKTRQKYVVYPN", "LKFPLYYSRKIAFSKDNKNNLSRIIIFIGRLSVALGIIVSLITVCTGLGAKKAIKERMADFSGHISVKSAQSDNSYTSSVLNKAGLDYNKIQKTPSVASVQSYAMVSGIMRNEKSFDGVVYKGVGKDFDRDRFEKFMISGSIPKYDENGYNNEVIVSGKIATDLGLKVKDSIIAIFSKEDQKPVYRKFEVAGIYKTDIKLIDDLFVIGDINQVRKIQGMDKSDIGGLDIYLKDIDDIDKEYPKIEELIGYKNYAEKATEMYPQINDWVNIFDTNIGLIIAIMLIVVVINIIMVLLILIIERTNSIGVLKTLGATNFQIRSVFINNTLLIMIPGLVAGNLIGLGLLFIQKYFGIIKLNPDNYFISVVPVDLNILYILGISVGILLIAALAMIVPSYLISKISPVKSIKYN", "MFYLIVFVCSFLAFGISAICGGGAGLMLIPVLNRFLPVVQVPAALSIGTASSSVSRIAIFYRDINWKVVSYFLPTALPAAWLGSWLLSYINPIWIELLMSIFLISNLPQVFKNKKKDYEAEKGLKSWSLLIIGFFAGFISGITGAVGLLFNRFYLRFGMSNQQIVATRAANEVVLHIVKLIMYIYFGLFTLMTLKVGLVVAAAAVLSSYVMKRVLPKISMKWFHQIGFSAMVISGIIMLIGFFTKAQKDENASLYTTWERKGFRSHLTWSEDNYTIEFKWSEGFEFEKVITYDQLPEDKRSFVDSLSVQYKHRVFEVVKSFKGTSYEVYFLDENGKLIKKLDF", "MEYANNILETIGNTPLVKLNRVLGEDFPALVLAKVETFNPGNSVKDRMALKMIEDAEKDGRLKPGGTIIEGTSGNTGMGLALAAIIKGYKCIFVTNDKQSKEKCDILRAVGAEVIVCPTDVPPESKRSYYSVSKRLAQETPNGWYVNQYDNPSNRQAHYESTAPEIWKQTEGKLTHFVVGAGTGGTITGCGRFFKEQNPDIQVIGIDTYGSILKHFHETGEIDKSLIHTYITEGIGEDIIPENYDMSVIDHFEKVTDKDGAIYARKLALEEGIFCGYSAGSAIAAMVQMKDRFTKDDVVVVLLHDHGSRYVGKIYNDEWMKAQGWL", "MSPVILLLIVFAYFAILLYVSYRTGKGSNNESFFIGNRNSNWMLVAFGMIGTSLSGVTFVSVPGAVGKDNFGYLQITLGYLIGYIIIAYVLLPLYYRLKLTSIYSYLQQRMGKLSEKTGATIFIISRLVGATARLYLVVNILQMTILDKLGVPFIATTIIILGMIILYTYEGGVKTIVWTDTLQTSCMLGGLIICTIYMLSHMGISVGESFTAMHEKGYTQIFGTDPMEKNFFIKQIIAGAFITITMTGIDQEMMQKSLSVTNLKDSQKNMLSLGFIMLVVIGLFLYMGGLLHLYGAREHVEAVGDGLFPAIALEHMSPVISVIFIIALISALFPSADGAMTALTSSFCIDILNIKNKGWEEKKQEKVRKRVHLIVAVSFLIMVLVFKWINDSSMIGVILKLAGFTYGPLLGLFVFGIFTKYKVKDHLVPYVCIAAPVISYFIDKYQEQIFGDFKIGLELLLINGLLTFIGLFLIRKK", "MKNLKKLSRENLRLVSGGLRSCMDGCGPGECCSNGACRRGPNTGPRPYLCDPPIIE", "MKLCIAEKPSVAKDIAKVLGANTPMQGYFEGNGYWVTWTFGHLCTLKEPHDYGPQYKSWNLIFLPIIPQNFGIKLISNGGVQKQFKVIEKLVSDCEEVINCGDAGQEGELIQRWVLQKAKCNKPVKRLWISSLTEDAIKEGFASLKPAEDYKNLYLAGNARAIGDWLLGINATRLFTKKFGGNKAVLSIGRVQTPTLAMLVQRQKEIDAFQAEEYWELKTTYREVLFNAAIDRLKTQEKAEKGLEYLKQHPFEIVSFEIKEGKEKNPRLFDLTGLQVEANRKYGFSAENTLKYIQSLYEKKHTTYPRVDTTYLSENLYPKIGGILRSMHFYREYTESLLSQPIPMSKAVFDDTKVTDHHAIIPTEIPPSQNLSKEEKLIYDLVARRFIAVFYPECKISNTLVEGKVGTIPFKANGKQILEPGWRMVYAKDKKESSEKNKEEEQNIPEFKVGESGEHIPVIHQGKTSPPKPYTEATLLRAMETAGKQVEDEELRELMKNNGIGRPSTRANIIETLFKRKYIDKKKKNLYATTTGIELIDTIEDELLKSPELTGEWEFKLRKIESGTYEANHFKEELIQMVTDLTRKVINEKAKVISLHQIPEVKEKKERAPRKSTVIEWEQEKCPKCKEQHLMKGKTAIGCSDFKNCGFKIPFILFGKKITEKQIQDLVSKGKTSKLKGFSEHPADLKEGILSLDENFNIHLA", "MLQTNHYTLKNVLLETGFEYDQKEVIRTKTDLFCVEIENNIIKSVTPNNPHAKAIDAKKRLMLPAFKDMHIHIDKTLFGLPWKAVSQTRRTVKDMIAYEQKIIPELLKTSTERAEKLIAFTQSYGTHYARTHFNVDPTSGLKSLEHLELALENKKNSFQAELVAFPQHGVYYTNTAPLMKEVAQLKSVDFIGGLDPFSIDGSIEKQMDFIVHLALDNHKGIDIHLHETGESGIKTIEFLINKALENPELRGKTFVSHAFALGHLSPAETEKIAERLAAAQVGIASSIPFKSTIMPIPTLMKHGVNVLIGNDNVQDHWGTFGSGNMLQKAKLAAELYGYGTEYNLSRMLKLATQDITPLDDKGNLQWPKAGAIANIVLVDASCSAEAVSRIAPVRALIHEGNLVFQK", "MNDQSDHFPVLGIQEFNQGTPADCDLLFHELHGERSIDSPHKHDFFIILLFKRGEGVHNIDFIDYSLSDYQIHLLFPEQVHQWKIKPETVGYQLMIGRTLFEGMSPSLRFPLAYYYKHPVISISEEEFNTLLYEFSAIRKELETTSILWDVISTRCKLIALILSRIAEGIFNDFKIYSSSPLLSKFLDLTDQFFKQERSVNFYADRLSISPNYLNIVCKKHLNASASSIIQNRTLLEAKRLLMSTDISVKEIVYELGFYDHASFSKFFKMHTGMTPSQFKEKK", "MRVILFLLSFGILSNCHSQVREDKVESTSVNIIDAVKNNQPVLVEKALKEGANVNTKDSNKRSLLLIATISGATDTAKLLVHYGADVNQQDDKLDSPFLYAGATGQTELVKLYLAHGARFDIFNRYYGTALIPASERGHVETVRLLANTKDFPVNHVNRLGWTALMEAVILGNGGTKHQKTVQILKDAGADLNIPDHDGRTPLQHAKSLGFKEIVKILES", "MNASGITRKDFIKSSALAVAGLAISPSLLAASPFSLTNDKTLKGEKNIMLKNVRLETGFEYEGNEVVATKTGLFCVEIANGKIKAVTPNNPSAKAIDAKGLLMLPAFKDMHIHLDKTFYGGPWQAVRKRQGGVKGMIALEQQILPEMLKTSTHHAEKLIELLQSCGTSYARSHVNIEPTSKLESLKNLQKALDNKKATFGAELVAFPQHGVYYTDSAPWMKEAAQTDIDYIGGVDPYNVDGQIEKTMDFTVQLALDHNKGIDIHLHETGESGLKTVEYLINKVNENPVLKGKTFLSHCFVLAKLDKPKQEEIAEKLANAKIGIMSTIPFGGLIMPIPTLYKYGVNIGTGNDSIIDHWNTWGSGSVLQKANLMAQLYGYSTEFLLSRSLKLATYNILPLDDKGTQQWPKSGDAADVVLIDASCSAEAVSRISPVKSLIHQGNVVF", "LKDNITIHPHTAGTPIPYDLLLLADPSKELIDQYLTSGELYLAKYNNEIIGCYVLYPWDFETTEIKNIAVAEKFQNQGIGGQLLKDAILKAKNKFYKKLVIGTGNSSTGQLYLYQKYGFRITDIRKNFFKDNYPEPIWENGIECTDIILLTMEL", "MISPVFIRYLRAWLNFNSKNIRPREAQPDSFKRTIFRTSNVTLSKVYSESDELSNTINYKFKQVLLLYINYL", "LLKKNEQLRILGDYKSLVKLNQDYLVKAEKEHYKEGEALCYINIANMYSTIGNYKNGFQYIRKADDIIADNKHAPLSAKLYQEYGQLNKVIGLHDNALIYNGKALYFLKKSSAEDQKSYFLGRVYANRADFMYVKERPDSSLIYFHRALNIERSPLYLALIAKHHLQYTGRKDSADTYLKEALKKLNGTPEKTSERGMVYQTSGQYYDAINNPKEALNYYEKALSMYTATNRIYQIPFIYQSIAKIYDKLGETEKEHNFIIKYTKANDSLSLKQNEILNQSIEKMLTDKDKELKTDKNRTFFYVFGLVALSFIISMYIYKRNRNLLLKKYADKDDKEEPKNIMADGNVFEELVSLAKQNDSTFLTRFQEVYPKFIDNLLKIDPGLVSSELAFCAMIKLNFSSKEIANNTFIQHKSVQQKKHRLRKKLNVPTDQDLYVFFQDLD", "MKKTVSILLVAALTVMSCQSTKKVSDKEMTKETGMNMKVPFTLAKNYFIKNTIEDKPTFVEKITNEEGFEKFFGAAATMNNMPTKIDFSKQYVIAVINPSTDMLTSLNMPTLSKSGDIITLAYEEKVEGKQSYSMRPCLILVVDNQYQGEIKTVKK", "MCGIVCLFDAKQKTETLRPQVLEMSKKIRHRGPDWSGIFQDEKVIFSHERLAIVDPTSGKQPLFSKDGNLVLAVNGEIYNHLELRKEFPEYEFLTQSDCEVILALYQKYGKKFLEKLNGIFAFALYDIEKDTYLISRDHMGIIPLYRGWDAHGNFYVASELKALEGVCNKIEEFKPGHLLYSGDGEEYQQWYTREWESFDTVKDNETDISTLRKGLEEAVHRQLMSDVPYGVLLSGGLDSTIIAAVTAKFASKRIESGDTQEAWYPRLHSFAVGLEGSPDLAAARKAADHIGSVHHEVKYTIQEGLDAIRDVIYHLETYDVTTIRASTPMYLLARVIKSMGIKMVLSGEGSDELFGGYLYFHKAPSAQAFHEENVRKLGKLHLYDCLRANKSLMAWGIEGRVPFLDKEFMDVAMTINPQDKMITPERMEKWVLRKAFEDILPESIAWRQKEQFSDGVGYSWIDTLKQIAEDEVSDEMMANAKYRFPINTPMSKEEYRYRSIFTELFPSDSAAQTVPSVPSVACSTPVALEWDEAFKKMNDPSGRAVKVHEVSY", "MQKILLCSLITGAQMIFAQTYEITYQNSFEGKINPNQNHIISITNSDKTLLFNEKIKNKKADFPFEVNEINRKNNEVSQFAFLNNNEIVKTSDNTILAKQEFKPTSETGKILGYNVKKAVTSVNSNTIEVWYTNDLKVKGGPSILGQDLGLVLKTVRNGSSVVEATSVKKIKTLDDQALFNGKNITEKDALTYKDMIWKSRFITIPVFENETINFSDASKSDQVIQRFGNGTIILKKVKIPEIKQGNTIFVELKQKSNGDAYDRTGDVFIIPQERAISYYTGLTQGVKSLPVYQNGNGKSYQGVALTPDYLPFIELMRFFTPFGIGHFNEKIQLKGKNWHNNTPYRQDITELRPQLSGKEILIGAFIGNYDKGGHQISLELSIHPDQQKILNNNFVLPVFNTTNVMEMAGQDYPTMFNSDKGVEVEFTLTKDLKNAQLRYITTGHGGWGAGDEFVPKENSIYLDGKLAHAFTPWRTDCGSYRLFNPASGNFEDGLSSSDLSRSNWCPGTITNPVYINLGNLNAGKHTIQVKIPQGAPEGSSQSFWNVSGVLLGQE", "MELIHRNLLIGIHDALQETFFEKNKYADKVIERLLKAHRKWGSQDRAVVSEIFYNIIRWKKRLEYYMGEGAKPGNIYRMILAYLLWTKTHYKKFEEFEGIKIADILNKLKKGTVPTKAVQYSIPEWLAETLEKELGANWEKEMDALNDPAPTVLRVNTLKTTKEKLIEELQENEIESHAVRGYEDAVELEEKKNVFLTEAFKKGMFEVQDASSQLIGRFLDVKEGMRVVDACAGAGGKTLHIAALMKNKGQIIALDIFEWKLAELKRRAKRAGAHNIETRVIDDNKVIKRLHNSADRLLIDAPCSGLGVLKRNPDSKWKIDQDFIDRIKKEQENILQDYSKIIKKGGQMVYATCSILPSENTLQTKNFIEKNPEYELIGEEKIMPSQGYDGFYMALIQRKS", "MSDTILCPKCNSEFTYPQDNLMVCSQCFHEWDPADVSADSDDKVFDSNGNELQDGDSVVVIKDLPVKGAPKPVKAGTKVKNIRLRPGSDHNIDCKIDGFGSMALKSEFVKKG", "MILVYTIVIITILQITAYILLDKYKLKNWKYLILGFILLIDISMPPGFFIEKDPNEIVKCGNQALGIKLFFMILGGAIAVITHFIYVMVMKFSAKNKNV", "MLLSIQGIDFGYSQDKLIFNNLHLGLEQGKIMALIGESGCGKTTLLNIIYGLSDWYKGKIYFDNREIYGPKANIIPGEKDMKLVAQHYDLMPYSTVYDNVGKFLSNIDLKAKRDKVFQLLEIVGLEDYIDEYPKNLSGGQKQRVAIAQALSQLPKLLLLDEPFSNLDFSRKTQLRDKLFAYVREQNISLIISTHDITEILPWIDDVVVLQDGRLIQKDSPEALFQSPYNPYVARLLGEVNILTPEQQQELGLPKWFYFPHQLKFSEQEGTDAEVIESGFSGGFYRNLVNVKDHTFIVYTAQKKEGKLKISFL", "MKKRFLWVSALALMGTVLVISCGKDKPVTSESGAVTTTKDGAVFAVDTLNSKIEWTGYKIFKSDNTSHFGTIKFESGEVTVKDGKLESGNFVASMNTLTSEDLKDDKENQAKLDGHLKSGDFFEVEKFPTASFEITKVTPNETGDYNTLIDGNLTVKGVSKPISFNTNVVVKDGVATIKSEKKDINRRDFGVNFTSPASNGVIKDEVSLQINVKALEKR", "MLEKIEELLGQVQQFHTKNKEEIEKFRIAFIGKKGSVTELFEKFKDVPNEQKKEFGQKINTLKQAVNAKIEDLKAGISEETITEKIDLTKPGFPEELGSRHPINLVKNRIIEIFKSIGFAVADGPEIEDDWHNFTALNLPEYHPARDMQDTFFIEKDPDILLRTHTSSVQIRYMENNEPPMRILSPGRVFRNEAISSRSHCIFHQIEGLYIDKNVSFADLKQTIQYFTTELFGKSQIRMRPSYFPFTEPSAEVDVYWGLNSETDYRITKGTGWLEIMGCGMVDPAVLKNVNINPDEYSGFAFGMGIERIVMLLYQMSDIRMFFENDVRMLEQFKHL", "MRNFFTAIFVFSVVFINAQFDSVNSGEILKYRIHYGFLNAGFATLSTKKTTYNGAPHLYVRGEGKSSGAVRAFFKVDDIYESYINLRTDQPSFYVRNVSEGSYRKNLASTFNHSNHTVSLHDRIKNETRNFNVNSDIQDMLSSFYYLRTLSTDQLKVGSSVKLNVWIDDEIYPFMLKVVGTEMMSTKFGRINCLKIIPSVMSGRVFKAKEGVTMWVTNDANHIPIQMKAELAVGSLKADLIEYSNVKYPLRFAK", "MIETDIIIIGAGPTGLFAVFEAGLLKLRCHIIDALPQPGGQLAELYPKKPIFDIPGFPSVDAGVLVNNLMEQIKQFEPGFSLSETAVSYEKTEDGLFIVETSRGTKIKGKAIAIAGGLGSFEPRKPQLDNIEAFEEKGVEYFVRNPEMYRDKKIVIAGGGDSALDWSIFLAEVASDVTLIHRRNEFRGALDSVEKVQKLKQEGKINLITPAEVVGLEGNGTLSAIEIEKDGERLSIETDYLIPLFGLTPKLGPIANWGLEIEKNSIKVNNALDYQTNIEGIYAIGDINTYPGKMKLILCGFHEATLMCQGIYNRLNPGKKFVLKYTTVSGVDGFDGTRKEAEKAVVKSID", "MKTRFLLLIIIVLVSFGCNNDENIMKNQNIEGQYLGFFERNGQSAEVQLSLENGLFKGNSNAQKPPAICNGNYSYNKNGNTIIFKNSCFWTAQFDWSLILDGTWNYNLKANTLTLLRENGDKYILTKQ", "MKSILRSGLLLLNLFLFMNINAQSSLKKETYHVKGACEMCKARIEKAAKTKGVKNAQWSPETQILTVEYNPDNTNTDVILQHVADAGHDNEKHTAKDAIYNKLPACCHYSRDAKPDSEHTVSKASYYVKGNCDMCKARIEKASKDAGALSAEWKADEQKLYLELDPAKTTAEKVLQKVADAGHDNEKFKADDKTYSDLPACCHFDRTTAFGEANTKVHSDKANTEIKNEKEDHQQSDKGKEKQIGGVTITKAAEATALNKKEVGLTFNINPKELLKAACCNLSESFETNATVDVSFSNAVTGTKQLKMLGLDQKYTSLTKEQLPEIRGLASAYGLNFIPGRWIGGIQLTKGGSTVVNGYESITGQINTELLKSENEKPTTELNLFSDFNGRAEANITHTSQVAEKWNQSILLHGNGTFGDTDMNNDGFLDRPKGTQLNAAYLLNYNDLNNSGFGSHFGINFVKDERTAGQIGYNKRLNQSQQNLYGVGIDISRFQAWNKTGYVFKGKPYQSLGWMNQFTYHQQDSFFGLRNYFGKQSTFYSNLIFESIIGNTNHKYKVGASFLLDDYNEDYLTTNYKRQETVPGFFAEYTLTGTKYVLVAGARVDFHNLAGTQFTPRLNFKYDIAPKTILRLSAGRGFRTANIFAESQQYFASNRSIEILSNNGNIYGLKPEIAWNYGLSLQQEFKIFNKKSTIIADVFRTDFQNQVITDLDQYTNKILFYNLEGKSFANSVQVQWDLTPAKNLDLRLAYKYYNVETDYLSGRRKAPFMAKHRGFFNAAYATNKNDKGGFWSFDTTLNWIGKQRIPFTQSNPQELRLPDYSNAYTTLNAQISKNLNEKVRIYAGGENLTNYKQKNAILDAKNPFGNYFDGGMVYAPIMGTNFYIGLDLKF", "MATKSYLLIFLMFLGTFMYPEQFSATASQKMDCHTTEKSSEGCCQHENEHNENCCDQNSGHANKSCKDSCKSCQTCSGYVNNIILEPIEAPLHNSFIKDTSVFSYSFPVIPNRTFNIWQPPKLI", "MSDVKVTIIDREGVEHEIMAPTDMSMNLMETIRAYELVEEGTFGICGGMLMCASCQCYKLSDTEVPEMGDEEESLLYSDGVNVKENSRLSCQIPITMDIEGLKVEIAPEP", "MDLLHLEPKAIWKNFAALNAIPRPSKKEEKVIAFIKNFGESLGLETSEDETGNVIIRKPATPGMENRKTTILQSHLDMVCQKNNDTDFDFDTQGINMLVDGDWVKADGTTLGADNGIGVATIMSILESSDIAHPAIEALFTIDEETGMTGAFGLKPGVLHGDILLNLDTEEDDEIDIGCAGGIDVTASKEYNTVAIQGEGIAIEIKGLTGGHSGMDIDKGRGNANVLLGRFLYAGIGQNIQLSDINAGGLRNAIPREARANFSVANAEAYLKIAEALKADILNEFKSVEKDLTITISKAQTNGSGISTADSESFIKGLKAAHNGVYRMSPEVEGLVESSNNIAKVELKEGKLRVLNLSRSSVDSTKMAVADQLRASFELAGLDVAFTGSYPGWQPDPNSEIVSVLERIYTQKFGEQPRVVACHAGLECGIIGANYPEMEMVSFGPHITGAHSPEEKVNITSVQKFWGYLQDILKEIPTK", "MMSSTFLSNLPYPIILAPMLGVVTPKMVADVANIGGLGSLPLGGLSPEASRKLIQETKRLTKNEFAVNLFANTAPDLNSNKIATEKMAHFIQSILKEKNWAQDFEFNYQFYPYQDLIDLIIEEKIKYVSFTFGVLDEKSVKKLKTHHIYTMGTATCLEEAVELEKSGVDAIVAQGIEAGGHRGSFLNEKCLPYIGLIALVTQIKDTVNIPVIASGGLYDKRTIAAAFDMGADAVQIGSHFISAEESAATDVYRDLIKKSTDTSTILTKSYTGRWARGICNDFMKLTELNQLTIPEYPIQNVLTQAMRNLAKKNNDTQFTNYWAGQNAKYARHIPTTEIMNELISIYKIIKQ", "MKNPEYPLFLQFKITTLANDFVAKDKNDNTIAYVRQKMFKLKEDVVVFNNESKAEELFRIKADRWLDFNANYSISESRTGESLGKIGRRGMKSIWKSTYIGMDKHGSEDYTIREDNGWVKVWDSMIGELPIIGMFTGYILNPSYTLTDKEGNKIFQLKKMPSFFGRKFMLQKFADIPENDESRLILSFMMMVLLERARG", "MNFPSKVLEKAVEEISGLPGIGRKSATRLALYLLKQPHSQGMALGNAIQALVNDIKYCKICHNFSDHDICEICANSNRNDSLLCVVEDVRDVMAIENTGQYNGKYLVLGGKLSPMEGIGPNQLKTESLISKVEAGGVSEVIFALSATMEGDTTAYYLYKKLKHYPLNFSVIARGIAVGDELEYADEVSLGRSIKNRLPYQE", "MSTIFSLFMVLIIIACVLLIIVIMAQNPKGGGLSGTFGGTSSASFGVQRTNEFMDKATWSLGIFIIVLIMLSVILTGKPSTVIPQSQPTKKELPNNPQAPVQKPTAQAPQTQQSSAPAQTAK", "MKKNISTFVFGILLTTSTLSFSTAIKAQAITSQKTNGSAITEQVLKDILEKNRSYYQQGKVADYIPELGKADSKSIALSVIDENGKVINVGDTDKKFTIQSISKIISLMIAVREKGEQNVFDKMGYFGTDKPFNHFSNLETTGKPLNPMMNAGAILTTSLIDGEGEEPFKKILEMVRYITKNSNINYSKEVYNSERETGHRNRGMFYIMKNSGLITGNEEKLNNYFKQCSIEVTAEDLAKIGYFFAHQCTRYDGDTTYKNADIAQLVESQMLIAGMYEFSGEYARTVGLPSKSGVGGGITVSVPGKMGIGVYSPALDQHGNSLAGYHMILDLVEKYNLSLFR", "MIKKLDQYIIKTFFGPFLFIFSVLCFIFIVNIIWTQMGQLAGKGLSAWEISKLLFYMGVTVVKMVLPLTILLAAIMTFGDFGERYELAAMKSAGISLLRVMRPLLIMVILLSVLLYFFSNNIIPDFQRKAKNMLYNIASSRPALNFTPGQFIDTVPGMTIKFDEIKGENGEHVTGVFIHKVANSYEDNQTVVAKNGKFVQAVDKHYLKLILYNGYIFQDQIANKDFKEREKQANQAIKFDSLVQHFDVSLLLNKALEEQNITDDYQFQTYNQIAKTLKKKRKDDKDAFNTISQDIVSQQNGYVSYIDKIKTDKKLIKQPFKLDSLKGEKKMEALYYAHNKLQAAKSAYQMKNDQIIDIIKYYTKVVMYQQRIISYSFTCVIFFLIGASLGSIIRKGGMGLPVIIAIVIFILFYVINLMTENIAWKGEMNPYLATWLPNMILLPFGVWMTYKALTDSQLFDAEKYKAFFKPIINRFSKPKEHQRYQ", "MKNLIKKLSVGIFAVAFTMGFGQKIDANAKTILDGVSTNYKSKKNNYFKFVYRNGSGTAGKAVTGIFYSAGSKYKLNIMGTEQIFDGNKVYSISGDDKEVTIAKPTGSETMFSPLNYIDSYKNGYTVQYMGKKNVGGINADYIKMTPVSNNGIKYVNLFVNSAKKQLVKLEQFSENNEVAVIEISKYIENQNLSPSTFTFNKANYKNYLITEL", "MNQKPEVAKNKTISKLRIISGVTFVFLSIVLTLSFISYLMKWKADQSQAGTMLDKSIKSSNVFGKIGDWLGNFFIFESIGIAGFIVAFLLFVFGTLILKKNYFKPWKTISHSLFFICWLPIFMGVITKGQGVLSGVYGFQIMDYLNAIIGTFGLWMILLLSIGLYFVLEFNLRPSNIKAKMDELNENTLGKFKNKASAMDDDSDDNEEEELPVVDETPKEVNLTQSKRPSPFDKKAEDVENKVVVTEELPKAEPVHIPTPPPIIETPNHTTTPVPPVNNIEIPVQKTANTSDIAFTVEKKDEEVIVLDEADKKSQNLVDQHGLYDHKLDLAKFQMPSISLLKDYGNENININQAELEENKNRIVGLLKNFNVGITEIKATIGPTVTLYEIVPEAGIRVAQIKKLQDDIALNLSALGIRIIAPMPGKGTIGIEVPNQTPSMVSMRSVIASPKFQNTDMDLPVVFGKTISNEIFMADLAKMPHLLMAGATGQGKSVGINAILTSLIYKKHPSELKFVMVDPKKVELSLYSKIERHYLAKLPDGGDAIITDNAKVINTLNSLCIEMDNRYELLKNAFCKNIKEYNKKFSERKLNPENGHRYLPYIVLVVDEFADLIMTAGKEVEMPIARLAQLARAIGIHLIVATQRPSVNVITGMIKANFPARAAFRVISGVDSRTILDTPGAEQLVGKGDMLYFNGNDLIRLQCAFVDTPEVEKIAEFIGEQKGYPEAYQLPEYSGDESIVSVGSFDPNEKDVLFDEAAKIVVSTQQGSTSMLQRQLKLGYNRAGRIMDQLEASGIVGSFNGAKAREVLITDMHSLEQFLEELRK", "MKKLQDILISTRTMAVLLLVYAISMAVATFIENDHGTPAAKALIYNAKWFELVMLLLIINFIGNIQRYRLLRKEKWPLLVFHLAFILIFIGGAITRYISFEGMMLIREGETSNQIVSEKQYFKIQIEDKGDILNYEDVPYIMSPLHHDFNATYDFRGEKFTVKTLDYIQRKKDSLVVSENGKNYLHLVSTNDQGRENIFIAPGEAKSVNGMLVAYNRKIEGAVEIHDENGFLQIKSPSDAQYMTMATQKTGVAKKDALDSLKLRSLYTIGDLKLVVPEGVKKGKLIEYEGDVKKDAATPDLLRLMVEGPKTKQPVDILVDKNNPNMAKQIMVDGKNIMLGFGPKVLQTPFSIKLDKFVMETYPGSNSPSAYESHVQIIENGKQTPYKIYMNHVLNHGGYRFFQAGFDPDRKGTHLSVNHDFWGTNITYVGYTFLFLGLFVSLFWKGTRFWQLNKMLKDISKKKAVVVAFLLFSFSALNAQSYDGSKGEIDMHGQESHGAPNVKKIEGGMTAGPMKPKVLDPETVVKESHINKEHADKFGYALVQNIEGRIVPVNTLALDVLRKLYKRDSFHGMDANQWFLSITTNPFMWTQVPIIKVTTKGGSELLRKTKANEDGYTTLLNLFPMDPATGQPRFVLEQDYNIAFRKKPVEQSMYDKGVIEMNDLVQVFNGILSGQYMRIVPVPNDNNHTWHSWLDNKFEPDEASQKVMGPYFASVIDATKNGDWATADKELVKLQDYQHKWGSKIMPSETKVNVEVFMNGLNLFFKLLIGYTMIGGLLLILGFIYLFKPNKILKIVINVIIWIGVIGYIAHFFGLIGRWYVSGHAPWSSGYEAIMFISWVGITAGLILYRNSNVLIPAAGFLVAVIMMGFAHGGAQLDPQITPLVPVLKSYWLIVHVAIIASSYGFFALSAIIAMITLLFYIVANSNIYKKHNDKTIKELTIVSEMSLTIGLFALTVGNFLGGIWANESWGRYWSWDPKETWAFISIIIYAFVLHMRLVPGLRGRYTFHVVTMFSFCSMIMTYFGVNYYLSGLHSYAAGDPIPVPAWVYMSLSFMVALSIAAWFKYKKFHNAKSLPNNKIKRTDERTEVEP", "MHINRFFLIILTGYLSSCSNNDNRDGGDNTKPPITIAKKTYTYQFNNSYKIENGVSLKGPKGTETSVDVNMLNQYWFSVDTSDEKLVIDYKKDSLFVINGKLKRAYKIKAKQDSIFLDGTKPEFFGFHTSDKTGLTIYKRVIVYHRAPRENDNSSLYSRSGLNFGRTSHQEVSKISPFKTPSEMVSDQDFLIWANLSYSYKEIK", "MGFLKYKNYTGSVEFNDIDKILFGKVLGIRGLISYEGQTVDELEQDFKSGIDEYLEACKEKGIEPQKPYTGAFNVRIPSDMHGQAAMEAYEQGITLNAFVKHAIEERLKIAIIERKPLAHGPAKKENKEKKAGRYKI", "MTKIDKLIERLLSIPKDFTYTELLSILNYFGYKESTKGKTSGSRVMFIHKETEAPIRLHKPHPKPILKEYAIKQIIEELKKENFI", "MYRVHDIYSKEIGEAYLEYCHFFNRINEIMEEDKNMSATKEEFM", "MEKNLKPMSGYLALLISILLLFGAVALFFTGMQYNPFLSVMGVLCFILAIFFMKGLMIIQPNHSRVLNFFGKYVGTVKENGLFFINPLYSSQRMSLRSENLQGQTLKVNDKMGNPIEIGVVIVWKVGDTYKAAFEVERYTDFVKMQSEAAVRHLAMSFPYDNLEDDHAPITLREGGDKINQILEQELTDRLSKAGIVIQEARISHLAYASEIAGAMLQRQQATAIVAARAKIVEGAVGMVDMALKKLSEENIVELDDERKAAMVSNLMVVLCGEKAAQPILNAGTLYN", "LSAKKSFVLRIDEETYKLIEKWASDEFRSVNGQIEYVVHQALIKAGRKKEKDNEKPSEK", "MKECGNSIRQIRRNKDLTQEYMALELGISQKAYSDLENCKVKMNMTTLLKVADILEISPSEICSLSGNCTNDMQQKHESLIDYLRQNNIQVPDEYL", "MEAQKFHYDNNIVRAFLYATIAFGLVGFLLGLTAALMLFYPELPEFLFGTDDTTIQSLRSGNIQGLINTQGALGFGRIRMLHTSAVIFAFVCNSFFCGAYYSMQRLLKTRMYSDTLSWVHFWSWQLMIVSVVITFLMGINTSKEYAEHEWPIDILITFSWVIFGINMFGTIAKRRVRHLYVAIWFFIATWIGVALLHIVNNLEVPLNFWKSYSVYAGVKDALVQWWYGHNAVAFVLTTPVLGLMYYFMPKAADRPVFSYKLSIIHFWSLIFVYLWAGPHHLQYTSLPGWAQALGTGFSIMLIAPSWGGMLNGLLTLRGAWDKVRENPVLKFFVVAVTCYGMATFEGPLLATKSLNKIGHYTDWVIGHVHLGALGWNGFMAFGIIYFLVPLMWKTKLWSVKLANWHFWLGTLGIIFYAVPMYISGFTQGLMWKQFNPDGTLMYKNWLDTVTAIIPYFKLRFLGGVLYLTGALLMVVNVFKTVRKGVFEKNVPAEAPALAVIGNDRKDGEPVHLWIERMPTVLSIGAFVTLAIGGAVEIIPTLSVKSNVPNIAAVKPYSPLELEGRDLYIREGCNSCHSQMIRPFRDEIVRFDGKNGQYSKAGEFIYDRPFLWGSKRTGPDLQREGNKNPDSWHFKHMYNPRVTSAGSIMPRFPWLITNELDRSEMVNKMKLMKNAFDVPYTKAQIDSANAWADNQASVIVQKIYSEAADIKEQVAQEKIAKGNKFIPIEKREIVALIAYLQRLGVDIKTTDVQTASIK", "MKVRTPISVYIVLTVCLIALAFEMFAPEVHYLTSPYFWVVVIMSALLLLIVSSLQDLVENENFKKLTDEEKRVYLEQKKIPFLRRLWNSAVKKQSQKEETDLIIDHGFDGITELDNALPKWWIGLFYFGNIFCVIYMLAYVFTDYAHQDAEYEKEHKTMLASIEEYEKTAPKITLETAKYDPSNIAEGEQLFKTNCVTCHSEGGKGGIGPNLTDNHWINVKEKDVFHNVFWMLENGSPNNPTMRPFIKDGTISGRDAEKIAAYVYHINQETPPVKVEQGGASPQGEEVTWEK", "MIIKNIIFDFGGVLMDWNPKYLYQNVFNSEEEMDYFLDNIATLKWNAEQDRGRSFQEATEILQNQYPEFSKEIALYYSQWPVMLKGTIEENVSILRNLHGRYQLYGLTNWSAESFPYAYKNYDFFSLFNGIVVSGEEKLIKPDERIYELLLNRYNLNASECLFIDDNYENIRTAQAMDFNTIHLPPHTNLKEELQKFHI", "MIKKHYIFILLMLFSFTKAQNIHEIRLFQKSKNFIIFFSIDNTYIAMDSQGRVLSLFQKSKNPGYFDNIITPNGQSVSTTEDSDFDYIKDNNNPIIKNSSNNNIEYYTSFTSNDRGKIRAVNGEIFQYYSELSDGLAGNIKSIGNINFSYYGSFNSYEKGKIRSIGGIVFSYFNEFDSYKTGKIKSIKGNNNNTNITIINDY", "MQLPKLNFSKEFDFQFRQDKDKFFIYDVLRKKYLLLTPEEWVRQHWIQYFLSLKTYAPSALIAEKKIELNGLTKRIDLLVTEKTQPKILIECKAPQIKLSEKTFEQTARYNSVLGAGEIILSNGLQHIYASLTQNGYQFSNFGT", "MKDLENILKNIKNKAFQPIYFFHGEEPYFTDVAIKSLENDVLTEDEKAFNQTVVYGKDTTYGEVLSLARQYPMMGDKQLIIVKEAQDLKLNEEESDILLKYIEQPVESTILVFAHKYKKIDSRKKFVKQLDKNNWLYYSESVKDYQLATWIQQQIQAFGIKTAPNISHLLAEYLGNDLSRISNELNKLKLILKPGEELDGKLVELHIGISKDYNVFELQKALGVKDAERSMKIAYYMGKNLKTNPLLLIIGNLFNYFNNILMYHAMRGQPAAAMASAMGVNPYFLKDYEQSAKFYPMKHATRIISVLREMDMKSKGLGAHNTDDAELLTEMVYKILNVDKTKVKV", "MENILDCVIVGSGPAGFTAAIYAARADMKPELFTGLEPGGQLTTTTEVENFPGYPSGITGPEMMMDLQKQAERFETKVHYEMISTVEFAKEAGGIHKLSTGSREILAKTVIISTGATAKYLGLDDEKKYSGGGVSACATCDGFFYKGKDVIVVGAGDTAAEEATYLSKICNKVIMLVRKDHFRASKAMVHRVMNTSNIEVKFNHELVAIEGENNLVERAVAINNITNEKSTIDVHGIFIAIGHKPNTEVFGGQLDLDENGYILTEKGSTRTNLPGVFAAGDVQDHIYRQAITAAGSGCMAAMDAEKYLSGLEHAEN", "LKHTVDFLQTRHTIQDIDIRKIDHAFIMDYEFYLRNTRKCNNNTAVKYIKNFRKIINICIANGWLDRDPFVNYKSKIREVERSYLTQEEVHTIYSKVFATDRLNIVRDIFVFSCFTGLAYIDVRNLTPFHINIGIDGGKWIFTHRQKTDTPSRIPLLPIAIEIIQKYEDHPQCSNEGTLLPILSNQKMNAYLKEIADICGIRKELTFHIARHTFATTITLSNGVPIESVSKMLGHKNLRTTQHYAKILDQKISHDMQMLKEKFEQIPLNGKTKIR", "MERQQYDTILQNLKARIAFINSQTDNPILLSEKAIALILEALSQLKQHILTHNFKTTQEEIYFFKEIKPRISAKLIYHNSIFKIETKKPKGGKRILRKYYNNELRRLKTYFDNNFEFYKYYRTGSTLLDQHYFIRGKQDIRLNLDTYYFETDHQFATSHDYKVAKIIAHDKLQVYLENQLTNLSTRKIQVATPAKPIPILQWTAHKVALVELIYALHSQGVFNHGAADLKDIAEQLQQAFNIDLGQYRRTFLEIRARKSERTRFLNTLILTLINRMDDTDQ", "MAVEIITREDLNEFRTRLLDDIRELIQGKSTQNKKWLKSAEVRKLLNISSGTLQNLRINGTLSYTRVGGTMYYDHQDIDKLLNDNKVIAFRNKKPLL", "MNYIRHLTAFFEKVAPDQVLNPTHISLYIALFQFWNFNRFRNPISISRDEIMRISKISSKATYHKCLKNLHALGYINYQPSYNPFKGSQVYMLNFSDELKPVSRSRSNTEPPGRQATDNHCTTSQTSTEQALIPSVNSINNTNPLNNENGDTQASDPEQEIQPDQQYHPPDTSKEKKLRAKKKGFTPPELSELKEYFRTKDYPEMEADKFYNYFSSNGWLVGGKTPMKDWQAAARNWILNTPKYNNHGYTTPTSDRTRNLSTTTGKNYAEPL", "MLEEKGKELFGSSFHFQTQDHPLIIQLTAYFLRDQLTCDQAHISLDKGLLLSGPIGCGKTTLITLMRYITQPVNKYFIKSCRDISFEFIQDGYEVIHRYSKGKLYEPSTRNYCFDDLGVENNLKYYGNECNIMAEIILSRYDLYISRQLQTHITTNLSASEIEAYYGNRVRSRMRELFNLIAFPNDSQDKRK", "MKILKNILYAATISAFLFPLYSCVAQQAPQEIGMLKQSSVFADFTQLGYDKSEFINRFGQPATRDITTDNNQKVEQLYYVELLPNDILITTKARFVGDKLTDLTNQKIEKSYDQRLKKLEEAVNSASLSSFMSGMKKH", "MKKALFFFFLSALLLSCSRKDIDLMKPSKAIDNLDAQTLASVNDSIVQLDSTQFPKKKKNLARRYDYPDVYTYLYDLNGMEFYIQAKDNSSGRNTLQTNGQGRELTLQPYAQNNTAQLFYFRFLPPSTGVQYMIYSAKERTPIGIGSYRNNPGRYVLYNQRAGSTSYFGFGWEPSLNTDRNAYYLQSNDIMGTGSGGPYDIFYYVLNAQNGNILFTKKDNSYYQHFNIIPNDEFLIEDISYDILGGEVTEESLTSLSKGTVVNGGSQPLQRTLELSESVSNESSFTETNGVSTKITANASVGLKFKIVEIGTSFTIETAKERTVQYGANKTITRTITNAFNLTVPPNTISTFNFQARQHKVRVNYTARCRGIRTGKVITISGVYTGIEYSSTIMEVRESPISRSGVTRTYMIQPN", "MNTHKTYPEKLDENKLLEKRRLTVSAVIVNDGYRKDDYACKILLLGKWVRKCGLDAGDKLTVKVFENKIVIEKEKPGMVDPKFLEREQRASDRALRKKLKEMLSPDIFEDLIIKGGEIFIKT", "MNTKKASRTLDRNKGKLLETRRITVCRKVLEKRNDYGRYNPVITLTGKWLWESGFKGGDKLALKVYEKRIVIEIEMSGEEFYKDVYDGFE", "MTDTIHPNHKIHQGRNVKRFREMLGIKQDALAYELGEDWNQQKVSLLEQKETIETQLLQQISAVLKIPVEAIQNFDEEQAITIISSTFNDNAQVGTVINNYNPVEKIIQLHDEKIALYERMLKEKDEMMARLEKLIQGR", "MENRINDILDRLENDELNRKKIYKYHRINKFLNDLLINNEIWCAGPYTFNDPFDCNLTIDGNNTADQIRKYFKVANWNNSKDNDEDIQRLINTDFQDKEAFQKKINSISRKIIQKMGLACFTQTKDNLLMWAHYTEDHKGICLEFDHTKDLEFFRPLKKVIYDQKYPIYNYYDDQKNVVGQLMLHKSKHWKYERELRLIKKKTGLYRFDPGSLTAIYFGVRTPDEQIKTIKNLVHEQEKYKNVKLFKGTIDTKDYKLIFEQIKK", "MNTITQIENALKAINQASFQTLVNHLLYLQGNKFIGAPGAVVGKEKTSKGTPDSFFVKEEKYIFVECTTQERLGESKSFFEKLSKDIDHCFKEDASTIEKEKIEKVILACNEKISAEEHKLLNTKVKSYNPDTKFEVLNIQNLPLLIFDIPKLAAEYLSIQIVKGDIYTLEEFLLKTEKGLQPSLTNEFIGREEELKNSIEALKKYDILLLSGGAGVGKSKLAVKILEELSRDNHVPIVIQSSGVSLWDDFQHLFLPGKQHIILFDDANKSISNLTYLLSKIEASQSYSVKVIVTSRDYVKKQVSATLDNYSYKEFNIPEFKDEEIGKIIVAALPNLQYHSDIKRKIVDLAKGNARVALMATYSVTPDSETNYLSSPVLLYEKYFKKISEEIGIFNNPIILKSLAIVSFFGILDRNNEELKTILSNKFDIDWNELWTAIMELHNSEILDVYSNEIVKVSDQVLATYAFYKCFVDDKSVVINYAEWIATFIDKFSNRIRATLIDINNTFVYYHVRDLVLPHLNEVIKQIRSDEEFYAFYKLFWFYKGRDCLLYLKKWIENLPQEENPETLKFSYVHNDHTKASKYFELLKYFWSHSNELFKPSIELTLALLNKQPSRLPEILKFIHEDFKYKLEDIEHGYLRQNLLLDILINDNLNNSQKIFANGIFLNLSEALLGWHYTEYGSSKGHAFTIYNFDLYKSDELMKLRGRILNQVYHLFESENEQVQKTLHQIVYPGGDIDKSIYVDELPIYQKLISEKLDNKQYAHCKFVSVLAKHLTEAGTAYPENWNEFIESDIRKLSKFLKPDWEYREGKSIQESEEEKRQEFEAFVKANDWQSLETFLLNIDALYKQQKDNNGWHIESAVTDIYISIARKNKSEFEKALRLFFSGQVIFPLRSTIINVALLENIMTGKELLDIMSDYEFKDKPFWESVLIAMLPEEQVNEIFLKLLIKTFLKPDEYIYIHRMLDYLKYEAVFEKYKRENPELENHNIITYLTSIILAKTRKLRRDFGYDFCADCSSYFTKHPQLLKDAYWAQYEIDSHFDYEGKELKALLGLDKNFINDSLKNEKIGLGYSSNLRLEKINTSVLWELEEYEELIEDLLLTALEKEQYMFLIERDIYSLFSFKDANEDRTERAKAFIIKLTQKYINNEKVALILIEVVYHKFNGWFIEYFREFLLINKDITLITKINFGRTTSWSGSKVPLIQKKIEFYQDILKMINALPNILDYSEHIDYFEQKIVWKKKEIEDEQRRDFMEEFYYN", "MNIENVIEICVALDIAILGIAYPIIVDKISNIGDKYQSQYIPVLFNNEFPQKSRKLTYKGKEYKVTIFKLFLVFTLISFLFIIFKTEPLWGLDNWVINNSANLIVISFSLVLTILFFLWLDKVILFNGKSSSLLIHIVKKYDDLSEDNEIKQYYLKAINEITYYAVEKQDEHLQETLLEFYFKTFANIRRSHTKDQPLEYPVDLYFMVNKLNEESTVVENRKLRAIEHRAVSGIWLLGEDYEEIIISEETYKWLWGNIYTICDYPRLVKMFWANSNQYYYFRLQPIRQDYDYEAREIINKTEVEKREDERKRFIEFHYALGGLVYYRKKYDLLKYFFEYSQSQPPTYVLLPESMTEIFNWFEYFRNEFKNINIPIDLKYYFPELDNLGNRRRVRYWICSYLTILFIRQYSLNQYYTYQNFTAPPNLPDDILELGNWLDSVSFFEKCLNDVIENEELITALGYKELVIPNKGRFKQFIEDLRQRITNKIGEQKRNAELSQEKVTRFYENSNPILTEAFEEYNSVFSPLDDEHKKGSLKLSIKGAVTLMSKSAFTENDIPYLDYDTFFARSIARESIKQYIPNSFIVARTKRYLLRREDLITSVSKLIGENPEIIIVGINIQQRVHEILENSSFKNRIIYIPSTEYNSQDLLFVLRKNDLPAIERKDLLDAEKEKLKLVPINNKLKIYASVIDINKEENRQLKDKWKLDNDSDHPDLKVQLALSFLSVIHWKDSREVIQINITSEFREQGIPNHINDIEPLGTESK", "MSDNNLNKNKVDRNLSKEDLERLEILQKSEEVKGEQLFINYDSEVVIENEAEKLLGQKIDDPVQKFQLYYNGLTKLLKDNLPKGKEFEDVRRIVYDEKNILINRGAKKDEKGIRGSDGRMAYTEDLEEAIKIVAEWTSRKGTPAELFMSFWDKNEELGYGHQD", "MIDNLLHKSFDYNGPSIEDLFTEKLEQTGLTKTQFEKLSGMERRSLDAILDKTSKQTDIIKLLKLAEFLEVDLSDLLIIYFNDRPVEEIRELQSSMDITFINKYFDLKTLAGLGFIQKNDSLETLKDRICNFFDLDSIYSYDRELNDALYSRTKKSFSDKMKDFWIKSSYKYFELIDNPNEYSREELVELIPKIKPYTQNIENGLLTVFQALYNIGVTVVFQPLLPKTQIRGATFFINDKPCIVITDFNKNYATIWFALIHELHHVLFDLDTIQKTSYHLSGEPDLFLIQEDKANEFASEYLFSSEKMRYIEKMIHNKFMVEKFAKESQIHPCIIYSQYQWRQSELGNDYWAAFKDAFPNIKNITKKLNVANWDVESIKEASLKIRELLTV", "MDSRVAMPQKACPTAEAKDVHRLRPSGCDLCPASFLLGLVT", "MRFNKIQSLLSIPRIDRYYIAVGNSKPKAVKLYKANLKIAQAFHPILGVLEVVLRNKINTVLTTYFADPDWIINQKRGFMMDPSLRYRDGRTRKVVVNDYLKSSVEKSEQRLRKLRVPVTTGKVIADQSLGFWTDLFEVHHYRLLSGRPIQIFTHMPSGHGRRDVINRLNKIRQFRNRINHNEPICFNGSNIDFTYAQDVYNSIIEILTWLDTDIIKWIADIDTVNSKIAIARKI", "MDKKKKIERDQFEKFISNEKLRLPVYDIEDSETPDFIATINTKIVSVEHSRLINPAFQQIEQHKEKIIKNAQKKFEEKYSDKLYTLITFNDTPLKPGKHEEDKYTDEVFHLIEQIYLANRSFDFSVSSFSHRVKVSPSIESFSVNNTFDFSHWQHFAAYSVSRIDMDWLQNIISRKDKNIDKYSRKFDENWLLLVSDFGTEASTNDFFDIDFSIIKSRFDKIYIYSYMMDQVITVR", "MARFIIRTHTPRTDYQAPHIFILNKGMNSGKPLTTPCPNSFVVLFNTQTDREEYYWLAYSLWQAKFWHPHLTGSVIPFLRLPEFRKEFIKQTTHMLQNTEKHEKSIESLRLLKDAEERFRYNIRLVEDLRRMILYRYIRK", "MNLLKRAKAPTPKLFRVLRNIGLALASAGGVLLAAPVALPAIVVTVGGYLAVAGGVLSAVSQIVVMEEKEDKENKVDGDSS", "MVLELIREYSAGGTNGKLYYDGVLVCYTIELPWHNNRRRISCIPEGRYRLMKRHSPKFGWHIVVLNVPGRSAILFHPANYALKELQGCIAPVTALTGSGRGVDSRTALEVLKALVYPVLDIDECVFVVIRSLKK", "MMKVIQADTVSVRGLRNVIDGEAELLTGFEFNIRGALSTSMFAPYAIEADRAAGKVKLGIGGFVPANMIAAPVGATHFRIVSGIALVDFEGEAFEAKFLETAVMPWNNVAIADIAQEHDFTPGSKKPLFVVLGVAFYQQINGEMYLLKNGAFNALSIVKVDGGV", "MRNNMHRVCIYPKDIQLITGKSYRQSARLMQKIKKELQKPDKEFLTIDEFCTYTGIRYEQVSHLIFG", "MKKALKIADSLFSISQTPILKTKSMMLSATLYQQSGDFKKAIQYALKSEEIINNTDNELWKARIYGFLATQYRILKLYKNSKMYMDMALEACKRIDDPKFVNNTKGLMMQEMAYYESDLENYKKAIYYINQSQLHFDLVKQNNDFFTLNNEQLLGLNYYKLNDLGKSTQHYNKALKMAKDNPPNFITYLVYNGLANIYLDQKNLKEAKKYVDLIQNASDKSYYLELRNVIYDTSQRYYELTKDIEKLSITRIKQDSLQEGLLKKSDHFIDESYLHLNKENITIQNKYQNHQYILFACILLLSASIILFIFYRKKQKKAIKRFKEIVKKANEKKSLLLDESLSHQAIEDTPIEAENLTTAPVDSGCKVGIMPIETEEKLIGKLKKFERTTLFNRKNLSLPYLAAYCNTNTRYLSFVINTYKKKDFYNYINELRINYIIDKLTHDPEYRKFKIAILAEECGFSSQNKFTAVFKKETGIIPSLFIKLLDEKT", "MNLLQQKMMIKKVLTGACLLLVFLHAKSQNIFRALEVFSSGYKNYSVFITENRNQIKLHGTFQSSFKNTLGHITPFLSILKEQETKYNITAYIKYTGYWWNVLNSRAIIYTKVIKGPLLFVVIKRI", "MLKTPRERLLDTASKLFHNQGYNNTGINQIISESKVSKASFYVYFKSKEGLCMAFLESRYKYWISEWSQFTSTASDRNEKILKSFDFLVYMNEKENFRGGSFFNISSEIPDDKVQIHEIIRQHKKQVKKIFTSEIRDEILADNIYLLFETSLVMSRIYRSNELIIKSKIIIEGLLKN", "LEKRYEYWASQWELFISKATTLKEKILQSFDFLVYISEKENFRGCSFLNISSEIPDDKIHIHETIRVHKHQIRSFFTSEIKDEILADHIYLLFEGAIMMGRIYRNNELTLRSKTIVNKLLKD", "MLNPPRERLLEAATILFHRQGYNNTGVNQIITESKVSKASFYDHFKSKD", "MNETLQPQEKKDYCTPEISKIEIDMEYGVAAGSALITPNSSGTVQTEWENVDNQSEDVIW", "MQLNNYTKTYAITAFLLLASCRTNDSDITLKTSDNAVAVQVNLLSTDYADPTIPQTQASLKKEVTVLPAAEKNFTILSPSIFAETTLSYESRKLGTQASLEGTVAAVNGGNMNTNNRFRVIAYRADNGAYAAHKDYIITPTGITPDGTALMLDSGTTYNMIVYSYNQPTNLPAISQEELAGIGTAKIRYGITTEWRDDLLYQRIDGFTPNGNLLNNPLNITLRHKTALLKDVTVSLGSVDLEFITLPLGKISFTDYKNGELYFSGTNAGKITSRTNAFSGWQQNGMTYRGDTRKIYLGNGTVILNADTATDPTSKASFLSDITLKDNSTGNIKIQSITASFTIKPEYIHNFNIDIKKCGAYIAPGVWKEFACHNLGANKTLDPFTPAAGLHGDKYQWGALKGENLRYVSMTDDQSIYNNNAIIFNWHSFNDSKPNDSWDGIKDPCTTELGVGWRVPTRAEWDSVLNPALNPQENKGTFSTTGNDYTNYTAGKMFGKGLFLPAAGIRDIHGALSDRNNYLYYWSRTVLEGDINGSYAALSSESQTISTSSRWRACGMPIRCIRD", "MEQKLQEEQNNLKEYQRPILDILLIEMEEGIAAGSARVQFTTTDFEDAAVQGQWDTDRGDRGIEWN", "MKNLKSILSLLVLLLTIACRSTDSAMEGDGTESGGTSGSAIMKVSLLGATFNEDPDVNPMASSKKGASNNAVREQSQTILMDDNKAVIATLTPKKFLSNTAQAGINPMAATYEHPLFPGQVFRLAVYNANGTYKAQRLFTINSAGAPAVATGGDLMLDGGQTYTFVAYSYNNSTDPGAVSTTANLNSATLSAISSNSDLMYQRIDNVTVVGGDNELRLILKHLFSEVSVILHDKVNGYRDGFRSVGNGITMDRHVTSNNSLKLSNGVITYGSTRGTKPVNFIFGSGPNCGNCTGDSSWASEPVLLVNPGAVASDMSTLNFPNDASGRIDIGYGPTAKSKQGFTVPNIVVKPGVSYYLNLRFRCTADATPTWPFSMSGGTEGGQLTQTFNAPVSDAGFVFDIYQLDNSFNMKINGVDLSNQEIQFQGAVTGLPRNIRFKSDGAYWGDGNVAEIYALGNASNSIPIIRIIIGENGSVSMMGRRTLASALEPLELYNGAQFSSISWNQTASNTVIATMKVTGVTVMRGLGTGKVIVNCP", "MKDLIRKFIATTFLFFVAVSGQTFGQSKDYIEWYLRTPDTLDVYNQDIYIRELGKGKDTVVVIHGGFGANHDYMLDAIRGLEKKYHFVLYDQRGSLLSPAPKEKLTFQKNVGDLDLLIKQLGAKKTKIMAHSMGTLVAMEYLKQHPDKVSNLVLIGAIPPKSDSMASVFSKRQEEQVVYLSKRPEVKSLPIYKKYKELKGQFTSDREKTDFNRMAFAASNIYKIDRYKLMRGGFHFYKEDASVMVETVDWKYDYRGVLDANGKTTIIMGDYDFLDFNGELHQQLIKDYKGINFRLVKSAGHNIWIDQPEIFKRELDVALRKN", "LNQTKRIYQIDLLRFIAAFSVVMFHYSYVAYKRNDISFLSFPEISQYSKYGYLGVYLFFIISGFVIAFSSRHLSLKKFLYSRFMRLYPMFWICMFITFVVSYFFGGDKFPVTFKQFIFNLTMAPVLFGQKNVDGAYWTLLIELKFYFFVSIFIVINKIKRIKVDYFIYFWLLLSSLNLFDVTSKIFYAIDGIFILDCSPYFIAGIVLCQVYLKGPKLKHFIMLSLSMYLSVLNGISTGNELSVLDNNVFSNYVIGGVIILSYVLMLLISLEKLQFLNSSKFVKIGMLTYPLYMIHQNIGYIIFTHFYFMNKYLLVFATILFMLGVSYILCLIEPKFIKIINLKSEALRKVTSVRA", "MLLKDKKTAIIGAGPVGLTFAKLLQQKGADVNVYERDKDPHTRIWGGTLDIHKDSGQKTLKEAGLLDKYLALATPMGATITDEKGEVLSVKQVTLNNQHDNPEINRNTLRTMLLDNLPENTVIWDQKCIDIEVSHDKWLLYFENETYALADFVIAANGGMSKIRKYVTDTLVEDTGTMIIQGDIPQPEINAAEFFRFCNGNRTMTSYQGHLLVVNPCNNNELSYGIILKRPDSQDTNTPNLQDTESIREFLLNKFTHWDGLYKRLLTSTPSFRSLPIRKFPLEKSWKNNRPLPITLIGDAAHLMPPFAGQGVNTGLIDALILSENLTNRKFETIQAAIDDYEKQMFNYAGKAQSESCQNEILMQQPDFSFQKLIL", "MRDITVPKIIELFANLLGTEIENRKLEIPDRFGKGYCRGFVFNEHIRMIISNYELYEDLTIENPDIDTAGKMIFFKFRNVFSQSGIPSVMIGTSRLNTDDVISIHSNTATINIEIDAHYLNSLFYSYEKSPILKGLLQNAQPYLFEQVLYSSLQEIVNDIISENTEEVFELFFLRVKAEELICRLLMDLDKRDEKQIYALNFYDIEAIYRIKALIIEDLGVPPVISELSVIAGMSPTKLKRLFSQIFGNSIFSYYQDLRMKEAAALLKEKKYLVSEVGYKLGFTNLGHFSRIFKQHIGMSPKKYAMYS", "MKKLILLVATGILLLSNTGCREAEELGTIGGSTPINNTATVNDTKLTNDTIIKNLKEQQTPGNKKINPLAETAETNDSKPPLKDKQHW", "LRKKYENLKENDEKALSTVSLLIAKAKKEKSNEELMHAYEDAVYYSSKNENKIIYADSTIATAKKTLNNDLISRSYLGKGIIYYYNLKRYKYALDEYLKAYEYSKKGNDNYQKNKVIYHLGIVKSYLGYYNDALELFNECISYFEPKTIGNYHPNEIYNNSKGYLNSLHLAIVCYIHLGDFEKANFLTNKGLGFIERLGKNEFSLEKSYLLKCKGVLEFQNNDFNKAIQTFNQAIPILESNHDFAWASVVDFYIGKSYISLHQEDAAVAQFKKVDSIFQKHNFILPELRENYELLINYYRKNNDPKQELYYTKVLLKADGILTRDFTYLSSKIHREYDTQNLVDIQNKLENQNKWGLGMIILLCIIVSVLVYNVWKYYQNEKKIKTKYKKLEDNLQHHIEPEPSSVPYENISSQSKSTMSEEVYKDLQDKLEKFEKEKQFLEKGMTLNKLANIFETNSTYLSQFINETKSMNFSRYLGILRINYITQLMYENEKYLNYTIQSLSDECGIASRQNFSDLFQEINGLRPTEFIKKRKKDIEDMKSSTNE", "MIGIIAELAISWLLLWLFAKTNLEALGLLPSKNRLINAGIGLLLSGTCCILYHVLKTYPADNSWILNKQISFTTILKSTWWTFKSVLFEELMFRGAILYLLTRKLNVKTACLLSAASFGIYHLFSYNAFGNPVQMVIVFLMTAILGLALAYSFTKTQSLYLPVSLHFGWNFFNIVVFSSGPLGSQLFIKANTNKLQDGLSLIILVFQAIVLPLLIWWYLSYISKKPKQV", "MKWSEYAWKQIEEYYQLILAMPFVKELAEGSLSKEKFQFYMAQDSLYLEHFGRALALIGARAYNIQDVLSFTRFAENAIVVENALHESYFKDFDVTEKGKMQPVCHHYVHFLKSTAALDTVEIAMAAVLPCFWIYQKVGDYIYDNKKTDNNPYKKWIDTYSGEEFAVAVQQAIEICDRAAQATTPEIRTKMTEAFITATQMEYYFWQAAYDLKSWI", "MKKYTSPSVLTIAGFDGSGGAGIQADIKTFSALGCFATSVLTALPIQNTQGVQKIYSIPEEAVADQIEAILDDIFPSAIKIGMVHTQQLVEVIVNTLNKYHKVPVVFDPVMVATSGHRLIEEETIIATVQKLFPIADVITPNMDEASILANMQVKTLDDMYSAGEKILNLGCKSVLLKGGHQETDMITSLYFDESGKISSFETEKFQTNNTHGSGCTLSSAIAAYLAQGKNLSDAVSLGQQYVFEAIKSGKDLKIGKGNGPLNHFFNPQKLIIHEMV", "MSISSSFPYQLYLVISEEACKGKNFLEVAEESILGGVDIIQLREKHCTTADFLRKAQQLKEITDKYNIPLIINDNSEVAKALNTHGIHVGNNDISPVELRQQDFWKDKLIGYSIEYTEQLYNKHTQTSDYLGISPVFATATKTDTVTEWGLEGIVKIRSITNKPLVAIGNISLQNAYDIVQAGADCIAVVSAICRANDPQKAAYELKNKILK", "MEAILWKHILSVRQKSPLVHNITNFVVMNNTANALLAVGASPIMAHASSEVKEMVNIASALVINIGTLDEIWTESMLIAVKEASSLNKPWILDPVGAGATTFRDSTLSKLLHYHPTIIRGNASEIIALAKASKTITKGVDSTTESIEAMDSAKILNEQYRAIICISGEKDIIIDGEKIIFISNGHPLMTKVTGLGCSASALIGAFIGCIDNKTEATAAAMALLGISGEIAVQESKGPGSLQMNLIDKLYNITEEEFKSYIKISMS", "MNHEGIVLSGNTLVLLLILLLLGVTGYLIYKISVLQKEKKALTEEKKVLKNRLGNIRLDYIETKLNPHLFKNILNSVQSHAYQTYVSLDKLSGVLDYILYESSEKFVTPESELDFTSNLIEINKIKVNPLFDFRIKSQVDKQDPVYKEKVLAPLITVDFIENAFKHTDFLADDSFIFVLLSLKSGILEIKVENRISPKSPLQKGNSGFGGESLEQRLKMLYKKKFSISKEIHNNIYTAYLKIDLNDKYNQVRYTR", "MTNTIRCVILDDELLAISYLKLLCEQIEGVEVVRVFNNPKYFLEEINDIDCDLCILDIEMPGLNGLQVAELISHKKIIFTTAYKEYAAEAFDLNVVDYVRKPIKKERLQQAFAKAKEFVEIPKSSFIEWNTNMGKSHIFTDQIAYIKTSEIDSRDKDLILNDRTVIILKNINFKTLLEMLPEKDFVQINKKEIIALKSIKVVSANEIITNISEDGEHFTKLHISEVYKADLMEKLGN", "MQKYRNIIFYITTIIFFSGLMYWFFIEGKTLEAGENIISKTSGGSTWDNFVDSFMVNLHHPLALLLIQIVTIILVARLFGWICMKMKQPSVIGEMIAGIALGPSLLGLYFPEFSAFLFPKESLGNLQFLSQIGLIFFMYIVGMELDLSVLRKKAHDAVVISHASIIIPFALGIGLSYFIYKEFAPDGVQFSSFALFIAIAMSITAFPVLARIVQERNLQKTKLGTIVITCAAADDITAWCILAAVIAIVKAGSFASSIYVIIMAIAYVFLMIKVVRPFLKRIADLQTGKGIMSKSVVAIFFLILIISAYATEVIGIHALFGAFMAGAIMPENTKFRNIFIEKVEDVALVVLLPLFFVFTGLRTEIGLLNHGHLWMTAGLIILVAVIGKFIGSALTAKFLRIGWKDSLTIGALMNTRGLMELIVLNIGYDLGVLSPEIFAMMVIMALFTTFMTGPSLDFINYIFKGKKSENEEDASDSGRKYKVLLSFDGPESGSTLLRLADNFTHKMNGNKSITAMNITPVQELHAFEMQDFENEQFVDVVQTSNELKLQITTLFKASNDAENDLVNITNKGNYDLLLIMLRRSIYEGSLLGRLLGFTTKIINPERLLNTVKGKSYMFNNSPFDDFTLGILDKSNIPVGIMVDKNFENAGKVFVPIFDLNDFYLVEYAKRLINNNDSQIIILDVVGQIRRNTEIRELIRSIEQIAPNHITLYNERTIEKEFLESQDLMLISSKSWRSLIDSKSLWLSDIPSTLIISNP", "MEVNPENGNIILKEHHLQIEKGMQLKNLQQTEFYKNYNNGMRDVKTGYFWYYFDAVDVKGYKLSFDLCFLGDTLDKIFMNTYEESDAKTWDDWSEAKEMKVFERNNQFLSMILGVQPTKKKKTPYPTCTFNFPWGNAWSVYDPRSATSFMGIHYD", "MKKIFFCYILVFLTVSNPLFSQNTKAPQEKHIVHQYNTIQAKASFNKEDIPVNEYLTDKLKPIRDNFKRINSIEKWHSIKQRDLFQSTEGGEAKYYYQKNKLEKIITRIFGESGQTLTEYYLLNGQLSFVYEKDYKYNRPLYYDTKAMKENNDTEAFDFDKSEITENRSYFVNGKLIHILSSEDCGAPFSSDYIAEEQKSILSEYSKLLKLIRNK", "MQTILGANGQIGEELARELKRNFTSDIRIVSRRAQKVNDTDEIFSADLSVREKAIEAVKGSEIAYFTLGLPISSDLWEKQFPVILRNVIDACKVNGTKLVFFDNTYMYPQDDRILTENTAFDPVGRKGRVRRQMAEMVLKEIQSGELEAVICRAPEFYGPAKTQSITNTLIFNNIKEGKTLKVPLSDKKKRSLIWTPDASRATALIGNTPDAFGQTWHLPVDKSHPTYREFIRKASEIYGRDLKYSVVPRFVFSIGALFNKKMKELLELLPRYEHNNIFDDSKFRNRFPNFQVTSYQQGIGQIKNEQLSEKIK", "MYKSENQHMKYPYSTNWTNINEYNMDIPYNL", "MKTPVKVSSISAMHQFLGLKRPANPLISVFNFDEVKLEPETILSAITTDFYVIALKKDCAGGKCKYGQQYYDFDDGIMYFIAPHQVLQFEDVLLNSVRGFVLVVHQDFLHGYALASHIREYGYFSYTANEALHLSEKEEKSIMDIIHNIEQEIDANMDSYTQDLLVSNLDLLLKYCDRFYNRQFLTRKKVNNDLLSKLEALLDDYFKNDKQIVKGIPTVHFVAEQLHLSANYLSDMLRVQTGQTTQQHIQNRLIEKAKELLSTTEMSVSEIAYHLGFEHPQSFHRLFKNRSSVSPLEFRASFN", "MILKKTSVIVNGKEIDLIGYFETLAREPNQSELYTKIGVSSYQEIGYLQNSILSVCRQALETGE", "MKYVFSFISFFAFVFCYTQEFRFADNATFKPFPYSVESLDTSYQNIYYQLSFANNSEKPDSKKQAVCILELGKTKSKFFDFNSVKSDSLTKKYSVEKEITGKELTAMLAYKTNWENVLIRDIQTKNIIFQDHASKTFQYEGTQPELKWNLEKESKTILGYTCHKATTEYRGRKYTAWYTTDIPISSGPYIFEGLPGLILAISDSKDHFNFTAIAIDRIPREIYLNNGKHIIKVSRDQFRKVQKNYHNNPGFYINGGAYNADGTEIKVDPRYSKPYNPIELD", "MKLELYQIDSFTEDIFHGNPACVVPLKNWLLDEILLKIARENAVAETAFFIDNGDTIHLRWFTPEIEMDLCGHATLATAHCLASILNYKNDRIVFETKSGELTVDVKDGFYYMDFPSRMPEPSTLPDIITRSLNIQPKEVFKSRDYVLVYESEEDIKKIEIERSIFDLINLDPGGVVVTAAGTDSDFVSRYFTPQSSILEDPVTGSSHCSLIPFWSSRLGKNKLFARQLSERGGQLYCENKNERVIVAGKARTYSMGHLWIE", "MNRADMNSAIFPILKTERLTLRQLSIDDQHDIFSLRSDPKINEFLGRQLCETNEDAINFINKVNDNIDKGNSFYWAIILTGSNIFVGTICLFDFSTENNSCEIGYELMTKFQGQGIMTEAVHVVIDYVFRTLKFEKILAFTHYKNHNSTNLLLKFNFVKLKETYKEDSNLNIFTLNKDSK", "MTDVFKNYLHSTGELSADEINFSAQFFKSILLKKGDFFIREDEYCDHIGFIASGAVKAYAIDKEGKENVTCFKFENEFITSFSEFVTQEKSRRSIRAIEDCLIYRINYPDYQYLLGQVIAWNRVIKSVMEQEYSQKESYVLNYNNKTVEDKYRHVLSNEPRLVQRVTTQDLASYLGVTQRSLTRAKGQIHRSNVL", "MLRQIAPEVFQISLMPRNSINCYIIEGILVDSGIRSSYNTVKKALQKIPVYQHILTHAHADHQGCSDQICAEFEIPLLCHPDEVFRTETGMVTNDYPTPQHWVAKLQQKYWAGQGHKVERTIAENDRVGNFQVIETPGHSPGHISLFRERDGVLIIGDAATNMNLLTTATGLRLPPNIFTSDQHRNIKSLQKLAKLNPAIICFGHGPVMRNTDRKFEKFVTKCSTAI", "MRNTLYFIGLSAVLFSCGSSKNVTPQKKNIPVNKNNSSNVTSKSQTPSVQTPVKPAIQKDHGVEFYTTNIADITKNDNTASYGSIVTAKPMGYQITKNYFPAIGQNFRQRYLILHYTALDNDRSITALTQRGVSAHYLVSDINDQEIYQIVDENKRSYHAGVSNWRKDSNLNDTSIGIEIVNSGFTTNASGQRIFADFPDYQIKKVAALAKDIVQRYNIPPTNVLAHSDIAPGRKQDPGPKFPWKKLYDEYQIGMWYDEGVRAGFLNQLETGTFESDKNTPPFIYKVQSQLQALGYQVDLSGEWDNQTKLVVQSFQYHFWPQIGDGVLDMGTYATLLALLQKYPSK", "MNDYRIESDLIGELKVPVNAYYGVQTQRAIDNFKISNDHLSDHPEFIKAFAFVKKAAAQTNFELGLLDEIINKNIATACDEIIAGKMHKEFPTDMIQGGAGTSMNMNANEVIANRALELMGHQKGEYQFCSPNDHVNLSQSTNDAYPTAIRIALYNLNKTLVERLELLIQSFRKKADDLKDVIKMGRTQLQDAVPMTMGQEFNAFANTLQEEIARLNTNADLFLETNMGATAIGTGLNAHPDYAVKCTENLAKISGADVVLASDLVEATPDTGAYVIYSSAMKRMAVKLSKICNDLRLLASGPRAGLYEINLPKMQPGSSIMPGKVNPVIPEVVNQVCFKVIGNDLTVTFAAEAGQLQLNVMEPVLTQSIMESIRFLKNAMDTLREKCIDGITANKEICLNMVKNSIGIVTALNPYIGYKNSTKIAKEALDTGKSVYDLVLEHELLSKEKLDEILAPENMLNPHTKF", "MRKIVSTLSLVLLMNMMNAQDFKIQTLKDNKGYIYETVNNDPDHVRVYTLKNGLKVFLAKNTDAPRIQTYIPVRTGSNNDPADNTGLAHYLEHMVFKGTSKLGTKDWTKEKALIQQISDLYEAHKAEKDPAKKREIYKKIDAVSQEASKYAIANEYDKATSSIGATGTNAHTWLDETVYKNNIPNNELEKWFKIEKERFSELVLRLFHTELEAVYEEYNRAQDNDGRLVNYELMSALFPKHPNGQQTTIGTAEHLKNPSMVAINKYFDTYYVPNNMAVVLVGDLDFDKTIELADKYFGTFQYKELPMKKMVSEEPMTKIVEKTVKSPTTPRLQMAWRTDSYGSNESHLAEITAQILSNSGEAGLLDTNVNQQQKALRAVAYNSPLKTYGYFTMVIVPKEGQSMQDAKQLLLQQIDLIKKGEFPDWMIPSIIEDMKLSRMRQLETADGLATNLYDTYIKGRSWDQELNEINEYSKITKADIVKFANDFFKDNYVVVYKEKGVNDKLVRVENPGITPIQLNKDAQSPFLKEIISEKVSDIAPVFVDFKKEIKETAVKGVKLSYIQNKTNDIAQMNYIFNFGTDNDKELGLALNVLEYLGTDKYSPEQLKAEFYKIGISYNLQIGADRINVSLSGPEKNIGKGLALVNHWIQNVKPNQEIYNKTVKTILEGREIAKKDKARIMTALSNYAKFGKDSRFRDVISKERLTSIKAEEITNKIKSLAKYPYELFFYGKDLGAFEKEVSGYVQKASLKYPAAKVYPELPTGGQVYFTNYDMVQMEMSKIGRGEEIKPANFGKINVFNEYFGRGLSSIVFQEIRESRSLAYSAYVNYAYPDELKKHDYVTTYIGTQANKLPQAVSAMNELMAELPQIQVQFDNAKGSALKQIASNRITKRNIYFNYLRMKKLGIDYDIRKDIYNEVKDLTLPQLSNFYNAEVKPVNYNTAIIGKKENLDMNAINKLGTFREVSLEEIFGY", "MQIYEAISVGLYLLLMIGIGVYSYKKSTSNSEEFLIGGRKMGAAVTALSAGAADMSGWLLMGVPGAMYLSGISSSWIAIGLTTGAFLNYIIVAPRLRVYTEVAQNAITLPVFFENRFKNKNHLLKVTSSIFILVFFTLYTSAGMVSGGKLFESAFGIDYTVGLLLTSLVVVLYTFLGGFLAVSLTDFVQGTIMVLALVIVPIVAISQIGSIGETFSLIKAKDPKYLDLFRGTTTISIVSLLAWGLGYCGQPHILVRFMAIDKPKDLVKARRIGITWMIFTVAGALAIGLIGIAYLQKFDLETMMKFDGSKTEAETIFIYFSRILFHPLIAGFLLTAILAAVMSTISSQLLVTSSSLTEDIYKAFLNKNATPKQLLLTSRLSVLLVAVVAVLLSLNPKDSILNLVGNAWAGFGSAFGPLILLSLLWKKTTWQGGLAGMLVGGITVLAWVYIQHPFKDWYEMIPGFILSLLTNLVVSTLTYKTDEVIESEFEEVNKIMQE", "MLKRIILASLISLLAPGLQAQNKQVPAYLDASKPVEQRIEDALSRMTLEEKVAMLHAQSKFSSPGVPRLGIPEFWTTDGPHGVRPEVLWDEWDQAGWSNDSIVAYPALTALSATWNKKMSWNYGKALGEEARYRKKDILLGPGVNIYRTPLNGRNFEYMGEDPYLTSKMVVPYIKGVQSNGVATSVKHFALNNQEEFRHTSNVIVDDRTLYEIYLPPFKAAVQEGDSWTIMGAYDKYKNQYASQNEYLLNKILKGEWGYKGVVVSDWGAVNNTEQAIHNGLDMEFGSWTNGLSAGTRNAYDNYYLAKPYLDLIKSGKVGTTELDDKVRRILRLAYNTTMNPNKPLGNIASEDHMAVAKEIGEEGIVLLQNNNNVLPINTDKVRKIAVIGENAIKMMTVGGGSSSLKVKYETLPLEGIKSRFGKKADVQFARGYVGDVGGEYNGVKSGQNLKDDRPASELLNEAVALAKKSDVVIFVGGLNKSDYQDSEGHDRKGLGLPYNQDQLISALAKANKNLAVVLVSGNAVAMPWVKEVPAIVQGWYLGSEAGNALAAVLAGDANPSGKLPFTFPVKLEDNAAHQMGEYPGNKEELAAGKGKDQKNPINITYNEGIFVGYRWHDTKNIKPLFSFGHGLSYTTFEYGKVHADKTQMAQDGKITFTVSIKNTGKREGAEVAQLYISDLKSSVPRPVKELKGFEKINLKPGEQKEVSFTIDKSALSFFDAATHQWLAEPGEFEALVGASSSDIKTKMKFTLQ", "MKKNILLALALLPAVTAFAQQAGGMWIPTELNEKEMKELGMKISAKDIFNTQKPSIKDAVVQFNGGCTAEIISPKGLLLTNHHCGFGQIQAHSTVQNDLLSNGFWAKNMGEELPNPGVVVDFITDIKEVTNQILPGTENLAAKDVKAAIDKNIEAAKASFKLEPSQKVVIKSMYDGNKYYAFIIETFKDIRLVGAPPQSIGKFGSDTDNWVWPRHTGDFSMFRIYADKNNKPAEYSKDNIPYTPKYSLPVSVKDLKEGDFTFVFGFPGKTTEYLPSIAVEKIINDTDPAKITVRDIALKTLDEKMRTDNATRIKYASKYASVANYWKKWMGEVEGLKKSNAVAKKQAYEQTLAQKNQEVKATVDKFSQLYNEQAPYALNNAYYTEVTRNAETLRLANYFITYFQDVESGKATPESTKKLKNTLTSFYKDYEGELDAKVTAKLLALYAQKTPAEFLPSGFAQFSDVNKNLTVIEDWSKNSIISGRKALNGTYTNQNIDKIFADAGFAAAIKNDPFYKLALSMKDAYSQKVEPKYASLQTEIDALQKKYMKQQLETDKDKKFFPDANSTLRVTYGVVKGSNPRDAVTYGYKTHLAGVMEKYVPGDYEFDVPKRLIDLYNSKDYGMYKDQTGDVPVNFTATNHTTGGNSGSPALDANGNLVGLNFDRQWEGTMSDINFDPRFSRNIMVDTKYILFIIDKFADAKWLINEMKIVK", "MKKNVLLFAVTAGALLMSCSQKKELKPESGAEVTTTETKTDSIASVAPVTDDGHNAQNSLDWAGTYEGTLPCADCPGIKTTITLKDDNTYTISEEYLERKTKAEDKGKFEWDKSGSKVSLISKDGKRQYFVGENQLIHLDMDGKEITGPNKDLYILKKK", "LLPETNIHVKENLKKVEKNKKLSPILLVRGQNELIIADGYHRLCSSYYLTEDLDVPCRLV", "MKKNTPKADIWPEEPEEHDYPAAQDYLELLFVSDEAKKLLKN", "MDIFDKLPVQNELLLIIISVIIGLIIGAEREYRNKSAGLRTFILVCFGSCLFTILSIKIGVENPDRLAANIITGIGFLGAGVIFKDDNKIGGITTATTIWATASLGMSVGSGYVYLALLGSAVVMAILSLLTYLQDYIDNHHKIREYKITTSSQADFVYCESLFRKHHLNFIITKQQYGQGILATTWRLSGKNKHHEALIHQLMDDEKITSYQF", "MKLKTKLTLGVGLLFLLIVLLSVIGALYINKLKSDTEKILTANYNSLEYAKNMMLALDKIDTDSAQAVKNFRINNAFQDKNLSEPGEKEATHSLNIHFKKYLEQKTDAGEKQIRGDLAKIMSLNMKAIERKSDTAIVTAGNATFWIVSLGTVCFMIAFTLLFNLPQTIAEPIRQLTSSIRQIAGRNYHERVHFKGSEEFNDLADSFNIMAEKLEEYESSNLSKQLMDKKRIETLVNNMHDAVIGLDENHFIYMINDQALRITNLKKEELMGKTAHEVAINNDLLRELLKNIDNPSEEPIKIVADNKESYFEQDVIPISAIKTGEKEKKNIGKVILLRNITPFKELDFAKTNFIATISHELKTPIAAIKMGVQLLGNQKFGSLNEQQQELLKSIDEDGQRLLTITSELLNLSQVESGNIRLNVESCNPEELVYTAVKNVEMLAEQKNISVITEIKTNKEDRVVADFDKTVWVINNFLSNAIKHSFPEESIRILIEKKGAFVRFGISDSGKGIDEKYHRQIFDRYFQVPGEHQSGTGLGLAISKNFIEKQHGEIGVFSSLNQGSTFYFTLPLSGAEI", "MSSADHFLQLIQKSKRGKFKIYIGMSAGVGKTFRMLQEAHTLLRNGIDVKAGFIETHGREETDALAIGIPTIERRSIFYKGKYLEEMDLQAIINDHPEVVLVDELAHTNVEGSKNKKRWQDVLEILENGINVISAMNIQHIESLNEDVKNITGIEVSERVPDKVLALADEVVNIDLTADELLTRLKEGKIYKKEKIETALANFFQSNHILQLRELALKEVATHVEKKVEAEIKTENFKPVKFLACISSNEKVAKTIIRKTARLASYYSSPWTVLYIQKPSEGPEKIALDKQRYLINNFNLAQELGAKVVRMKESSVHQGILEYVNQHNITTVCIGKPRHKLWQRISGYSWIYTLMNRLNEKHIDIIILS", "MKKSMIALVAIGLSFSAKAQSSDSISTDKKITFSAYAEVFYSYNFNEPKNHISQTFLYNFNRHNELNLNLAVAKATYQDKRIRANLALMAGTYAQDNMVAEQGALRYINEANIGVKISENKNLWIDAGIMPSHIGWESAIGKDNYTLTRSLAAENSPYFETGVRLSYTTDNGKWYLSGLVLNGWQRIAKPEGNQSISFGHQVTYKPSEKITLNSSSFIGNDKSREEKRMRYFHDLYGTFQLTDKFSAIAGFDIGAEQKVKGSDAYNIWYSPNLLLKYQLNPDWAVAGRLEYYNDKNGVIINSGTPNGFQTFGYSVNVDYTIFKNVMFRTEARGFTSKDAVFAKNDNFKKANFIITSSLNVWF", "MKNYILPAVKLTFVMAVLVGIYLIFVYAGSKVLPTGGNAEIITYKDQKFYRNIGQEFKSPKYFHGRPSSVNYKADGSGASNKGPSNKEYLDIVQKRLDTLKMENPGMENTKVPVELITASGSGLDPDISPEGAFYQVKRIAKVRNLSEEEVKNLVTQNTTPPVLHLLGPAKVNVLELNLALDKLSSHQ", "MKNQSQTLFQKDLVNEAVKQSFVKLNPKIMFKNPVMFLVEIGTVVMFIVSLFSLAGNTSQGSFAYNFLVFIILFFTVLFANFAEAIAEARGKAQADSLRKTREETPAKVIIKDQPGFQIETTLKRSAEMQLGDIFLCEAGDQIPMDGEIIEGLATIDESAITGESAPVIREAGGDKSSVTGGTKVLSDRIKVKVTTKPGESFLDKMIALVEGASRQKTPNEIALTILLAGFTLTFIIVTVTLKPFADYAQTPITIAAFISLFVCLIPTTIGGLLSAIGIAGMDRALRANVITKSGKAVETAGDIDVLLLDKTGTITIGNRKATQFHPCLAVAKDDFVKAAALSSVADETPEGKSIIELSQLKSEDLKVDNPHYINFTAETRSSGVDFNDTRIRKGAYDAIKKLTEKAGNIFPKETEQAVINISENGGTPLVVSLNEKVIGVIELQDIIKTGIQERFQRLRKMGVKTVMVTGDNPLTAKYIAEKAGVDDFIAEAKPEDKMNYIKKEQQSGKLVAMMGDGTNDAPALAQADVGVAMNSGTQAAKEAGNMVDLDNDPTKLIEIVEIGKQLLMTRGTLTTFSIANDVAKYFAIIPALFITFIPALQKLNIMQLHSPQSAILSAIIFNAVIIPILIPLALKGVAYKPIGASALLRRNLLIYGLGGIIVPFIGIKIIDLLISVFF", "MNTEILGIIAMFVLTLIIGLFLGKYIARVYSYEKTFLDPVFNPVEKLFFKISGINPNRQMNWKQNMYAMLTINLVWFIIGFILLQTQQWLPLNPDNNPNMSPDLAFNTTISFLVNCNLQHYSGETGVSYLSQLFLMFLQFVTAATGMAAMAVLFKAFKEKTTTELGNFYDYFMKSMTRILIPISIIVAFVLSANGSPMTFEGKDHITTLEGQKSDVSRGPVAAFVAIKHLGTNGGGFFGTNSAHPLENPNYVTNITEMATQMIIPFALVFALGFYLKRKKLSRIIFTVMTVGFLALAIPNVINETSGNPLITQMGANSNLGAMEGKEIRFGSASSGYWSIATTVISTGSVNSMHDSTMPLSGMNELLAMMINCFYGGCGVGILNYFIFIILAVFISGLMVGRTPEFLGKKIEAKEMKIAMIVALFHPFLILAGTALTAYLPEFGTKTLNNPGFHGFSEMLYEFTSSSANNGSGFEGLGDNTPWWNISTGIVLLLSRFIPIIGPIAIAGCLAEKKFIPEGSGTLKTDTLTFGFMTLAVIILIAALSFFPSLTLGPIAEQLQYFSK", "MKKITFVILCVLIVSCGKKKEEVSTAQEVSQTSVKTSENNGEFDITKIPVSDKDLGVFPYLNPPENYCYGVCSNWKGTVEQKDIKDFDKEYFAVNGKLIPVEGKALKVVIEKNRSKDPAAFNSLLVEKAYEKAILDLGGVQVNNVSVPKEEIDRIGKENLMSATYGNSIDFNGLDRIKTYVIRTNSKEVWIQFSLLNEESGKITILEKAIAEVPKVEKITSSQMKKDINESGKAVLNINFDNNKATLTADGKIVADEILKLLNENPELKLSIEGHTDNNGTKEHNKKLSLDRATTIYTYLTDKGIKSDRLQTKGFGQDNPLAANDSDLNKAKNRRVELVKI", "MPGTILIIDDELKLLKLLGMILSQENFSVKEASTARSAMNMLEQHDFDVVLSDVRLPDAFGVELVKAIKTKYPEKEVILMTAFGNISDAVQAMKNGAYDYLVKGDDNEKIIPLVYRAIEKAKDNRAHNTTPVCKLKGLDQILGTAESILQSKKLAERVAHTDATVLLTGETGTGKEVFAHAIHETGNRSNKNFVAINCSAFSKEILESELFGHKQGAFTGAVKDKKGLVEEANGGTLFLDEIGEMPMDLQAKLLRVLETGEFIKMGETKVSTSNFRLIAATNRNLLEEVKQGNFREDLYFRLNVFEIHLPALRERKEDLKILAKNFIDVFSKKMNLISKVQVSEDYYKTLKKNNWQGNIRELRNTVERSLILMNNNILDADSLPLYSEQNSAETDSLSIKTLEKEHIQKVLQYTKGNKAEAARLLEIGIATLYRKLEEYQLR", "MSSNSDCCSTKPQQQHNHQHNGDGHDHDHGHHHSHDNSEKTTFQLFLPAVISFVLLMVGIALDNYIKPEWFKGWVRIVLYVAAYIPVGLPVLKDAINSIRYSDFFSEFFLMSIATLGAFAIGEYPEGVAVMLFYSVGEVFQTMAVQRAKKNIKGLLDQRPDEITILENNIPKTVKAETAQVGQIIQLKPGEKLALDGELISESASFNTAALTGESKPDTKRKGEAVLAGMINLNSVSQVLIQKEYKDSKLSKILELVQNATSQKAPTELFIRKFAKVYTPIVVVLAIAICLLPYFFVQQYEFRDWLYRALIFLVISCPCALVISIPLGYFGGIGAGSKNGILFKGSNFLDALANIQNVVMDKTGTMTEGVFKVQTANIENGFDKDEILKYLNIIESKSTHPIATAVHEYVGEVDHAVVLENAEEIPGHGLKSTINGKDFLAGNFKLMDKFSIQYQVNHSAISDTLIAIAYGGQFAGYLSISDQIKPDAIKAVAELKKLNIKTTMLSGDKTAVVKEVAQKIGIENAFGDLLPEDKVEKVKAIKAQNETVAFIGDGVNDAPVVALSDVGMAMGGLGSDATIETADVVIQDDQPSKIPTAIRIGKETKKIVWQNIILAFAVKAIVLVLGAGGLATMWEAVFADVGVALLAILNAVRIQRMNFKN", "MEKLNCIIIDDEPLGREVIESFVQEIPFLGLIKSYGDPTEALLYLQNNTVDIVFSDIQMPKINGMELVKSLSNPPVIIFITAHRDFALDGFDTGATDYLVKPVRFDRFLKAVNRAKDYIALKQIPSIQQVNTDRIFIKSEGKLVKILLDEILYVEAQGDYLKIIIDSATYTTQATLKSMEEILISPGFFRVQRSFILNTEAIRSVNANMVELINGKTISIALNKKDELFSLLGIK", "VSNNYFSDKIATFDFDQFYTKKRRILFHSTMWLLFATFLLLSYILAYDIPPLHSLILTLRMTICNMAVFYTFFYILLPRIFEGGKIKAIVLLLLSIPFCIYFWMAITYLITLIYNNFGFDIPTGELKGVISKAAEQNFAQALSFRRVLSQIIIVISILSPFFFVKILFEIFRMYNRTLKLKEQKMKVELQNINMEKDFLKAQLNPHFLFNTLNNLYGLAIKKDNQTPEVILNLSEMMSYTLYESNTDKVSLDKELDFIKNYFELEKMRYPADNNIQINISNEGRNSGLYIAPLLTFSCIENAFKYGLRSSKEQFILLDIKTENNTFYFQLENDIEKINQGTSAGGIGLENMRKRLVLLYPDQHELQIENIENRFKVTLKIVLEN", "MSLFTPFIWILILLPIIILAFIKSKSVNIKYLAYFILYFLADSYLLILGKQYINLEFIGLKFAWASKLISLSIALIFIFSVSKSEREAIGFTTKTNSKKQIRYGLLVFLGFTIFDIIFKLILFPKGGAFDAETFIFQATIPGLSEEILFRGILLWMLEKAFPSRWNFKGIKFGWGIIIITILFAVIHGVVLTDTYQLRTDIITIVYLAIISSLSVGILRKFSGNLIYPVLGHNLINTINAIIRIL", "MKTNSVKIFLSVIIVVSVVSSWVHYYVKPIDKAMWLIGTWENKTSRGSVYESWKKINESELAGKSYAVKGTDTIIFETVQLKQEGNNLYYIPTVRNQNDGKPVSFKAYTITDYKMVFGNPEHDFPQSVSYTNVNADSLVAEISGVKNGNVRHQTFPMIRLK", "VNTQRIENILDNKKIPITSMRMLVLDAFLEAPQALSLSDLEEKLAQSDRSTLYRTLKTFEKKGLIHGIQEHNTTQYLLCNDNCNEEIHHDYHLHFYCTECKQTTCLEEVSFNNVPFPDDYLVKELKFLATGICKECRETIQ", "MENSKKRLQEYSFDNYKLIINFATFEDAELYAQEHQGDLVEIGFTDGADNPLFDDFAKLISNRAVFRVKLPAEYEVLYSHDERFQELAEDILEAMKRKENDVAPEDWLADQNIAPGDRIIILRDGSINTVTTRERIKFLMAGKLYEVAVKLPNK", "MSENIQQKIEELREELHQHNYNYYILDEPSISDFEFDAKLKELQDLEAQYPEFNDPNSPTLRVGGGVTKNFPTVQHQFRMYSLDNSYDFNDLEDWEKRIIKTIDEPVEFVAELKYDGASISILYENGKLSQAVTRGDGFQGDEITGNVRTISDVPLKLKGEFPERFYMRGEIYLTRKNFDKINALREEEGLDPFMNPRNTASGSLKMQDSGEVRKRGLSAVLYQYIAENFPAETHWDLLANAKQWGFRVSEDQAKLCRTLDDVKNFINYWDQERHTLPFEIDGIVIKVNSLKHQRELGYTAKSPRWAMAYKFKAEKVETELLSVSYQVGRTGAITPVANLRPVLLAGTTVKRASLHNEDIIKKLDLHENDFVYVEKGGEIIPKIVGVNTEKRTSESKEIEYIKHCPECGTELVKIEDQAIHFCPNELHCPPQVVGRMIHYVSRKALNIENLGGETIEQLYREKLIENPADLYTLTKEQLLPLERMAEKSAQNILDGVEKSKEIPFEKVLYGIGIKHVGETVAKKLVKNFPSIEELKNATEEELCQVEDIGAKIAVSITEFFANQENLLMIERLKNYGVQLEKGENTNDVISNVLDGKTFLFTGKLSLFTREQAEEMVEKHGGKNISAVSKNLNYLIVGEKAGSKLKKAQDIGTITILDEQQFLDLVNS", "MKQALSTLLLFFVSFYFSQTQLKVINADNKKPVPDASVYCDDNLLGKTNQNGILTFKTKCKKIDILANDFEDEEATISKEMLVSLKPTSEKTKSINRVTIADKSDPRALKILDELLKRYKENSPQSLDSYNFKSYSKISIDFDKDSISAYQNFIAKRKDSIGKIQNRNLKTPETKKKDSLAEEDLVSMVQHNQYFLWEKVSEYLFSKKYGEKTNILDNRMSGFPNPIYEALAMNVSNLNKIPRQIRPESRTIYHYYISDTTTLDNRKTYVIKFKEINNKLRQNPRKYNGFIYVDAENYALKKIESNSKKTNEGNVISVWKPIYNKWFLDYENLKIKMGDQSFTTGKVQDSTKSTEKPKLKRKKFGNYLFIKNQFFGFEINKEQKAEDFRGYTMTVKNSDGKLLQEYRTDSLTEREKGTYVKIDSLVQKYDFDKKVSLFTNLMKGNLRYKMLDFDLTKILNYDKYQGIRLGASVKLNEKFSKTFSPDAYFGYGFKDHRWKYGAGLDMKLSDKRTSVFRVDYYDDVFPAGRINTTFWDNPMKLKDILVDMHNANFFRSQKWGASFLYDLSNTLSAKISVNHENQNAQFDYQYQNMGNSFKNVSTTLSLKYAPNDKNLMTPGGKLTYEKHYPYFFVNYEKGSKIFDGDLNYHRLDALAIHQFGTKLGYTNIKVFGGFSSGTAPIWKNFEITGQTGDFSSNWASKINKPSNLGFVTMPAGTFYADKFIGFQVSQYLPFRFRTLGKAYSNIELEYQTVVGNFKNSADHQFNFKVLDHNYQEVGITWNRFLGSKFGVGFSYRLGYYQMPAFKDNIGFQIKLNAF", "MFLKDYTFSIGSEKIKIQEVNGVFKAKNIRYAKSERYQLPIAVSITEALADIPVLTPVCPQHQSVMLERLIEPTHVEDFLVEESPQYLSITFPKDILPDEKLSVIVWIHGGSYEIGCGSLPTSDPSVWVKEQRIIVVSVSYRLGLFGFLGGYDERPANLGLFDIIEALKWIRANISAFGGNPENITLLGQSSGGDAVTHLMIAEGAEGLFKRAIIQSAPLGLRENRQKMSEEFSLKTSPIKDDADFMKMVDEYSKFRPSLLKYGLKAAMPFGLQYGSPPMAPENKIRKLWKEHAAKYDVLIGLNDEETAFYLRTSGALQKYTEKGFGKKILDKTIRLTTEKIYGKPAAEFASSYAKAGGNVYLFRIFSKLESNRIGAAHCLDLPLLFGNEEAWKHAELLKGIPWAEIDKVGKKIRAIWAEFARNGSVSENSDKPEVLKISRIDQ", "MKKIIALLLFPVLLSAQTVARVVGIKDGDTVVVLLKGNVQKTLRLAEVDCPENRQPFGKNAKKFTSSEIFGKSITYVETDTDRYGRSIAKVYYDNGKYLSAELIKVGLGWWYFNYSKDKSLGDLQEVAKNRKLGLWQDVHAIAPWEYRKQQRNNIKQSNNSFGG", "MDLKGKNAIVTGGGKGLGKAVALALANEGANVAITGRNEENLKSTVAELEQLGVKSAYAVFNVDQEAEVQKGIADLASQLGSIDILINNAGIGNMGTLEDMSSEVWEQVIKTNLFGVYYAAKAVYPFMKENGQGDIVNVASTAGLKGGGGMSAYAASKAAVISLSQSMMAEWRKQNIRVITLTPSTIASDMSIGAGLTDGNPDKVLQPEDFAEWVRDILKMNRRALIANGSIFSTNP", "MTSQEIRQRFLDFFKEKGHQIVPSAPIVLKDDPTLMFSNSGMTQFKDFFLGYKEPSSLRIADTQKCLRVSGKHNDLDDVGRDTYHHTMFEMLGNWSFGDYFKKEAIAWAWELLTEVYKISKDDLYVTIFEGDASENLERDQDAYNFWKEHIDESRIINGNKKDNFWEMGDTGPCGPCSEIHVDIRSAEEKAKVSGRELVNQDHPQVVEVWNLVFMEFLRKADKSLEKLPKQNVDTGMGFERICMALQGKESNYDTDVFTPLISKVEEISGKKYTGILENEKDIAIRVVVDHIRAVAFAIADGQLPSNGGAGYVIRRILRRAISYAYRFLDMKEAFLYQLVAVLKDQMGSFFPEIVKQEKLVTEVIKEEENSFLKTIEHGLVRLDAIIQATINNNEKNLPGEQVFELYDTFGFPADLSRIIAEEKGLSIDEAGFEEEMNKQKQRSKQSSASKTYDWVILEEKPENFVGYDLTENEVKITRYRKIENKDGEFYQIVLDETPFYAEGGGQVGDKGVLENAAESIEILDTKKENNLNISLIATLPQDVKASFTAKVDLSKRKDTENNHSATHLLHEALRNVLGTHVEQKGSFVGPDYLRFDFSHFSKMTEEEWTAVEQQVNEKIRKNIALQEYRNIPIQEAMDKGAMALFGEKYGDNVRMIEFGTSKELCGGTHVKNTGEIGLFKIESEGSAAAGIRRIEAITGSKAREYFETLEKNYQEIAQFLKSKDVLKSVQKLVEENQSLKSEIESFKAEKAKQEALQWKNEYLDKGDKKLLVKKTSMDAVSIKDIVFQLKKEIPGSLTIILSNAGDKPMITIGVSDDLTQTYQAGALIKDLAKEIQGGGGGAPAFATAGGKNLDGLEKAFEKAQQL", "MKRLIAIEYYKNLTYRPFKVFTTLYFIILIALLFIGLVDIKFSEGFQINLKDQGIYNFPEIWNFTTWIVALLKIFLGLIIVFSICQEFTNRMFKQNTIDGLSREEFIGSKLLTIFIFTFISTLIVFGITLAIGLSYSESTQSELIFKEIFFIGHYFLKLFTFFSFLMFLSILLRKSIFVLLAAFMWWIAEAIFGGIESYSKFKGLNNEQAASVMHDSFFISKVLPLESMSQLIPNPVMRLKAMKIFGVPYKFEYPTESVIACIFWCILFVYGSYYILKKRDW", "MEKVLSVRNLTKKFKRTVVNNISFDVEQGNVYGLLGPNGSGKSTTFGMLLTTINPTSGEWYWFGKKGTTTETLKKIGAIIEQPNFYPYLSAAKNLKIVAEIKGTPYSEIDKVLSTVGLLERKDDPFRTFSLGMKQRLAIASALLNNPQVLILDEPTNGLDPEGIIQIRNIISDIAKQGITIIIASHLLDEIEKICSHVIVLKEGNAIYSGSVDGMTNSKGYFELKADNNDLLLKILEELNLFSDLKQNGEIIIANIHEDLSASELNRKVSEKGIYLSHLAKKKQSLEAQFLELVKK", "MKLAELTNDLTISKESIKQFIQDFDLGVEDVMDGQLNLKDNFVQFAEENADFLKKYAEDIRAEKTSEEIAQRIKKPVEQVDEVLKKECPNLFEANGYKTSVSSYGIDNKLGGDYNFIYNYFGKQTPLTQHNFIGYRDLFFYVTDIIEPFINPNQLENWGIAKPAGIILYGPPGSGKIFWAKKIAEMIGYEFVEVRNDYLSVRYNDGKMRKFKDFLFGKMKKPKTLLFMEHFEDVALEKTADVVLTPEALEVKNAILHAIQNNLKDEALFVGSTDALAGFDEEILAPGRFDLLIPVFPPNEDERAQLILYHMMKDLIESSPLLKILKDNKADKKPFWEGTAEKMKLFSNTMMIDFTQGIKKRLHSEYMRLQGQNVMISEKIINAALSESLAKLTPDYLNQCAVFISEFNQNLSTEFPLRIQKLSEELDHYRIKEEPIRKIGFNQEDED", "MEKIRELFDNIIRLTDAEFNYYQQKISSKDYKKGDIITPKGSVEHYAYYIKKGIVRRFIERGETEATFYFAFEQDFVSAYDSFITQTPCRYSLQALEDTSLLRISWHDVQDLYQQSSKWDKIGRILNEQAYVERADREFSLLTKSPQERYENLFKQNPEVIKRIPLKYIASYIGVTPQALSRIRRRIF", "MINNEQTKDVLKRIDDLYKYLQIEKKRIEIVNDDEKTAAPEFWNDPKEAEVFLKQLRGKKRWVESYDEIRSTFEDLLVLQEFAKEDPESEKELDEAFPQLVEKIEELEFRNMLSNEGDELSAVVQITAGAGGTESCDWASMLMRMYVMWAEKQGFKVKELNYQEGDVAGVKTVTLEIDGDYAFGYLKGENGVHRLVRISPFDSNAKRHTSFVSVYVYPLVDDTIEININPADITFETMRASGAGGQNVNKVETAVRLRHAPTGIIIENSESRSQLQNKEKAMQLLRSRLYEIELEERMKARNEIEANKMKIEWGSQIRNYVMHPYKLVKDVRSAYETSDVDGVMNGNITPFLKAFLMNEGQNSAEEDVF", "MSEIPKIPRRVVTGIKDGKSVIAEDSIVTNINEDIKGLILSDIWSTDTFPVDLEKTLVVENTPIPKVPANGTYFRYVSIPPDKELGIEVKEGLPHPLMHQTKTLDYIVILSGEIYLILEEGETLLKPGDIVIQRGTNHAWSNRSDENCIQLAILLDAK", "MESWKDLLNPDFYITNGGLWIVLFIIFAETGLFVGFFLPGDSLLFVSGIYATKIISESFGSTGSDFIDTTILASLIAIAAIIGNMVGYWFGYKSGPMLYERKDTWIFKKKYLFKAHDFFEEHGKVAVIMARFLPVVRTFAPIVAGIVKMDKGRFLVYNVIGGILWSFSLVYAGHYLDKFFQVQFGIDLKRHLEWIIIIIVIITTAPVVIKLLSGGDKKKEIEGSN", "MISKTTIDKIFSAVRVEEVVGEYVQLKRAGGNYKGLSPFHDEKSPSFVVSPSKQIWKDFSSGKGGTAVSFLMEIENFTYPEALRHLAKKYGIEIEEDVQEISEAEKESRNQRELLYKIHEVANNFFQEQLYDTEEGQNIGLSYFRERGLNDEILKKFQLGYSPELKNAFTKSAKEKGYDKDLLEKSGLSIFPENAPDGIDRFRERVIFPILSFSGRVLGFGARILKSNVKTAKYLNSPETEIYHKSNVLYGIAQSKQAISKENHCLLVEGYMDVISLHQSGIENVVASSGTALTKEQIKLIKRLTPNVTILFDGDAAGIKASFRSIDLLLEDGMNIKVMLFPDGHDPDSFAKAFPQDYVKNYIAEHAVDFIHFKIDVLQKDAGDDPFKRADLIKEVVKSIAFVPNNLQQEIFIQQVSKLLHVSEQNLFNELQVQKTGIQHQEKPKTPQIQPKLEKVEMSQIETINPLLLLEEKLVELMLKYGDKEIVRKKEEGMVKSSVIQEILNHFDEDSYEIQIPLHQKIVDEIRKGAEQSELRSGKFFFSLMDEEVNQKVADALIDPYQLSDWNKYNIYFSSEEDVVEKMVQDIILRHKREYILKIIKDLQMKVQEDPGNDVQYYATIVKLTRLKMELDKVLYRIL", "MDIKKEFRDYAVKHLGVNGLAADQYMGIYGPTNLTPYIMEERRLNVAQMDVFSRLMMDRIIFLGTGIDDQVANIVTAQLLFLESADPAKDIQIYINSPGGSVYAGLGIYDTMQIIKPDVATICTGMAASMGAVLLVAGEKGKRSALKHSRVMIHQPSGGAQGVASDMEINLREMLKLKTELYDIIAHHSGQTYEWVEKSSDRDYWMTSEEAKSFGMVDEVLQRKEEK", "MKNIQIKTEEFFELLKLQDASMWDVFEKMIDGEEKQIIFLDKDEKVVTTYILPQTIDQLKADKEIFNKTFTEKLSQN", "MTHHHLEKHYVNRVGWLRAAVLGANDGILSTTSITIGVAAATPSREAIILAALAGLVAGAMSMAAGEYVSVSSQADTEKADLEREAKELERIPEIELKELAKIYEARGLNSELALKVAEELTKHDALEAHARDELGINEITTARPLQAAASSFASFTVGAILPFLVAIFAPIPQMLYYEYGFSIIFLMILGAVAAKAGGSKISTAVIRICFWGTAAMALTALVGYLFGVNIS", "MKLEINSVITEHTNEVITNFLNQYNQERAVSFEDEINEDVEIVMHDGEKIVGGIIGRSLWGTLEIKRLAVHPDYRHKGIGSKLIYAAETEAKKRKCSYLSLNTFSYQAPEFYEKLGFIKVGTEKDFPRGFSRYFYQKKIE", "MKMIIRLFVIFFLISAVLIPAQYKNTDVEGVYNGGGTSFIIKKDNTFLVVAMGTLIKGIWGIDKNIITLTPKNPDAPFYLYARKNPDIKGGMRLMISGNDSANDIYVGTFPNKMKRLFNEDANCFDYPYVYHSKELPEILTFIDQTKSDNPYQMQAQNMMQHFRTAGYNDFIVQYMSPGLYHNPFRFEIKKEGLKSLSDTDRKMIKKQNLKEFFKNEKELQFLEDSFDMAYSTDFKLVNYSYNTNDDMSEKIDIAQYKYDPVRNVYVNPYAPAKSLNYKSDDFHYTDVLMKFERVKSENKTVPDFKPLPGSVFVAKCQ", "MKNTNTKGFLLALTAASLWGISGTFGQFLFQQRGVSVEWMITIRMLISGAILLSIGAFGKNPDVWTVWKDKKDAIKLVLFGITGMLMVQYTYFAAIKHSNAATATVLQYAGPVIIAIYLAIKNKKIPRFIDFVAIAFAVLGTFILVTHGDMGRLNISSTALFFGIASAFALAIYTVQPVKLLNKYNSAVIIGWGMLIGGLAFSFVKAPWQVEGHWDTQAYLYTAFIVIFGTLIPFYFYLTAVQLIGGQKSSLLASGEPLSATIIAVLWLHVPFTYIDWIGSLLIISTIFLLSYETKKEKNLRLSGT", "MEDLDKFDLQILDILQKDNQTSQRDIGDIIGLSAAAVQRRIKRMRENKIITSDISVIDPEKVGAQILLFVEIELDTDKIEFIDEIERTFSKVPQIQQCYYVTGEVDFVLVMVVNTMQEYELLTRQLFFSNTNIKRFKTFVNMHTVKNGLQIPIPGK", "MNTYKKQPSINQNFSINCRGKLISLEKPLIMGILNLTPDSFSDGGLFSHEKSALKHAEKMISEGASIIDIGPQSTNPNSKQIDAKEEIERLGKIISLLKKEFPETLISVDTFYAETVKYAADEGMDIINDISGGQFDSQMFKTVAETGLPYILMHINPSFGGMHDKIQYKDITLSINQFFSEKVRELTELRIHDIILDPGFGFGKTIEDQYKMTEEAEYFGFGRLPLLIGISRKSFIYKSLGKSPLEIVEETQKLHLKLLHKGAKILRVHDIAETKKTIDLFLNNY", "MQNTAIEFDEIISECRDLFSKKMHDYGAAWRVLRPSSITDQIYIKVNRIRTLQMTTVKMVDEDERGEFIAIINYSIIGLIQLEKGLAESLDDDQEQIIQLYDHYANEAKELMLRKNHDYGEAWREMRISSITDLIYQKVLRTKQIEDNKGKTLVSEGLDANYFDMLNYAVFCLIKMKD", "MMKRFIRVFVAIIFIISGFVKAVDPVGFSFKLEEYFSPSVFNIPFLEKLALPIAIIVVTVEFLLGVLLLLKIRVKRALLSLLIICIFFAFLTFYSAYFNVVTDCGCFGDALKMTPWTSFTKDMVLLVLIAILLKMYKNDESNKVHFLKSGFPRMTLFLLSCIFLTVIILKGILGEPYIDFRDYKIDTDLKAEKAKIEANPSEYEVFYTLKNTKTGEEKTMSQNDYLSTNIWKDEAWQIESDKTKEKLKKQGYDSTIKNFKITDSNGQDVTEQILSEPRIVMIFTYKPEKLSKELISKIENGLLSRKSKVYAVSTQENTFTKVPHGTMDGTAIKTIARSNPFVLILENGKIVFKQEAKDYFNN", "MKKKYLQVVVGLAFFCLGNAQQYKKPLVSAIKESDLRTDMFEIAADQFRGREAGTLDELKVSMWLANKARDSGMKPAGDNGTFFQFYEMYRHQVSPQSSLKIGNRDFKLWEDFLVTEPVNANINADMLYAGNAEPEDLQKLNIKGKVLVVNSSAKGIKQDMFLFQRRYPGFVKAKYYNTAFKLGAKAIIFITDDIAEKSWVEVYPQMTRGLYGVEGLREKVENNMPVVWIRKSDADQLKNNTKISLNLMTETYRYPSVNVIGKIEGTDPKLKNEYVLISGHQDHDGIRHPVKNDTIYNGADDNASTCVAMLAMARAYKQQPGKRSILFVFHGAEERGLLGSRWHASHPVVPKEQIVAVLNGDMIGRNSNDEAALLGGNTPHKNSDELVKMAEEANNEGTKFRYLKDWDSPEHAEYFYFRSDHLPYARIGIPALFFTSVLHNQYHTPQDESENINYKKLYKMTEWMYRTSWKVANESQRPGLIPNFSLER", "MTHKSNKSIAGYHLLMILSAVDYKFHPGEEKVIKEYIEDEFPFFVSLDAETEIIANLSPSEWEKHFEFHAQCFYDDSTEKERKDFRQFAKKLIKANDEVSEEEHQFYSLMKSIWKMN", "MKNKYLGLIFMSTTILQAQQFPDIKAPVAEKQEHIREIHGDKVSDPYYWMIDYFKKGTNSAKVVDYLKAENTYWTDMMKDTEPFREKLFLEMKNRIKEKDESVPVFRKGYYYYTRTEAGKQYFKYCRKKGDLKAPEEILLDVDKLAEGHAYYAASGFSISPDNMKMIYGVDDVSRRQYKLFLKDLSTGKTTDLGIINTTGSATWANDNKTIFYTAKNPETLLTEKIFRHSLGTDPSKDVLVYEEKDKSNYIGVGKSKNEKFIMISSGATTSSEIRYLDADDPAGTFKIFQPRMKDVIYDVTPLEDRFLVITNKDALNFKVVETPLDKTGVENWKDFIPHRTDVLMEGITEFKNYLVFSERQNGLSQLVIYDRKTGKKEFLKFDEPVYTVYSSGNPEYNTDNFRFGYTSMITPSSQFEQNLKTGKRILLKQQEVLGGYDKNNYETERLFATAKDGTKIPISIVYKKGYKKDGKAPLLLYAYGSYGNSMDATFSSTRLSLLDRGFAYAIAHIRGGQEMGRQWYEDGKMMKKKNTFTDFIDAGEYLVNQKYTSPKHLYAQGGSAGGLLMGAVVNMKPNLWNGVISQVPFVDVVNTMLDESIPLTTNEYDEWGNPNNKEAYFYMKSYSPYENVEKKNYPNILVTTGLHDSQVQYFEPAKWVARLRDMKTDKNVLLLKTDMDYGHGGASGRFDYLKDVALVYAFMFKLEGINK", "MRKKIVAGNWKMNKTYSEAQDLMHELDRYKKHNATNCEIYIAPPALYLTTAKNIFLNDEIGVFAQDMSEHTSGAYTGEIAADMLASVNATGAIIGHSERRQYHGETDSHCNRKVKLALDNGLVPIYCNGETLEQRKSGQHFEVIKNQTEVALFTLSAEEIKKVVIAYEPVWAIGTGETASPEQAQEIHAHIRSLIAAKYGQEVADEISILYGGSVKPENAKEIFSKPDVDGGLIGGAALKIEDFTAIIKAFD", "MTQYLEFDFKIEPVEPWNEILMAELIEQGFDSFTENPDGILAYIQAELLNEEELKNQWLLNHDEVKISYTYKEMPNINWNEEWEKNFQPINVEDKVLIRAEFHESQGLEEEIIIQPKMSFGTGHHATTYLMIQQMMDMDFQGKKVLDMGCGTSVLAIYAKKKGASDVLGIDIDEWAVENSRENAERNNTPMRVELGTADNLGQEKFDIILANINRNILISDIPRYVQVLEPGGSLLLSGLCFFDVDDILQVCNEQNLQLQKKLQREEWVSLLLTK", "MKRKIFYFLALNISSLYFAQQTAAVEVAVKARDAASNAAAIAVPVEEAYENYIFHKEVGSTAKIFVDNTNIRDLPGLTGNVVDALPQGTSVKIIQETNIINKIQERSAQWYKIQYNGKEGYVWGANLSVANKLVDGKEFLFGVSGTQKVIDIEGISSNALKGEVSVLENGKLLGSGVFNAGTMENIAGVEFKVDKTKRFKNVDYIIQVSVSGEACGIPTYEQTYFMKKEGFLVALPLLQSVSDAGEYYHIEKYDFHMKVMNQFFMTTEEAENANSDNNEYKMDGFTKTSFYEWDGDRVMEMSSSVKKFKGKKLQ", "MKHFFSSHKNLRYTIYGLLAVVLVQAVVMITFINKKDDKVYQVNLVQIKDKKDSLDYTEVKNNLTQLDRVVKSLSNFLTSNNIEAPQIESLDAENLSNGIYLSQQANRYTQALVDYQTKLQQIPLGIPTNGEISSNFGVRKNPIPPKRIVMAGVNLPKTDSAGTATVKPTQDPEVMQFHKGLDIAVPFGSDVRSAAQGTVIFAGQKGGYGNCVIVSHGNGLATLYGHLSSILVSPNQQIKVGEVIAKSGNTGRSTGPHLHYEVHKNNTPVNPRLFLGIK", "MAKKKSGNGISVGVVGSGSFATAIVKMLTENCKTIHWCVRNEFVKGAIELKKHNPSYLTAVLFNLKQLQITTDINELVSACDVIILATPSIYLGKTLEPLNIDLQGKLFVSAIKGIVPEQNDIVAHFLREKHNIGFRSQAVISGPCHAEEVAMERLSYLTVSAAEEENAAKVAKLLESDFIKVNKSSDILGNEYSAVLKNIYAIGAGIAAGLGYGDNFNAVYVSNAIREMELYLDAIYPEPRDVNQSAFLGDLLVTAYSLFSRNRMLGNLIGKGYTVKSAIQSMSMVAEGYYATKSIYEIGKEKKLKLPIVNTVYEILYEEKNAEKQFKKLTAKLN", "MNPKPKYDVVLIGGGIMSATLGTMLHEFDPNLKIALFERLKDVARESSSAWNNAGTGHSAFCELNYTTEKKDGSVDISKAEKIAEQFEISKQFWAYLISKGYIDSPREFINSCPHMSLVFGEKDIEFLKKRHATMIKSHLFEGMEFSEDHDKLKEWVPLIMRSRNASEKLAATRATMGTDVDFGALTKKLVKHLEESSNVEVFRYHEIKDIDDNNGKWRMKIKDRLNNHPVEVEADFVFIGAGGYALPLLDSSGIEESKGYGGFPVSGQWLVTKDPELIAMHHAKVYTQATVDAPPMSVPHLDLRIIDGEKALLFGPFAGFSTKFLKNGSYLDLPESVNFKNIRSLFGAWWHNLSLTRYLIRQVTMNKDQRIAHLRDFIKDANADKWELMVAGQRVQIIKKDEAEGGKLEFGTEVVTNKKGTIASLLGASPGASTAAFAMINILEKCFGDKLQKEWRDKLLEMVPTYGRKLKDSAELTDRVRNYTKEKLELDY", "MKYLFVFLFSIFAFGQKAEIKEIQKFQADLNAEYKNPEESPLRGNLLKDFKSIPFFDIDLKYNVKAKLVPTKDAEVFELPTSSGKTKKYKEYGTVTFSLEGQQYSLKVYQSQDLIKKPGFKNHLFLPFRDATNEKETYGGGRYIDLKIPEKDNLIIDFNKAYNPYCAYNAFDYNCPIVPVENKLPVEIRAGVKYDDIYH", "MEKSIGKYRWTICGLLFFATTINYLDRQVLSLLAPELTHQFGWSNSDYGNITAVFQFVYAISLLFAGRFIDKLGTKWGFAIAIIIWSLGAMMHAHAIDIGNAANSVMGWIGLASVPVSILGFVIARAVLGIGESGNFPAAIKTTAEYFPKKERALATGIFNSGSNIGAILAPLTVPVLSEKYGWESTFMIIGGIGFLWLIFWFILYETPEKQKRLSAEELAYIRSDVDKTVDQSENPEDAKKVSWFRLLQYKQTWAFAIGKFLTDGVWWFFLFWLPKYLEGQYHLSGKELALPLFVLYSMTMVGSISGGWFPMYFIKKGYQAYDGRMRAMFFIALCPLIVLAAQPLGSFGYWVPVILIGLGASAHQAWSANIFTTVSDMFPRKTVASVTGIGGMAGGIGGVIISKIGGPLFDFYEKKGSIETGYTIMFTYCAIAYILAWGIMKLLVPKYRPITDL", "MQIRFESSPREVKTMDTASLRREFLVENLMTEDNISLTYSHYDRLIIGGTKPVKQSTELETHEKLKADYFLQRRELGIINVGGKGSVEVDGEKYALDKLDCLYVGKGAQKVTFSSSNPGEPATYYLLSAPAHKEYPTTKYTKEQAAPVTLGDGKTSNRRTIYKYIHEAGIQSCQLVMGLTVLEEGSVWNSIPAHTHTRRTEIYFYFDLNTEQRLFHMMGETTETRHIIMKNHEAVISPSWSVHFGAGTSNYGFIWGMGGENKRYDDMDPAPLDVLL", "MTNKLFDLSGKTALVTGGNKGIGRGMAIGLAQAGADIIIASRSIEANSEIEQEVKKLGRNFHFYKMDAENRDNVYEFIQQLNSNHPHIDILINNAGTILRKPAAEHPDEYWDSVININLDTPFILAREIGKKMIDQGKGKIIFTCSLLSFQGGINVPGYAASKGALASLVKALSNEWSSKGVNVNGIAPGYIATDNTEALRNDEERSKAILDRIPASRWGTPEDFAGPAVFLSSSASDYVDGTILTVDGGWMGR", "MVKILEYQTEHFADLTSYTLPEEQAMFSRIPAEVLNNPRIDDETDRFYYTIMYNDKAVGFFLLEFAHDRWYKPQDETAALLRSLTLNPEFQGKGIAKEMMIQLPDLVRKQFPDVKEIAFGVNFKNISAYQMYLKAGYQDSGKSFDGPKGPQHIMVKEL", "MTEIVPYNDEHYEALTSYILDETQSQFSLVPREILDNSEIMENKRRFQYTILHESNVAGFFSLDFSSDLLTYSDNENAVLLRALSINPKFQGKGIAKSAMIMLPDFVKKHFPEVEKIVFGVNATNENAYKLYLKTGYLDSGKIYEGVKGPQHIMLMKL", "MESGLKSVAFHTLGCKLNFAETSTIARQLTDAGYKKVSFDDAANVFVINTCSVTENADKECKLIVKRALKANPDGLVVIIGCYAQLKPEEISEIEGVDLVLGAKEKFNILSYLNDLEKSENLAEVHSCEIEETDFFIGSYSIGDRTRAFLKVQDGCDYKCTYCTIPLARGISRSDTVENVIKNASEIAAQDIKEIVLTGVNIGDYGKGEFGNKRHEHTFLDLVKELNMVDGIERIRISSIEPNLLKDETIDLVSTSKSFVPHFHIPLQSGSDEVLKKMKRRYLTQLYRNRVSKIREVMPDACIGVDVIVGFPGETEEEFMKTYQFLNELPISYLHVFTYSERENTEAATMQGIVPIAERKKRNKMLRILSEKKKMAFYQTQLGKTLPVLWEHENKDGLMYGFTENYVRVHKPFDALSINKIELVKLDKIEADGTVSILAAFDAFLAKA", "MNFDSNVPHTRVNILTGEKVLVSPHRNKRPWQGQTEAISSERRNEYEPECYLCPGNKRSDGTVNPDYSDHFSFVNDFSALLQDTPDHQSNEEGLFVTENIKGICKVLAFTPRHDLTLATMDEASIKSVVDLWQTEFEELRSNDWIKYIQIFENKGAVMGCSNPHPHGQIWAQNTLPVELEKESVQQKKYFEKYQKTLLKSYLEAELGKQERIIYENNSFVVLVPFWAAWPFETMIISKRSVQYISEFTTSEKSDLAQALKVLTVKYDNLFKTSFPYSAGIHQAPVNSGDFPEWHWHMHFYPPLLRSATVKKFMVGYEMLANPQRDITPEQAAQQLREQSLTHYLSV", "MATISKQYITEKFEEVFGHTPDVVSKSPGRINIIGEHTDYNDGFVLPAAIDKYSYVAVGHRNDDEIHLFSQLFNEKLSFNLSEIKALENSWANYILGVVYHIQKNGHQLKGFNMVIDGDVPLGAGVSSSASLESAVAVALDKLFDLGLSKWDMTKIAQTAEHTFAGVKCGIMDQFASVFSKEDKVAKLDCRSLEFEYFPLELGEYTLLLLNTNVKHSLASSAYNDRREACEKAVEIISKDFHGVKSLRDVNSQMLREYLYSDYPELYVKASYVHDENKRVEEVCKALEKGDLETVGLFLYASHEGLSEYYDVSCDELDFLVDEVRQYPEVLGARMMGGGFGGCTLNLLKKSFVPELIAKLKPAYEAKFNLELTPIEVVPSEGGHVL", "MNYRPERQKFQKQKDGKKIDLFQLKNRNKTEVFLTNYGARIVSFLFNDQNGNLIDINLGHASIDEYLEPKGNFYGCVIGRVCNRIDGAEFTLNGKNYQLNPNIPNNLLHGGENGFHTKVFDVENTGDNFVEMSYHSTDGEEGFPGNVKVKVTYTLTDEDALEILFEAESDQETPFNITNHAFFNLNGEGNGDMLKHKLQIFAEKYLPVTENVVPNGTLESVENTPFDFREVKTIGKDINAEDQQVVLGSGFDHTYVLKEVFDSELLHAAKATGDLTGIVLDVYTDQPGVHFYTGNFMDETHTLKSGKTDGRREAFCLETQHFPDAVHHDHFPSVILKPEDKFASKTVFKLSNK", "MISFQDLQKSLELCVNSQNINQNNLNLWYQQIEGACLSEREEYQKKLELLLITSLFHHFPEIEIENLICESPDFIIKYKDKKIGLEVSEIINHFELKKKETQISKIFRNIEKYLEKTQQNFSGIYYLSLNEHDNDFHKKPEMVEQEIISCITDNKKSKYVQKIRRTPYNKGVLLVLDYNLSLFDELNSEKIAQAIYKKNKKFRIYKKDVDECWLVLVSNMNNLASRYSYINHNKQLSSIKSPFSRILHIENLYSQMMYIK", "MKKNMDFRNFTIPFEINPDYKKRVAYFSMEFAVDQPLKIYSGGLGFLAGSHMRSAYTLKQDLVGIGILWKYGYYDQARNPDQTLNVAWTEKSYNFLQDTGIKFQIDIHSAPVWVKVWYLAPETFGTAPIFLLSTDIPENDYISQTITHRLYDANQATKVAQYILLGKGGAKLLDEMNLGRDIYHLNEAHGLPAAFYLLQKYKDLNEVKKKLVFTTHTPEEAGNEKHDFHLCYNMSYFSGISEEEVRRVSGTDGEVFNHSLCALRMAHIANGVSRLHGEVSREMWGKYSGICDIKSITNAQDYNFWADEKLYAAKGNADATGFDERKKIMKYRGFKIVSDQTGNIFNPDVFTMVWARRFAGYKRADLLLEDQERFRRLMENKRYPIQIIWAGKPYPLDYPAVSTFNRLVEESKKYKNMAVLTGYEIYLSKSLKRMSDVWLNNPRVPREASGTSGMTAAMNGSVNFSTDDGWVPEFAKPGKNSFVVPKADYKNMSTYDQDMYDLNMLYDLLENEILPTYYDRPDEWRAITQQGMEDVRYAFASDRMADEYYREMYN", "MSTLRFKALAELPFRNYRQDNFVEVPGKLSELFCSNVFSEYTMREYLTKEAFSSIMDAIKKGSQIQRHIADQVAVAMKDWAMSKGVTHYTHWFQPLTGSTAEKHDSFFTPIEGDRAIERFNGGMLIQQEPDASSFPNGGIRNTFEARGYTAWDPTSPAFIMGTTLCIPSIFISYTGETLDYKTPLLRALNAVDEAATDVCKAYFDKNVTKVMPTLGWEQEYFLVDSALYISRPDLVLTGKTLLGHSPAKGQQLDDHYFGSIPTRVMNFMKELEIECMKLGIPVTTRHNEVAPNQFELAPMFEEVNVAVDHNSLLMDVMARVAHKHHFHILFHEKPFAGVNGSGKHNNWSLATDTGENLLSPGKNPKKNLQFLTFFVNTLKAVHDYADLLRASIASASNDHRLGANEAPPAIISAFIGTQLFSVLEELEKVTDGKLSPEEKTELKLNVVGKIPEILLDNTDRNRTSPFAFTGNKFEIRAVGSSANCAEPMTVMNAIAAKQLKVFKAEVDALIEKGLKKDEAIFNVLREYIKQLKNILFEGDGYSDDWAKEAKKRGLNNLKTTPEALKQEMDKKFADLYEELGIFSHREFEARNEIKFEKYSTVIDIEARVLADIARNHIIPAALNYQNRLIENVKGLKEIFGDKEFQTLAKEQISLISQISANVSNIKVGVDNLLTEKEKAKNTKDSHKQAEAYCNKVKPLFDTIREASDALEMMVDDELWPLTKYRELLFTR", "MKNRIFIVTSIILFSSISQIQAQSKKTSDTTITKSMNGDFAGKYSSEGEKAGVLAFDISQTGAKVAGTARYNTYGAKAKSVTLSVKGYVKGKTAYIRLRDQKGSVIADGTLGIDGEDTVLFKQTTSSGVIPRDAVLLR", "MFALVDCNNFFVSCERALDSDLIDKPVVVLSNNDGCIISRSEEAKALGIPMGAPTFKYEKFFQENNVIALSAKFELYNFRSQNVMAIIKKYAPETEVYSIDEAFLNLSGFRYINIIEHCIKLKQDVLDTEGIPVSVGIAPTKTLAKIANRIAKKNPEKFNGLFILEKPENIEKALKWLNIEDVWGIGRRLGARMKDAGIYKAYDLLSKPEQWIRKEMGINGVRLVSELKGIPQLEIPNTSAKKSIAVTRSFMEMIKTKEELQERICSFAFSAGEKLRKQKSCCKTLTVFVGTNRYRKDLPEYRNAVSYHFPNPVSSSIDLAKTAAKLLDEIFIEGYHYKRAGVWINDFVPENERLISLFEEDHFDRHKNIMEAMDKLNRRYGKDKIRLGNLDFKSNYGRKKLSANYEDFLKNNTLPEADYRFQ", "MNEIKLYALFCLSALAGFPNMIPAQQLEWNKGQTFTEASMKTKGYEETWKGTSPGLHSSFVSIDKRYSKDQAPVIIKNNTISLKGWKGERLSAQVLLWTTDPVSDIKVQVSDFTSKNGKKIGSIGYARFERYIITDEFGPGCGWRKPEDFSSSLSPDMLDDLSSFSIEKKEVRPVWITISIPKNAEKGAYNAKVLITSPTTKQQELNISLDVIDMLLPEPAKWTFHLDQWQHPSAVARVNKVSVWSDEHFKALKPQMQMLANLGQKVITTTLNKDPWHVQTFDPYEDMIIWTKEKDGSWSYDYTVFDKWVSFMMDLGIKKMINCYSIVPWNNEIHYKDAATGKFVDIVAKPGTDEFTKIWEPFLKDFVKHQKKKGWLKITNIALDERDKNEMGAAFALIKKVAPELGVSYADNQQTFKRYPDSRDVSTAVQHPLSPQDLKDRNSRGLNTTFYVYCGNNFPNQFTFSDPAESTYMGWYAMAAGYNGALRWAFNSWVENPLVDSRFRTWPAGDTYIAYPQARSSIRYERLLEGIQDYEKISIVKKLLQEKKETEKLKALDNAISKLNNDKRHDGWNQDLNTAKDLLNNISESLTK", "MIHKFIAVFVILFSVASCNSSNDGFSNGSSDYNHTRAVGASSNDLLSNNRYSALQIEILYMPGYAPDAQAIEHLKSFLSSTLRKDGGITIRQREISATSSGSLSVEEIRQIENNNRSIFTTGNTMAVSVIYTNGQYSGGANTLGIAYRNTSVALMGKTIRDNSGGFGQVSRAKLESTVLEHEIGHLLGLVDLGSKMQVNHKDGANGNHCDNKNCLMYYASETTDILGFINSGNVPQLDDNCKADLRANGGR", "MKTQTGRTYIPWVDLLRIVACFMVIISHSCDAFVGSFDNSFSFHTGVFWGSLVRACVPLFAMMSGILLFPVTTDLSTFYKKRIGRIVIPLIFWSVVLPLLFFLYLNFIKASTSAVIDLSNFTWQATWKKIGTSIFNFNYDTTPLWYLYMLIGVYLFIPIIGVWLNTASAKDIRLFLVFWVISLMVPYIKMAAPLLGYTGNYDNAGIWGVCNWNEFGTFYYFSGFLGYIILAYYLVKYPLNWSWNKTLAVAIPLFVIGYGITFSGFLITQKYFPANYANLEIVWYFCNINVAMMTFAMFIVFQKINIKESKLLKNLSSATFGIFLCHFILVQAFTDVFLHLEFLPATVRILCIAIVSFLASYCVTELLSSNKLTRRFVK", "MKKLNSMVLLAALSVGTATYGQNSIIPKPQKITVQQSVYNFNNISIQSSKAIPEAVYLQKQLKSITGQDYKLSPKANVTFTLLKKDAQQKDGYYTLTINEKGINISGYDNQGLFYGVQTLLQLVEEHKTDLKIPYLEIEDYPKFAYRGMMLDVSRHFFNAEEVKNYLDYLAAYKYNKFHWHLTDDQGWRIEIKKYPKLTEVGAWRDGSQVGRYIDMKFDDKRYGGFYTQEQIKDVVAYAKKLHIDVIPEIEMPGHALAALASYPNLGCTDGPFKVGKTWGVMDDIFCPKEETFKFLEGVIDEVVPLFPYQYIHIGGDEAPKKRWKESQFAQDLIKKLNLKDELHLQSYFITRMEKYINSKGKQIIGWDEILEGGLAPNATVMSWTGIEGGIHAAKTGHKAIMTPTSTNYFDYYQGSPDTEPIAIGGDLRLPKVYAYNPIPKELTPEQAKYIWGTQGNLWTEYILDFKHVQHMIFPRMMALSEVAWGTSNPDEYKNFEGRVIQHFKILDRKGVDYSKAIYEVDGKSMAKDGKIFFNLTSANQPENIRYTTDGSEPTLQSNVYSKPIEVNKTMTVKAAYFENGKKASAVTSQDFLITKSTGKKITLEKQPSEAYSTGGAASLVDGIRGNMKNHGKSWLGFSGKDVVATIDFGAKTDFTSVQFSTLERPGSWIYWPSSAKVYVSDNGTDFREVKGVDAATIQQSNGVVVMNFPKQTAQFIKVEIKNIGKVADGKAGAGNNAWLFVDEIAVN", "MYKKLIISGIISVSLTSCIGYKEATKETTDHLKEKSEIISNIKIPDDWIFDRNALSDSFSYEWINDLKSPQLEALINEGMLYNADIIIAKERLNQVELAMEIAGTDLYPSINAVANTSNNLISGSQIRSLALKANWELDIWGKRKSSQMASTSDYFSAVHQNILLRQSIAGMIAKAYFLNIAGNIQEDKIESYIQESQNLEKLYTIQKKVGTANALDLSNISAEIISLKGYLEKIKNANIQSRRTLELLTGKYPEGKLTTQDAFNPVKNKIPESIPLNLLEKRPDMLVQHFKIEKAFYEVQQANAARLPSLNISASFGTAGSNVDAINSLFSNPLLKVGGGLVSPLFNNGKLKKNVEVKNSQQKQTVEEYSKTVLNALSETESALASLRSVEKQIGYSQNAIDELKNNITLTHKQIKVGTSNSFVLIRKQRDLLKNEMNLINLELQNRIERINLYMALGAENLISL", "MLELLVAIYAGICWLLIKKLKLIPWTFSTQVVVYSLPIFGSIALILSLNYFCPITSDVKVGNRSVDITTQILGKVKKVYVSTNQEVKKGDTLFVLDREPFIQEIKSLEAKLSNTKATVNSYNADIQASLKNITGLQSQLELANKRVTQYQELVAAGAANKFDLEQAVTNARDLQSRISAAQSQQQSLETKYNASYNGENSSVSEIQAKLDQAKWNLSQTIVLAPTDGIIPNVQLNEGAIMAPFKSAFVLIQKQQSVIGFFAQNELETVKKGDEVELALKTEPGKVVKARLEYVIDATSQGIMNNAGGMLGGNGSTAGLPDTARVLPETDGKLIAKFVLEDSQKQLTVGARGTAVIYSDHIKPLHLIRKVMVRVNSKINFLIPKLH", "MQRISIFLCLLLSCNFVQAASGNIEDNIADFASWLILLLLPAAGIYLFWKVHIYPEKVAEKKNHPQLNAIKSMCLLSLVFGGLLWPVALIWANYNYTDQKKDTNITEEN", "MDMLTTFRNISFFQELSDEEINILVNMSTPKLLHKKEKLIAPGQAFNYFFIISNGLLRFFFDDENGIENNLFLPSEKEAAIIENPEAYSYESTTKYTIEAVVDTQIFLFNKNAFEEAAFKYRGIHNLYIKSLKQIISILKTRTEQLCSSSPHSRYEDFLRDRPFTSQNASRKHIANFLGITPNSLSRMTARIHQKRNQRKK", "MNNNRRDFIKKLGIATAAIAINPLEAKNLLDTSEPKTTNKPIVLSTWNFGLHANVEAWKVLSKGGKALDAVEKGVRLVEDDPTERSVGYGGRPDRDGRVTLDACIMDENYNIGSVACMEHIKNPISVARAVMEKTPHVMLVGDGALEFALSQGFKKENLLTAESEKEWKEWLKTSQYKPIVNIENHDTIGMIALDAQGNLSGACTTSGMAYKMHGRVGDSPIIGAGLFVDNEIGAATATGHGEEVIRTVGTHLVVELMNQGRTPQQACKEAVERIVKIVNRRGKNLKDIQVGFIALNKKGEYGAYCIQDGFNFAVHDQKGNRLETPGFALK", "MTKKIFIIGVLAIFSCKESPKKEIVEVNVQQSGSPVYDDEKKEGDEAQKWMELHIQNYFAGDLGKLDQMMKDMTTKDYYEYKGDAMNVDMDVDGSLTQKEFEEKWKGKFDTSKAGIGTGFLITGQDWDTIKITKCKLLSQKENEFVFDVVLSDNSHQLNYPITVKVIKKDHQFFIADVLQEDIQP", "MTKIEVACFNEQSALTAAKEGADRIELCENYAEGGLTPKRETLEQLKANFSTPVFTMIRPVGGGFLYTDEEFEMMKSELLNLKEAGADGFVFGFLTEDNKVDKEKNSALVRLADGLPCTFHRAFDRIQDKEEALEDVIGCGFATILTSGGEHPAMEGLSKLKLIQEQADERITILVGGGVRSTNAGELKKYFGYIHSACITDGTENIDANELKAIKEVIQ", "MINCLCFGDSITYGEYDGVSGGWTDILKRYFHSRFINENIEELNVFNLGIGGETTDGIVKRFSAEVAARTSPDQNLIFFAYGANDVALKEGYRMVESEKFKTNLREVIGKAKEITSHLHIISILPVASAIDGITVPSGKQRSNQIIEEYNQVLQEFAVQYGIAFINLYNSFFKEKDILLSGDGVHPNDKGYQFVAEHIKPFIEKFL", "MKREDILHLEKLMNFLSTHFLKKNRWGDVTKTEWSYICAELNELIANYHTEKEIKKEPYLLGTNYLYEHLVINKLKKFYQNENATLSKPNLAKLSLIVRALGYSNYIDFINSNTELFNFSDLKIEINNVNMNTALLDSLIGCWYSYNRNYSENPQQAKEDRIWRSAMEIYKSETTGEYFIERSGGDHHKYFGKLTAYSDYIFIIMNSNTFIRQRHFISRIKDIKEKLKNPDYKLHELHFISTCISFNYEPIALFEIFRKTDRKNFISDSISFPINSDEIPEYIVKQLEDTDSNRINYR", "METKPLLKDDTLWDRIHGFSLDAPDAEFPFSKKLAKEENWNADFTKKAIDEYKKFIYLCCILPNGASPSKIVDKVWHMHLIYTWNYWEEFCPVILKRNLHHYPAKEGRGENNKYNEWYVETLKNYKEIFHSEAPEDIWLQKENLFIKKHWWQRLHVVTFLMLFLMLAACHEGTVSTLIGTVLVILVVLGFGLFRSLFQNNDDENDGNIGSDGGENCDGNSGCGGCGGCSS", "MMIGLNVPDVTVIHTKAITTGTECMIIFFIAIAY", "MKNIIIHSVPVVIAFVWITVTSGTFNPIIIRGPDFLKFYLLLIFGFYISVFLLQLFKEISSRTTLYFMISIFALGTIKLVRGLILDKPVGILILILLMQGAAIILLRIKSKI", "MKRIYLLMTLGLMSKGFGQETTRSLSGESWKFKNAKEANWLSASVPGTVHTDLMANNKIPDPYLDENEKKVQWVETEDWDYQTTFKVSDSELKNDQAELIFDGLDTFAEIYLNGKPLQQTNNMFRQWIVPVKNILVKGDNVLQIKFKSSVNVGNKMAEKVPFKLPESPRSMVRKAQYQFGWDWGPRLVTAGIWKDVKLNFWNNAKISNIQLEQKSLTKAKGQLSFNIEVVADKSGNYQVAVNNQAPKAFILQKGVNKISVPYEVTNPKLWQPNGWGKPELYDFKVILTQQSKKLDEESLRHGFRTVKLVQEKDAKGKSFYFLVNGKPLYAKGTNWIPSDSFLPRITKQKYYKLIQDAKDANMNMIRIWGGGTYEDESFYKACDENGILVWQDFMFAGSFYPSDDAFVENVKEEVKYQVRRLQNHPSIALWCGNNEVDEAIVNWGYQKQFKYTKEDSLQVWKDYRKVFHEAIPQTLKETLTPDNNIYWPSSPSIGWGHKESLTEGDSHYWGVWWGEQPFEMYEEKVPRFASEYGVQGMPSMEAVKSMFSGKADLNLQNPVIKAHEKHARGWQIIDGYMTRYYTLQTDLVQYNYLSQLLQARAMQVAIEAHRRAMPYNMGSLYWQINDCWPVVSWSSIDYLGNWKAAHYQAKRSFEQQLIAVENKEGILKTRVINDGLKDFKSVRLSVSIQKLNGEVVEKFDETDQKLNANSIVEYSPLKIADIVAKELQDQVVMHYTLKDEKNNVLAESNFYLVYPKDLKLTKPNLLVKKISATEIEVSTDVLAKDVYLIGDTHFSDNFFDLMPNTKKRINLNKPLEKMDIMSLWDTKK", "MINFVLIVVCIVAGMIFKATKSIHPDAHKGINTWILYIALPAVSFKYLPKVQWSQEMLFPIISTFLIAIGSWFFMLLYSKKKGYSARSRSTLELASGYSNTSFIGFPLISALYGEGLLSIAIICDQTMFLALSTLGIIAALRGGNSSGAISAKFILKRLFTFPPFLGCISALVLSQVVDLAPVEPFFDKLAATVGPLALFSVGLQLKFNGWRKLIPQISTSMFYKLLLAPAVVLGLALFFGIKGNVAKVSILESAMPTLISSSIIAEQFKLNTKLTNLIIGISIVVGFFSILLWFGAVEYLF", "MKKIFLSLLLCSSLGAFAQGYIPPKEPEVQQKLKQWQDKKFGIIIHWGLYSIPGIVESWNLCSEEEDWIPRPKNINYDNYKKWYWGLSKQFNPIKFNPEAWAKMAKDAGMQYVVFSTKHHDGFNMFDTKQTDFKITNPEVPFSKNPKSNIAKEVFNAFRKEGLWVGAYFSKPDWHSENYWWPYYATYNRNNNYSIDKNPERWDAFKKYTYNQLEELASQYGKLDLFWLDGGWVRPSNPEKYKGDKSYKGSQDIDMDKIAGMLRGYQKDLIIVDRSVHGIYENYTTPEREIPEKPLNYPWEACDPLGDNWGYVPNDPMKSTNKVIHTLAEIISKGGNYLLGIGPDGNGEFDPRVNKTLKEIGNWMKTNAEAVYGTKPIAPYADGNFRFTQKGNSVYAFYLVPENNMQLPQNLQFSFPKKFKKVSVLGSNKAVKFEQQANNMNISTSDIKQQPHAVVFKME", "MKKKFFGLLSIAVVAFHGVSAQEITLDKIYSGYYRAKGITGIASLNDGENYATIEPTGIAKYSYKTSQKEKNIVDGSFEGYTFSNDESKILLQKSSEPIYRHSFLGKFDVKDLKSGKVVSLNNGNWVQEPKFSPDGSKVAFIADNNLYYQDLNSGKITQITTDGKKNEIINGLGDWVYEEEFGHPDYYQWNKAGDAIIFVRFDERKVPEINIPIYYQNLYPKMMTYKYPKAGEENSVVTAHLYQLSAGKTSPLNLGSFENYYIPQIFQTNANDEIVIATSNRHQNKVDLLKVNTKTASVNKLFTETDAAWIETDNLTMEFLDDNSFLWASERDGHRHLYLYDANGKLKKQVSKGDWEIINYYGYNPKTKEAYIQTTEKGSINKVVSKLNINTGKTQLLSNAEGNNSAAFSKTFNYFINTSSTAKVPTSYVLKDANGKDVKELQNNNDLLSKLKADNFLNKEFISIPNTAGDQMNAWVIKPKDFDPAKKYPVFMFQYSGPGSQQVSNSWDGGNGIWFDMLAQKGYIVVCVDGRGTGFRGTKYKKVTYKNLGKYEIEDQITAAKWLGNQSYVDKSRIGIFGWSFGGYMASLAMTKGADVFKMGIAVAPVTNWRFYDSVYTERFLQTPQENKYGYDLNSPTTYAKLLKGKFLLIHGTADDNVHFQNSMEFSEALIQNKKQFDFMAYPDKNHGIYGGNTRPQLYEKMTNYILENL", "MKSKHPKGLPYLFFTEMWERFGYYLILGIFVLYMIDPEGPKGGLGFPDKMADDIFGTYIALTYLTPFLGGFLADRVLGYIKSIYLGGILMAAGYIGLGLFKEPGLFYTSLALIIVGNGFFKPTISTVLGNLYTEEPYKANKDSGYNIFYMGINIGAFICNIIAAFMRNKFGWGEAFITAGVGMLLGLVIFSLGRRHIRHATEMKPAEKGDTKISDVLIKVFVPAIVAGVIGWVIPGNIFGSDNTDAFIFACIPVIYFYISLYFKAKSDEKRPIGALLLIFLVSMFFWAIFKQNGTALTRWANYYTDRTVPAAVEKPLENIYLVETKSYETKEVTAYDDQFRAQKDADGKTIKEQGKDVYFKNITPEKRAELESGENKNVYLYNTELFQSINPFWVIVLTPVVVGVWSLLRRKKKEPSTPTKIVLGLFITALSCLVMVGAAYAGSNGAVKVSALWLVASYGVVTVGELCLSPMGLSVVSKLSPPRITALMMGGFFLANSVGNKLSGILASTWYNYENKEYYFLVNFGLLIFAFFIGLLMLKFLNKVMKEKGLN", "METVQSQSRHPKGLWVLFGTEMWERFNFYGMRAILTLFMVHALMLGESNASIIYGGFLALCYLTPLLGGFISDKYLGNRNCIILGGSLMAIGQLLLFYSACIFDTGLETSKSVFWLGLLVIIFGNGFFKPNISSMVGSLYPPQEKTKLDSAFTIFYMGINIGALLSQYFVPMFADVVVDGKQDVFAFKWGYLMAGIAMILGTVLFFILKNKYVITPEGKPIGGVPSKNAAEGEVVEQAKFTGSSLAVFLVLLVALFFGFRYLLVDEIFFSNFEIGTLVKGIIYPIIYASGISLAVLILLDKTLTKVETQRILVIYIISFFIIFFWAAFEQAGSSLTFIASNQTDRTFLFGWQMPASMVQIFNGIFVVLLAVPFSLLWDKLRAAGKEPVSPLKQAFGLALIALSYFIIAHNVKDLGSSGLLAIKWLILLYFIQTCGELCLSPIGLSLVGKLAPKRFASLLYGVFFISNAAGYALAGILGSILPATGDKFKKAQELGVNLQDVLDKKVTLTAEQTDLLTKNQIPLENHTFAGFEIHNLFEFFMVFVVLCGIASVVLALISPILKKMMNGVK", "MSLTLEQIQDFKGKYPRQIWSLFFSEMWERFCFYGMRGMLVFFMIHQLNFAEVQANLQYGATQAFVYAFTFVGGLFADKILGFRKSLFWGGSLMIVGSILLAIDPHKFFFFGLAFIIIGTGFFKPNISTMVGELYKDGDHRTDAGFSLFYAGINLGAFLGGYVCVAIGKGYMLSSIIEEPHRWNVAFGLAAIGMLASLINFNFTKRSLGPIGLQPGHPDAIVKTKPLPKWAEYAVYGGTLLFIPLIQIMVSKTEYTDYFMYTIGPLTLIYLFYEMTKVTAAERKKLIAALVFIIFSIIFWGIYEQSGGSMSIFAAKNLNDSLLGITADPNGVNNSGGAFFIILLAPLFGLLWLWLGKKRIEPNTIIKFGLGFIFLGLGYYVLFVTRFFSVNGVSSLNIFTLALLVITFGELCLSPIGLSIMTKLSPARLQGIMMGMWFLASAYGQYVAGLIGAGMAEAKENAGPAESLMTYTEGYKMLGIYALIAGVVLILISPLVKKLMQEVR", "MKRIILFFSMLFISFGYSQVKWMTMTDAMEAMKKKPKKILIDFYADWCGPCKMMDNQTYKNPIISKFINDNFYPVKFNAEGKEKLFFNGRDFDNPNFKKTQGRGVQHEFARFMNIYSYPSTVFMDENYMQITNLMGFFTPKEMEPYLSLISTEAYKTIKTRDEWDKFQSKFKSKIKE", "MIKKNILTEKKSRVVLTASVLFFAGFGQVYGQETKKDSLKDKSIDEVVIVGSRSGGRSKADSPVPVDVFNLKETSLVLPQTNINQILNAVAPSFTSTVQTNADGTDHLDPAQLRGLGPDQVLVLVNGKRRHTSALINVNGSPGRGTVGTDLNAIPSFALSRIEVLRDGASAQYGSDAIAGVMNLQLKRDTGKLTGQVSYGGYLTDAAKNHTGNWDGDQIQVDLNYGAKVGKKGGFVNVTFSSQYRNPTFRAGIESGNIYNAYNAIEKRAAEGGVNLSSYFSNINQIKGTPNEQQFVGLIHQYAQKVNYFDNAYQQQIQNANNITALQGLLGGNYTDQELAYRGQERRDFNMWVGQSKLNNNQIFVNAEIPLSDTWKVYTFGGYGYRYGTSGGFYRRPNQSRTFTGLYLDGYLPKINTDIHDVSLSAGVKGTWDGWNVDFSNTFGQNAFNYTINNTGNTSLRFNSPSTFKAGGLRFLQNTINLDFSKKFDVFNGLNFAFGGEQRHENFQITPGAPASYLTYDVNGNPVTDFANQVRPTDFFGNTLPGGSQVFAGFRDVNAVKKSRNSYAAYADAEVNFTNWLLVDGAVRYENYSDFGNTVNFKLASRIKLTKDLNFRFAGSTGFRAPSIHQIYYNTTSTLFTNGQLLEVGTFSNDSKVAGLVGIPKLKQETSQSVSAGFTYKIPALNLNITADGYWIKVKNRIVLTGQFTRPANPSTPAQVELQQAFDAAGVNAAQFFANAIDTETRGVDVVISHNYKTSGFSLKNDFAINLNKTKRVGDIHSSDLLHNAGLDNVYFSENSRIYLEEAVPRVKASLAHTLQFGKLDVYVRNTYYGKVTGADVIVQPNTHQIMSDRVITDLSVAYGFSKNISLTLGANNVFDVYPSRNLPVSSNNDQFVYTRSTSQFGMNGRYVFTRLNFNF", "MNHIQNLIADTHHRPWKLPMGKWQYYQEWNDALFLHFEVDFNILRKLVPENLNIDSFEGKYYVSVVAFKMEKIRPRNLPSVRFISDFYEINVRTYINNNDKQGVYFINIEAEKQISTFVARTLTGLPYEKSEIKRSSISYSNRNTKKKFALVANFEPREKIENKTAFDLWLTERYCLYLVKGQKTFRYEIHHAEWPLHSVNHSDLSLNYGFEAINLNAENVTACHYSPGVKVLSWKV", "LKINLNQNKHLKIFKLVSEAAEQNTQSAYIVGGYVRDLLMQRKEPTDIDFVTESSGIKLAETVAENINPKPKVSVFKTYGTAMIKYQNLDLEFVGARKESYTENSRNPEVEIGTLEDDQKRRDFTINAMAISLGKENFGELIDPFNGMQDLSDKILRTPLEPVQTYSDDPLRMMRAIRFASTLNFKIEEKSLKAIKQEAKRIEIVSMERIMTEFNKIMLSEKPSTGLSILEKTGLFDIILPEITALKGIEEKEGQTHKDNFYHTLEVVDNISVNTDNLWLRWAALLHDVGKAPTKKFTPEQGWSFHGHEFLGSKMVKTLFQRLKLPLGPEMKYVQKMVKLSSRPIALITDDTSDSALRRLLFDAGKDLEDLFTLCKADITTKNSSKQKRFKKNFEYVEQKIKEVEEKDHVRNFQPPISGEEIMEMFSLKPGKEIGILKEKVKEAILEGEIPNEKEAARNFVIEQASLIGVEIKK", "MEQIINILKSGGTIVYPTDTIWGIGCDATNIEAIKKIYDIKHRDANKSMIILVESEHRLQELVEVPELAWEMMDLSEKPITFIYDNPKGLPKELLAEDGSIGIRLVKNDFCKKLISKLNKPLVSTSANLSGSKSPLKFADIDSEILKGVDYVVEEFHDVVSEYPGSSVIKIGQDGKIKIIRE", "MKFNTKVIHGNQHAEPHTGSVNVPVFLTSTFAQKSPGQLRAGYEYSRGANPTRQALEDALASIENGARGLAFGSGLAAIDCVLKLLNPGDEVIAVDDLYGGTYRMFTRLFEKYQLKFTFVNFDDVSKIAELINDKTKLIWLETPTNPLMKLVDIKAVADLIQGKDILLAVDNTFASPYLQQPLDLGADIIMHSATKYLGGHSDVVAGALVAKTEELGEKLHFIQFASGGILGPHDSYLVLRGIKTLALRMQRHSENGIKVAQFLEKHPAIEHVFYPGLESHPQNDLAKKQMKDFGGMVSFTFKSGKKEDSVKFLENLRVFTLAESLGGVESLANHPAMMTHASIPAEKRAELGITDDLVRLSAGIEDIEDLLQDLEQALKAI", "MKKTFLVLSILSLFACQKKTETKEINAKDSITTKKDTVKTDKVAETPAVQLNIVDFKPDEIPAEMLKGSTHPYDMEGESIMELVPSMKDFIKGTPLNVVYIDLSLKKAFIKADGKIVALKETGEDQYSNNEYKLSFTSKTPEKLPQEIEVVTYAYEGKITIIRNSDQSTVVRDYFAAGL", "MRQTQISINVELDENHVPEKLTWNAQDGGIDNQETKAAMISVWDEKKHEALRIDLWTKEMPVDQMKMFFHQILVSLGHTYERATGEEDVAEKVMEFAEEFAALAKIKG", "MRPFEERDAEGLFLLDSNPEVMKYVGGVVSTKIEQSQQMIEFIQKQYKENGVGRLAVIEKSTNTLIGWSGLKYLTSEINGMKNVYELGYRFLPEYWGKGYATETARAALNYAFNEIKTDVVYAMAVTENTGSNRVLQKLGFEELGTFLDDGDLCYWYRLKKENYKQN", "MQSEKVIEHIVAWLKNYAEKAGMKGFVVGVSGGIDSAVVSTLAAMTGMKTLVLEMPIRQKEDQVSRAQEHIDFLKNKFPNVDGFRVDLTPTFDTFQKQVDVNDTDFPNEQLALANSRSRLRMITLYYYGQIHNLLVTGTGNKIEDFGVGFFTKYGDGGVDISPIADLVKTQVYEVARHLDIVESIQKAIPTDGLWDEERTDEQQMGASYPELEWAMSVYNTHKADDFSGREKEVFQIYDRLHRATLHKINPIPVCEIPETLLN", "MNSKFLKIMLLFVVAFTAGIGVMSIINKNTGKRMPTTDEVVNYDPATSKNTQTDIATLTNEKVVADYVKKNKRLPDYYITKREAREKGWEPSKGNLCDVLPGKAIGGDYFGNREGKLPKENGRKYFEADVNYDCGRRDAERMVFSNDGLVFISKDHYKSFEQK", "MKEVFIDFSQIGDYEEFYEQLKEKLPLPDYFGDNLDGLYDVLTGDVEMPLHIEFVNLSVDQLETFEDLLETMEDAEENVEGFTFSYFLEQFEDED", "MKKNILTLLSLTFLLSVSSCTIDIREDNDIPTNPTNPGNNNGSVMEGSGNLTGTITKDLLIKKGNYTLTGIVKVSDGVTLTIEAGANFTAATNVESSLVILKGGKIKAEGTADQPIVFTTASKKPGDWGGITIYGNAPIKAVGGASTALSEDGLSQTYGGNDANSNSGVMKFVRVEYGGRKIGDGTSETNSMTFYAVGAGTVLENLVSYKGTDDGFEFFGGTVSGSNLISYGNYDDSFDWQDGWSGQSNSNWYAYQTGIGNFGMEIEASSNADNTAPKVNGITLIREADTKPEVAGSAEITAIQFKKHGSGIFTNVYIEGYKNVGTQKAYAVLIQDLATETDQLEKGKIKVTPMNYLNSDNPGVYGYAFATTKPVSFTNGDVKKTQFTSGAWATVDGVDLLAPVKK", "MRITKLSIGVLFAFISTTSLMAQATQDTIKKNEAKNIEGVKLQGQRNKKTETAILQDQKKAVIQKQAMGAEEISRKGISNVEQGLTKVTGINSVEGRGLFVRGLEERYSYLLINGLGSPSNNPFQKIIALKQFPTDVVGKLNIYKTFNADLYGDFAGATFDIETLTYEKPFTKIEFSVGVNSQNTFRNNFKINPNADTFRGYLGLNSDKRQLPDPINGYQPSGYEFTKQEALNNFKDSWNVDNVKSMPNTGIGFTTAQKFKAGTGNIGFLLSLNQANSYEYRNGNKNNFNESGDYRNRLMRETYTYGIESSALLGVGYKNKGTKIDLSAMFLQSSDNIIEDFRGYRDQQKQNPLFFRVNQQDVSRFTNIQLIASQKIGERHTFKAGGSWVNNFFQQPDRKIFAGKEIPGTQDLSMNYGGNNLIRQYFDVNGKNYFSAFAEYNVGLGERNEKNEFPINITAGYNGFADIRNISYRFIYGRLLDPANANVIINRDKPQAQFNEGLMKGAYSYFEGSQQNYKKHLYQFVNAGYINLNYKPNETWDILIGGRVENNMNITRYKDPKSNNYPDTENITKNQYFILPSLAVKKALNNNSNIRFAASKSITRPILIEYMPIEYINPDNENIVGNPLLKNSENYNIDLKYEWFPTNKEMIAFNAFGKIIDNAIERSYISSGNSTGTTITYFNSKRAKIIGLEVEGLIGLNRIIEGLDRWSLGANATFMYTDVERSEEQKNETDFLANRKRKLQGAAPWTVNADLKYEFKNSQNLTNTFSLVYNVSGKKIYGVGFGRLDNVYESPFHQLDFIYNTQLTKNWNLKFAIQNILNQQYKLDLGNRSLIPINETSLRMEDFKRGTNFNLTIGYTF", "MSTKKILLIDDEPDILELLSYNLTKEGYLVETAKNGVEGIEKAKELIPDMILLDVMMPEKDGIETCQDLRNIKELEKTLIIFLSARGEEFSQLAGFQAGANDYITKVIKPKVLISKVNALMELTTRVATQAQQLKIGSLTIDKDNFRVTKGTQQFLLPKKEFDLLYLLASNTQKVFKRDEILEKVWGNDVIVGERTIDVHIRRLREKLGIDTIQTLKGIGYKLVV", "MNVSPVKIISAIFLTLCMAAIAMLYEKFHHLYDSKNITLLLVIIALLIITGINYVVLVFLFRNYDQRQIRKISQTFPEYFIQEENPTTFTELGEKITGMREEADARMVTMKEMENYRKEYLGNVAHELKTPLFSIQGYVETLMDGGVDDMNIRDKYLERIDKSVERILNIVQDLDMISRLESGQISLSLSKFDINILVRDIFDLLDLEAHKTGAKFLLTTSQSAVMVNADRNKISQVLINLLANAIHYANREKAQIVVNTIITGNEVLVEVKDNGMGIKPESLPRIFERFYRVESSRSRKDGGSGLGLAIVKHILEAHKKNIDVKSVYLEGTSFSFRLDLVK", "MIYKVRVILDAKDSVFRDIEIKDKQTLWNLHLGIKSAFSLQGEELSSFYFSDEEWTEGNAIPLEDMSDEGDGETMSDIYLNEAFPEKGAKMLFKYGLLDLWEFFCELQEVTEEKPAVNYPITAFRFGNMPLKAPSKSGNGSGKMAMPMVDDEFGDISSDFKGDDFDDFDDDEEEEEGGYGDDLYDEEDLDKI", "MIGQLPTDWKHTANIYEVNVRQYTEEGTFKAFEKELPRLKDMGIKVLWFMPITPIAQEHKKGSMGSPYAAQDYTSVNPEFGTLQDFKDLVNSAHEQGFKVIIDWVANHTGWDHIWTKTHPEYYLHDADGSFHKASGMDDIIELDYTNQEMRREMIEAMKFWVREADIDGFRCDLASWVEVDFWEQARPETEKIKPLFFIGEYDELENPEYGKTFDASYSWKWMHLTEDFYKNNLPLSNLIDLLKQYSAIPYSSMRAWFTSNHDENSWNGTEYEKYGEMAKALAVFSVTWDGIPLIYSGQELPLRTKRLEFFEKDPIPWNGTYEMAEFYKKLLTLKSNNPALRGADDNVVTILLQNTASDKVFSYLRKNGDNEVLVILNMSRETELKFNLTDSYVSGSFRSLFSDTEHDFTSQKAFVMQPFEYMVFEK", "MIKRILLFGFLFSLNTIWSQTKYQKDFNEFWNDIDQKYAYLNEQQINWQKVKEIYSPKVAEINNTYAFVQFLEKVLNELHNGHSSLNTNLDISNKLVPSGQDLYVEKEQNKYIIADVRKESGAEKSGLKAGMEVSLFNRKNIDDQLKQFLPTYTDQYTPAMYQYALDMLFAGTHNVKREIAIVEKDKSVNFYPDNFSAQSGNKQLLESKILNKKTAYLKVNNSLGNNNLISIFDKALDSLLNYKNLVIDLTETPSGGNTTVARAIMGRFTDKILPYQVHEFDEVKYQTKRHWVEYVVPRGKTYKGKLYILVGHWTGSMGEGMAIGFDGMKRAKIMGTKMAGLIGAISGFQMTETKIGYQFPTERLYHVNGTPREDFVPGICTKNTEETLRKAFEIK", "MKYLNRKVFITLLSIFSYSYINAQQGQNIIKSKIDSLLGAETTKPFNGVVLVSQNRKNLYLKAKGYSNLEHKTLLKADDQFISGSIAKQFTSVLVLQAYEKNLLALDVPIRKYLPELSQSWKDTITIRLLLNHTSGIEALDKPTLFAPGTQFDYSHSTITYDLLAKILEKLYHKSFAEITAALFQKCGMKDTYHPDIKKYMKLTTPYTENENGQLNIETNSFMYSYAPAGGTFITTAIDLGKWNQLYFEGKLLKKNTMEQLFTKQKNSVRNHPVFGLIEYGLGVTIDTSDNLLMVGQTGFVPGYVTENYYFPKNHVGVTVLSNIDYNSGGFKGSFRYHTAILNIVRKYLQHKK", "MKIRDIYQNISTREIRIKSGIHPVPKLIACFFLFTSFCAKAQKDSISLKIQLDENKYVAHIQQRIVYQNKLSRPVDSIKLLSWVNAYKNRRTPLGKRKLQERKTALYFSNKEKLGYIENLRVDFSKEVKSYSDERGENIYLGLKQPLPQNGKVTLNLEYDIHIPASDFTGYGYGDNQILLKYFFIVPDSFEDSKLSEKSYLDLDENQNNGSYWNINFEKGPYIIQSNLNQKDNYTFEGSLYEDPEFMISHTENTKMDFEVDKQKVTLDLGYTISSEEQANLAFLVPLQLKFIKDKIGFLPSKIFISDRARDRNGFIGSDDIKFRKWKFKLFSDPEKSDFNYFSFISQNVVNQAFLADKNTDHWLYNGLRTYLEIEYLNKNYSDKKLAGNLPDQVRLWKIKPLKWFEVSKIKLTDRYGLAYDYILNQNLDQSINTHLQDLSKFNITAVSSFETGLIFSMLNNKTQKFDSFVQKYLAKNRGQKIDSKDFMTQLDSYTNHTSEFLEKFVQHKNRVNFNLRSFKKTDDNQLELRVSKNTPLKIPFKVEAEDFKGKIKSYWFNTTESTDKQVYRIPNDSTKKISINNDYTFPEANFRDNYLYTKGMFSNMKKIRFKLFTDIPNPEYNEVYIAPILSWNNYDKFLLGMRFTNKSLIDRKFVYSLVPYYSTGTSQLTGSAGASYQFMPADSFFRSWLFAANGSYFHYDYNLPYKKVNLLTSINFAKDPRSQISRNLGFSYSYFERTLSPALIAKNDYDKYNLWNISYSYSDNKAIHENYLMTNLQWMEDFQKLSAEYYYRWEYAKDKKIMLRAFGGLFIENKTRNNLFNFGLSRVSNYSFSYGLLGQSATDGVLSQQFILAEGGFKSDFKNFVNSWVVSTNVDAHLWKMFNVYADAGLYKNKGQNMKFIWDSGIKLKVIPDFLEIYFPVQSSLGFEPAFKGYGSRIRYMLNLNLGAVIGYFRRGVF", "MNINYLVRKPEVITPKTQLLVMLHGYGSNEEDLFSFVPTLPEDWLIVSLRAPKDSPYGGYSWYDIDFSSADRFIDIEEATDAMKSILNLIRDIKEEYQLTERTHLMGFSQGGILSYSMALTYPELFNKIAILSAYPETKILQNISKDKKAFTEMRFFISHGMEDAVIPLDMGRAAADMLYDLGCFFSFREYVSGHNINQKNYMDLMAFLS", "MDKIPSVDLRDFLSDNPERKQKFVNEIGKAYEEIGFVALKGHFLDDNLVDNLYGEVRNFFELPLETKQKYEIPGIGGQRGYVGFGKETAKGFKKGDLKEFWHFGQYLQEGSKYATEYPDNVIVDELPKFNEVGKETYQMLEKTGQYVLRALALHLGLDEFYFDKYIAEGNSILRPIHYPPITEEPDDAVRAAAHGDINLITLLMGAQGKGLQVQNHNGDWIDAIAEPDELMINVGDMLSRHTNNKLKSTIHRVVNPPRELWSTSRFSIPFFMHPVSEMPLNALENTIDENNPKLYPDTTAGEFLHERLVELGLIKK", "MKKILPLISLLFFGLIIKAQYVEPEKADKEAAKRKASEVITMPTQKFDSLQAKSMLALGKGTIVGEAFTRQKNGYGMKVLGKVKANKIKVILFPVTPYFEEYYKLWSDKSKNNPKKNRYVYMDRNAMRYRIEAITNSDGEFTFPNMKPGKYYLYGSMDYSLSYNYNKYTGSGYDNYGRIDYYSPSSYTKDFNEFLETFVEVKSDGEVVKVKLK", "MNAFIEELKWRGLWADMTPGTEDQLNKEMTTAYIGFDPTADSLHIGSLIPIKILAHFQRHGHKPIALVGGATGMIGDPSGKSAERNLLDEETLLYYVDCLKNQLSRFLDFEGDGPNRAELVNNYDWMKNVTFLDFAKNIGKHITVNYMMAKDSVKKRFSGEDGADGMSFTEFTYQLLQGYDYLHLYKEKGVKLQMGGSDQWGNITTGTELIRRKAQGEAFALTTKLITKADGSKFGKSESGENYWLDAKRTSPYRFYQFWLNATDEDGERFIKFYTFLEKEEIDKLIEEHRTAPHERKLQKKLAEEVTVWVHGRAEYERALKASEILFGRSTAEDLVSLDEELFLQIFDGVPQKEVAKSEVIGSNIVDLISDKSGFLKSKGEAKRELSGNAISVNKEKVNDTFEVSEKDLIDGKFLLLQKGKKSYFIVKTV", "MKKILSIFLICSITIGISAQRKTTKKQPKKSSGKATMVDIAEVTDTASEKSEADTLKLKAGKAYVLLIDVAPNMKGVTVGGDDQEKNELIKNFSKNTPEIIQLYNYTYVLAENGQYIDLTGDGNTYQAVAYWSGKMDDNIVAQEGKNRATEFVATQLGQQKESSYITNSKKYKEEVKSLQGKNNFTKKSAEVMQAYLQHLAVPKICFIENTMLFNKDQSKIKTITTYITGDNGKKQKYLVAELNELGQPITITEYRSDEKSVQRFTYKDGILINIQTPVNTTNINYDNDRMITSSDLGGGMETEIYRVEKNELLRKSYIIMKDDSSDNMNAATDEKIEKSCTNFYIDNIIQTSNCSSNANEFPFTHTSTVYQDGKLIQETKYRIEKKSSVLYESQYKRDNDIRKATYHLNDKGLLESYQKNDNNRKSTVWLEYTYFL", "MTIVIITYGDMEKRKILTFFSANSSVSLYCFLEKSFERGIPNPSYPESTK", "LNEESLIHLIRKAQSKDQKAQTQLINMFWVDVFSFVMKKVQDDILADEITVNVFSKVLTKLDLFDPSFQFKTWILTIAQNTVIDYWRKKSREAEDATGDLEEVKNVFVKSPEELLISEEENDEILQIVASMDANYQDIIRLRFFEEKSIKEIAEELNLSVANTKVRIMRAKKVLAELLKNNELYNE", "MSNETLTNDTTTQKPKWIRVKLPTGKNYRELRTLVDKYKLNTICQSGSCPNMGECWGEGTATFMILGNICTRSCGFCGVKTGKPLDVNWDEPEKVARSIKLMKIKHAVLTSVDRDDLKDMGSILWAETVNAVRRISPGTTMETLIPDFQGITKHIDRLIEVAPEVISHNMETVKRLTREVRIQAKYERSLEVLRYMKEAGQRRTKTGVMLGLGEEKDEVFQTIEDIRNANVDVITLGQYLQPTKKHLPVKRFITPEEFDEYGAFARSLGFRHVESSPLVRSSYHAEKHIH", "MLRIKICFLGLFFTLLVKGQDAEAFNKIYVKTYLETSQKDFKKALAVSDSLFNISQTPLLQTKSLMLSATLYQQTHDLKKSVDYALKAEKIIEQTNDASWNTRVLGFLSTQYRLLGLYSKSRTYSEKALEYADKIENPEAANSAKGLVSQELAYYNIAVKKYHEAIGNIEASQKYFDNISQNKGFMMAGNKQLLGLCYYNLGDTGLSLKYYNEALALLKNSPENYLNGIIYSGIAEVYMKTSELDKAKQYLDKAVKIADKSEYLQLKKEVYKRTQEYYGKVRDIENLRKINDKKDIVEEKISRKNDAFINNAYVQLENNNIKTKETASVKNIAILIVGAGILCGILFFTFYRKRQKQYLTRIQNILEEHKKRQKVSYMSLNSSAPEHNAMKEKSIQMMPPETENALLEALYKFEKSKLYKDKNVSLSYVASYMETNTKYLSYIIKKHRQKDFTNYINQLRIDYIIEKLTTDPVYRQYKISILADEAGFSSHSKFATIFKNITDVSPSHFINYINQKKN", "MILCLSFLQAQNAQNYDKVYAKILHETYHKNFDKAITAGDSLYKSSAKPVFQVKSLMLLATLYQRKGDVEKAVNYAEKSKDIIEKYQIYDYSARVFGFLASQYRIISLYDKSKSYTEKALSAVKYIKDPAESNNVMGLMLQELAHYDIKMKQYKKAIFKLEKAQEKFSLLREKDFFTATNNQLMGVCYIGAGMYDKAIAYYKEALVYANKNIPKSTLAGKVFQGLALAYVRNGELDKAKEYLDKAEDYVKDSKYLGLNQEIYSTSQEYYARKNDAQNFTLATEKKDSLSELISSLNTRFLNHQFSKLEKQNTEQEKRNFTKSIFIAISGIFIIGNLLFFYFYSKAKKKQILKIRNILKNLREKKIEHTDKTEAPNKSEDNPDTTVTLMSLETEQLLLAKLENFEKDKLFKDKKVSLSYVATHIGTNTKYLSFIIKKYKGKDFTTYINELRINYILEKLNTEPVYRQYKISTLAEDAGFSSHSKFATIFKSVTDVSPSHFIKYIETKENQDITE", "MFHKIYLLAACFFILLVEAQDYETYSKVHEKILHEIGQKDFDKALVAADSLYKSAVIPAYRVRSLLLIATLYQQKNDMDKAIAYAEKADEIIKNTDDYAWQTRVNGFLASQYRFLKLYDKSRYYYQKALATAKKINNPIDAYKVQGLMMQELAHFDFEQHRYKEAINKYKEAQGYFDKLTKKEFFTASNDQLMGICYINIREHKKAIDCYNRALLFTDKEMPGSALAGRIYQELALAYIRNDEPDKAKIYLTRAEEYAQKSIYIVLDKDIYRTAQEYYTKKKDTKNIFAVTEKKDSLFSEIENQNAALINNKYLDLEKESVEKTKKGLTKTIFIYVTVLFILGNIFFFIVYRQRQKKRINKIRDILNKLNEESDGKDTKTKHSANKTGMLISEETEKTLLEKLNLFEQEERFTDKNMSLSYMATFMDTNTKYLSYIIKKYKTKDFTTYTNELRINFILKKLSTEPKYRQYKISALAEEAGIASHSKFTTTFKNITGVSPSEFIKFIAKNENI", "MNDLKKEEYTSPKFDMFLIEMEQGIAAGSAKVLPPNSGGQVQEEWTQGDDDNRTIEW", "MKNLKITTAVFMLSGSLLITSCRSSDNAVDNSGENLITNGSALIKMNLLGSEYESETISTQASAKHTNSYSVVKEQVSTFMAGEQPVIATLTPVSAISTQASVNPIAAVVRNPLKGPNVKYRVIAYRTSTGAKVATSVYTIDANGNSTADNGEMMLDGDNETVNKYDFVVLSYNSSTAPADVTGSISTATLPSISGDNDLMYFRTNNVRVSKGDNVLNILLAHKFSQITTTIDASAVASGTPISSIGNGINFDKHRGGAVNSIKLSDGTVTFGSTTTTKAISFTAPTASSAIWTSNPTLLANPGGTATDMSTLTFPNTAAGTITVGTKSKRNFSVSNLVVTPGVKYNLKLKFACTADATPTWPFNMSDSGSGTRLTRTFNAPAADAGFVFDIYELDNSFNMTINGVDLATQEIQFQSSVANYPQNIRFKSDGSRWGENGVPQIYSLGSSTNTTPIIRITIGPDGSVSMMGRRTISSSLEPLELYGGTRFNTVTWKTTGSNTVDATMLVTGATVMRGLGVGKKIIACP", "MKIQILSASLLLLLSGAIACKKAPENTDAKYTQHLQKEIITEDLSYSGNGKTFKSFLAFDKSKTGKLPVVFIIPEWWGMNDYVKNRAKQLADSGYMAVAVDMYGEGKMVDNPDDAGKLAKPFYGNADLAKQSFELALKQIEKNEKADITKMAAIGYCFGGAMALNMARINEPLKGVISFHGNLMTGVKPTTNKIPVLVLNGEDDTFVSKDEIASFKKQMDSAGVQYQLINYPGAIHSFTNPDATAVGKKYNLKVAYNEAADKASWEEMITFFNKIFK", "LKTATIITIGDEILSGNTVDTNSNFIAQQLKDIGIKVQQIFTISDEICEIETFLRIALENSDLVITTGGLGPTKDDKTKKAYASFFHDELVFSEELYEKLGKYLEKRGRLELLERNRNQCEVLSKATIFDNHYGTAPCQMMEQNGKFTFCLPGVPFEVKPLIKDQIIPYLKLKWELSFIHTRIISVVGVPESLLSDTIEDWELALPENVSLSYLPVGTRVKLRLTGMGDNQAILEEQLEQLVQLLKPLIGKNVIAWSEDAIENILASILFDKELTISTAESCTTGQVARLLTSVSGSSSYYKGGVIPYFTEMKSQLLQISESIIKEHTVVSEEVAIEMAKSCQKLFSSDIAISSTGVSGPNKGEDGKDVGTVYYAIAKGDEVRAFHLFLPGFERNDFVNFVSQKIIETLIVWLEER", "MKNILAGAIAIVAVQFSFAQTVKNVGTFSSLKVYDKIPVVLIPSTSNKVEVNGKKSSDVEVINKNGELKVRMTTTNLLQGDDVKVRVFYDRLNDIQASQGATVSNEGVLEGNKIVLTANEGSSIIMGLKAKTLEVKSNTGGLITLSGHANNQNAIVNTGAKYYGKQLATTTTSVTVNAGGEAEVSATESVDAKTRAGGNITVYGNPADKKTKKIAGGNISFK", "MKKITFAALAFSLCFTGVQVNAQQKKGKTVATKTQKDEGLNLSYRDTSVRPQDDFFNYVNGGWLKTAKIPSDKSSWGSFNQLREDTDNNSMNILKEILKSKYPAGSEGQKIQALYTTYTDWTKRNALGISPIKADLDKVDAIKDLKSFQQYIDQATLTGDNPFYGWGAGADMKNSKMNAVYLGGPRLGLGKDYYQKENEANTALLADYKNYITTLLGVIGYQNSASVAQNVLDFEKKMAKTLLTNEQARDANLRYNPKTVAELPALVKNVDLPDYLKTVGVNTDKVIIGEINYYKNLDSFINQENLPLIKDYLKYRIIASNASNLDQKLDDIQFNFYSKRMQGQQEQRSMDKRGLSFVNGIVGEAFGKLYVEKYFPAEAKAEMVVLVDYVKKAFASRIKKLDWMSSVTKEKALDKLNKFTVKVAYPDKWKDYSKLTLKSDADGGSLYSNLQEISKWQYQKGLEKVGKPVDKTEWGMTPQTVNAYYSSSNNEIVFPAAILQPPFFNFKADAAVNFGGIGAVIGHEISHGFDDSGSRFDGDGNLNNWWTDEDRKKFEAATQKLGAQYDTYEPVKGSHVNGKFTMGENIGDLGGVNVAYEALQMYLKDKGNPGKISDLTQDQRFFMSWATVWRTLSTDQYKVNQVKTDPHSPGEYRAFAPLVNVDAFHNAFDIKPGDKLYKKPEDRIKIW", "MRNIKLIIVMQFAVLSCASQLFGQSSKGYVPKDGQEDRKFWVKTLDKIAYPVVHNLAEGTLRKNMPVEVPPGLKPDFFNKVTHLEAVGRTMAGIAPWLALPDDNTEESKVRSRLRTELLKGLKNAVDPQNPDYLNFRTEKQPIVDAAYMAHAFIRAPKALWEPLDETTKKRIIEEFKALRTRSGAYNNWLLFAGLNEAFLLSVGEQPDPVRIEFAKRKILEWYQGDGWYSDGPSMSIDYYNSYVIHPMLVDFFKVLLDRKMIQQQEYDQAVKRMVRYSEFSERFISPEGTYPPFGRSITYRTAAFQALGQTALMHKLPDYIDPAQVRCGLSAVMHRMYDHPNNFDKAGWLVLGFNGHQPGIADYYTSTGSLYMATLGFLPLGLPATDKFWTNPPAPWTAKKAWAGEPFPKDYHVEY", "MKEFLQNTYNRLLKDFQAILSIAYLFAVGIGMIFNYRKYSHFNINIFDYADITDFLIAPFADYRIFLFTFISVLILGAIYKLDSYIKEKHPKIYNIYSFQNYTSWFSSMYYNGISILLIIPFYIWLAAGVYGKFSQRKITKDQPLSFLYSDNTEEQAKLIGKTKTVLFLLKNDEVKVVQLSSIKSYKLQKEL", "MKKLTTSLLVAVSLLSTGVVMAQKKNTKTIQSTKSSTMKDEGLHLENMDRKVRPQDDFYNYVNGSWMKTAKIPSDKSSWGAFNELAEATDNQSLTILNNILKDSFSKGSEGQKIQDLYGTFMDMNKRNADGIKPIQADLAKIDAIKNLNDLQSYLKESTRQGFNPFYEWGVDADLKDSKMNAVYLGAAELGLGRDYYQKENAENAKTVAEYQKYIASILDVLGYKNSAETAKNIFAYEKDMAKSLLTVEQIRDANLQYNPETMDQLKGLVKNVDLPAYLTAVGVNTNKVIVGELNYVKNLDKFLTEQNIPLIKDYLKFHLISSNAGRLDQKLDDIQFNFYSKYLRGQKEQRAMNKRGLSLINGVLGEAFGKLYVEKYFPQQSKTEMLTLIDYLKKSFAKHINELTWMSSETKVKALDKLNKFTVKVGYPDKWKDYTKLEQTSVKDGGSLYANLQKVSEWSYQREINKIGKPVDKAEWGMSPQTVNAYYNPTNNEIVFPAAILQPPFFNIKADPAVNFGGIGAVIGHEMSHGFDDSGATFDGDGNLVNWWSDADLKNFKDATTKLAKQFSQYEPVKGTHINGEFTNGENIGDLGGVNVAYDALQMYLKDKGNPGKISGFTQDQRFFMSWATVWRTLMTEKATINQIKTNEHAPGEFRAFGPLVNTDAFYKAFDVKPGDKLYKKPEDRVKIW", "MNIITEKNILIENPETKSFLADAYYLENEGKLPLIIFAHGYKGYKDWGTWGLMAEAFAKAGYFFVKFNFSHNGTTIDDPDNFGDLEAFGYNNYMKELSDYQKVIDYFSNHPKVNSEKIAIMGHSRGGGDTVLQAQRDPKVKALITLAGVSNFVTRFPKNDRLEQYREKGVFYVINGRTKQEMPHYWQFYENFKEHESELDIQKAAQNLQKPYLIVHGTEDEAVKIKEAELLHKWAKNSELIIIDGADHVFGGREPWNSDVLPEDLEKVVNYSIEFLDRVL", "MKNIAILAFGLASGLYFSQEIKEARIKEIVSTLASDEMKGRKFGTEENLKAAQYIAEQFKQNKLDYCYGDSYLVPFTYKDGKTYYNVCGVKKGTEDSYIAFGAHFDHIGEAKEGEDKIFNGADDDASGVSTVIGLSDYYKGKKTREGLIFMAFNAEEIGLVGSKYLANDEKFQTYIPKIKALFNFEMLGTPSAFGLNKVYMTGHDQSDLDEIINANAPKGFEVAPDPYLSEKLFFRSDNVNFVKKGIVAHSFSTVDMEHQNHYHQVNDDINVINTQNLTQIVNSFAKTIDQLMKKDFKPKYVKPLQ", "MKSILPQDIPGQQLQTIMQTAIAPRPIAFASTVDAEGNVNLSPFSFFNMFSTKPPIIIFSPSRRVRDNTTKHTLHNVHDTPEVVIGIVNYPIVQQVSLASTEYEEGVNEFIKAGLTMKPADLVKPPLIAECPVNFECKINEIKSLGEEGGAGNLVICEVIKIHIREEYLNEEGNLDQRKLDLVARLGGNWYSRNTVDNLFEVPKPLVTKGIGLDKLPEQIRYSIVFTGNDLGMLANIESLPEGSFSDKKEIHEKAQELLLKNNIEEAWKILKIS", "MTDIKSHKDLKVWQESMDLVTDIYELVQNFPAEEKYNLTSQIKRSSVSIPSNIAEGAGRKSNLEFIQFLNITSGSLSELETQLEIAIRLKFITENEELFKKNFH", "MKSFVENSESTDFSIYNIPFGVAVFNQEYIACATRIGNQVIDLATLYDEGYFENIDGLDDNIFEAYTLNPFIELGKPVTTKVRERIQELLTEGSTLSKDEIAIESCFFDSEEVQMMMPLHIPNYTDFYSSIDHATNVGKMFRDPENALLPNWKHLPVGYHGRASSIVVSGIDIHRPKGQMKPADQDKPVFGPSKQLDFELEMAFITNKDTEMGESISTKDAENAIFGMVIFNDWSARDIQSWEYVPLGPFLGKNFGSSISPWIVTMEALEIFRTESPEQKPEVLEYLQFEGNKNFDIQLEVYIQPENGAENLISESNYKYMYWNMAQQLAHHTVNGCNIEVGDLYASGTISGKDPKSFGSMLELTWRGANPLKLSDGTERKFIEDNDTVIMRAYNEKDGKRVGFGEVKTKILPAT", "MKKILLVLTLISAGTIVQAQSYGAIIKKLDRLSSENSGKDYDEFILEGKKFITVKDSTDHSEKHILEFRPNNQVTMIEIIEDKSTKQEYSNIFTGDVVRNHNAVSVRLDKLEEKAMSYPLTYNLLLGYRKGYYYLTNINTREKWIEIHYLDKTKDSKKVQKRK", "MSTLTFAEKIAQAENFLPINGTDYIEFYVGNAKQAAHFYKTAFGFQSIAYAGPETGVRDRSSYVLQQGKIRLILTTGLRSDSPVSEHVKKHGDGVKVLALWVDDAYKAFEETTKRGGKPYLEPVTLTDEYGEVKMSGIYTYGETVHMFVERKNYNGTFMPGYEKWESDYNPTETGLLYVDHCVGNVGWDRMIPTVEWYEKVMGFVNILSFDDKQINTEYSALMSKVMSNGNGYAKFPINEPAEGKKKSQVEEYLDFYEGEGVQHIAVATKDIIHTVGELKKRGVEFLSPPPEAYYDMVPDRVGHIDEDIKKLQDLGILIDCDEEGYLLQIFTKPVEDRPTLFFEIIERHGAQSFGAGNFKALFEALEKEQARRGNL", "MYQYVSAEEAISVIKSGDRIFSHGSACTPNYLLNELANQSSRFKDIEMVSITQQGAVAIARPEYKDNFHINSLFVSTPVREAVNSDRGDFVPIFLSEIPILFKNNILPLDVAIITVSPPDKHGYCTLGTSVDIARSAVDSAKKIIAIVNPKMPRTHGDGMVHVNRIDKMVWHEEELMTIDYGSKVGEEEALIGKHVAELIDDRATIQMGIGTIPDAVLKCLGNHKDLGIHTEMLSDGVINLIKDDIVNNKYKGFHDNVSITSFCFGTKNLYDFVDDNPSIAFLDVQHVNFPINIMKNHKMHAINSAIEIDLTGQVCADSIGTYQYSGIGGQMDFMRGAALSEGGKPIMALTSRTKKGIPRIVPFLKEGAGVVTTRGHIHYVVTEYGTAYLYGKNLRQRAKALIDISHPDDREMLERAAHERFKN", "MRYHHNGKIPQKRHTIFKSEEGNFYYEQLFGTEGFHGISSLLYHTHRPTQIKEIKGVKDVTPKIAVEKNIIPRRIQGMKVQAEDDFLDSRKVLMLNNDLKMGIAKPRKSVTEYFYKNAECDELLFVQKGSGILKTFVGNLEFGYGDYLIIPRGTIYQIEFKDEDNILFFIESHSPIYTPKRYRNEFGQLLEHSPFCERDIEAPVHVDPIDKKGDFVIKVKKENQITDFVYATHPFDVVGWDGYFYPFKFNIKNFEPITGRIHQPPPVHQTFEGHNFVVCSFVARMYDYHPLSIPAPYNHSNIDSDEVLFYAEGDFMSRNHIDLCDFTLHPGGIVHGPHPGAMERSIGQKETHEYAVMVDPFRPLKLTEEALKVEDPTYKTSWLD", "MNIHFIAIGGSAMHNLAIALKEKGYHVTGSDDAIFEPSKTRLDKRGILPNEMGWFPEKLSADTDAVILGMHAHADNPELARAKELGLKIYSYPEFLYEQSKNKTRVVIGGSHGKTTITSMILHVLHFHQKDVDYMVGAQLEGFDVMVKLTEDNDFMILEGDEYLSSTLDPRSKFLLYQPNIALMSGIAWDHINVFKTFDDYIEQFRRFVATITPGGVLVYNEEDEEVVKVVDAAENYFRKLPYKTPEYEIINGVVNLITSIGHIPLSVFGQHNLLNMEGARLICQQLGIMEEEFYDAIMSFKGASKRLEKVERADGGTLYKDFAHAPSKVKASVKAFIEQFPKQKKHAFLELHTYSSLNPVFLEQYEGSMNGVEEAVVFYSEDALKIKRMEPISPDMIKDSFKNAALKVFTNAEDLHSYWNTLDKTDGVYMMMSSGNFGGLDLTK", "MAKKNIFTDAFGNVYFLKRFIIFVLGMISYRRFNGFNKLKISGSENLVDLPKTNVLFVSNHQTYFADVAAMYHVFCSVNNGYINTIKNPVYLLNPKIDFYYVAAEETMNNGILARIFKLAGAVTVKRTWRAEGKAVNRMVDLSEVENIMKALDNGWVVTFPQGTTSAFAQGRKGTAKLIKNQRPIVIPIKINGFRRAFDKKGLKIKVTGIEPTLQFKPALDIDYDNDSAADILSKIMHAIEQTPEHNVLHEYDQEIVAKKNAEKQQNKNEGE", "MKRLSNIIERFAKTELDGTVSHQLYSPPYRPLLSTDQIMALDPKLAAVNILLYPKENQWYFPLMVRSVNQHDRHSGQISLPGGKYEESDGNFETTAKRETFEELGIAMESMTIIKTLTPIYVPPSNFYVHAYVSWVAKKPKFLLQESEAQELIEMPVSSLFNLPDKPEMKVLSSTRGTEVPVIDYNGYIIWGATSMILSEFRDLMKKV", "MFTEHLHTAWLFIEKWYWVPLTIANIVIIVTILIENRNPPKTLAWIMIIVFVPVIGIILYFFFGRDFQREKYFKKIDKKQRQYILDQWKNLNDSIQQNMLDIENEIGDLSQVYQFLNKTRISPPSFYNETKLLINGEEKFPIFIEAIREAKDHIHLEYYIFEEDNIGNEIIELLIQKAQQGVTVRMIIDDFGSPTLAKHYKRFENTGIEFQVFLPVHFSSLANSNFRNHRKILIVDASIAFVGGINISDKYINHPNTDKLYWRDASVMIKGASVNILQLRFWLSWMMTDGAPYALEDQRYYCDWYAHTQGSSIVSFAVTAPGDEIQSSMESLILGITLAKKKVQICTPYFVPTDSFKTALSIAVSKGVEVEMMIPKEGDSFIVQNASLSFMKPLLKRGIKLYLYEKGFLHAKTVNIDDALAYVGTVNLDNRSFLINFEINAIVHDHELLKRLDQQFEEDKKVSSLMTIEMWNKTSVFKRGLASVCRLLAPLL", "MLEFLNGLQPLEKGFWYVALISSVIFAIQSIMTFVGGHDADGINADFSGDLDHVDAPFQFFSFRNLINFMLGFGWTGVVFYNTIGNRFLLIALATLIGCLFVYLFFLMIQQIMKLSEDNTFNIENLINASGQVYIPIPENMNGKGKVQISVKGAHHELDAMTEGGDRLASGTSVIVEKIKDKILIVKKLN", "MLGEFTLVIVAVVVLFVTFIALISRYKRCPSDKILVIYGRTGGKSARCIHGGGAFIWPVIQDFAYLDLKPMSIEANLTNALSRQNIRVDVPCRFTIAISTEPDSMGNAAERLLGLSPEQIQELSKDILFGQLRLVIAMMTIEEINSDRDKLLENISNNVDTELKKIGLKLINVNITDIKDESGYIEALGKEAAAKAINEAKVSVAEQEKMGETGKADADRQKDIQIAEFNRDRDVKIAITSKDKEVSIAAADKDQAIGKAEAERDARIATSMANSLAVRGENEAKITIANSDAERREREAEALKLATAAEKVQAAKALEESYVAEQKAEAARAERERSTQNANIVVPAEIAKQKAIIEAQAQAEKIRLQAKGEADAIYAKMEAEAKGLFEILTKQAEGYDQVVRAAGGDTNSAFQLLILEKLPELVKTQVEAVKGIKIDKVTVWDGNGNNENGNTSTANFVSGMMKSVPPLNDLFNMAGLNLPSYLKGKPEEKEIVKIIEQKAQEKKNFDDMRDKPQDKKDEGQNPEQK", "MKIVLAYLMFSISITFISWIVGMIINALLKKTASYNQELVNFNFIKSEKLNKAIGIGIIKWIVKNTFFKFFNPKLKFGRSVNLKELKTIRNEMTKSEIEHLIAFVFASFFAIAKFYNHNYLFCLIIMIVNILMNLYPSLLQQQNKRRIDKLEIKFQK", "MTQSIGRAITGSFLLLFCFGLLQAQEIKVLTYNIYHGEKNYERGKSNIETIAAVINEYKPDFVAMQEVDSMTVRTASFNNGVRKDLVQELAKLTGMYGHFGKAMDYDNGGYGEGILSRFPDKPTVYQLPIPKGGEERALITIQHTFPNGQKIIFGGTHLCHEFEENRIAQAKQVASITTTKAIPAIILGDFNITPDSKPYKAITAKMNDAAILHGNPQLTFPYHKPKYRLDYIFLNKNSKWKVKDVKVIKNDASDHMPVLVTLSLE", "MKKELIISHEDDTSKIALLEDGRLFELHQEEQNNQFVVGDLFLGKIKKLAPNLNAAFVSIGYEKDAFLHYLDLGPQILSYQKFVKDTISKKQQNSSLKNFAVQKEIPKDGTIDKVLAAGDSVLLQITKEPISTKGPRISTQISLTGRFLVLIPFDNKVSISKKIKNNQEKERLKMLIESIRPEGFGVIIRTVAEGKKVAELHNDMNQLITKWESCFKNIQKNKVPSKVLSEEDKASAILRDNFNQDFVNIICDDEQMVNDMKNYLEVIAPESKNIVQLYDSHIPLLEYYNVEKQLKQSFGKHVNIPSSKGAYLVVEHTEALHVIDVNSGNNISASQTNKLHALNVNKMAATEIARQLRLRDMGGIIVIDFIDMTDPEHRKELFEHLKEEMKRDKARHKILPPSKFGLIQITRQRVRPEKQIETKEENPNKDGEIVAPIVTVEKMEEAIRSFLTKEKGRLYLHVHPFVEAYLTKGVMSIQNKWFLRYKKWVTVIPRDSFKYLEYALYNSKKKELMSDSN", "MPSGKKRKRHKVATHKRKKRRRLNRHKKKK", "MTKAELVNTISNKLGVEKNDTQKVIEAFMQEIKTSLYNNDNVYLRGFGSFVIKTRAAKTGRNISKNTAIEIPAHNIPSFKPSKMFAEKVKTKVKVENK", "LKNNIEKAYFLKLTRKILSWYDLHGRNLPWRETQKPYNIWISEIILQQTRVEQGWNHYVNFIKRFPTVKELHEAENDEVLLYWKGLGYYSRALNLHKASHQIIEEYSGTFPDTFSELQKLKGVGKYTAAAIASISYGERVPAIDGNFYRVFSRILADDFDIASPKAYSYFYELILPFVDKERPGDFNQAVMDLGSQVCKPKNPDCINCPVNEECLAYATNRIQELPVKSKKIKVEILDLHYYYVKYGEDFLIKQRDESFIWKKLYEFPVEIPDELKGCIVFEKKVQHKLTHKTLNITFSRVEADNEKSFQKLSVSGNYIIVDKEQSHQKSFPKPLEKIIQEW", "MKLLSVGTVAFDAIETPFGKTDKILGGAATYIGLAASVLDTDVHLVSVVGGDFPDEYLEMMKGKNINVDGVEVVEDGKTFFWAGKYHNDLNTRDTLATELNVLENFDPKIPEGGADAEVLMLGNLHPAVQLAVLERMKTRPKLVVLDTMNFWMDLTWDLLMDVIAKTDVITINDEEARQLSGEYSLVKAAKKIHALGPKYVIIKKGEHGALLFEDGKVFAIPALLLEDVFDPTGAGDTFAGGFVAHLAKCEKFDFESMKTALIVGSALASFTVEQFGTEKIQTVTPAMLKERIKQFKELTTFEELV", "MKTKSLISLLTIAAAHLGFGQSIVINNDAMPVAKFSKEYEAGLKNQGIDQTIDSYINFKLIQDYSKSLKADTTQNFRTQIGTRLNELKKESYYPKELETKFLNDYIAANQKEKQIQVFFAKKEEGVKKDFQKIYNDVRLGKMTMDQAIQTEAKGDAKPLYIKAGVLSAELEADVQKLSIGGYSKLIDTPDNVMFVKVVGERPSLGYLIFGTLSYPNDANAEKAKTEIYKALSSGKKFNEVTAEFGSNDNEKKNGGVVMGSPVLPEEAYAQLKTLKEGDYTKTPILIENKWFIFNIYSKRPYQVTPDTKEFFFTDMMNSQYGNAFYDAFIDKLKKSSGYKESAAAGKIKASYAEFKKLVNDKEPLYTYNGQQFTVGDFKTQIKDHTADIEKMDNQKWGQLVDMMGRNFLMRSYTTEFENRPEIKNQLEDIKKNLYSNYFYAEYLKKEISLHPEWSAEYYNKNKDKFKKEAAAKGRVIIPANEADVDKFVKAIKNPADWEKLQAEYKGKTNDKKQPLANFNEGEMVESAEVFTKYNVPFKTGVYTAKIGGRTLIIANDEILPAGFMTQKEAEESGELEELVTSEQIKKILADLKSKAKITIEPGFVSALQKNFKK", "MTKNFRFFFILSFLVTTFAGTLLKAQLKQGQLVDGIAAVIGNEIVLESDIEEYINMSKQQGSPVGDKCEIIESIIHNKLLLFHAKKDTLIQNRSKELKADADNRFQQMLSGFPSEKDMLNAYKFRTAYEFKAAIEKISSEQYYQGEKYKLITKGVDITPSEVSAFYDTYKTQLPQVNDEVKLSRIIMYPKLTDAHKQEIIDKLKKIKAAIQGGESFENQARIYSEDPGSASNGGLINNVAKGMMVKPFEAAALNLQEGEISDPVETEYGYHIIQLIKKSGKIYDVRHILIASTPNAEEIKAAKNELQKVKAQIVDGTISFKDAALKYSDDKSTKFNAGVMTGQDGSDNLEKTKMDPVDAYQIAGLNKGDITEPYEIEEGQSKKKAIELIQVNDIVPAHTLDITTDYERIKSIALNQKKGNIVDQWIKSKLPDTFISINNRYKDCKFKTDWKRESLMK", "MNRIKELFDIKYPIIQGGMIWHSGWRLASAVSNCGGLGLIGAGSMYPDILKENIIKCKAATDKPFGVNIPMLYPNLDEIIKIILEEKIKIVFTSAGNPKTYTEILKKEGIKVAHVVSSVKFALKCQDAGVDAVVAEGFEAGGHNGREETTTLSLIPNVRRQVSLPLIAAGGIALGSQIKAAMLLGADGVQIGSRFAATKEASSHENFKQKIVETQEGGTHLTLKELAPVRLIKNKFYNDIEKLYESGRDTEALKAVLGRARAKRGMFEGDLEEGELEIGQSSALIDSILSVEEVFEKLIKEFREAECPEL", "MKEKTQKSEYVSPDIRVFVVEMEQGIAAGSARVVPPNNGGVVQEEWIQGDDDNRTIEW", "MMKKNTIFRSRITLLFLVMIMVFSCRSNDDVVSGGDKQATLKINLQGIDSGVQNLLGNGVMKMASVGNKNFAAPIEQYSEVAFNKDFKMIATLTPVTSSGRNTAKAAIGPMSAAIPAGSQELKIGTKYRIIVYSADGAWLGVRNYIYGQDLPEEGNHFPVNVGETLTFVCLSANSDTVSPSFTPTGGSYAYNDIQVSNADYDLLLFKKTIKITEGTNYLDVVFKHAFSEIITTINTAAIGNIEAISDGVVTPNYTSANVKMSDYFNTDNTVNANNIIWNGSAGSASVSFTGALPGTVVKATTILRSPVTANGEMIIPSITIAGVTKTNFKISGSKGLNITPGVRYNLNLTLAPASGHDVGGDIIWAPANLIYNNGNYGFAANQSEFGDHWYFNAVKPLETAKEVTEAYKLPADVTGSSVYRIEEDPCAKVTAYGGGWRLPTQKEVVDSAGKNVYTFPGYYNGVKGIFIGTDTQPVPADYDKYLFLPLAGFGNTYNAVKASVEARYWTSTELSAENFYDFSFNSGGVTIGTGQYYKYGESIRCVKRK", "MNNNINKVLMMSNFQKEEYVSPEFKTSLVEVEQGIAASSAIVLPPDSGGVIQENWDDDSNDDRGVEW", "MNNKKITIATLALSLSMVIISCRSTDNAVDGKGSSGNSSFLEGTAMLKVDIMGEEFETGSDNSSPMASVKGNVGSVTLKEQTKTVMVDDKALVATLTPVIPSLSTMAKASSGAMAAVTPITGSNIKYRMIVYKTSDGSRIGSKTYTINAGVSVPDDGNDLYLDHAAGNYSFVILSYGTNVLPADVAGSLGSASLTGISGDSDLMYFRKDNVTLVKGSNPLNAVLQHMFSQITVKLDATNVSPGNGIQSITPATISRHRTANNSINLSDGTITYSATDIGSRTLDFSGNATTSAVWTAKPALIVNPGTGGAEAPALTLTNMTVGAKVKTQTINGLLVKPGAKYNLNITFKCTSDALPTYNFTMSDPGGGSRNGNVITQRFTPDAPAADAGFTFEVYKLDNSFNLSINSQNISNNEVQFEYISQGQTYPQNIRFKSDRALWGISPGVSQIYNMDGTTTAPKTSIAQIIIATDGTVTMMGRRSLTLPLEPIEMYDPNTGTPSGTGSARAISIAKTATVVPFNKVTWNSTATNVVIASMIIQNATALEAFGHGSKIIPCQ", "MMKNDQKQEYFAPVVEVLLLEMEQGVAAGSAKVLPPNSGGAVQEEWIQGDDDNRTIEW", "MNFKLQAAGYSLLALSFALTSCRSTDNSIDESGINKSAFNVKINLKGVENVEELPIMQASANHRGINPGTIQKAVIPFDGDTFVTATLTPKSSILTQAATKSRAARVVPKELADGVKYRVIVYDKNGAYKDYKEFTYKKNETDGFILDGDQNYTFVAYSLNTTAATPNTVVDKSPLNTAKIAGINGDLMYFKKNMTVTGNKDNTLDIVLRHQFSKITTKLDARQVGNISVVNNAVLTPAFTSADLDFATDAITYNNSITSGQTVNFPAPQNLPTVTSDETQVIAKTNTTTPEGILNIGTVTLDGVSKSLRVDKVNITPGVKYNLNLRFGPCRQDIDPKEFAVKDGVAQDFSFPATDFGFVFDIYSLDNSFNLTINGVQLAVNEIQFQVGNATYPQNIKFQDGSAWQYGGIAPIYNMTGTKDTPLVRVVIGKDGSVSMFGSKVSNGPLFPLVLNGNSFNKITWNTTGTNTVRATQRVEGATNMSGQGTGKRIITCTP", "MIEDVLKAGNYHLIDVREPMELEMNGAIDEATNIPLGEIEERQDEIKGLEGNVIFFCRSGNRSGKAMEFFKAQGLGNVYNGGGYEDMQQSLDNAK", "MKKILLTGGLILSFISCSAQKADHDTKDLVNATAWMQNAGEYKALTIQAYQLAQIRLAQILTQEVSEKPRAIVLDIDETVLDNSPYQAYQIENKKNFSQEDWNKWTRLAQAEPIAGALNFLNFTKNNGVEIFYVSNRSEAERVPTLENLQKKNFPYADNDHLILKTDKSSKESRRQKLSEKYNIVLFFGDNLSDFSDMYYYNNDGKTSSEKVLEHPELFGSKFIILPNAMYGDWESSMYKKNTDKKLSNEQVKMKSLRSFTTQNINQ", "MNLAEKNTAIIKQKAKDFGFLACGISKAEFLEEEARSLENWLTRNLNGEMRYMENYFDKRLDPRLLVEGSKSVISFSYNYFPRKVLNTDDNFKISKYAYGKDYHEVIKEILGEFVAELQEEIGEFGFRVFVDSAPVLERAWAKKSGIGWVGKNANLITKGEGSFYFLAEIICELELVPDTPVTDHCGKCRKCIEACPTDAILDNQIIDGSKCISYATIELKEEIPEHFHGKMQNWMFGCDICQDVCPWNRFSKPHQQPLFDPNESLQNFSKKEWKELTQEIFSEIFRKSPVKRTKYAGLKRNIDFLSDKNP", "MKRHITYIAASFLISGMMTAQNIDLNAMPKPGPTPSINVTSPQSFKLSNGLTVLIVENHKLPKVNVTLRMDRPPVYEGKVAGVNSIMASQLGNGTTTMSKDDFNKRVDFLGATVNFGSGSAYANSLSKYFPEVLGLMADAAINPKFSEEEIQKSKERSLESLKNNEKNAQFLSSKISNAITYGKNTARGEFDTEETIKAIQLKDVQDAYNKYFTPNNAYLVVVGDIKFGEAKKLIEKSFGAWKKSDFIIPNVDPAKNVAKTEVDVINVPSAVQSVVSVENITTLKMKDPQYFAATLANYILGGGGEARLFMNLREKNGFTYGAYSSFNTSKYSPSFSAGASVRNDVTDKAVKEFINELNGITTIKAEELANAKAKLKGDFIRSMERPETIANFAVNSAIQNLPKDFYTNYLKSIDNVTIADAQNAAKTNILPNQSRIFIAGKAADISEGLEKLGYPVNYYDKDANKIEKPATKKVDASVSVASIADKYINAIGGKTAIAKLTSISSEGSATMQGMELIIKSQKALGGKLLQEISAMGNTAQKMVFDGKDGYMMMMGNKTPLPEDIKTALLKNTSLFEELDFAKKPELKVSGIEKIGGEDSYVIKDGKNIYYYSVNSGLKTGETKTQKMNGQEMTIPTVYSNYKDVNGVKLPHTLSQSMMGQDLVTNIKSYTFNTAKDTDFK", "MKKGILSFASLAFLGVLMNAQEIKFEEYDLPNGLHVILHQDNSAPVVTTGVMYHVGSKDEVKGRTGFAHFFEHLLFEGTPNIKRGDWFKIVSSNGGTNNANTTQDRTYYYETFPSNNEQLGLWMEAERMRSAIINQIGVDTQREVVKEEKRMRIDNAPYGGIIYRTSIYPHLFDKHPYGGSVIGSMEDLNSAKLDEFQAFYKKYYVPNNATLVVAGNIKPAQTKKWIQEYYGSIPKGTVNPKNFPKDEPIIKEKEVTTTDANIQLPAYVFAYRTLSNKERDAYVLNMLSAYLSGGKSSVLYKKLVDQEKKALEIASISDGLEDAGVFSFFAIPMGQTPKQVLQTEIDAEIKKLQTTLISQEDYQKLQNRFETQFVNQNSSIQGIAASLATNHVLLGNTNLINKEIDIYKSITREDLQNAAKKYLNPNQRVIINYIPEKK", "METTQISKQETFMGKEDLLNHWLGHRNLTRRVIEKFPEKELFEFSVEGMRPFSDMVKELLSIAGPGLYGIVNRSEEAYNHDLNLNTKAELLAKWDEETPVIIDLYNKIPEKDFPKNYNLFGQYNFPIKDNILYFIDNEIHHRAQGYVYLRALGIEPPFFWER", "MSSDIVKRFDRIIAIFIHLQSRRTVRAQDLADRFEVSLRTIYRDIKSLEQAGIPIYSEAGTGYELMEGYKLPPVMFSQEEALSFIAAEKLMDRFIDEGLKQNFLSATYKIKSVLRMSEKDLLSTLENQIIIQKPPHSTSVKKAPDHTMETLFNAIAQQKQVRIDYKGVQDSKCQERIIEPVGLFYEQGYWYIQAYCLLRNDYRQFRTDRISGIQILEIGFSKKHPHLKQLLPEKKNEHKGTEAIIRVHNSFAGYMKWDRHYYGFVSEKDLGEETEMVFSIRDIDNGFPRWLLMFGDRLTIIKPESLKNDVQDLLETQLERIKNSGQ", "MNSILNIIKKLRPIHRVMLSIIISGLIFIIVPSVLPVLPRILITWLGFAITYISICWITIFKMSVTEIIRKASIEDGSKTFVFLFVILASFACLFTVLLMVMGFNDKNISQWFMVLIAVGSMISSWALVHTLYTFHYAHLYYKTKGGKGLDYPGDEKPDYLDFAYFSFVMGCTFQVSDVEISSKEIRRVALFHGLLSFALNTFVVALTINIIAGLIH", "MTIYNTKEWFKAVVFIHKTDTFRKLFPYIIFMGLVSWGIAYWELESLKLSDKSWVKNITTVHSLLGFVLSLLLVFRTNSAYDRWWEARKQWGALTNTSRNLAIKLNAYLPKEDTKNRNFYRKAIALYPQALFSFLRSDYSTFMLDDIQHPELNFDSKHGPNQVASLLYNNVNQLYKNKIITDAQFININQDITDLTNICGACERIKNTPIPYSYSSFIKKFIVLYVITLPFGFVFSMGYFVTLVVPFIFYILASLELIAEAIEDPFGTDADDLPIEKIALNIKKHVGELI", "MKKGLLALAFGGLSIGMTEFTMMGILPDIAGDIGVNIPVAAHLIALYALGVFVGAPVLVLFTSKYPPKKVLILLMLMFFVFNGLFAIAPNHWSMQLTRFMSGLPHGAFFGVGSVVAAKMAPKGKEAQYISIMFTGMTIANLLGVPLGTFLGHHYSWRITYGIICFLGLGTALSIFFWLPNIASNNSENIFSQLSYFKRPEAWLLIVLISVGTGGLFAWISYIAPLVTNVSGIAAGKVPFIMILVGLGMCFGNLLGGKLADSISPTKAAMICFSSMAVCLVVVYFTSPIEWTAYPMAFITGLISFTIGAPIQIMLIRTAEGAETLAAAAGQASFNMGNTLGAYLGGIPITLGFAYNTPVLVGSGMAIMGVMLTYTFYKTIVLKKYKHLTR", "MKKTLLSLFLCSQIFSNAQSFDLIPLGVHGGGEENNLSSYLIGETGKNSFLCMDAGTVRAGIDKAIEKGVFSVSNETVLKDYIKGYFISHGHLDHLSGMIINSPDDSKKNIYSIPETAEILKNRYFTNDAWINFANEGDKPTLGKYTYRKMDTNAPFSIDGTSLTGRIFPLSHVNPYKSSAIMVSGTQQASVLYLGDTGADRVEKSNALQNLWQNVAPLVKSKKLKAILIEVSFENERAENTLFGHLTPKLLNEELSFLAKTAQQKDLKDLKIIITHLKPGGNRIETIKKELTENNPLKVQLIFPEQGQKIQL", "MVKKIITLYLSICIGSFILAGILLYANIGNEKELYGNLFDQYQSAIYKGIAIMCISIIPIFLNIYQWIRQKPLLRFISFFLWPVIIYIFETARSTPQQLKNTSITFFSVFACLLLGYIYFQRTIKKEQNPVK", "MKRIILPLAVFALVVSCNKKTETTTTTSTDSLTTKTESGTTTSTTTTEVKAEVPKFSNEDVNKGLAEYQQLLTDYKTAIANKDQAKLTELAAKFQTWSQGAAAWASKLKPEEQKQFSDYLMKASKEWTDAAQSAAK", "MATKWNLDPSHSEVQFKVKHMVISTVSGELQIFNAAIEAENDDFSHAKINFSADVNSINTKNKDRDNHLKSDDFFAANQYPEIKFTSTSGIENGKIAGNLEIKGISKPVVLDADFGGVINDPFGFVRAGFEISGKINRKDFGLSWSQTTEAGGLVVSDEVKLIANVEFTKAQ", "MELNHLKSFTNGLPNTEKMPVLFLGHGSPMNAIEENDFVSGWRKIGQSIPKPTAIICISAHWETSGTKVTATPHPKTIHDFYGFPPELFAVQYPAPGSPELAAELSEVIDITSVGLDKMWGLDHGAWSVIKFLYPDADVPVIEFSIDVHKSPKEHYELAKELQYLRHKGVLIVGSGNIVHNLRMMNWHQPETGYDWAIEANDTFKNYLLQDKQEDLLKFQGISSANQLSVPTPEHYIPSLYAYALRDNNDSIKLFNDQLIYGSIGMLSFQIG", "MDIKPEITWSDFEKIDMRVGTIISAVVFEKARKPAYQLEIDFGDLGIRKSSAQITDLYNTETLVGQQIIAVVNFPKKQIANFFSECLVLGIVGTNQVITLLQPEQKTTNGLPIA", "MSNDGYLQSVKKQFSYYKALAEKTFAQLTEEQLFWQYNEESNSIAIIAKHLAGNMLSRWTDIFNTDGEKEWRNRDAEFENDFQSKVELIEFWNKGWNIFQTTLESLKDEDLEKVIYIRNQGHTVLEAINRQLAHYPYHVGQIVFIGKMICNQNWESLSIPRNTSADYNQNMFNKPKHRAHFTDETLNTNKK", "MELFEREIDSTANLLPKDGTVNYYGKIFSPKEADYYYQLLLSEIEWRNDEAIIFGKKILTKRKVAWYGDIPFEYTYSNATKTALPWTENLLILKKIAEQTTGETYNSCLLNLYHSGDEGMAWHSDAEKDLKKHGAIGSMSFGAERKFAFKHKKTQEKVELILEHGSLLVMKDETQDFWLHRLPPTKKFFKERVNLTFRSIVK", "MIEHIDISDSELRNKIRRKDILLAGNRKLKIYGTFSCTSGKRMKRENRIFFSSESEAIDNAYRPCGHCMKEQYSKWKNGII", "MATLIQKIKDIDWEKITEDMHQNGYAIIPSLIDNDSCEELKAGYEKTGTYRKRVIMERHRFGLGEYKYYDYPLPEIIQNVRAHIYPYLAPIANTWFKALQIDKQFPLVHEELLSECYANEQKKATALILKYGKGGFNTLHQDLYGDVYFPIQVVLMLTQPEEDFTGGEFVLTQQIPRAQSKAIVLKPNKGDLLIFTTNFKPEKGIKGYYRVNVKHGVSEVKNGNRYTLGIIFHDALN", "MKPQADINFQRVAEAIEYIQLHFKEQPSLEDIADNVHVSPYHFQRIFSDWAGTSPKKFLQYISLQYAKDLLKQNATLAEAAYETGLSGTGRLHDLFINIEGMTPFEYKNGGESLHINYSFFNSTFGSVFIASTTKGICAMTFYKNKVDAVQQLKKQFPNAILHEQSDAFQENALSIFSKDWQQINTIKLHLKGTDFQLKVWEALLKIPLGELTTYGYLAEQINNPKASRAVGTAIGSNPVAFLIPCHRVIQSTGKIGGYMWGPTRKTAIIGWEQARVNADL", "MKKSNITKMPGYYDRYINLVDDIEILDAFDQSIQQIDQINIDQLLQLGDNVYAPEKWTIKQIIQHIIDTERVFTERTTRFARQDGVTPQGFDENLFADNAKVSHRTLESLLKELKSVRIASKAMFEGFDDETIQHSGNNYNTEMSVLAMGFTMVGHQIHHFNIIRERYLPLLQN", "MKNWTFRKWNNSLGWLIFGIALITYFSTMERKLSFWDCGEYIASAIKLQVTHAPGAALFQLIGATLSAFAFGNGQNYALIINGMSALCSAFTILFLFWTVTHFAKNFFQSSQKELNANQTFAVLFSGIVGALAFTFSDTFWFSAVEGEVYAMASMFIALILWLICKWEEDFDQKDNQRWIILIFFITGLSLGVHMMCMLAVPAVCLIYYTKKYKFTWKGFFLANLITLVVLAMVFKVVFPMLMTIFSRSEITFVNGFGLPFHSGTIFSFLALVAASYYILKFARKRANNILQTITLSSVFLIVGFFCWLVLPIRANANPAINLNNPDSAIGLLDYYNRVQYGDWPTTYGENYTAYLDPDGIEKNDDGSYKTVKTGNVYVKNEQTGRYDLIDTRDNLVYNKNQISFLPRMFSNDKTVIPNYMSMYGAPEFSFNYDNEDIANDKDAFEAFEAMKKKYNEGSITYQDYINAKKYNLIHVKKPDFGQNLNYFISFQNGYYFVRYLLWNFVGRQNDLQGEFQDNRGNWISGFSMLDNALYGDQEHLPSQFRNESTVKFFFLPLILGLIGFFFQLNKDFGRFYAILSLFILTSFGIIYYTGVKPFEPRERDYAVVGSFYAFAIWIGLGATALFYLVQLKLKKTNISWAVGALLLGIPLMMGLQNYRPHDRSQKTAAYDSAYSILKSLPKDSVMITYADNDTYPTFGLQQTENFRDDVRIVHQALVSKSWFINQTMRKVNNSEKLPLRLTQEEYQEGTNEQVYLMSKEEWKSIFDNLDAQGENSKALASFRKYITQDSMTFQEAIAFLKMKSEEKDQILQMIFAGDKYAKLNFLPVHTFTLPVNTKNAVEAGIIKPENAAFTEKNLIVNYTDNTMYKYNLFLMDLLANFDWKRPINFSATGMSDPADIFFLRDYLQFDGFSYRLVPIKTTQEDTPRGDIGRVDAEELYKTVKNFKWGNFKNLYNHYDEIATSNIMSYRLTASRAAQALVEKGDKKRALEVLDLASSEIPFEKYNDPRSVDDMVYSYLLAGNEKKALALAAKVQSNTLQDYNYYKSLDKEHQKTMKKDMASQPYYYSMIAKSIANAYSKNGEKEKGLKYLLNALKPVDSEFRAYLVQLQNTKAEHKQEKMDQVQEITPFYEYLFDAIQPYDSAYASARMKQMNSDIMKAVQ", "MNTDNKLHIEVWSDVVCPFCFIGKRHYEQALAQFEHADDVVLEWKSYQLDPEFVQPGERYDLEQGLAKKYNRPVEAIHAMQQQITNTAKESGLDFDFSKAVTFNTFQAHRVLHKAKEKGLGDKAKEAFFSDYFEKGQDLGNTDVLKAEALKIGLTEEDFQDAISNDEYAYKVKQDIQEAYELGVTGVPFFVFDRKYAVSGAQPSDAFLNTLKASYDDWKEKQKTTPFINVSEQGASCDINGNCD", "METFIKTLIYIHAFFGGIGLIAGFATIIVKKGSSNHKLFGKVFSAGMLVSSLLSLIVAQMPHHKNLFLFLIGIFTIYMILAGNAALSFKSRSKESASVKDYAISYIMLLSGILMIIMAVINWSVNQQFSILYLIFGGLGILMSSRDISFYKNLKNVRNKWIHHHIGKMSGALIASVTAFIVAGLHYGNIIGWLAPTVLGSFYISYWIRKTKVKTKTSNY", "LGKQKFLYFSGHYTKLLTGDIYMTESFVLLMLLGFGIGTLGTLIGAGGGFILVPILILFYPDLSPESITAISMAVVAANASVGSMAYMRTKRIDYKAGIIFAIATIPGSILGVLTTKHIPKHQFDNIFGIVLIILSIFLFLRGGKEKKNNSEKKVTGRIHQKITDKYGETYEYIYNMKYGIALSIFVGFFSPLLGIGGGIIHVPAMVEWLQFPVHIATATSHFILAIMSTVSVIVHYFEGSYNDPKILKMVAALILGVIPGAFLGAYFSRKVKGKFIIKALAISLALVGIRILIASMHLL", "MIKKNELKRSFHKMDYLPPRIEVEFLEIEQGIAAGSATTIPPNMGGKVNQEWETIPDETHEVEWPY", "MIKNLNTQKYSILLVASCLWVTSCRSTDTAITEESSNGTLPNVIVQLIGTETGLDIPEKTASITNKKTSVAKVQEIVAPFDGMTTVTATLTPEEKPALRSQASINPMAVTTPEITELDKDIKYNVAVYDTNGNFVNEKTFTYQQDDNNGFNLNGGQNYNFVAYSINSKTTVPAVSNTPRTLATDKLNNISGDLMYFKRNMTVSGNGTNYIDVVLKHKYSIITSKLDARGVGIISAVGSANIGPVSSSANMSLSNGDLTYNTTPGTTVPVVNFKTFNNETVTSDPTLVISDNTSTAKLTVNNIVIDGVMGNVALNNVKIIPGVKYNLNLRFSPCRESIDPTPFSVESNSSSVGTSKIFNMPATDFGFVLDITNLDNSFNMIINGTQLAKQEIQFEKAAGTQTVGFQDGSRYEAGGIPGIWTMGAGSNRPGTIIRIVISKTGQVSMYGVKTGDGGTQLYPLVLINGNSLNTVTWNTTSPNTVEVNQKPYGGYTRIGGTGTGKKIVQCVQ", "MRKILVWSLKLIGSIVGIVVLYLLLGYFIPFIPVRAEKTDDPKLIEAYIMTNGVHTDLVVPVKHELMDWSQKVLFSQTKGKNTDFNYIAFGWGDKGFYLDTPTWADLKFSTAFKAAFWMGQSAMHATYYREVKEGEDCKKIMLTATQYKRLIEYIDNKFDKDQQGNYMFIPTNAVYGNDDAFYDAKGSYNFLYTCNTWANDGLKAAGQKAALWTPSDFGIFRHYK", "MSYDIQLFRNETKEREQQSKDENFFDHEENLEVFTEEQVNKLKKRLESYGYRLIQKNEYGLEYRNNKHEVRALLTNRGLYFTAGWNQDAIFEAGMTTSEFTDTEEFVKYDPQNGGWEEF", "MIRKMVGGKSFKENHKMLKIEIRVAEKKDLGTIQRMCADTIAAVCSTDYNEDQIKVWISGIENTPRWNDNLSGQYILAAEYDGEITGFISLKDGYYIDYLYIHADYQHLGIAKRLYKTIEEKALLENQSRLMADISITAKPFFERIGFKIIRQQQVKLKGIELTNYKMEKSII", "MEIDFNKNKDGLVPVIIQNYLTEQVLMLGYMNPEAYNKTKEEGKVTFFSRSKNRLWTKGETSGNFLEVKEILLDCDQDTLLIKVKPNGPTCHTGSVSCFGNQSRKGFLYELQQVISDRIDNNIESSYTNQLYKRGINKVAQKVGEEAVEVVIEAKDNNDDLFKNESADLLYHYLILLKAKGFTLEDIEAVLRERH", "MLKKRIIPCLDIKDGRTVKGINFEGLRDAGDPVVLAQKYVEEGADELVFLDISATQEKRKTLADLVERIAQEINIPFTVGGGINSVEDAATIIKAGADKISINSSAVKNPQLISDLAARFGSQCVVVAIDTKSMNGTEKVFVSGGKIETELETLIWAKEAEKLGAGEILLTSMNADGTKNGFALDITQQIAQLVNIPVIASGGAGKMEDFKEVFEKTKASGALAASIFHFGEVPIPQLKQYLTQQNIPVRWK", "MKYLKLIGILLLFSAKLKAQTDAINIIQRPIEFNQERIDLSLDYMRTHYGIVQKTPTINPLMIVLHYTEVGTLEHNIRYFNNTYLNGRKNLSRESALNVSSQFIIDRDGSIYQLMPPTQFARHTIGLNYCAIGIENIGGSKQPLTEAQAEANAKLIRYLTKKYAIQYLIGHSEYGIFRNSSLWKEKNPNYFTEKYDPGASFMQKVRTKIQDLHLKSAP", "MRIIPAIDIIEGKCVRLSQGDYDTKKIYNENPLEVAKEFEDYGIRYLHLVDLDGAKSKQIINYRTLEELASKTSLQIDFGGGIKSQKDIDIAFECGAKQITGGSIAVQESEVFTKWIEVYGSEKIILGADCKNRKIATHGWLESSELDVIDFIRDYKQKGISYVICTDIAKDGMLGGTSNELYKEILADTAIKLIASGGVSNIEDLYLLKEIGCEGAILGKAIYEGRIKLKELRKLIS", "MKKLYVSLLTAFTILQVSAQDKSYFLSSPSLSPDGKTAYFAYDGDIWKVDSNGGNASRITALEGEEINPRVSPDGKWLAFSSNQYGNYDVYLMPVEGGTIKQLTFHTGKDEVENWGWDSKTIYFTSSRNNNFGSFKTTIEGKTPQKLFNNYFNNTNGLAETPAGEYLFTSSMESANQTYRKRYKGENNPDILGYNPKANTFKQYTNYEGKDFNPSVDKNGVIYFISDENNNEYNLYKIENGKKTALTQFDTSIKKPFVAANGSKVIFEKDYQLYIYDVASKNTKLLNASLNTNKTLEKEQNFSVDNTISYYDVSPDGKKMAFVSRGVLFVSDVEGKFTQQVSDGKERVMEVKWLKDNRTLLFSQTDKGYQNWFTISADGKGQLKQLTHDSRNNRSITLNNDLSKAVYLSGRDEVRLLDLKTFTSNTIVKDEIWAFQNSRPSFSPNNEYVLFSAKRNFELDIFVYNIKKGQTINLTNTGVSEEDPYWSPNGKYIYFASDRTNPSYPLGMQKSNIYRMALDWFDEPYKSEKFDKLFTDEAKETKPADTAKDTKNSKDKKAKETKKEEVTDKKEEKEPVTKELKVNPEYALERIELVTDRYGYQEDPTVFADDKKEILLYNSNQDNGKRQLYKKVFTDFEPAKSEKIFDKAAYYITKNNKNLFAIIEGNIYKMSLAALKPEKVNIQYTFDKNLASEFTQMYDETWTGVEENFYDEKFHGINWKAKKEQYAKYVPFVNNRNDLRILLNDLLGELNSSHTGFSSVGKEETKQLNYFTNETGIIFKKDQPYTVESIVRKSPAFLSGVDIKPGDQLVAVNGKNIDTRENRESYFATPKKLDELVLTFNRGGKNITTKVHPVSNMELKGLLYDDWIFTNRQRVNQLSNNRIAYSYMKNMSTDELDRFLLDMVEQENRKDAVILDLRYNTGGNVHDKVLNFLSQKPYLQWKYREGKMTTQPNFAPSGKPIVLLINESSLSDAEMTAAGFKALKLGKIIGQDTYRWIIFTSGKGLVDGSSYRLPSWGTYTLDGQNLEKTGVKPDIYIKNTFMDRLQGNDPQLDRAIQEILKDLKK", "MIAIVKYNAGNVKSVYNAVTRLGYDAVITDDPELLKNADKVIFPGVGEASSAMKYLQERGLDKIIINLKQPVLGICLGQQLLCRYSEEGNVDCLGIFDAGVRKFPAEDIVPHMGWNTLTGLNSVIFNSIGEGEDVYYVHGYYCEISEDTAAVTNYILPFSASFQKENFYATQFHPEKSASTGEKILKNFLSL", "MKKVLFIDRDGTLVLEPEDYQVDSFTKLEFYPEVFQYLSKIAKELDYELVMVTNQDGLGTDVHPEENFWPVHQFIIKALENEDIYFSEVLIDKTFPSENAPTRKPNTGLLTRYINNPEYDLQNSYVIGDRITDVKLAKNLDSKGIFIANDEELGAEEISKEESLEQYIALKTTSWKAIYEFLKLESRTVSVERNTNETKIKINLNLDGTGKSNIQTGLGFFDHMLDQIARHGQMDLDIIVSGDLEVDEHHTIEDTAIVLGEVFSTALGNKLGIERYGFTLPMDDCLAQVAIDFGGRNWLVWDADFKREKIGEMPTEMFYHFFKSFTDGARANLNIKAEGQNEHHKIEAIFKAFAKAIKSAVKRDPEKMILPSTKGML", "MNTFQNLIVWQKSHELVLKIYEATKNFPKEEIYGITNQIRRASYSIPANIAEGRKKKTQKHKISFLSHSEGSLEEVKYFLILSKDLQYISNEIFLQLFNCAEEIGKLISGYEKFLKQNNKPNPL", "MKNFNLENLVRPNILKLKPYSSARDEYKGSTGVFLDANENPFGNLNRYPDPYQKEVKEKLSALKSIPVSQIFLGNGSDEVIDLVFRIFCTPGRDKALVFTPTYGMYEVSANINDTELLQLPLNSDFQIDKESIIPFLKDENLKLIFICSPNNPTGNSIENVDFILENFNGIVFVDEAYIDFSTQKSWAEKLSQYPNLVISQTFSKARGLAAVRVGIAYSSPEIIALFNKTKPPYNVSQLNQEAALIALLDAKKYQSEIKTILAEKERLEKEFLQLSLIKKIYPSDANFILVEVNDADGIYNNLVQQKIITRNRNSVIAGCIRITIGTTEENNQLIAALKAYKNS", "MQTYINPPLSEWKNLIKRPVQKAEDLQNIVLTVFEDIKNEKDKALINYTKKFDKAYLTDIRVSSDEITAAIALVSEELRQAIQMAASNIEKFHASQKENKNIIETTEGVNCWREARPIENIGIYIPGGSAPLFSTVLMLGIPAQLAGCKNITLCTPPDESGNINPAILYTANLIGIKNIYKAGGIQAIGAMTFGTETIEKADKIFGPGNQYVTAAKQIAQNFGVAIDMPAGPSEVLVIADATANPEFVAADLLSQAEHGADSQVILLTTDENILQQTLTQVEIQLTQLPRKSIASQALLQSRGIVLDSIEKCIAFSNLYAPEHLILAIENTENYTDKITSAGSVFLGNFSCESAGDYASGTNHTLPTNGYARNYSGVSLDSFIKKITFQKVTKKGIQNIGPGIEKMAEAEELFAHKNAVSVRLKSLNSQNNTLIKDEKF", "MNLKIAIQKKGRLSETSLKLLEECGINISNGSRILKATAKNFPIEILFLRDDDIPQYVEQGVVDIGILGENEVLEKDKNIDIISRLGFAACNLCLAIPKDEEYSGISYFEDKKIATSYPKILTKFFQEQNINVQIEEIGGSVEIAPSIGLANAIFDIVSTGSTLLTNGLKQVETVMKSEAVLVAGKNLPEAQQKILDRLLFRIKAVQNSAENKYILLNAPNDKLQEIIDVLPGMKAPTVLPLATAGWSSVHSVIKEDTFWEIIEQLKDLGAEGILVLEIEKMIL", "MNAQNKDLNNTYKFLPWIAALAIFMQSLDGTILNTALPSIAQDMNRSPLTMQSIIISYVLILALLIPLSGWLSDRFGSKKIFIWAVGIFTVGSLLCALSTNLGFLILSRIIQAIGGSMMVPVSRLAILYTYSKDKLLGVINFITIPGLVGPIIGPTLGGWLVDVASWHWNFLINIPIGIAGILFARKYMPDYVNKGKKFDLTGMLLFSGSLLLLTVAIELGSEKVINGWWLILVFATGILLMNLYYRHFKKVDNPLIDLNLIKIRTLRIGVFGNLLTRLGIGGMPLLLPLLFQVGFKHTAIISGMMLIPSAITTIMVKPWVVPIVKKLGYKKTLIINTILIAVIISLFAIPDQNTPLPLLIPLLVIYGAVNSIQLATMNTLSLSDLDNKNASNGNSLLMVMQQLSMSLGISVGAYLLNKYGDLPWVDHTNSITVFRYTFLTMGVLTALASLIFFRLKSSDGDSLTGVKH", "MKRVVVTGLGTINPLGNNVEEFWSNITAGKSAGNKVTHFDSTRFRTQVACEVKDFDPLKYLDKNEIKRSDLFTQYALYSAAQAMEDANFDLTKMDPFDFGVIWGTGQGGMQTFENEVENYIEGDKNPRFNPFFIPKLLVNMASGLISMKFGLQGINYTPVSACATGNSAIMDAFNYIRIGKAKAFITGGSEAGITPASFGGFAALKAMTARNDDPQTASRPFDKDRDGFVMGEGGAALVLEEYEHAKARGAKIYAEVVGAAMTADAYHITSPHPQGLGASKSMQLALEEAGVNPEELDYLNLHATSTPIGDIAEVNAVKTVFGESKNLHVSSTKSMTGHLLGAAGAIEAIISIKAINDNIVPPTINMTEPDPEIPEEIQIVFNESLHKEVKTAMSNAFGFGGHNSTVVFKEL", "MSNIGIIIPERAADIGNFMVGRLLPFIEKRSVGPFVFIDHMGPAYLKDYQNLDVPPHPHIGLSTLTYLFEGSIFHRDSIGSAIEIQPGAVNWMTAGKGVTHSERTPEYLRHTDKRLHGLQIWVALPKHLEGSEPSFHHTEAADIPAWETDGVHYKLIAGEAFGKTSPVPVHSKLYFIEIKTGDKPAKISIGNDLYGESALYILEGNIKSEGNTYEPKFILIAKDAKLCEFEMDANTTVYIFGGEPFPEERYIHWNFVNSDKQVIEQAKHDWKDQKFPKVPGETEFVPLPATSLK", "MKPEFENIPLVKAPQQYEIEIDGSKAFITYRESNSTITLLHTEVESALQGMGASTAVIEKTLAAIEESGKKLNPLCPLVVAYIKRHPEWKRIVADNVTSL" ]
[ "TGTCTAAGTAGTCAATTATTATA", "AATAAAATGTTATTTAAAGA", "AGGTT", "AG", "AGTTGAAAAAATTGTTCCCGCCGTGGTGTCGAATCCACGCTATGCCGTGGCGGGAGATTTACTATATTTGTTATTCCACTAAAAAATATAGTT", "TT", "TGCTTTATTTATTCCGATTATGGAACAAATATAGAAAATATATTTTCGATTAAAAAAATAAATCGCAAAAATAATTGCT", "CCCACTTTTTTAATCAGATAATCTCTGTAATTCATTGTAGATAAGGTGTTTTAATGTTTTTTTTATTAAAAAATAGGGGTACTAAAGTGTATTTTTAGTGCTTTTTTTTAATGTTTAAAGCAACAATGTACTATTTTAAAACTATTATTTTTCACATTTAAGGTAACCCTAAACGTAACCCTAAAGGTATCTTTTAATATTTTACTTATTAAAATTTTAGGGTTCCAATATTTAAATATTTCTTCTATTTATGACTATTTCTGAACTACTTAATAGCCTATGAATAAGGCATCCAGTATATATTTACATATAAAAACAAAAAATGCCCCAATAGGGACAATTTAATTTGTATTTAATTTTGGTTGAAACGGTATTTAAATGGTATTTTTTGACATTTAATTTTGATTTTGATCGAAAGTCAATTTGCGCCTTTTTTCTTTTATTTATCGGCATTTGCGCCCTATTTTTTTGATTTTTATTTTTGACATTTTATTTTAGGGGGTATAAAAAAAATCATTATAATGCTAAATAATCTGTTTTTTTACTTTTTTACTTTCCGGTCTCTAATTCTCTACCGTTCATAAAGCTTGAAATCAGTTTGTTATCTTTTTCACTGTTAAACGTACATAGAGAACAAAAAGTATTTCAGTAAAGTAAATTAGATCAATTC", "TCGTTGGTTAAATTTATAATGATTGATAAATTAAGTAGAAAA", "ACTAATTGTGTGAGTGGTGTTTTACAAAAATATCGAATTATTATCATCAAACGAGTATT", "GGGGTTATGTTTGTTTTATGGTCACTCTCAACCTCCATGATCACTGAAATCAAGCAGGTTTCGGAGTCATTAATTTTATACACCAAAGATAAAAGAGTAAAGCGACAAGATGTGTCGTTCTATATTTTGTGGATAACTTTTTTGGAAAAAAGAAGCTGATTTTAATTCGGGTTTGAGCTCTGGAAATCGATTGTGTTTTGACTGTTTTTCTTTCAGAAAAGCTGGATTGATGTGAGCGTTTCGTTCGTATTAATGAGAATATAGTCTTTTAATAAAACAAGCTGCCTGAAAAGGCAGCTTGATAAGTATTTTGTTAATCGCGAGCCTTAGGTTCGCGCTAGCGAGGGTAAAATTACCATCTACATA", "ATTTAATCGATATTATTAAAATGAGTTACTTGATTATATTCTGCATTATTTCTTCCTGCTTGTTTACCCGATGTCGCGGATTTGTAATCCGTGACTTCATTCTATTTTATAAAGATAACTTCAATATCCAATGCACTATCTAAATCTGGATAATTTCTGGTTGAACTAAAATAATAACTTTAAGCTTCTATTATTATCATTATCAATGTAAATATACTATGTATAATAAAACAAGCTGCCTGAAAAGGCAGCTTGATAAGTATTTTTATATTTGTAACGAGCTGGAGGCTCGCGCTAGCGAGGGC", "ATTTATGGGTGTGTTAATATTGATGGAACAGTCATTGCTGACTTTAATTTACTTATTATTTGTTTTGGAGCCGAAGCAGCACTTAATCCATTTCCTATTATCCCCGATGTCGCGGATTTGTAATCCGTGACTTCATT", "TAA", "TTTGTATTTTTAATTTCATGTAATTATAATGAAAAAGCACGGATTACAAATCCGCGCCATCGGGTAATTAAGCCAC", "AATAATTAAT", "AACGATTTGGTTTTTAAAGATTAATAATTACTTTTTGCGATTTTTTGTGTTTTTGTTTTTTAGTGATTTAGTTGTAAGGTTGACAGGTTGTAAGTTATATGGTTTGGTGGTTGTCAGTTACCAGTTATCGGTTATCAGTTATCAGTTATCAGTTATCAACCATCAACCAATTCCGATAACCTCTAACTTCTTATCTTGAAGCAAAGATCATTGCTGAAGGCGTCAAAACTTGACGTATTAAAAAAAAGTTTTAGCTTTTTTGTGTTTTTTGAAAGGGTAGTAATAAGAACTACCAAAGGTTCAGGTATGAGGCTATATGGGCTAGCTTCTAAAATCTAACCTCTAGTTTCTAACTTCTAATTAAAGAGAGGGCAGGTGATGAAAGCACCAACCTGCTTCTTTCTCTCTTCATTTCAATATTTTGTACCTCGTAATTCTAACTTCCGACTTCGTAC", "TTTTTCAACGGAAGTTGTTTGCCCTTTAATATTGTCAAATTTCAACAAAGGAGCAAATATGGATAGTAATATTATTGTTTTGTAAAATTTATAATATCGTTTCATAATATATATATATTATTAAGTAATGTTATTAATATTTGATTGAGTTAGTAAGAATGTTTCTAAGGCGATCTGCTTTTGTGATTTTTTAATGGATTCATAATATTTTATTTTTTAGTGTTTTTCTTTCTGGGACAAAGATCATAGCCCGTGGCGACAGAACTTGACGCATTATATTTTTATTTTAAAAATTTTTAAATAATTAGAAATATTGGGATGAAAAGCCCCGGGCATACCGGATTTTCGGTATGCTAAGAGCCTGTTTAATTTTATTAAACTATTATTTATAACACTTTAGCTAATAGTTTATCAGGCTCACTATATACTAAGCTCAGTATTATAGTAATTCACCTGAGGACATTTTAGATTTTTGAAAATCAAAGCATTGAGCGAAGTCGAAATGTTCATCTTAAGTGGCCTTCTTTAAGCATTTAGATTAATAATCTCAAGTGTCTGAAGTGTTTGTTATCTCAAATTTAAACAGATTCTAAAAGCTTGCTAAAAATCGAGGGACCTTAATAGTGAGCCAAGCTGAAGTGTTTTTATCGGATTCGTTTCAGGTGTTTATGTGGTTATTAAGATTTTCAAAAAACT", "GATGAT", "GATTA", "AATATTAATTT", "AATATTTTATTTTTAAGGTTAATAATGAATTGATTTTTGTAATTGCCTGTTAAGGCGGTTTTGTGCTGTTCATAGATGTAAGGTTTTAAATGAGAAGGGGAGTGGGTTATTGGTTATCAGTTATCAGTTGCCAGTTGCCAGTTGTCAACCATCAACAGGACAAAGATCCTGACCCGTGGCGACAGAACTTGACGCATTAAAAATTATTTTCAATTTTTTTGCTTTTCTGTGTTCTGAAGGGATAATAAATGGGAAGATTTCAATTCCGGCAACCAACACCAACAACTATTCACCA", "AATGTTTTGTTTTGATGTTTGTTCTAAAAATCAGTGAATGAAACCTTATATAGTTTCATGAATGTGAAGGCAATGTCAGGTAAAGTGCTTACAGAAAATAAACACAATGGGAAAGTCAACAACGATACATAAAGTCTTTATCTTAGGATAAAGTTTTGATTTTGGGACCAGGAGAGAAAAGAATTTATGCCTTTGTCATTAGATGAC", "ACTATTATTTATTAGTTATGGAGCAAATGTAATCGGGGGTGGCGTCAAAACTTGACGCATTATATTTTATCTTTAAATTTTTATAAAAAACCCGCTGAATAATTATTCGACGGGTTTTTAATTTGGAACAAGATTAGGTATGGTTTCTGAGGGATAAGAATAATAATTTAAATAATCACTTATTCTGAAATAGTATTCCTCCAGAATACACACTTTCCTATATACATGTTTTCTCAGTGTGACATTGTTCTTTCAAAATATTTTCATGTTTAAAGATGTCAGGCTGAGCTTGTCGAAGTCTAATTTTTTTTGAATTAAGATTTATACAACTCTGATATTTTTGGAGTCTTATACAGAAAATATAATTTATCAAAGTAAAGACAAGTTCCTTTAGGAACTTTATCTCCATAGAAAATTGGCTGCGGAATCCAATGCGTTCCGGAGGAACGTTATCTTGGTGCTTTCGGGAATATCAATTTTTTACAAGTCATTTAGTCGGAAGAGCTAAAACTTGAGTTTAATCAATCTTTGGTGTTAGAATTTATTCTAGTATTTCATTGATTTTGATCTGAAATTTGCTTTGGTAATTATTTTTCAGAATTTTAGCTTGACAAAGTTGATTATTGTA", "GATATTATGATTTGAAATTTTATAATAAGACGTTATCATTGATAAAACGTTACAATAATCAGGTAATGTCACAATTAAGTGAAAAGTATTGTGTGGTTTATTTAAATAAATAACATGATTTTAAACATGTATGTTAATTTAGAATAATTTAAAATAATAAATTTGCAAAAAAATCTTG", "AATC", "GAAATAACTATTATAAAAATCTGAGCTTATT", "GAAGAGCCATCCGATTCGGAAGAATAATAATTGTAATAGTAAAAATCCGGCTGCTTAAAGCAGCCGGATTTTTTTATCTTTTAGTATATA", "AGCGTGTTGTTTTTTGTTTGTGC", "ATCAGTTTTGTTTTTTGCGGTTTATATTCCTTTTGGAACTATAGTCGGCAGGTAAACAAAATCCTGACAGTAAAAAATAA", "TGATATTACAGGGGTCTAAATTAGCAAAATTAGAACGGAGCCTG", "TGTTTTCCGGCAAAGTTTTGAAAATAACGGACAGGATTTTGTTGGTATGGACAGACGACATTAATCTGAATGTGGATAACTCTAAAGATCGTTTGACATTTTATTGATGTTGTTCGTCCCTGATTGATCAGATTTTATCACCGGAGTGCTGATTGTAGAAACAGAATTTTTAATTTTGAGC", "CTC", "ATCAGGGATTAACTGTTATAAAAATAAGTTGTGGCTAAT", "TGTACGTGTTTTTATAATTTGTTATTCAGTGTAGATAATCGGGAGCCGCAATCAACAGATTGCCACTCCCGAAATTATAGA", "ATTGCAGTTGTTTGTAATTCTTGTAACGAAGTTACTGCCATATCGGGCTTTTACTGCAAGCCAAAGTTCGCCAAAGTTGTACTCAATTTCCTTCT", "ATTGTGAAAATCCGGTGGGCAGTCAGAAATGACTGGTTTAAATAATTAGTTTTCAAAAACTACTAATTTACGTTTTTTACGACTATGACGGAAGATTTTATAAGTGATATTCGGCATAAACAGTACTTTTTAAAGGAATGATGTGGTTCATGAAAAAAGCCTGTTATTACTTTCAGGAGGCAATAACAGGCTTAGAATATTAATCTATGGATTAATTT", "AATTTATTGTGATTTAGTTTACTGATACTAAAATAACTATTTTTTTAAATAAAACGAAAGTTTATTCTGTTAAATATTGATTTAATGATAACTAAAAGCTTTTGATTGTTGTGAAAAGTTAAATGGTTAAATTCAGGATAATGGTGGTAAAATATAGAAATATAGAAAAGTAGGATTGGGGTAGATTACTGTACCGCAAGGGCTAAGAGTGATTTTATACCTTTAACTTCTAATTTAGAATCAATTTAATTATATTGTTGTCAAATAATTATTAATTTTAGTTAATTTATTAAAATAATATACATAATAAAAGTTTTTAGCTATGTAATAAATCATATGTAGACCTGAAATATAACAGGTCGATATACTTGAAAAAGGAGAAGGAAAATCGGAACTTTAAACAACAGAAATAACAAATGATTAGCCTGCTAATCTCAAAAATTGCTAT", "TAAAAATAAAATCACATAGAAATAATAAACAAAAATATACTC", "TCAATTAATTATAATT", "TTAATGAGCAA", "TTTAAATCTAAAAAGCT", "CAAATAACAAATAATAATGAAAGCCCTCAGAAATGAGGGTTTTGTTATTTGTGAACCGGAGAAACCTGATAGGTTTAGGAAGAAAATAATGATATGTTGGATTTGTAATCTGCGGTTGGAAATATTCTGATATTGTGTGTTGAAAAACTATAAAATTATGCCTTATTGTTTATCCGATGAAATGTTGAAAAATTAATTATTTGTAATTTAATTACTAACTCAAAGTGTTTCGTTCGTCTGTAAAGCTTAGGTTTAGTATATTTGTGTAAACACAAACAAGTTTGAAGCTGAAAATAAATAAAAAGAAACTTTTAAAACGAACGATTATAACCATTATTTCTATAGTGGTCTTTTTCAGTTTATTGATACTCAGCTTAAGACTTCCGGTT", "A", "TTATTCTGTATTGGG", "TATTTGGTTTTATTGATGCTCCAAATATAGTGGATTATTTTGCTATTGC", "GACATTTTATTTATAATTCTGATACAAAGATTGACACTTATACACAGCAATAAAACCCGAAACTTGCGGAATTAATTATAAGTAATGAATAGTGAATAGTGAATAGTATTTGGGGATATAGGACTTTAATATATAAATCTTACACCTTATATTTTATATCTCATATCTAACCTCTAATTTCCAACTTCCAACTTCTAACTTCGTACT", "AATGTACCTGATAAAATGTTTGACATTTAATTTAATCAGGCGTCATCAGCTCCTCAGAAGCGGTTGGGTGAGGAAGAACACCATTTCCTCTTTTTGTATAATGCAAATTTAGAGATATTTATTTATCCGCAACAAATTTTAATTCAATTTTTGTACTGGATTCTATATAAAAAAACGCCTGAATTCTCAGGCGTCTTTATATGTGGATAAGTTTC", "AGTAGATATTTTAGGGTTATAAATGATTGTTTGTTTAATAAAATTACCTCATAAAGTTACCCAAATTTATGCCAAAGCCAGAAATGATGAAAATTTCGG", "TGTATGCATC", "TTTCTTAAAATTGAAAACCAAATATACGGAATTAACAAAGGAGGAAGGAATAAGCAATTTATAAAAACAAAAACCACTCCGGAACAAGTCTCAGAGTGGTCATTAGAAATAAAAACAGAAAATATAAGCTATTATTTA", "TTTTTCTAAATT", "AACTTACTTTTAAAAAAACGAT", "AATGTTTTTTTTACGTGTGCAAAATTCGACTTAAAAAAGGCTTAAAAAAAGGTGCAAAAATCGACTAAATTTGTCAAAATGACGCCTAACTTTAGACCTCATTAATATTATCAATTATTCTAATTAATTGTTTTTATAGTGGTTTGGTGAGATTTGTTATTTAGATTTGTTTTAAACTCTGTCAAAAAAGTACCATTATGGGTCTTTTTGTACAAATGAAATAAGAAGTGTGGAAAAGCGGAGGAAAATAGGGTGTTTTCATAAATAAGAAGTTTTTGTTAAGTTGCTAAATTAGATACGGGCTGTGACAGCCTTATGTCAGTAAGCGGAATATGACAGAAAATTAATGTGAAGAAAGGCTTATTGATAGCTTAAACCTTATTGAAATTTGTCCGAAATGATTTGTTTGTCTGGTGTTGGTTTTGTTTTGGTAATGGTAATAATCTGGTCGTTAG", "CCGGAAAATTTAGCACTACAAAAATATTTATTTTCAGGATATCAGCGAAATACCTTTTTATTATTCCTGTTTGTGTGATTTTGTCTAAAAGAGTATAAATGATCCTCTTTTGTATAAGTCTAAAAGAATTTCTTTTGGCAGCCGGGTGAAAAATTAA", "GCTGTGTAATCTTATACACCAAATGTACGGAATCCGGCAAAAGTACCAGCTTAAAAAGTTTTCTTCCAGGGACAAAAAAA", "AACAGATGTTTTTTTGAGATAATAAAATTATTCAATATCAGATTCAACTTATATTGTCCTATGGCAAGAATTTAATTTTATTCACTGATTTACAATAAGTAAACAATCGCTATGGATAGGTACTGAAATAATTCTTTTTTAAAATATACCAATTGGTATATTTTGTTTGTATATTTGTCTTACAATTCTGATTTGAA", "TTTTTTTAATTAAAAATATACCGATTGGTATATT", "GAAAGAATTAAAATAAATTCGAT", "AAAGCTCCGGGATAATGCATTTTAGCTATTGAAGTTATATGTATTTAAACGCTCTTTCATAGATTTTAGGGATCATATGTATATCCCCGGGAGATATAGAGGATGTGTTACTGCTGTGAGATAGTATTCCACCGGAATACTTGCTTTCTGTCATACCTGTTTTTACACAGATTAGGTTCCTCCGGAGCATTTTTATGATCTTTATGATTTCTCAAATCATGAAAGCTTATACATAGGAATATAGATGATTATAATAAATGAGAAGCTCCGTCAGGAGCTTTATTTCTATAGATTGTAATTATGAAAATTCATAGCGTTCCAGAGGAACGCTATCTTAATCAGGGAAGTAAAATCATTCACATTAAAAATCAAAAAATTCAGATTGTCAAAGCCTGTTTAAATTTTATTAAACTATTATTTATATCACTTTAGCTAATACTTGTTCGTCAGGCTCACCATAAAATCAGTTCAGCATGACACCGTTTTACATTGAGTAAAATGTTCTTCTTAAGTGATCTTCTTTATGTATTTAGATTATTAGTCTCTAGTGTCTAAAGTGTTTTTTTATTCCGAAAT", "GTTATTCTTTTTGAAACGTATTAAAGTTACGAAAAATATACCAATCGGTATTTTAATTGAATGTTATT", "AAGCAATGGAAGTAGTTAAA", "TTTTTGTGTTGTTTAGATGGAGCTAACATACAAAGAAATAAAGAATTAGCGGGCTTTTAGAGAAGATATTTTTTTTGCAAAATTCATCCTGTTTTTTATACATTTTATCCAGCTAAAAGTGCAATTGATCAAAAAAATAACCGGGAAAGAAACGGTTAATCATTTCTCTTCCGGTTAGTCCTGTTTATTTTTTCTCCCAAAAACGGGTCTGAAAGACGTTTTCTT", "AATTTTAAAATATTATCGTTAATGTTACTTTTTTAGAGCTTATTGTTAATTAACCGGAAATAAGCAGTACCGTTTTTAAGCAATTAACATTTATAAAGCGTCATACTACGGCTTTATAATAGTATTAAAAACGAT", "TGTGGTATTGTGATTTTGAATGAAGTAAAAGTTATGCAAAAATCTAACCTCTTTCAAATATACAACAATTCTTTTCCTTTTTCAGGTATAGAATTTTAAGTTCTGTTCATTTAGTAAGTTGTTGGTTATTAGTTATTAGTTATTAGTTATTAGTTGTTGGTTGTCAGTCATTACTCATTACTATTATCCATAATTAATTTATTATGGGCATACTTTTTCAAAAGATATTTAAGATGATAAAAGGAAGATTAGAGTTAGTGATATAAATTGGGATGCAGAACAAAATGTTGCATGTTGAAAACGTAATCTTTGTGTAAAGCATACTAAAAAATAAAAGACCTGCTTTTTCAGGAGTTGACTTTTATCAGGGAGATATGCCTGTTAGCAATTTTGTAATTGTCTATTAATCAGGTGAAATTTAATTTTGGGTTAATATTAAAATTTTCTTTTTTTCATAAATTGTAGAGAATTAAGACAGTCTTTGAAAAAAGATTGTATTCGCTAAATCCCAAACACATTAAATTTTTACCA", "AAAACCATTACAATTTAAAAAACCGTGTCGGAGTTTTCATTCCGACACGGTTTTTTATACATGCATTA", "AA", "ATAGGTGAGTTTAGATAATAGAATAGCAGGCAACGTTTCCGTTGCTTTATTAAAGTGGTATGTTACAATGTG", "T", "GTCACGTTAATTTAGTTTAATAATGCAAATGTATAATTAATTATCGTAAAACAATAATTAATTTAAATAAAATTTTATTTTATACGTGTCTGATTATTATAACAGGTTTGTATGCGCCATTCTGAGGTGGAAAGAATTGATTTGTTTTACTTAAAATATTGTTTCAAAGAGTGTTCCGGTATTTTTTTGTAACTTTTATCAGTAAAATCACTAAACGTATTCTT", "TGTTCTGATTTAAAAAATATCATACACAAAAAAGACCTCATAGGTTTCTAAAACCTATGAGGTGTGAAAATTTAAAAGAGAATAAA", "TTTATTATTTTTTTAATTCAGA", "TATTTTGTTTTTTAGTTAAAGAAGTGGTTAAAACTAATAAAGGTGTTTTTTTTCTGAACGAAGTAACCTTATATCTCACACCACTTCACTTATTGAGAGACTGGCCGGATTCGAACCGGCGACCTACGGCGTCGAATGCGAAGTAACTTTATCATCACACCTGCAATGCAGATTAAAATCTGGTAAAGTGTTTTACGTTGCTCTATCCTCTGAGCTACAGTCTCAATTTGATGATGCAAAGTAAAAACTGAAGTACGCAATGTTTTTGCGCAGGTTTATTTTTTTGCATCATCATTAAAAAA", "CTCTTAATTGATTTTTCATCTCTTCCAATCGGTTTTTTCGCCATTTTTTATTACCGATTCTTTTTTTGAAGAGACTCAGGTGTTTTGTATATTCCCGGGTCCTGAATCTTCCTTTGTTGTAGAGATTTT", "CGTTTTCTTAATTTGATAATGCAAAGTAATTGTATAAGTGCGCAATGTTTTTGCGTAGATGAAATATTTTTATATTTTTGAAAAAAAATAGGAAC", "TGTATTAGTGTGAACACTGAATACTTTATTAATTTGTGAATAAGTGAATTGTGAATCTTCTAACTTCCAACTTCCAACTTTGAAAA", "GCGCTTAGTTTTTCTTTTTTAAAGAATTTATCTCAGCATCCATTTTTGTTTTCCAGCCTTTTCCATTTCTTTGGATTAAATAGGCTTCTGTAATCTCAGTATATTTCTTTCGGGCTTCGATGCTTTCATTGTCTA", "AATAATGCTAGTATTTTGAACAA", "AGCATTTTGTTTTTGTGCAAAAGATTTTGAATTGAAGCAAAACAAGATAAAAAAGCAATATAAGTATCTCATGTTGTTATTTTGAAGTTAAATATACTGATGATATTTATCATTAATTAAATATTTTTCTAAATTTCTATAAATAGCTTTAAAGCCCGACTATTCATCATTTTATCCTAGGGTCATTAGATTATTTTTCTTTTAAATCATAGCTTGTATAGTCTGCAAATGAGACAATTTTATTTTTATTTAGAAAAAATATAAATAACTTTGTCGCTTAATCTGTATGAT", "ACTTATTTATAATAATATATACT", "AGA", "AACAAACAACAAGATTAATATT", "CTTATACCTGTACTAAAATGAATAAAAATGATCGGATATTTATTTCGATCATTTTTTATTTTACCAATTGCATAAGGCGATAAAATAATATTGTCAAACTTTGTCAAGGTTTTATAGATGCTGACTTTTGTGGTTAAATCAGTTTCAGGGTAAGACTATAAGCCGAATTTCATACTTTTATAACACCAAAATTGGCAAAACGAGATT", "AA", "TTATTGAATACTTATTTGAAATTAATTGGTTTGGTCTTCA", "TTTGTGATTGTTGTTGATAAAAGTACGAATTTGTTTTTGAACCCCCTGTACAGATAAGTGTTTTCGGATGGAATAATACAGTTAAATATAAAAAACAGGTATATTTGACTAACCTTATAAATACCGAGAACTATTTATATCCGAAAAAATACGTT", "TTCAATTTGGTATCTCTTAATAATTACTGAAAAAAAATAGTGAATCCATAATTTTTAGAAAAATGAATCTTAATTTTGGGGT", "TTCCGGAAC", "CAAAAGTAGCTGTGTAATGATTCTGAGTACTTCATTTTTGCAGCATCAATTGTAAAATGTA", "CAAAAGTAGCTGTACAGCATATTTTATAATAATACCTTTACTTCACTAAATTAAAATGTAATAAA", "TTTAAGAACGAATGTAAT", "TCTGTAATAC", "TTTGAGATACACTTTAGGTATAAATATAGAACCCCATAGATTTTTAGATCTGTGGGGTTTATGCTGTTATTGAATAATAAT", "AATGTTTTTGTTTAAAGATAATTAAAATATCTGAAATATAATATATTATGGATTTTGTGGTGATAGAAACTGAAAAGATATTTCCTGTCTTTGTTTTACAGCGTTTAGAGTTTTATGTACTTTTGCAACAGGTATTTTGTAATGCATAAGATTTGTGCTACAGATATGTTTTTGGCTGAGAACCTCTATAGTCAAAGCTTTATACAATCTTTTTT", "TTTTACCGAATAAAAATGAAGAAAGGGATCTGAATATTCAGATCCCTTTCTTACAAGTTAAATTATTTAAATACTA", "AATTTTTTTTAATTGTTTAATAAATAGTTGATATATAGTAGTTTTGTGTTTTCTGATAAATAAG", "GGGTAATTTTTTAGTATAACGTCAATGT", "TGGCTTTACATTTATCAAAAAATGTTTATGCCTTTGCAGAGAATATCAGTAC", "GCACTTTTTAAGGTGCACCAAAACTGATGGCAAATATAGGTATTTATAATGTAATGTAAGTGATTGGAATATAAAGCAGTAAAAAGAGAATGCCGACCACTTCTTCATCATACATAAAGGTAGCCGGCTTTCTCAACTGTATAACTTATTAGTCCAATAAGCGTAAAATAGTCGGGGGAAGACTATTTACAATACAAATATAATATTTATCACTTGATAGTGAGTAATTAAATGTGAATATTTATGAGGCAGATTCTAAATATGTG", "AATTGGCTTATTTTAGATTATACTTTCCAAAAAGTAAGTATAGAACTTATAGGTAAGTATATAGTTTATGAATAGCTTGTTTTACAAATTTGCAAAAAAAATATTTTAA", "GTCGTTTTATATTAATAATATGGCAAATTTAAATAAAATCACGTAATTACTTTTGTACTCTAATCTTTATGACG", "ATATCCCAACTAGATAATAACCATAT", "ATTAATAAAAAAGGTTGGTGAAAAAAATACATATAACTAATTATTTAGTTATGTAAAGGTAGATAAAGATTTAATATGTACAACTATTTTATTAGTGATATGCAAAATTATTTTATTCCTATTTTAACAAAAAGCAAAAACCTCCCTGTAAGAGAGGTTTCTGTATAATAAGTAAGCCGGAAATT", "AATGTTATGTTTTGGTAGTAACAAATATATAACTGGCGGCAATTAAAAATGCTTCACTTAAG", "ATTTATTTTGTTTTCTTGGCCAAA", "ATCAGTTTTATATT", "GAT", "GTGATTTAGCTTTTTATTTGGGG", "TTATTTGTAAATTGTTAAATACAGTCAACTATGTCTGACTGTACATGCAATTTACTGAAAATCCATAATTTTTCATAGAGTTATTTTTCTGATTAACAAAGCTTTTACAGAGTATATATATTTATTCATGTATTTTGAAAAGAATTGTGATTGTTTTAATTTTGCAATGCATTTTTTTATGCATAAATCATTGAC", "TGCGTATTTTAGATATTTGGAT", "ATTCAAAATAATAGTGAAAATCTATCTTTT", "ATCAAAATATTTTTCTGGTTAATGTTTTAAATTTAAATAATATTTTCATATAAATGTGGTATATGATTATTAATATTTTGATAATTAATGTAAAATAATCTTAATTTACGTATTTGCTGGTGATGTGAATAATGAACTTGGGAATGATCTATATGAAATAGAAATAGTGTGCTAAATAATATGTTGG", "TATTTTAAATCTGGTACCATTTAAGGTCCGGCAAGATAATAATTTTGCTTCAAAAATAAT", "AAGTAATATACAGGTTAATTTGATCACACAAGAGATAAAATACTGTTTCAAACCACATAGAAACATGGATTTAGTAATGAACAATAAAGTTTTGTATAGAAAATAAATTTTGCACATCAGCTATGTATTCTATATCAGTATTGAAAATGCCCTAGTATAACGATATACAACTCTGTGTCTATGTGGTTAGAAAAAATGTCTATGTGGTTGAAAAAAATAACAAGAAAATAATAGA", "AAGAAACAAATATAGGTAAATACTGATCTTAAAAAATAAAAGTAAAAATTAAATAAA", "ATTTATAATTAATAAAATACTTAGCCTTGTCAGAGTCCGAAATTCTGACAAGGTTTTTTTTAATCATTTTAGATTATGTTAACTCTTAATAATACAGGATTTCCATATAACTCTCATAGTTTGTGTATCTTTGGTCAATAACCATTAAAATAATTATC", "TAATTAATAAACCTGCTTTCTAAAGGCAGTCTGAATACGGCTGAAAAAAATCATATTAAAATAAAAGAGTTTTTTATCTTTTATTGATTTTTTTACTGTACATTTGTCATAGAAAAAGTACAGAA", "AAAAATTTAAAACAATAAAAGATAAAAAGGTATTTAATTCTTTTAAT", "TAACGGGAAGTATAGAAAAAATGTAGGGACATTGGCTT", "ATGGAAAAGGTATTGGAAAAAGAACAAATAAAATAAGTAAGATTTAATAGGCTAAAGAAGAA", "CAGAACATACCTCACAAGTTTTAAAAAAAGCTGTGAGGTTTTAATCAAAAAAATTTAAAACAATAAAAGATAAAAAAGTATTTTATT", "TAACCGGAAAATAAAAAACAC", "ATGTACTTAAGCAAATCTTCAGAGCAGAAATGCTCTGAAGATTTTTATAAAAACACATCGT", "TATTTTCAGAATTTGGTATTCCCGGAAGGATCAATATAAACATTTGCTTCTATGGCA", "GTTTCTATGGTATTTTAGATATATGACACCGTGTAGTGAAAAAAGTTTCACCAGATTTTAAAATTTAACTATTCTTAACAGTTAATGCTATTCGACGTTATAATTTTTGAATAATTAT", "TCTCATAAAATATTGTGTTTTGATAGTATAAAATAGGTTTTAAATATACAAAAGTTAATATATCAACAGAAATAATTATTCTGTGTAACGTTTGGTTTATTTGGTTACTAACTAAGTAGAAAATTAACC", "AACATAAAGAAAACAGCCTGGTAAATTTATCAGGCTGCTTTTTCT", "TTCTAGTTGATTTTATAGGTTATGAAAGGATG", "TCTTGAATATTTTAGTTGAAAAGTGAATTTTATAAATCTGATTATAAGTCACTTGTAG", "ATTAAATTCACTCAGTTGTAATAACTATTAGTTTCAACTGCAAAAATAGTGAGTTTATTTTAATTTATCAGAATAAGTAAAAAGCTGTGCAGAATTTACCTTGGCTCTTTCTGTTCTTTAGAGCATTCTGCCGGGGTTTCGATAGGGTATAATTATTTTATTGAAATATATCATGTGTATGTACTGTAAGCCTGAGTTTAAATGTTTGCAAAAAAAACGCATAAATATATTTTTTAGAATTGAAAAAGTGAAAATAATTCGTAAAATTTGATTATATGTTTAAAATATACTCTTTATTGCTGGTAATCACTGATTAGTATACTTATATTTAGAGTTTACTCTGAAATAAGATAGTATTAATTTTTAATCACATGTGAATTTATTTTATAAAATAAATTTTTATTTTGTTATTTCTTTGACTTTACTATTTATATTTATCGTTTATTTTAAAATTTTAAAAATGAGTATTTTGACTGTTTGTAAACTTAATAAACGAATTTTGGGATGGAATTAGTAAGCTTTAATCATGAAATTAATATGTGATTCTACTGATTCTGATAGATGATATAGTTTTTGTATTTCCTTTATATATGCCTGTTATTAGCTATTGTTTAAGATTTTGCAAAAAAAATGCATTTAATTGCAAAAAAAATGCTTTTTATGTAAAAAATTTATATACATTTGGTTTTATATTAAAAAACGAAAAAATAGCT", "GATTTTTTACCACATCTAAATTACATAAT", "TATAGATA", "AAAAT", "ATAATTTTTGA", "GGACTT", "ACAGAAAACTT", "GTTTTTTTATTACATACAAATTTAAGTAATTCAGACGTGCTGAAAAATATAGACATAGAATTTTAATCAATATTATTAATGTATAAGAGTGTTATTATATTTCCCTTTTTGGTGTTATTTTGTAAAAATACTTGCGCATGTCATCTGAAAAAATCATATTTGTATACCAACCAAATAAAATATTATATA", "CCCCTAAATTAAAATGTAAAGTCATAAGAAAATGGCAAAATCGGAAATTAAATAATTAGGACAGATACCAAAAATACTGTTTAGATTGTTTCCCATTAAAAAACTCTGTAGATTTTATTCTTTAATTCGCCAATAAGGATTTCAAGGTAGATTTAATTAAATCTACCTTTGTTTCTATTAGAAATAAAGATGAATGTTTATATTCTTTTGAATTAATTATAAAGGGGGTATTGAAAAATTATTTATTATCAAAGGTCTGTCAAAATATTTCCCATTTTAGTGTTATTTTGTAAAAATACTTGCGCATGTCGTTTGAAAAAATCATATTTGTATACCAACCAAATAAAATATTATACA", "TTAACTTATATTATTTATAATACTAGGTTTAAAATATAATATGGCTTTGAAAAGTACCAAACTATTATACAAAAGAGTGTCTGATACACTCTTTTTTCTATATTTCGTGAAAAAATATTAGTA", "AAATTATTGATAAATAATTTTTCAACATAGTGTGAAAAATACTATATTAGATTTACTAATCAATAAAATATTTATT", "TTAATCATTACAGATTTATGTTGTGAAAAAGCTAGAAAGAAAAAAATCTTAAAATTAAATATTAAAAATCACCAAATTAAAACATT", "GAAAGAATAAAAAAACAACCAAATTATTTTTATT", "CATCTATTAATGGATGTTAATAAAGAAAAAGAATAAGTAAAAGTATTCAATCTAAATAATTATGAATCCTTTTCAAAAAAGGATTCATAATTTTATTAT", "ATGACATACAGCATCAGAAAAATACCAAAAAATATAAATTAAAAATCACAACAATATTGAT", "TTTCTATTTTGGATAATAAACATTAATGATTAACAACCAATTAAATAAATATTATTATGAAAAAGTTAACAAGAAAACAAGCAAGCAGCATTTCAGGTGGATTGGCATGCAGAAGTGAAGATTATTATTGCCCTGGAAATTCTTATTGCTGCATAAGCAATATGCGTTGTATGATTTCAACTCAAACTTGTAAAGACTAAATCTGCTGAAAATATCCAATATTTTTAGATGAGAAAGGAGCCTTAAGGCTCCTTTTTTATTGATTAATCTCAAAAAATGACTATAATCATTTATCAGAAATTATTCTGGTTATATAGACAAAATAATTATTTATTTTTCTTAAATTCACAAAAATCGCTTTGTAAGCCTTTATAAATATTGATAAAATTAAAATAAT", "AGTATAGTCCTAGATCTTGAATATACACTCCTAAAAAAGAATTTTCCTATGGAAGATTCTTTTTTATTTAAGATAATGTAAGATTTTTATTTATAATAGGTTGTCTTATGTAAATTGAAAAAGGAGATAAAAACTTTATCCCCTTCTAAAAACTATTTTTGTCATAAAAGTCTGTTAAAAGACAGACTTGAAAATTTA", "ATTTAAATATTTATTTGGTTGAACAGTAAATATACTAATTATTCACATGAATGTGATAAAAAACTAAGAAAATATTAACAGAGATATAAAAGACCTTTACTTTCCGGGAATAATAGTCGTATTTTTGCAGA", "ATCAGCATTATTCTATAAAGAAATAAAGGAACTCAAAAGTTTCTTTATAATCTAATTTTATACTACAATAGGTGT", "AAACAGATTTGGTGTGATTAATTTTATTAAAATTACATTTATTTTATTAATTGACTTGTTTTTTATACCAATGTTTTTCTGTAAAACATATATCTGTCAGGAATATTGAAAAAACTGTAATTTAGTATGCAGAAATTTTTCTAAATT", "CTTTTGTCAGGGCTTTGTCGGGTTTTTGTCGGGCTTGTTTTTAGCAAAATTTTATCCTGAAATATAATCTTTGTAAAAAAATAACA", "TCATATAAAAATATAACCGCACAAATCACCAGGTATTTGTGCGGTTTTGTTAGTTAATCAGTCTGAAAATTAA", "CTTAGTGTAGTTTTAGAAATAATTATTTATAAAAATATTAAAAAAATAATAAAATCCAATTTTTAATATTGTTTTGTTAAGTTATTTTGGTTTTATTTATTTTTATTATGTTAAATTAATTCAATTGTTATTGATTTATATTTATTTGTTGCATAATATTTTTTGTACTAAAAAACAGATAATTGTGTTGGTTTGGGGGAATGAAAATATTGCGGACAGATATCAGATTTCTTAGTATTATTGTCTTAAGATAAAACAGAAGATAAAGTAATTTTAATGCGTCACAGAAT", "CTTGTCTGATTTTTATTTCCGG", "TAAGAAAGTATTTTAAATATTCTGTTTATGTAATGTGTAAATTAGGAATGTACTTTTGGTATGTTTGAAAACTATTTAACTTT", "TAAGTTTAAGGTTTTGTATCTCGTAAACCTTGTCAAGGTTGAATTAATGAATTTTTTACTACTGCATTTCTATTACTTTAAACTAAGTATGTATTGTGTTATTTCTGTTTGAGATGGCAAAATTATTTCTTGCCATAAGGCAAGATCATAAAATCAGGTTCCTTACTAACTTTACTCAACCAGATGAAGTGATTATAGATCATCTAGAATAGAAACCTAAAATCTCACACAAT", "AGTTGATTAATCACAAATAAACAAATGATTAGATTAATTGGCCAAGCTAAGGCACAAGAAAATCATTGATTTTCAAAATTTAATATACTCTGATAAATTTAATGGCACTCAGGATAATTTTGCGAAGCAATTCGTGCATAAAAACATAAGAGTATAAAAATAATTGTGCTTTGATGTTAGAAAATATCGCTAAGTGTTTTTATCATAAGTTTCCAGTATCCATAAGTTGAGAAGATTCAGAATTAATGTCTTAATTAACTTAATATCTTAATGGCAAAAAACTTCACACGAGTTGTAGTGTAATTACTTTAGTAATCCATGTAATCCTTTTATGGATAAGAAGTCTGTATGTCTATACGGTTTACTATAAAATAAGTTGC", "TCGTATAATATTTGTGAAACAATGGAATAACTAATATACGCATTATCCTGTTTGTGTGGTTATCCTGTAATCAGTTTCGTATTTTTGCACGGAATAAAACGATCAGACGCTTTTACTTAAAAAT", "TCATGTATGAATATAAGCTGTAATCATTATTTTAAATAAATAAAATAAACTTTAAATTTTATTTTATAGTAAAATATTTGTTTGTTTGATTTTTTTAAATAACTTAGCGAAGCAGATGTAAATAAAATACATCAATCGCATGTAACATATATGATCATAACAGTCTGTTATTATCATGTATATCTGAATTTTTCAAATGAATTCAGA", "GATATTCAATCTTGTGAAGTATTTTTTGTAAGATATAGATACTTAGAGTAGCTATAGTGAGCAAAGTACTGGTTAACCAATATTTGCACAATGTAGCTACTCTATATAAATTCTTATTATTTTGA", "ATTCAGTGCAATAGTGTTAATGCGCAACCAAAGGGAGTTTCCTGCTCGGTTCCGTAGTCGAAGATAAAAAAAAACTTAAAAGAATAAAAATTTTGCCGGATCAATAATTTTTTGCGGGGTATTCATTAATTTTTGAATTATTAAGAGCCTGTCGAAGAGTATTAACAATAAAATTTAAACAGGCTCTAAAAGTTGGCTAGATATACATTTTCATGTATGGCAGATGTTAAAGAGTATAAAGATATTTATGGTTAGAATTCTAACATCCTGTGATTTATGTAATATTGTATCTGTTTTAAAAAGAGTCGGATCAAAAATTTCCTTATATTTAATTTTTTTCATTAAAATACATTATCATTTGTTTCATGCTTATTGGCTTATAAATTGATGATAAGAATCTCATTGAATTTTTGATTATTA", "TAATGAAATAGAGTAACATGTAAAGAATGTTGATAGGAAATATTGGCTTTTTTATTTTATTATTGGAAGTTATTTCCAAATGCAAAATCTAAAAAAAAGTTTTTATTAATAGTGGTTAACATGTTTTGAGGTGTACAAAAGTAGTACGATTTTATGCTTCAATTGATCAATTTAGACGATTGATTTCGAATGTTATTTTTTGGCACGTAAAGGGAAGAAATCATGATATTTGAACTATGACTGAACTTGTACA", "ATGCTTGTTATTAGCAATGTCATTCTGACTTGTGAAAGAATTTGAAGATTTTTTAAAGGAGAATTATTTTGATTTGCATTATCAGGCTAAACCAAATGCTGAACCTGTTAATTTAGGATTAGGGCATCTTTGGCGATTGGCTGTGGATCATCCGGAGCAGAAAGTTTTGCCATGTGTGCATAGGGCGCCTGTGGAAAGGGGTGAATGTAGATTGTTGTTGATTAGTTAGAGAGATATTATTTAAGGAACTCCTTAAAT", "ATGCTATTATTCTTTAAAATAGATATAGTTTTATCATTTTGTAAGCCCATTTTTATTTAAAGCAGTTGTGCTGTAAATATAACCAAAATCATTACTCCGCCCAATACGGTAAACCGTAAAAGCTATTTTAATGAAAAAAATATAGATTTAATTGTTGGGTTTTAGGGCGTGTTTTTGTAGATATTTTTTTATATTTAACCTCATTATAAAAGGTACTAGCC", "TTTGTTTAAGATATAAAAAAGGTTCAGCAGATGCTGAACCTTTTTGTTTATGAT", "TGTATTTATTATTAAAAATTATTTATTATAATTTAATTTAAACAAAAACTGTTATAAATAAAGCATAGCATTATTTTAATCAACGATAATAAAATTGTATGAATTTTTTGTAAAACTGTATAATTATAACGACCTATTTTACGTGGCAGAGACATAAAAAAATATTATTATAATTTTGAAGATTTATGGTTAATTAATGTACATAATGGAATCAAAGAAAAGGGTATTAATCCGATAAATATTGAAGATTTTAAGGCTGTAAAAAAACATCTGGACAAGTTTTTACCGATTTTAGAAAAACGTTTGGATAAGGGTAATACTCCATATAATTTAAGGAACTGTGCTTAT", "GAGTTGTTTTTTCTATAAACAATGGGGTAATATAGAAAAAAGTACTTAAAGTAACAAGCTAAAATATTATTTTATAATAAGCAACTATATAATTCTTGGGTCCCAATATTTACAATGAAATTATATCTTTTGTACCCAATGAGATACAGTTATTTAGAAACCAACTTTTAGTACAAAGTAAATTGTAAAAGGTAAATC", "AGCTGCAAATTTACGGCTTTTTTCATTGGTATTGTAAATGGCTTATTTTGGAAATACATATCATTGTTCGAGTGAGATTATTAATGAAGCGGAGAATAATTCTGTATCGAGAACTCCTTTTAAACATTAAGGAATTTATTTTTAACACGAAGGCACAAAACAGGTTTATGCTTGTTATATTTTTAAGGCACGAATTGCTTCGCAATATTTTATAGTTTAGTGAAATTTTCGGATATGACTCAGGGGATATCCATTTTGAGTCTCTGAAAATCTTTGATTTTCCTGTGCCTTAAAAGCAGTGTTATGTCAAGATTGTTTTTGTGCCTTTGTGGTTAATATCGGCTAGGGGAATGCAGACGTTAAGAATTGTTGAATTATTTTCGTTAAAAAATACCAGTTGTCTGAGTTGGGGTGGGAGAGTAGGGGCACGAGTTTCTGGGATTTTAGGAAATAAAGAGACAATTTAGTCGGAGTTTCCAAGCCTTGATGTTTTGGTTCTTTTGCATTAAGGTAAAAGAACGAATAGCTTAGTATGGGTTACAAAGTTTTCTTTCTTATTATAATGCAAAGCTGTAATGTACTGTCTTATATATCTGAATGGTAAAAGTATTTTTAGTTTTTTTAATTGTATTCTTCAACTTTTGGATTGATCCAAAAGTTACAAAAGATCAAGCCTTGGTTTCTCGGCGGTCAAACTAGCTTTCAATCTCTAAAATGCTTAGAACTCGCTATGCTCAAACAATAATCATTTTTTAACGAGCTTTTCAAGCATTTGACACTCGCCTGCAAAACTGAAGGCGTTTTTAAATATCGAGTTTGGAATGCAAAGCCGCTAACGCTTAGTAATGACTACTAGGAATTTAACTGTCTTAATATCTGAATAGTGGAAATAATTTTTACCTTCAGGTGAAATCTTTGTGTTATCGGCCAGGGGAATGCAGACGTTAAGAATTGTTGAATTATTTTCGTTAAAAAATACCAGTTGTTTGAGTTGAGGAGGGAGAGTAGAGGAGCGAGTTTCTGGGATTTTAGGAAATAAAGAGACAATTTAGTCGGAGTTTCCAAGCCTTGATGTTTTGGTTCTTTTGCATTAAGGTAAAAGAACGAATAGCTTAGTATGGGTTACAAAGTTTTCTTTCTTGTTATAATGCAAAGCTGTAATGAACTGTCTTATATATCTGAATGATAGAAGTGTTTTTAGTTTTTCTAAATTGTATTCTTCAACTTTTGGCTTAATCCAAAAGTTACAAAAGATCAAGCCTTAGTTTCTCGGCGGTCAAACCGGCTTTCAATCTCTAAAATGCTCAGAACTAGCTATGCTCAAACAATGATCATTTTTTAACGAGCTTTTCAAGCATTTGACACTCGCCTGCAAAACTGAAGGCGTTTTTAAATATCGAGTTTGGAATGCGAAGCCGCTAACGCGTAGCAATGACTACTAAAGTAATTACACATCAGTGCACTATAAAAACTTATGGCCAAGGAAATGTAGACGTTAAGAATTGTTAAATTATTTTCGTTAAAAAATACCAGTTGTTTGAGTTTGGGAGGGAGAGTAGAGGCACGAGTTTCTGGGATTTTAGGAAATAAAGAGACAATTTAGTCGGAGTTTCCAAGCCTTGATGTTTTGGTTCTTTTGCATTAAGGTAAAAGAACGAAAGGCTTAGTATAGGTTACAAAATTTTCTTTCTTGTTATAATGCAAAGCTGTAATGAACTGTCTTGTATGTCTGAATGATAGAAGTGTTTTTAGTTTTTCTAAATTGTATTCTTCAACTTTTGGCTTGATCCAAAAGTTACAAAAGATCAAGCCTTAGTTTCTCGGCGGTCAAACTAGCTTTCAATCTCTAAAATGCTCAGAACTCGCTATGCTCAAACAATGATCATTTTTTAACGAGCTTTTCAAGCATTTGACACTCGCCTGCAAAACTGAAGACGCTTTTAAATATCGAGTTTAGAATGAGAAGCTGCTAACGCTTAGCAATGACTACTAAAAAATTAATTGTCTTAATATCTGTATCATCTGCTAGAATATATTAGCATGTAGATTTTGATGGAATGCCTTTTGTGTCTTTTGTGGTTAAGCAACTTCTCTATACAAAATTCTTTCAGAATTCACTTGAAGTGACGGATATCGTTCCCTTTTATGGTATTGACAAAACAAAAAATCTCGTAGCCTGGCTACGAGATTTTAATATTGTTGAAAAGTCCTATTGA", "AACTAAGATTGAATTTTGCGGGGTGAAGTTACGAAATTACGGAGGTATAACAGTGAATAGAAAGGGATAAAATTTAACAATAATTATTTTTTAAGTAACCCCAATTACGGTGTTTAGTAAAGGCGGATTTTAGCTTTAAGAACTGATAATAAAATCTCTGATTTTTAACTTGCGTGTACTTTAATAATAAATGAAGGTATAAATCCCATGTGTCTAAAGTGTTTAAAAAAAAAACAATACTCCGCGTATTTTATGTTTATAACTTAGTTTGCAGAGACATAGTACCATTGAATTTTGTAATATTTTAATGGTTCTCGGAGTATATTTTTTGTTGTTTTCAGCCTGTAGAACAGAATAGATATTTTATCTGATATATTTTAAAAAGTGGCTTTTATGTCGTAAATTTGTGAGAATAAATCTAAAAAATT", "TATTCAACCAGATATATAATGAAAGCCTCGGATTTCCGGGGTTTTTTCTGTTTTAATAAAAAGGTTATAAAGGTTATGGATGTTATGGAGTTATAATTGTTAGTTGCAAAAGCTTAAATGTGTTTAATCGGTTTGGGAATTATATTTAACACTAAGGCACAAGTGGTTTTTATTTTTTGCACATTATTGAAGGCATGAAATTGCTTTGCAAAAGTTTATTTTCTATGGCCTTGGCAAGGTTTAAAACCCTGACAAGGCTTGGTCTTTTAGAATCCTGCTTATTGGTATAGTAATTTGTGCCCTTGTGTTTTAGCTAGCTGTTAATGTTAGCAATGACCACTAAAAACTTAACTGCCTTAATGTCTTAATGATAGAAGTATTTTTAGTTTTTCTAATTGTATTCTTAAACTTTTGGCTTGATCCAAAAGTTACAAAAGCTCAAGCCTTGGTTTCTCGGCGGTCAAACTGGCTTTCAATCTCTAAAATGCTCAGAACTCGCTATGCTCAAACAATGATCATTTTTTAATGAGATTTTCAAGCTTTTGACACTCGCCTGCAAAACCGAAGGCGTTTTTAAATATTGAGTTTGGAATGGAGTGTAAGAGCTGCTAAGGCTTAGTAATGACCACTAAAAACTTGACTGTCTTAATATCTAAATGATGAAAGTAATTTTACCTTCAGGTGAAATCTTTGTTTTCTAAAAATGATGTTGTATCAAGATTTCTTTTGTGTCTTTTGTGGTTAAATAAATAAGGTTAGAAC", "AGAATAATTTTTGGTTAAAGGTACAATATTTTGCTATTAAAAGAATAATATTCTGTATAAATGTTATTTGTAATTTATTATACTGAATTTTATATGTTATTATGGAATATAAATTGGCTAAACTAACTTTGTGAAAAATAAAAATAGATCTGTAGAAACTGGTTTTATTTAATAGTAAATGAAAAGGGAATCATGTGAAAATCATGAGCTGTCGCGCAACTGTGAATACCTTACAAAGTTTTATCCACGATCCACTGTATATACGGGAAGGAGGATAAAATGGTATAAGTCAGGAGACCTGCCAATTTCTGAACAGGCAACTACTCTCGCGATTTGGAGTTGTGGTCTTACAGTACTTTAGTTTGTGGAGTATCCACGGGCAATGGACTTATACTGCTGCTTACGGCCTATGGGCGTGTGAGAGTTGTCTGGCTGTAAATGCAGGAGATAACTAATAAAATATAATAAA", "TTTTTAAGTTTCAGATAGGGATAATGTCAGAGATGTATATTACATTTGTTAATGCTTAAAAGAAATATTGAAA", "ACTTCTGAAGTATTAAAATATATTAAAAAAGCTGCTTTTATGCAGCTTTTTTTGTGGGCGAAATCTAAAATCAATAATTTCGTAGCGAAAATTTAATT", "TG", "AAATAAGAGGCTCCGGAATTCCGGAGCCTCTTATTTTTAGTCTATAATATTTTATGCTTCTAGTAGTGCTATGTAAACTATAAAATCGCAAAACTGGTTATTTGTAATTTTATTACGAATGTTTTGTATACAAGATTTAGCAATAGATATAATACAATTAATTTTGTACTAACTTCGTAA", "ACGC", "AAAAGTAATATATTTCTTTAAATTAAAAATTACCCTAATAATAAATTAAATTATAA", "GGTATAAAAATAAAAACCTGAAGTAAAAACTTCAGGTTTTTTTATGGGTAT", "TAATGAAATTTTAAATTTCACAAATGTAGTTTTTTTTGAATATACGGAGGAAAAACTTTGGAATTAATATAATGAAACCTATGTTAAGGCTTTTAATTCACATTTTTTTGAAATAAATCGTAAAATATTGTGATGTTAATAAATAAATTTTTAATTTAGTGATACTTATCAAGTATATATGATGTATGTTTAAAAGGTGAATAATTGAATATATTCTGGATAATATTAAAAGATTGATCTTTTATAGAAATAAACAACAACCAATCTAAAATAAAATATT", "GTCTCTAACTAAAAACAGCCAGAAATTATTCTGGCTGTTTTTAG", "GAGAGTCAATTATGGGGATGCAAATTTCCGTATTTTTTCCAGAACTTTTAGCTCCATTAGGGCGGAAAAATACGGAAATTTATTTTAACTGCTCATTATGAGTTACTCACAAACTGAT", "AGGAATGTAATTAATATGGACAAAGTTATTACACCCTGCCTTTGCGGAAGGTGTACAAATTATGCTTTGTGGTGTATAAT", "ATGCGCTATTTTATTTTTAAAAATAGTGATTTTTTGTAGTATTTAGTAATATTTTTATGTTGAATTGCGTGATGTGCATGAATCTTAAAAACCATACAGAAACATGATTTATACAATATCAATCTAAACTGTCTCAGTGTTTCTGCATGGATGAAAAAACTTTAGAATATCACATTCAGGAGAATT", "ATATTTTATTTTACTGATGA", "AATACCAAATTTTAATAGCAAAAGTAGCTAATTGATCTGGCGGGATAGATGTAGTTATTATATTATTGTCTGTATAAATTATAACTTTGGTAGTATTACTGTAAAATCAGATTTT", "TGCTGTTGGTAAAAAATAAAAACTAAGATAGGGAATATTAAACTCATGAATAG", "TCATGTTTTTGTGATATTTATATCGAAATATATCTATAAATATATGTATCTTGAATGGTAAATAAATGATTTTTAGGAATAATGTTTGCAATGTTGTAAGTATTGAAAAATAACATGGAATCTGATAAGAGAAAGTTTTTAAAATATGGGTATTTAAGCTTTTTTTTGTTGTGTTTTGTATTCGGATGTAATAAGCAATCAAAACAATTTTCAGAAGAAGGTTTTAATAAAACT", "TGTTTTCAAATAATTGAAAACCAATGTGCTGGGAGAGATGAGGTATATGTGTAGTACTAGTTTTTTTGACATTTATTACTAATTATCATAATATTTGCATTCTTGAAAACACATTTGATGATAAGATAGCTGTCGCATAAAATCTCAAAATAAACATTTTACCGAATTGTAATTTTGGATAAAGACGGCTATTTTTGCAAACAATAAAAACACAAATAATATATTTTAAACAAACAATA", "ACCTTCAAACAGAAAACAACATCATAGATCAAAGTAAAGCGCAACCCCGAAAGGTTGCGCTTTGATGTTGATTGAAATATCTGTGATTG", "TTTCTTATGTTTTTAAATTTTAATTCATTTTATTAATGCAAATATGCGATTCGATTATTTTTTAAACAAATAAAAATATTTGATTAAGAAAAATATGCATAAATTAATTTTATAGTAGGATTTTTTTAAGAATCACAAAAAAGAATCCCATCCTAACCGAAAAAAATTAAGATGGGAAATTTGTATTGTCAGTAAGTGAATAGTCAATTAGGTCAATGAGTCAATAGGTGAATAAGTGAATGGGTGAATTTACAAAAGATTGTATATTCACTTTTTGACTCATTGACCTATTCG", "AAGATTAGTTTTCAGCAAAGGTAAAAAAAAGAGCATTAACCTTTTTGGAAAATGCTCTTCATTATATTTTGGTTTGTT", "AATTAGATCTGCAGTTTTGCACTGCAAAAATACGTTTAAATTAGAGTAAACGCAAAAATGCCTAAATTAAGCTCTGAGAAAGGAAAGATTTGACTTGGGTCTCAGAAATCTTATCTTTGCAAAAAATATAATTATT", "TTAATAACTTTCATTTAAGTTTACTATACAGACTTTATCCTAATGTATAAAGTCTTTTTTATTATATTTAGTTACAGAAAACTAAACCG", "ACTAGCAGAATTGAAAAAGTCTTAAAGCAGACATTTCCACAGAACATAAAAAAGGAAAAATTTGGCAGGGTAACTTTCAAAAAACTTTCATTGCGAACGCTAATTTCAGTCTTACAAGGCAGAAAGAGAATGACCAAAAATTTCCTTGAATATCTTCTTGAGCAAAGATAAAAAAAATGTTTACAAACCAAAAATATTGGGTGTAAATATTTAATATTTAAGTTTTTAAACCTTT", "CTTGCAAAAATAACCTTTTGTAGGTTTATTAGTATTTTTTTATTAAATTTACGAAACTAATTGTTAAAATAAATTACAAAATA", "TTTTAAGTAATATGTGATGGAGAAAATCTGCTTTTGGAAACAAGAGTGGATTTTCTTTTTTATAAAAGTCCGATAAAAACCTTAAATTTGTGCTGCAATTTTTATAAG", "GATTCAGATTATTCTGATAAAAATAATAAATGGCCGGAGATTTCCGGCCATTTTATTTTGTTAGTAATTAATAAAGTCAATTAT", "ACACAATTTTATAACTATATGTTTTCAAAAACTTTGCCAAAGCCTAAAGTACAAATTTCATCGGTATTTACGATGAAAAAATTATTAATGTTTTATGAATTCAATGACGAACATCATGAAAACCAATCAGGCTATTTGCAATGGTATTCCTATCTTTGTTTTTTCTAAATAATAACTGAGCGAT", "TTGATTTTTACATATATAATTGAAGAAGCGCTCGGGAAACTGAGCGCTTTTTG", "AATTATTTTTTTTGATGTTATATTATATAGATGTATTTTTTTTATAATGGTTGCGTAACATCGTTTTTACTTGATTATTACGAAAAATATTCTGAAAGAGTATGTCTACTTCAAAATGCACAACAGGTTAATCTTATTTAAAAATAAAAAGAGAGTTGTCTAAATGACAAACTCTCTTGGGCATTTGTATAAATGTGTCTTGATGTTTAAAGCATA", "TCTGTAAATTAATTTAGTTCTAGTCGATTAAGTACAGCTATTTGCTGCTTTCAGGGAATAAAA", "AACAGTGGCAAAGATAAAACAAAATCTAATAAGAAATACTTTATAGAAGCTTCTTTGTAGCTGATTTAAATTACCTTTTTGATAAACAAGTATGCTTTTTTCTTTCGTAAATTTGTGGATAAGATTTAAATTATT", "TTACCAGAGATTATGTTCTAAAAATATAAAACCCGCCAAAAGCGGGTTTTTATTTTATA", "T", "ACAGACAAATTTACAAAATAAAAAACCGTCAATATAGAATTGACGGTGCGTATTTTTTATCAAATTAAAT", "CAATAATATATTATAGTTAAGGCCTGCAAAAATAGTGTAAACATAAATGGTCAACAAACTTTTTTACAGAAAGAAGGTAAAATGATACCTTTGCAGAT", "AAAATCTCCAGAGTCAGAACTGTCTAATTTTTCATACAGCAATACAGAAGATATAGTGTATTATGATTAATAAGGAGCTCCATTCGGAGCTTTATCTCTATAGATTATATTTACAAAAATTCGGAGCGTTCCGGAGGAACGTTATCTTAGATAAGTGAAAAATCAAAAACTTCAAAATTACTATTACAATGGAAAAGGAATTTTCCATATTAGCCTAACCTTTTGCTTAATCCCCAACTTTGTTCCTGCTCCATTATTACAACAGAATATAGTTCTTATAAAAGAAAAACTGCTTAAAAAAACTCGTAAGAGCCCTAGTAAGCAGTTTCTTCTAAACTAAACTATTAAATCTAT", "TTATTTTAATTTTCAGTTGGCAAATATACAAAAATATTGATTCATTTGAATATAATTTTTGTATGAAAAATTTAAAAACACTATTAAATTTATACATTATAGACCAGAGAAAGTCTGGCCGTATATAAAATAACTGATCAGAACAATT", "ACTGAATTATAAATATTGGGCTGTTTCTGAAATGATAAATCAGAAGTAGCTTTTTAAAGTATTGTTTCCAATTGTATT", "ATATTCTATATAAAAAGCCACATCTTTGTGTGACTTTTTTATGCTTTGCTTATTTTATTATAA", "CTTTTTCTGTTTTTTTGTTTTTAGA", "TCTTTTTCTGTGTTATATAAGGTCTTTGCAAATTTAGAATAAAATAGCAAAAAAACTAATGTTGTTTTACTTGTAAAAAGTAAGCATTTCTGTGATAGAAAATATAGTGTCCAGCCATAGATATGATTTTTCTCCATGTTGGCTTATAATAGTTTTTTTGGTGTAATTTTGCCAGATGTGTTTTTAGAGTACCCATA", "TCTAAATAAAATAAATAGAAAAGCCAGAAATTATTCTGGCTTTTTTTGTTTGTGTAAATATTC", "ATATAGTAGTTTTAGAGGCTTGTGCTTTCGCTTCTAAAATTAGGAATATTCCTGGTAGTGGGACATTAAAATTGCTTAACCTGATTTTAATCATATTTCTAATTGTAGTGACTTTTTTAGTTTGTATGAATCAGAT", "AA", "AACAAATTCTATGTAAATGTAACTAAATTTAGTTATAAAAACTATTTAATATTTTTGTTTGAATGCACTGTAAACAGTTAATGCTGTCATTAACCTTCTGACTATACCAAAGTGAAATCTTTTGCTAATTAGCTGCCTTTCAGTTGGCGGTTCAGTTATTCTATTCAATTCTTTATTAATTTT", "GGATATATAATTATCAATTTTTCTCTTATATAAACCTCATATTTTTCTTGTTTGTTTTTCATTAATTGCGTATTTTAATTTATATATTTAATCTTTTATGTTTATAATTGTAATTATATGCATTTAAAAATTAATTTATGTTAATTTAATAAATTATTTTTTAATTTAATTTTATTTCATATCTTTATGATATCAAATTAATATCAAT", "AATTTAGACCAGGCGAAAGCTTAACAGAAAAAATAGAAATT", "ATAGATATATTTCTAATAAAAATGCCGTAGGGAAATTTTCCCTACGGCATTTTTTTATATAAGTTTCTTATTGTAA", "CGCTTATGCTTTTAGTAGATTTCGGTATACTTTAGTAGTTGGTCTACTGGTACACCACAAAGATAACGAATACCTTTGAAACACAAATAAAACAGGTTGAAAATACTGTTTTTAGCGTAATTCTTTAGCTATTTTTTGCTAATCAGTTAGTGGAATATAACCTTATAAAATAAATACAGTAGAAAA", "CTTTAAATAATTTAGTT", "ATTGAAGAGTTGTCGGTTAGTAGTGAACAGTACCTGCCAAAAAGTATATCCATAAATATCAACTGTATACTGCTAACTGTCAACCAGTAAGATATGTCTCCTGAATTCTATCTCATTTGCATAAAGCATTTTACTGATCAGCAACTTGAGATAGAATTTTTAAAATCCTGATGATAGAATAACTTCGTCATCATTTTTTCAATCGTTTTTTTTATAAAACGGGACATCAAAATTTTTGATGTCCCGTTTATTTTTATCTGGATTTTAAATTTATTACAATTTCATACACATAGATTATATATCTGTTTTTTCATATACTAATTAATTGAATTTATCTTAGTAGATGCTGATGAAACTATTGTTATAGGATGGTTTTAGCCTCTCAGTGATAAATCAGAAGGAATAACGTAAGGAAATGAAAAGTGTTTTTCTTTTCATTATAGAGAAGAAAATATATCTTGGATGAAGAAAATGAAGTGGCACAATTAATTTTTAGAAGAAATAGATTCAAAATATTTTGTTTTATAATCCCTTCTGCTCCGGTTCCGATTACCTAATGAAGACTTTTCATTAAATAAAATTATCAACAATTATCATAACTGCCGGAGCAGATTTATAAGATTTGATGAAATACCGCAAACAACTTCCTGGCAGATGTCAGGTGTTTATTTTTCATAATGTTGTTTATTGTTTGTGGTACCTGCAGGCAGATTGTTGGTTAGTGTACAGCATCTGCCTGTTTACTAAAAATTAAAGTATCATTCAGACAAATGATCTTTTGATT", "GGTGATTAGTTGTTTCTTAAAAGTGGCATCAATATTTATGCCTCAATCCGGAAT", "AGATT", "TTTTAACGATTAATAAAAGTAAATATAAAGGTATAGTTATGATGGTGTTGAAGTGTACATCTAATAACTTACAAATCCAACAGACTTTATTAATTATTTGCTATAAAAGCTATTGATAAAACTCAATATTAAAATTTGACAAAGTAATATAAGATTACCGAAATTTGTATCCGAACTGAGATTAT", "GATTGGATTTGAATAAATTTAATTATAAAAATGCACTAAGATTTCATCAAAACTTAGTGCATTTTATTTTTATATATAATTACGAAAATAGCGAAACGCCCTGAAGATACTGGTTTATATGATTTTTATATTGAAATTTTTATCATTGATCCCCAGTGTTTTAAAGTTACATTGGTAAATTATTAAATAAAATATTTGGAGTGTAACGATAAATCCCCCTATATTTGCACCACTAAAAATTCAGAACAGAATTTGAAAGGAGAGTTGGCAGAGTGGTCGATTGCGGCAGTCTTGAAAACTGTTGACTGTAACAGGTCCGGGGGTTCGAATCCCTCACTCTCCGCAAGTAGCAATACAAAATGGTTAAAAAACCGCTAAGCTTAATTGTTTAGCGGTTTTTTCTTTTATGCGGTAATCAGGATAAGTATTAAACCCCAATCCGGAGGAGTCCTTCGAGGTGTCACCACATTTGTGGAGATATCAGAGACACCTCCGGCTTCAAAGCATCCTGTACAACGAGGTGAACAGCAATTGAACATCTTGTATATACAGCAGATGAGGTTTAAATTAAATACTAACATAAAGGTCACCACATTATGAACGCTACAGTATACATCCTCTTCTATATCAAGAGAACAAAAACCAACAAAGAAGGGCACAGCCCCATATATGTACGTGTCACCATACAGTCCAGACGTTTTGAATTCAGCACCAACAAATTCATACATTCAGACAAGTGGAACACAGAAGCAGCAAAAGTCAGAGGTAACAATGAGGAAGCCCGTGCAATAAACAGCCACCTCGATCACATCCGCAGTGAGATATCCCAAGCCGAGCGTACGCTCTTCAAAAAAGGAATCCCCGTTAATACAGACACCCTCCGCAACCAACTCTTTCCCCATGCCGGAACAGCCCGGATGCTAGTCTCCATATTCCAGGATCACAATAATAAAATCCAAAGCCTGATCGGGCAGGAATACGCCCCCGGCACATTAGAGAGATACAAGACCTCA", "ATATCAATTTTTTAATCAAAAAATGTAATTAATATCTTTTTAAGACACTATATTTAAATTATCAATTATGAATAACCATAACTACCCCATAAAAGAAGATAGAATAAAACATAACCAAAAACGGGTATTCT", "AAAATCACACACAACACCATCCCCGTTGTGAAATAAATCCCCGCAAAGCATACACATTTGTACCATAATCCACTAAACAAAATGTT", "CTATCCGCAGATCCTGCGA", "ATTAATATCCTGCGGTTGGCGGAGATGAAAATCTGATCCGATTCCACTATTACAATCTACCACTCCGTCACCGCTTTATTTTTAATAAAAAACCCCTTTTATTCATCTTTCAGAGCAATGCATATAACGCAATGCGAAATATTTTTAATATTATTTCATTTTGTGTAAAATTTGTTGCGTAAATTTATATTTGTATTTAATCTAAATAATATTAAA", "TATAGATATATTAATTACACATTACAGTGTAGTTTATAAATAAAAATCAATCAGTTTAATTTGGAACACTTATTCTGTTTGATAAAACAAATATGTACTGGTACTACTTATGCTAATCTTTGGGACAGAAGAATAGCAACTTTTTATAATGCCGAATACTCAACTAGTGATATCCTGATCACAACCACATTCTGAGTAATTAACGATAAACCTGTATAAATGGTTTGTCAATCAAAAAATCAAAAGATATACGTTGTGTATATCTCTAATCTTTAACATT", "TATCAATTTAAAGTAAGGCAGATCAGGAATCATACGAAACCATAAATACGAGAAGGTTGCTTATGTCAGATAAGTAATCTTCTTCCTTAAAATATCTTCACAAAACACATATCCGGCGGTTGGCGGAGATGAAAATCTGATCCGATTCCACTATTATAATCTACCACTCCGCCACCGCTTC", "AAGCACTGTGTTTTCATACAAATTACTGGCATATACAACAGGTAACCTACATTATGAATCTGTATTTTATACAAACGAGAATGTTATTTACACCCGGTACATCATTGTACA", "AATCAATGCGTTTTCAGCAAAGTAGCCCAATAACCCGTATGTAACCTACATAGTGAAGATGTATTTTACATAACTAATTCTGTTAATTACAGGTTAATAACGGAAAATTTTGTATTTTGCTTCCTTACAAAAGAATTAAATTCGCTGCT", "TCAAGATTAGATTATAATAGTCTAAGCAATTCTTTACTTTAATATTATCTGCAATTCT", "TTTAGTTAGTAACGGAATTTATTGCTTTACTAAATCATTTGCCTTTTAACTGTCAGATCAGATTGAAACTATTACAAA", "AGGGCTTTACTTCTACGATGTTCA", "TAATAAAATAATTTTAGATATCTAATTATTCATTGGTGGATTATATTGCATCTGCAAATTAATAGATAGTACTATTTAGGCTCACCATAAAGTTTACCCGTGCTTGCAGCGATTATTGGTTAATTTTAATTATTATATTT", "TCTGGTTATA", "TCCTGTTAAAGGTAAATTTACCTCAAAAATAACAAAATATTTTGAATAAAAAATAGAATAGAGGTAAATTTACCTCTATTTTTTAGTTAACTATTTTTTGTTTGAGATCTGGTTATCTAAAAATTTAAGAATCCGATTATTGATTAACTTCCCCAACGCACCCCAACGCAAAAGTATGCCCGACACACTTTTTGCTCCCTTACTATGCAAGCCCATTGGGTTCATGGAGTAGGGGCGTTTATAAAT", "TGTGGCATTATAGTCCCATACGGGCTGCGGGGACAAGCCCCCTATAATAACATTATGTAAAAAAGCCCCCTCGTCCATCCCTCCAGTGCTTTTGGTTCCATGGTACATCGGGTAATAGAGTAGTACACCCCTTCAGATCAGTACAGCACCCGATATACCCGTCACCAAAAAGCATAAGATAAAAAGTATCAGAATCAGAGAATCAGTATATTTTGATGTAGATTGGATAGTAATTTTAAAGTCTGATAGACATATATAAATTGAAATTAATAAAATGGGAAGTAGTAAAAGAATATAGTTAGATATAAATAAAGTTCTAAAAAGAAAGCCCGGATAAACCAGAATATTCCTTGAAGACCATCATAGTATTATGAATAAAAAAAAAGAACCACTATTAGTGATTCTTTAATTTCTTAAACTTAGTTACGTTGCCAGAACGGCAAGAGGCTATACTAAGCATCATGATACAAATATAATACATTTTTTGTATATTACCAAATATTATTAACCGTAATA", "ACAGAAATTATTCAGGCCATTATATTTAT", "TCACAAAATACTAACGCCCAAACCTTCCCAAAAAAAGATAAAAAAGAAGTCAAAGGTACGCTCCGGGTTCTTGAAAAGACAAGTTCAAGCCCCGTAGGGGTGTATTAGAAAAAATCTCCAACCTCCCTGCGGTCAGATAGTATTTTTTCTATACAAACTTGCTTTATCAATCCCTCCTCCGCTAATAAGAGAGCTTTCTTTTTTTCCTTTTTCTTTTGGAAATATTAGCCATCCATTCGCAGAAATCGGAAAGAAGAAATCTACAATTCTTAAATCCGATTATT", "CAAAAGCCCCCACCATCAGGTGAGGGCTTCATACACTTGCAACAAGTCACCACAACAATTGCAAGACTACAAGCATCAACTCTGGACAATTCCGT", "ACTA", "GCTCTGCGTCAGACGCCCCACCATCCGGCTATCCGTCGAACTCAGCAACAGCGGACGCAGCGCAGTCCGCAGCAGCTTACCAGCCTTACCTGCACGCCCGAATTCAGCACCATTCTCACGTGTACGCTGAAACGCCGGATCATTAGCGATCCTGCTGCCATCAATTCCCCCTTTCTCACGAGCCAGATACCCGTCTTCACTTTTGTAAAAAGTAATATCCCCGATAGTACCCTTTAGTTTGATAATTCCCTTCTGCCTTGCCATAACACTAAAATTTATGATTTAATCAATCTGCCAACCTGCACCAAGCCGATCAGCCAACACACAAATTTTAAGCATTCCCCCTACATAGCCAAGCTCACCCTGTACCATATGACCAGCAGTGTCACAAAAGACAGTAAAAGCCCCGGATACCACTTTAGCATCCATCCGGAATAAACCCTAAATTGCATTAGCAAAGAAAACATAGCAGAGCTGTAAGATAGCCCGCTCAAAGACCTACATAAAGT", "AATCTATAAATTCCGATAGCTGAATTTCTTCGGAACATCTACATTACGCCCACCAGTAACCAGTTCATTTTTTAAACTTTATATTTTTGATAATCAGATAATTATCACTATATTTACATATAAAGTTTAGTACAATTTGCACACCGCATAAAGGAGTCTTTCGAGGTGGAGTTTTTAGATGATTAAATGTAAATAGCTTCATATCAGTCATTTATGTTTATCAGGAAAATCCCTCACTCTCCGCTTAATGATTAAAGAAACCTACTAGTAATAGTAGGTTTTTTGTTTTTAATATATTTCTTTCCCCACCACCTCCCCACATTGATGTATCTAATTGATTTAATCAGAATAGGAGGGGTGCTACCACAACTGAAAAAAAGAAATAGGGGGATGAATCTATATGGGTATACATTCTATATATCAAATATTGCCACAAAATAATTCTAATTGAAGCTAGTATATTGGTGATGCCTGTGGACATAATTAGATAATACCATCATTAAAATACACCCATTACATTCATATCCTAATAAATATACTTTATAATAGTATTGAAATGGGTACTTTTTAGCCTATGTATAACTTATGATTTTGTTCCAGTATGGTTTATTACCAATTACTATAATGTTCGACATAAAAACTGGAAGAGAACAATACTGAAATAACAATACAAAGAATGACTTTACAGCAGAGCAAGCTTTATTTCAAAAAGATGAAGTTTAAGGATAGTAAAATGTATCATTTATAAGCTGTGGCAGAAATTTTATTGCAAAAAGAAGCAGGCTATTCAAAGAAAAGTATTAAGTCTTGTAGTACTAAAGAAACGAAAGCTTTGTGGGATTAAATACCACCAAGAGACTAAATTGAGATTACAGTCCAATTTTTTCAGAACTTTTCTGTATTATATAAAAAATGATTTAAGAAGGGAAATTTTAATATAGGATAGCTAACAAATTGTAATATAAAAGGGAATCTACAGAATTCTATAAATTCCCAATAATATTGCCTACCATATGATAGTATAATCTATCTAATCTCGGCTTTTATAAGTAATAAGCGTTTCTAAATTTATTTGATGATTCTAAATATAATTTGGTAAACCCGAATCAGTTTGAAAAAGCAGAGAGATATAATTATATCTCTCTGTCAGGCTATTAATTATGATGAGAATTAATAGCTTTTACACAAACACTTTTTTTTAGTTGTTTACTTATAACCCGTAAAAACAGTCTTCCCAAATTGTAATATCTAATATTAACCTCAGGCATAATAAATAATATGCTAAGTAGTTTTTTAGTGAAAATTTCAATTTTAAAAAAAGTGGGGAGATATTTTCAATACCTCCTCACAAGGTGAACTACCATTTAATAGAAATATATTATTTTAAAAACAGCAACACCCATTTACAAACAAATGAAAATTTATGATATAACCCTAAGTCAGGTTCATTTGAAGTTTAAAAAGCAGAAAGATAGATTTTATAAATACCATCCCTGTGCATGGACCTGTCAATCAAGATTGAAATCTGTAAAGACTGATCTTTTACACCATTTTTTAGTTAATATTTTTTAAGATCTTCTTCTCCATACAAATAGTAAACTAGGAGTAAGTTTTAATTAAACCAGATTTTCAGTACAGTGAATAACTGATCATCAATAAAAAGTTCTGGTACTCATATTTATTAAAATATAAAAATGAACTTTTACCAAATGGAGCAGAATAACACGATATATCTATCTTCATTTTCAAGTTGATAAAACCTTTTACACTACTGATAGTGATTTCAACTTTTTCTTACGCTATTATTTAATAAAACGATTTTCCACTTCCTATGGTTCTAAACACTTTAATCACTTTCTCATAATTTCACATATTCATCTTAATTTATTCTTGTTTAGCATATGTGCTCTATGCTGATAAACTTATACTGCAATATTGCAAAGTTGTAGGTATTGTAATCAAGCTTTATATTTACTGATAGAACTAAAATGAAAAGTATAATGTTTAAATAATAGCAGGGTAATTAGCTTATAATCAGTTGGACTTAATTGTAAAATATAAAAAATATGCTTTAATTCCTTTGCAGATTAACTGTCGTAATTTTTATTTAAAAGCTAAAAACAGTAATATTTTAGTGTTGTTTTTTTTGCTTATTCAGTCTTTAGATTGAGTTTTCGAAGGCTATGCATGAAGTTATTGTATAATAACTGTCCGATATCA", "ATCCTTTTGTGATGTCTCTAAATACGTTTTATTATAAATCGAATTAAAAGAATTCAAATCCTGAGAAAATATAATATTTGATATAAAGAATAGCACAAGAATAAAAAATCTATTCATTTAATAAGTAGGTTTTTATATGTTATTTGTAGTGAGTTTTGGATGGAGCAATGTTGATATATAAACAGCATTGCTGCTATTGATAAATTATTATAGCTGTTATTTGACCTTGAGTTTTTAAAC", "TTTTGCTTTTTAGGTGAAAGTGGATACCTAAATAAATATTAAGAGAGAGAAGGAGAACTTCTTTATGATTAATTATAGTTTTCTTTCTATAGATTATAACACTATACTCCCAAAGGACTTTTTTAGGCATTATCGTTTCTTTATCTGTAATCTAATACAGATAAAAAACATACTTCTTTTTAATAATTTATAAAAGCATTTCTTCAATAGATGTATCAGCAATACACCAAAGCGAGACTTGATCATAGGAAAAGTCTATAAACCGAAATAATATGAAAATTCATTTGAATTTTGCAGATTCTCAGTAGTTGGAATCATAGGTTCCGTTAATCTTCTTTGCCAGAGCTCGGTAGCTGATAATGTTAATTGAACATTTATATTTTTTTAATTCTATAATCTGTTCCAAAAGCATACGACCCGCCTGTGATTGAGGTGAAAATAGTTATCCCTTTTTACCAATTGTAATTCTTCTTCCATATGTTTATTTGTTTATTATCTTAATAATTTTTATTCCTTTCTATCAAGCTATAGCTTGTCTATGGATAATAGTTTCTTACCTATACTTATACAGTACAGATAAAATGAAAAATGTGATTGGTATTGGTGTGGTGAAAAAAGA", "GGTTATTTTTTGGCTAATATATAAAAAAATATGAACAGACTGTTCTGTTTATGATCAAGAATTATAAAAATATACAGCTAAATAATTGTATTCTTCTTGTAAATAGCCTTTAATAGGAACTTATGCGAATTTTATTTGCAAGCCTGATGTTTTGGCTTTATATAATTCTCAGGCTTAAATGGAGATTTTTAATGTGTTTTTTAGCTGGGAGAGGCAAAAACTATTGCCATTTTTGATGTTAAACAGCTTCTATGATATTGTAGTAAAAAAGAACAGGCTAAAAAAATAATAAGT", "AAAAGCTATGCATAA", "TGTATTTTTTGCTAATATATAAATAAAATAAACATGCTGAAAGCTTTTCGAATTGATAGGAGATATTAAATCAATAGATTTTGACTTATTATGAATATAGAAAAACATGATTAATAGCAAATCCTAAAAATGGACAGCCAAAAAAATTCAGGGAAACTAAAACTTTATTTTAATGTTTCCAGGCATTATAAATCTATAATACAAAAATAGAAGTGCATAAAATTAGATATACCACAAAAGAGTAAATCTACAAAATTTCAATTTTAATTAAAGACATTCCCCATAAGGCTTGCGGGTTTATCCATAGATTTTTTGAAAAGTTGAATCATATAATATTCAGGGTATATAAAATTCAAAATAATGATGATTTTTTTATAAAAACAGAACGGTCTGTTCGTTTTTTTATATATTAGCAAAAAATTAATAACTGACTTTCAATATGAGAAATTATCCGGAAATACGATTTTGCTATTTATTATAAACCACATTTTAGAAATGGTATATAAATGAAAAACCTGTTTTTAAACAGCACATTTCTAAAAATACCACACTATAAAATCCATATTCACAATACAATCTGCCAGCACAAAAAATTAAGTAAGAATTTTTTCACCAAAGTACCCCTCTATATTATGCAGGATTATATCTACCTGATCCATTTGTTTAATTATAAGGGGATGATTTTATAGAAATAATGAACTACCGTGATTAAAAAAACATAGTCTATAACCCGCTGAAAGATTGAAATAAGTAATCCTATTATTACTGTAAATACCACTCATTTGTACTACTGATAGTATAGACAGGAACTCTGTTTTCATTCTTCAAAAGCTTTTTCTCAGAACATAATATTACTAACCATAAAGAAGAGAACCT", "TATACCTGAAATGTAATTTGAAGTGTATATAATCTGAAAATAGGCCAGAAATTATATTCTAAAGATTAAAACAAACGATAAATTTTTGATAAA", "TGAGATAAACCGTACAAATCGCAAAGTAAAGAATAGGAGACTTTAGGATAAAATGATCATCCTAGAGAATATTGAAAAATCAGAAGTTTACTGAAAATATTTTTTCTAAATAACCCTGGCATCCATAAATTAACGATTACTTTTTTGTATAGACAGTTTAAAGGCAGTTCGTAAGAACTGCCTTTTTTATGAATGATTAATCTTTATATAGCTCGTTAAAATATAGCCTGATATATTAAACACTGTCACAGACACCGAAAATGTTGTTTATATCATACAGCAGTACAAAATGTATTTTACAATACCAACGACGACAAAGCTAATAATCTATACTTCAATGATGCAATTTTAAGCAATCGTAATATTTACAAATGACTTGTATTTTTATTATCAATAATAAACTCTTATTCTATTTTACAATTAAACATTATTGTTTATCAGTTTGTTGTGTTTTTTTATTATGGGAATTATGACTCTTTATTTTAGTGCTATTGGTAAAGAGATTGTTTGGAAATAAGATCATTTACTTCGCAATATTGCACCAGCACTAACCCTCACGTATGATGCAAAAAAAAACAATATTTAATATTTCGTAGAATGCTTTTAGATTATTAACTCTCCGTATTAGTACAATTAATAAAAAGTGTTAGTGTGAATGAGGCCATCAATATTTAGGAGAAATTATCCTGATTATTGAGCCTTTGGCAGAGAGATATTAAATATCCTCTCTGCTTTTATCCAAATAAATATGAACATATTATACCCCTTAAAAGATGATTAAGGGAGGAAGGAGATTTATGCTGCAAACGCTAAGAGTAAAAAATTAAAACTTCTTTACAACTAAAATATTAACAAAAACAAACAAAATT", "AAATAACAGAAAA", "TCTTTAAAGAATGCCAATATAAGGCAATAAAAATATTCATTATTTGTGTTAGAGTTGTTAATGAAAAGAATTTCATCATTATTCTATTAGTTAACAGCCTATCAGGTGGTACATATATTATGTGCCGCCTGATTTTTTATTAGTGTTTTTGTGTCTTTTATAATAATGCTTACGAAAATAGATAAAAAAAGTTGTCTTAAACACATATAATTAAGTTTCATTATTTAACACCTTCCTTTAATATGATTAATTTCAGAGTCTAAGGATGTTTGCTATTTCAAAAACAACTGATTTCTAATATTCGAGTTACAAACAATCTAATAGTAATAGTCAGAACTAGTAGGGATTATATATTGAAACATACGATGTATATGAAATAGAGAGAGGTGAATTGATGATATGATAAAATTATCGAAAAAGAATAATATATTATTCAGGACGATGACTTTCCAATACCTTTATGATTGTTTCCACCAATGACCTGTTAATGCTAAACCCAGGAATGTGGCAAGGAGCAACTACCCTAATATCTCCCTCATCATCATTTTCAAAAATCAATTCCTGTTTATCGACGCAGATATAGAACTTATATCCATAAGTATAAGTAATAAGACGGACTCTAAAAAGGAGCTCCTCGTTATTATATTGTACAGGTAAATCAAAATAGTCCTCCACAACCTTTAGCTTTCAAAAATAAGAATTATACGATAGTAAAGAAAGTTGTGTCTTCTGATTATGTA", "GTAGTTAGTTTTAACAATTCGACTTTTTTATTTCAAGTCGAATTGGTTATTGTTTTTTAGTTTAATAAAATTTAAAGCGGCTAAAATATTGTATTTTTATTTATGTTGCTAATTTTATTGCAGGTTTCTTGTTTTAAACAGAAACTAAGTTCTTATCTTTCATTTTTTTTCTGAACATGCATATAAAATTGCAGTGGTCTCCAATAACTCACTCTCCGCGGAATGAAAAAGTATTGACTTCTCAATGCTTTTTTTGTTTTTATAATGTACGGTTGAAAAGATCTCTTATTCTTTCTGTTATTTACTTATAAAATCAATTGCTGAAAAGAGAAATCTGTTTGTAGCATTAATCTTTCATTTTCGCAGGATTCTGATTGTGCCTTACCTGTGTAGATGAACATTTGCTTTTCATAGTCATTAATAGCTTAAGATTTTCATCAGTGAAAATCCTATATAATACCTGTTTAGATAATCAATTTTCTGAGTTTATTTTATATATGTAACGACTTTTAGGGGTTGGCAATTAATGAGCATACTAATACTTTGATATAAATTATTGGTGTGAATAGTATGGGAGCTCGATGTTCAATAAAGTGGTTTTTAAAAGTACTTTTCATATTTTCTTATAAAAGGCAAATATGCTTCTTAGTAAATTGAAAAAATATAAAATATTATTAATTATTACATATGTAAAAGTTTCCTATATTATACAGTAATGTTATTTTTTAGCTTAAGTATTTACTATAAGATTAAATTTTGTATGCATTAACAAGTCTCTTTTGCTTTTATCTAATAATGTTATATATTTGAGCATTAAATTAATATT", "TAAAGGGGGAGTGATATTTTATTGAATTTTTATATAAAATAATTGCAATACTATCATAGAAAAAACAAGTGAAACTGTATCGATTTGGGGTTTGTTTTAAATCTATCAATATCTATATGGAAATG", "TATTTTGTCTTATTTGATTATGATACAAATTTGAAAGTATTATTACGGATAAAATAATTACATTAGACATGAAAATAGTCGTAAAAGACTTTTATTGA", "AATTGAATTATAAAGCATTAAGATGATTGACTGAGCTCTTAATATTAAAAATCTATTTGAAAAGAACGTAATAGATATAAACAAAAAAACCTCTTTAACAGAGGTTTTCCATAAAATGCTTATGCAAGTAGGAAAACCCCCATAAAGAAGAAGATTAAAATGTATGATGAATGTGAAATTGGTCTAGT", "AATTGATTTTATTTTATATTTGACCAGATTTTATGAAGGTCATTTTCTCTTTCATTTTCCTTCGACTAAATTATGGGAGATAATCTTTAGCCGCAAGAAATAGTAGTCCTATATTAGCTAATTTACAGATAAAGACGTCTTAATTTATAAATTAGGACGTTTATTTTTTATTTATATAGCTGATAAACAGTTGTTTGTTTTTTTAGAATTATTTTTTTTAAATTATTTTATTTTATGGCGTATGTATTATTATTTAAGGTATTTTCATTATTTTTGATGTATATAAATTGCCTGTTTTAAAAAAGCAATGCACATAAAAAAATACATATCTTACTTTTTACTATTTATTTTTATTTTTCTCTCTGGAAATTTAGAGGCTCAGTCATATGATGAC", "ATTTTAATGAAGCCTGGACAGAAAT", "ATTGATTTTATCTTATGAATTGTATGTAAATATAATAAAATCAGCTATTTAAATTTTATTTTTTTAATACAATGTTTGTTTCTTATCATAAAAATTATCCCGATAAAACAAGCTCTGAGAAAACTCAGAGCTTTATTC", "AGTCATTGATTAAACTGGCTTTAGGAAAATATCTGAAACAAAAGCAAAAGCCTGAAAGCTTTCTTTTTGCTTTTCCCTACGTCGGTATAAGCCGTATCAGGTTCAAAGGGACTCTCTCAATTCTTTACAGAATACCCCTAAAGCGTACACAAATGTATAAAACTTTTTACAGATAGCAATTTGTTTGAATTCTGGAGCTATGGTGAATTTTAGCACTAAGTCACGGGTTACGTTTATATTATTCTGCTTTTTAAGGAACGCGAAGCCGCTAACACTTAGCAATGTTAACTTAAACCTTAACTATCTTAATGTCCAAATGTTAGGGATGTTTTTACCGTAAAACAATACTTATAATATATTAATTCGCGTTTGTCAACTTTTGTCTGACCTAAAATCAGGCGAAGATTTTATAATGAATTAAGCTATATCTTTGCACCATACAAGAAGTGATTT", "CTGCTTGAAATAGAGAGAGAGCCATTAAAAATGAAAATCCGGAAAAAAAGCTTTAATACCAGAAGCACAAATTTCATTACAAAAAAAAGAGTTTTCACTACATTTTTGAAAAATCAGCATTGAAAATTTTAAATTTCTTTTGAATTTTGCAGATAAGAAATAGTAACATA", "TACAGCTTCAAGCTCTATAAATGTGGAATTTGTTGAAGAATAAGGTATATTTATGCTCCAACAAATAATGCAAAGT", "TAATC", "AAATAGTGTTTTAGATGTGTCTTCTAAGACCATGAAGATACTAAAATTTATCATTTTGCTTCATCATTATTACAGGGCAGAGATTCTGTTACAACTTACCTTAATTAAGATACTCTTATGTTTTCTTTTCTGTTGAACTTTGTCATACAAAATTACTAAGAGCCTGTTCGAATTTTAATTCAAAAAAAAGCTTCAACAGGCTCAGCCTGACACCTCTAAAAATAAGATATTTGAGATGAGCAATGTCACTCTGAGCTAAGTCTATAGTGAGCTTGACGAACTATCGAAGAGTATTAACTATAAAAATTGAACGGACTCATAGTATAAACACATTGAAAATATAAACATT", "TAATTTAGTG", "AAATAAATGAGATACAT", "TTTTTAAACATAATATACTTTGTTCAATGCATATTGATTAAAAAATTAATCACTGCTGAAAGTGAACGAATTTGCAAGAATACTTTTTTATATACAATTATATTTAGAAGTAAAATTATGGTAAAACAGTATTAAGGTATAAAACAAATGTTTAATCCTTTATTTATAGGGAATTCAACGTATTTGTAAAAGAAAAATATTAATTTATTTTCTATCTTTGGAATTCCTAAATAACAAGACTTCAATAATTTGAAAATGAAACCTTGTT", "ATTGCTGATGGATTAGATTTTTCCAGATTATTGGAACTTGTACAAAAGCTTATCCCATATGCGGAACTAGAGCTACTCACTAAAATTCAGCAATCCGAATAATTAAAATGAACTTTACAAATTGAAGATTTCCCCGGCATAGCTTGTTGGGGAAATTTTGCAGAAGAATAGACAAATCTATGCTGGTGCGAGCCTCTGGCTCGTATCAATGTAGATATCACGAGCCAGAGGCTCGCGCTAGCAGAGTAATCAATACTATACTTCAGTCAACTTTTTCAGTAACATCTAGAGATTATAGGTTTGTATTTTAGTAAATAAAATTACTAAATATCCCGCATAATTGTATATTTATGAATTATTAGAAATTTGTTAAA", "GACAGTGGGCTAAACAAAAAGTCTTACCTGATTAAGTAAGACTTTAATATAATTCTTTTGAACCTAATTAAAAGCGAGCTATAAAATTTCCTGAGATTGATTTGTTAAACCATATGGTTTAGG", "AATTGATAAAATTTTGGTCTATAGCAAAGATAGGCTATTTCCCGGACAAGCCTCGGTTTTAGTGATGTTTTCACTATATGTTTTGTTCGTATTTTGTATAGCATATTGTATGGATTATGGCTTTTTGTATATTAGTTTCAAATAATTAATT", "TGTGAAGTGAAATAATAAGTCGGAAACGCATTTTGTTTAGTCAGGTGAGTTGTTGTTTGAACTTCATCGTAGATAAACCTGTTATTATTTTATACTATGGACTTTATTCGCTCCTAAAATTATGGTTACGATTTTAGGACGACTCAGTACAATAATTGTTAAATTTGGATGCTGGATTTGGTACATACTCCATAGAAGATTAAGAAGAAAAATAAAAGAATGAAAAGATTGTAAA", "GACAAATGTCCTTATGCGGCCATGAGCAGTGATGTAGATTTGCACAAAAAAAT", "TTAGGGAGTGCTTTAGTTGTAGTTTAAAATAAGCATTTTATGCCTTTCCAGAAGGTAAATTAATATAGGGTAACGGTTTTATGAAAATAGCTTAAGACCTGGAAGTCATATTTTTTAACCTCCTGAGAACAATGTCCAATATAAAATTGATCGAGAGTAGAGGAGTGTAATATATAGCAGAAACACATAACTAAATATAAACAAAAAAGCCTTTACATTTCTGTAAAGGCTTTTTAAAGTGAGCGCGACAGGATTCGAACCTGTGACCGTTCCGATTGAAATCGGAATGCTTTATCCAGTCGAGCTTTTTATAAGTTGTTCGATTTTCTTTTTACTCTTCCATGCTTTTATTTCGCGTTCTCTTTTATATGCAGAGCTCTTATCGTTAAAAGCTTCAGAATATACAATAATCCAATCCTTAGCGCGGGAAGTAAAACCTTTATGATTAGAAAGGTGTTTTCTCAATCTTTCATTGAGTTCTTCTGAGCAATGACCAATATAAAATTGATTGAGAGTAGAGGAGTATAATATGTAGCAGAAACACATAACTAAATATAAACAAAAAAGCCTTTACATTTCTGTAAAGGCTTTTTAAAGTGAGCGCGACAGGATTCGAACCTGTGACCGTCTGCTTAGAAGGCAGATGCTCTATCCAGCTGAGCTACGCACCCTTAGTGTATAAAAAAAGCTTTTTAAACTAAAAAGCTTTTTTTAAGTCGGGATGGCAGGATTCGAACCTGCGACCTCCTGGTCCCAAACCAGGCGCGATGACCGGACTACGCTACATCCCGAGTATTTTTAAAATCTGTAAAGATTTTTACAAATGCAGAAAAAAAATATAAAAAAATAAAAGCCTTATCAGATAAGGCTTTAGTGAGCGCGACAGGATTCGAACCTGTGACCGTCTGCTTAGAAGGCAGATGCTCTATCCAGCTGAGCTACGCACCCATTTTTGGTAAAAAAAAGCTTTTTGAACCAAAAAGCTTTTTTTAAGTCGGGATGGCAGGATTCGAACCTGCGACCTCCTGGTCCCAAACCAGGCGCGATGACCGGACTACGCTACATCCCGAATATTTTTTATACTGCGGAGGGTAAGGGATTCGAACCCTTGCGACCCTTGCGAGTCGACAGTTTAGCAAACTGCTCCGTTAACCACTCCGGCAACCCTCCTTATTTTTTTAATGATCTTTTGTTCTGCGTTTGCGAGTGCAAATATAGAACGGATTTTTGAATTTACCAAAACTTTTTTGCATTTATTTTTTGTATTTTTGACAAAATAAAAACCTTTAAAACACCTATTG", "CAGATTGAAAAATATTTCTTTTAAGAAATATTTGATTAATATTTTTCTTAATTCTCGCCTCTGAACGTCAGAGGTGGGAATTTTTTTTCGTAATTGATTTATTATAAATAATTTTTTTCTAATCCAATATATTTAATTTAACTTTAAAGTTTAAAATTTTTGAATA", "AAGAAAATGATATTAGCAAATAGAGAGGAGTTTTTTTACTCCTCTTTTATTCTAAATATACGATCTTTTATAAAAATATGTAAT", "AAAAATAAATATGAAAATCCGGGACATTTCCCGGATTTTTTTATTGAAAATTAAACAAGACTTTCAGTATTTAAAAATCTCACGGACTATTATAGGCTTTGAGGGTTTTTATATCTGTCCGGTTTACTATAAGTTATGATATCTGTATTACTTTTGAAGTAGAAACTATATGAAGTAGAAATAGTTTAAACACTGGTTTTACTACTTTGAAATCTTAATTTTTTCTTAAAATCAGACTCCGTATTTTTCTGAAAATGGCTATATTCGGGACCATAAAAAAACGAT", "TAAATAACTGTAGAATAACATAAAATAACCAGAGGGAAATAGTAATTTTAAGACGACTCCTTCTGGTTATTTATTTTTTATACTATATTTTTATTATCTCATTTTGAGACAATTTAAAAATTTATTACATTTACATTGTTAATAATTATAAAGTAAAAACGATAGCT", "TATTAGGTTAATTACAAATAGAAAATGCCGGAGAATTCCGGCATTTCTTATTTTAGTTACAACGAAGA", "AAACTAAAATTTGCCCCAATTTACGAAGAATATTTAGGATACACGGACTTTATATTAATTTCCGCAAGTAGGTGAAAATGGCTTGAAATTTGGATTGTAGGCGATAAATTTCACACCTAAAATTAACTAAAAG", "GGTTTATTGATAACAACAGAGAACTCCCTGAAATTATTCAGGGAGTTTT", "AGCTAGTTTACTGGCCCTTAGTATATCTTTGGATTTTTTCTTTATAGTTGGAGCTTTTT", "TGGAAGCTATT", "AGGCTTAAAATGATAAATAAATGTACAAAAAAACCTTTTACGTTTTTATGGTTTTAGTAACAGGTCTTTATAATCTT", "AGTGC", "AACCTAAATTTATTGATGTTTTAATGAATTGTAAAATTGTGGAATTGTAAAGTTGTTTTTTTGTTATTCTGCAACTTTACAAATACACAAAAAAACAACTTTACAGTTTCTTACCTCTCTTTGTAGTGGTAATTTT", "TCGTAGATTTTTTTAATTTACTGCTTTCCCGCAGCTGGTGCTTTTATCAGATGCAATACTGCTTTTAGAAGTATTGCAAAAGTGTTTCATGA", "TTTTTTAAACT", "TTTTTTAATGTTAAAAATGTTCTG", "AATAATTTAAAATTTTTCAGGCTTCATAAGCACATAACAGATGTAAATGAATGCCAGAACGGAAAGGATGAATAAACTCCACATGATATGTTATATTTTATCAAAAAATTGAACTGTTTTATATAAAAGCAAGAATAAAACAATACTGAGTAATAAAAGGACTAAAAGCATCATATTATTCTAATAATTAAGGTTAAGACCACGAGTGCTGCCAGAAACGTCAGTAACCAGGTATAAGAAGACTGGCTAGGCTTCTGACTGGTTTTTTTTAAAGTTGTCATTTTTCATAATTTTTATCTGAACGATATATGCCAAAACCAATTCCAATTAGCTTCAAATGTTTTTAAATTATTGTTTTACAGTGTTTTATATTGATTTTTGAAAGCTCGTTAAATAAGAAAAGCTTATCAAAATGATAGGCTTATATTCAAAATGATAAAAACGGGTTACTGAAAATGAGATTTTTTTAAGATGATATGATATTTCTTAACAGAGTGAATACTTTGTATTCAGAATAATATTATTAGTTTTGAAGGCAATATTTTAAAATAAACACAAATCATTATTATTTAACTAAAAATACGT", "AATT", "ATGATAGAATTGAGATGCAAACTTACGTATTATTCGGCATCGAAAAACAGAAGAAGTAATTCAAAATATAAAAAAAACGCCAGAAAATATTTTCTGGCGTTTTCTAATTGATG", "AACTTTTAATTTTTATCAAAGATAATGCGGGGAAGCATGCAACCCTATTGCAAAGTT", "TGGTATATTTAGTTTTTTGCATATTTAAAAGCAACTTCAAATATAGTTTTTTTGAAGTTGCTTTTGCAGATTAGTA", "AGTTTTTGATTTTTTTTCAAAGGTATTTTTATCCCGTATGGATATCGAAAGCTACCGATGAAACCATCTTATTAAACGATAAAAAGATATTATTAGCAGATTAAGATTTTGGTGTATAGAGGTGAAGTGTGGTTTCTGTATATTTGAAATAAGACTCATTGCT", "CTGA", "CGGATTAATAATTAGATTTTTGATGTTATAACAAATTTAAGGATAAATTTTAAATTTTTGTTAAAACAAATGTTTTATAATTAATTAATAATCAATTTTTTATAAATTGTATATGGATATATAAAAACATGATT", "TAAAAAACGCCTCACAGAATTAAACAGCTGTGAGGCGTATATTTTTATAAATTATTTATC", "AGGGTCAATAAATGTTTTTACAAAAATAAACAATCTGTTATTTTAATCATATTGTAAACTGTAAAAAGAAATTTT", "ATATTGTTTTTATATATGACAAAGCTATATGCAAGAAAGTTACAGAAAACACAAACAAAATTTAAATTTTTGTTAAAGTAATTGACCTCTAATGCGGATGATATCTAGTATTATTAGCTTATTTATATTTGAAAATACTTAAGGTCTTAGAGATTTAAACGCAAAGAACGCAAAGCCGCTAACGCTTAGCAATGATCACAGAAATCTTAATAGTCTTAATATCTAAATGTTAGAAATAATTATACTGTAAATTGAAATCTTTGATTTTCCTGAGCCTTAAAATTCTGGTTGTAGGCATAATGACTTGTGCCTTGGTGTTTATAAATTTTTGTAATTCTAAATTTCGGCTGTAAGAAATGGTAAGAAAATATGAATAATTA", "AAATATTGTGTTTTTTTGACGACTAAAAATACGGACAAATTTTAAATAAACAGCGTTAATAAAGGAAACTGATTCGTGTTGTATATTCTTATATTTGCGGTC", "GGATTTAATGTTTTGTAAACATAAGTTCTTGACGCTTTTTGTAAATTTGTATACACAGATAAAAATTAACATA", "TAAATAAAGTTTTACAAAATAAAAAAGCTACCTGATTCAGGTAGCTTTTTTATTTATCAGTTTTGGTA", "AGTAAAATTTGATGTGCAAAAATAATGATTTTAAAAGAAAGTGATATACTGTATACCGCTATATCGAAAAACTAATAAAGGCAAAATGCTATAATAACAGAATAATGCAGTATTACCGGAATAAAAAAAGAAATTTTCTCCTTCATTTCGGAGAAAATTTCTTTTAAACAGAGTTTACATAGCTAATATGAAAAGTATACTTTGCTATGTGAAACTTCATTTGTGGTCAAAAATAATAGTATTGT", "CGTGG", "TTTTAGTTTTATTTAATAGTAGTTAAAAAATCATAAATGTTACAATCGGGGAGAATTCTAATGAAATAGTTGTATCTTGAATTAAAATTTCAAAACGG", "GATAGAATCGAAGAAAAATATTGCCTGAATTAATCAGGCAATAAATTTTTTCTCAAAGCCTAGGTGCTTTTTTTCATTTGTGAGTTTGAGATTTGAGAAAGGTTTAATCCTTAAAGTCAGAAACCAAGGCAAAAATATAGTTTTTTTTAAACAGAGAAAATGAATTCAGG", "CAAATTAGTTGTGTGTTTAAAAAAAATGTGCTTTTATTTATTTGTATCTGTGTGTTGTATAGATGTATGATATCTACAACTATAGTAAATATAGCGGTTTTTTTGAAAAATCAGAATTTTTTGTTAATTAATAAATTATTATCAGCATTCATTCACTAAGTTTTATCTTTGCGAA", "AAATGAAAA", "AGTTTGAGCTTAATTTTAACAAAAGATTAAATAGATTCTGTCATTAGAAGTTTTTAATTTTATTTATATTTGTCAATCATAATAAATCTACTGA", "TTTTCCCCGATATACAGATAGATTGAGATTGCTTTTAGCAATCTCTTTTTTTCTTCAATAAATAAATTAATATTTTTACTTTTTAAAAACTTAGT", "TTAATTTCTGCCAGAATGTCCGTATATTCGTTTGGAACAAAATTAGTAACACAATAGAAAAATTAATTCA", "TTTTTTTCGTAGCATATTTGTTTAGAAGGAAGGCGTAAAATCTATAGATTTTACGCCTTCTTTTATTTATTGAGTAAAATACAATTTTGGAATGTTTAGCTAAACATAAAAATACATTTATATTTTCTAAGGAAGCTTTGACAGATCAGCAAGCTTTTTTACTTTTGCAGC", "GAGGTTTATTTATTGGTGTAAATTATAGACTAACCAAAAAGATTCAAAATTTTGTTTCATGGTAAAATATGAGAATACGCAAGGG", "GTTTCAGCTTTTTAAAGAATGATTGAGAGGTAAGAGATTTGATACTTACGTAAATTTATGCAAAAATTTGGTTAAAAGGTAGTGGAAGTGGCTGATTTACTTTAGTGAATGAAATTTTTAAATTGCCTAAATAAAATTAATCCAAATCGTTTTCTTT", "AATAGATGTTTATGTAAGAATACATTTTTTGTGCGGGTTAAATATATTATTTTTAGCATCCAGAATATATAAAAAAAACAATATATTTAACCAAAAAGCCAAGTAT", "AACGCTATTAATATAAAAAC", "TTTGTTGTGAAAGATTAGGAATAAAATTAGTTTGTCATATTTATTGTACGAAATTAGTTCAAAACACATGTGTTTTTTCTTTAATTTTAACCACTTATTTGCAATTTTGTTTCATATTAAACCTGCTC", "AAAATAGAGGCTGGAGATTAGAAGTTGGAAAATATAGAGTAAACAATTCAACGATTTAATAATATATAAAAAATAGGTGAA", "CAGCTTTTTCGCTTTAGGCTAAATTTGTATCTTTGGCATTACAACGCTAATTT", "CCATGTAATTTAAAATTGATTTTATTAAACACCATAATTATAT", "GAATTCGGAATCTTGATGGCTCTGACATTTTACATAGTAATCGGGTTTAATTAAAAGA", "AAGATGGAATTTTAATAACTAAATTTGAAAAAGAAACATATAAAA", "GTACCAGTCAACACACAACACCAAAAACAGATAT", "CTTAATAAAACTTATATAAACT", "AGTTAAGCTAACTTTGCAATTGCAACATTTTATAA", "AAATAAAGCCGAATCTAGTAAGATTCGGTTTTTTCTTATCTTTGAGAGCATTAATGATGATT", "GTATTTAGTATAACCAGAGGTTATTCTGGTTAATGATATATAAAGAAAAACCCTCACATCAATCTGTGAGGGTTATATTTTTTAGCTTGGT", "AAGCATGAAATGTTAGGGAGGCAAAAATAAATAAAATATTATAAAAATTTTACTGGAAAAAGTTATATTATGTTGTCAATCTGTTAATTAATGTTAAATATTTGGGCTCCTTTTCCTGAATAAATAAAGACCAAAGTCTTGAATGTTTTATTGGTTAAGTTGTATATTTGTGGAACCTAAATTCTAATA", "TCCTATAATATAAAATTGTTATAATAAA", "AAAATACCCGGAATTTCCGGGTATTTTTTCTATAATGCTAAAGTAAAAGTGCAAGACTAACTAATTGTTTTTTGAACTTTTTAGAGCATTGGTTCTTTTGTACCTTTTGTGGTTGATTTTATTTT", "AATCTAAACGTTTATGG", "GGTTGTAATGTTTTTATAGTTGTTAGTTTTTTTATTATGGTCATCAAGAATATTGTATTGGTGACAAGTGTTTCAATACATATTTCTGATTTTATTATAGCTGATTGTGGAATGAAA", "GGTTGGTTTTAGTTTTTCCAAATATATAAATTAATTACGAAGTATGAATGACTAAATATAATCCCTGTTAATTATTCATCATAATGTGATAAAAAGATAAATGTATATCGTCATAATAGATATTTTTAACAATTTGTTAAAATATAAAATAGATTATTAGTCTAAATTTGATCCTAAAATCCGATGACAAATAAT", "CTTCAACGTCTTATTTTATTATATATATCCTGAAATACCGAATAATTCGAAGAAATTTGAATTCTGTTTTTGAATAATGTTGTAAATTCGTTTAAAATAAACC", "AATTAAAAACGAGAATGCAAATTTACGAAATTATTATAAAATTGCATTGAAGTGTTTTTGTGCTTCGGAATGCTCTGGTATTGCTCTGTCTTCTATTCAAAAGATATACAGCCAAATCGAAGGATATTATTTATTATCTATAACTGATATTTATACATCGAATTTCATCATTTATACTTCACAATTAATTTATTACATTTGTTAAAACTATTAACTAAAAC", "AC", "AATTGTATGGTATTT", "TATTGAATATTATCGATTTTACACCTTATAGGTTTCCAGAACCTATAAGGTGTGTTTATTAAAATAAAATCTTGTCAAAGTAACTTTGACAAGGTTTCTTAGCTTTAAATAGCTTAAGAGATTGAACTTTGTCAAAGTTTATCAA", "AGCTGGCTGAATTTCAATTCTCTACTGATATAAAGCTAAACATTTTTTTTGATATAAAGGGCTATGTATCAAAATTTAAGATTAAATTCATATTGTATATTTGTAAACCGAATA", "ATAAAAAAACAGACTGCCAGCGGCAGTCTGTTCCTCTATATAGATGTTAAATTGGTGTA", "TGTTGTGTTGGTTAAGTGATGCAAATTTAGATATTTTTTGAAATAAAATAGCAATACCCCTGTTTTTTTTAGGGGTGTTGTGTAAAATTTAACATTTGAATTTGTTTTAACCTATAGTTGTCTTTGGGGTAGTTTGCTTTTTGTAGGGATATACAGAGTGTTGAAAATATTTTTTCTGTTGTAATTACTCTTAGAATAGATATCTTTCAATATTCTGACTAAGTTAAATAATGTTGATGATTAATTTGTACTTTTATCATATAAACAAACATCAGATTTTATAAATACATGAGT", "ATCTGAATTAAAATAATTTTTATGCGGGATCTGGAATTAGATTCCGCATATTTTTTATGCCGGTAATTG", "GATAAATTTTATTGCAAGTTAGTCCGAAAAAATAAAATAAGAATGTAGTTGTGGATAAAATTCGATAGGTATTTTGAATAAGAAATTATCTTTTTAATTATGATCTCCATAATCCTGAATCGGTTAAAAGTAGATAAAATAAAAATGACCGGATATTTCTTCCAGTCATTTTATCATATTTTTTTAACCATAAAATATTTAAAAAGAGAATTAATCTTTGTATGTGCCTTTGTAACTTTTGTGGTTAAACTAAATTAGT", "AATTAATTGAAATGTCATGGTTTAGTCCGGATAAAATTATAGAAAACCACTTTATATAACAAATTGTTTTGCATTTAAATCATGTCTTCCAATGATAATATTTAGTTTCTGCTTTAATAGATTATTAAAATTGTATACTTTTGAAGTAATATTACTGTTGTATAGTAAATGTTTTAATAGAAAGAATTATAATTTACAAATCACATATT", "ATAGTTTCAGAATATATTTTGGATGAGATTTATTTGTTTGCTATTTTGAGTGAAAACTACAATGGAATGCGGTAAATTCATTTTTCTGCCTTATTTTAGTACACTTAAAACTAACTAATCCGAAAAT", "GCGATTCTCAATTTTAACCGCTATTAAGAATAAGAAAAAATTAAACTATCTTTGTTAAAATCAGTAAT", "TTATTTATACAACAGTTTAAGTTTATATATAGAAAAGAAGTCAGATAATCTGACTTCTTTTTTTTGAAAAAA", "G", "AA", "AGTGAAATAATAATATTGATAAGGTTGTAGTAAACAAGAACGGAATTAGTCAATTCCTGATTTGTTTCCGCTAATTCTAATAAGATTGATTAGTTAAAATATTGGTAAACAGTTTTCTTCTCATTTTTGTTTATGTTTTCAGTAGGCAAAAATAGACTGAACAAAATGAGAAAAAATATTCTTAAG", "TATGAGGGTAAAGATAAATAAAAATGCGGCATAAACTTGATTAAATAGCTTTGATAATTTTATCAGAGCATTTTTTTTTTAGATATTTGTTATGCGTATTATACATAAGCAACAAACT", "TATACCATTATAAAAACATAAT", "ACGATAAAAATATAC", "GCT", "GAAGTTTATGATATAAAAGGCTGTTTTCAATATTTTGAAAGCAGCCTTTTTTTATTTTAGCTTTTTATACTCTTTAAAATTCTCCATAGATCAAAAAAATAATGTCTTTTATCTGTTGATTAAATATTAAATTATAAATTTGATATTCAGTGAAGCTA", "ATGTATTTATTATATAAGACTAATTTTTATTATATAAGACTAATTTAAGATTTTTATAACTCATAAAAAGACTTTTGAAAGACTTTTATATTTTTAAAATAGAGAATATTTGATTAGTAATTAAAAGTTAAAAGCA", "TAGATATAAGTAATAAAACCTCTGTTTACTCATTTTCAAATAAACCAAAAGCCACTGTTATTCACTGTAACCCTTTTATTTTAAGATAAAACTCCTTATTTTCGTGCTTCATCCGTCAATT", "AGTACAGAATCCAAAGAAAAAGCCTCACATAACAATACGATATGTGAGGCTTTTTCTTTGGATATTGTTTGACTCTTGTTATAGAGTTGTCCGGGAAAAATGCCTGTTTTCCAAAGCGCTCCCGAAAGATCCCAGAACAAGCCCCGAAGAAAAACCTGATAATGGCCTATGAAACCTTATGTTTCAGGAGGTTGAAAAATGAAGCAAAAAAATGATTGAAATAGGTAATGTGTTTTTTATAAAAAATCGATGCTGTTTTTGGGAATTATACAATTGACTGAAGTACCTTTGCACTTTAAAAATACGTG", "AGTACGAAGTTAGAAGTTAGAAGTGCGAGGTGCAAATATTACCGGATAAGTAAAAAATAACGAATCTACGTAGTTTATAATCTGCTATCACTCTGAGCGTAGCTGAAGAATCTTGTACTAGAATAAACCTCATAGGTTTCGAAAACCTATGAGGTTTGTATAAATAAAGATTTTTTTTTAAAATAGCCCTGTCAAGGTTTTAAACCTTGACAGGGCTTTAAAT", "GGTTAGTCAAGTCTTTACTTACAAATATATTTTTTTGTTAAAGACAAAGCAATTATTCTTGTCATATTTTGTGATTATAATAATGTTGAAAAGCGTTTTATTCAGTGTTTTAGCATATTTACTAAAAAGCTTATATTTACGGACTTTTAGTTAAAAAAATCAATAAATAAT", "AAGTACAAAATAGGTGTACTGTAAGATATAGAATATATTCTAAATAATCTTACATTATATCATGATTTGGAAACAATACATTGCCGGCTGTATATTGTACACTATTCAATGTAAATATTTACTGTAAACTAAAAATTAAAAGA", "AAGTTGTCCGGATAAAAACCATCCGATACATAGGATGGTTTTTCTTTTTTTATAGTTTTTTCCGGTATATAATTAACTGAAAATATATTACAACAAAGTGTAATATTGAATATTATTCCGGCTCACATACTAAAAAAAACTATATTTGCTCATCTTAACAAAAGTTAACATTAAATAAAAT", "AATTAGACCTATTCACTGGAAGATAATTATAAAAACCTCTGAGCAATCAGAGGTTTTTTTGTAATTTGGTCGGATTGTTTTTTATTAAAAAACAACTTCACGGAGATTAATTAAATATGTAATGATTA", "TATATTCATAACAATGCCTAAATTTGAATAAG", "AATAACTCCTATCAGATTTGTAGTATTTTAGATTTTTTATATCTTTGTACCGAAATTATTTCACAGAATTAATAGCTTATTAAGAAAGACGGAGGGAACTGAACCTATGAAGTCTTGACAACCTGCCGAAAGGTAAGGTGTTACATTCAGCCGAAAGGGAAAATAAGTAAAGATATAATGCAATGCCTCATTAGCTTTACTAATGAGGTTTTTTAATCGAAAAATATTAGAAT", "TTGATACATTAAAAACCCGCACCAGATCCTGATGCGGGTTTTATTTTCTGTTTCTTTAGTTTAGAGCTT", "TTTAGGGTATCTTTTAATTAGGATAATCCATGTATAATAATTAGCTTTCCTAAAATATCAACAAAATAAAGCGTTTCAAATTTCTGAAACGCTTTATCTG", "AATATATCTTTTCG", "TTATTTTTTATAGTAGAGACGGGTTTTACCTGCCTCTAATCATATTTAATTATAGTTTTCTTTTGGGTAGC", "TTTTTATTTGTAT", "ATTAATATTTGGTGTTGGTTTGTGTCTG", "AATTTCTACAGTCTGTTTAATCTGAATATTTCCCGAAATTGAACCTCTAATCTATTTATATTACTGATAAGGAAAATAAAACCTTACCAAAAGGAAAAACAGATATAAAAGTTTTCGAAAAACTTCTTTACTTTTACAATATATTTTAATGTGCAAAAATAATAAATTCTAAGGACAAAGTGCAGTTAGAAACCAACCGATTAATA", "ATAAAAT", "TAAATAGAGATTGAGAGCCAGGATTCAGAATAAAAACCAGATTCTGGCAACCAGCAACTATAAACTATAAAAGA", "CGAATAGAAACAA", "GTTAATTTAGAAATACAGATCCAGAAAAATCTTTAAATTAAAATAATATAAAATCCCGAAGTCATACAACTTCGGGATTTTTTTGAATTTGAACTTATGAATAAAAAATTGA", "ATTACTTAGTATTATTTTATTTTTAA", "TTTACTTTTCATTTATTTTATTGGGGCAAAGAAACCAAGAATAACTTAAGTTTTTTTTAAGCCATATTTAAGTAATTTTTAAGTTTGTGTTAACAGAATGTTGTTAATATTAAATTATCGTTAATGAAGGATTGATGTATTAAAATTTGTTAATTTTGGGTTTTAAAAAAGTAAAC", "TTTTTCACT", "CCGGGCTGGAAAATAGGCAAAATTATTTTTGAAAAAAAGTCTTCTTTTATACAAACGCTGTTTTATATTTGTAGCTGAATTTAGACCTTAATTTAAACAGTAAAGTAAAATAGACAAGTAATCCAAA", "AAAATATTAAACCGGTTTTTAACCGGTTTTTTTATTATCTTGAAGTTTTCAATCAGGCACCTGAATTATGACAAGAACTGCTTCGTTGATATCATTTCATGTAAATCAATTCCTGAAAAATT", "TGATATAATAGTATAGA", "ACT", "CAGAAAAATTTTATTTGTTTTTTGGTGTTTATAAATACTTTGAAACTTCTAGCCTCTAACTTCAAACA", "T", "ACCACAAATATAATTAAGTTAAGCAATATTTCGATTAAAGATAGGTTAAGATCGTATTTTTTAGCTAAAAGCTGTGCCTGTGCCCTACATACAAGGTATTTTAGTTTATTAAATGATAACTTCAATAACTGCTTCAGTAATTATTCCACTTATATTAAAAAAATGTAAATTTACAGCACGAAAATTTCTAAATATAAA", "ATATAATTACTTATAATAGCAGAGCCTCCCTTTTTTGGGAGGCTTTTGTGTTTCAAAATTGTATATATTGTACTTTGTCATCCTGTGCAGAGCCAGTAGTGAGCCTGACGAACTATTGAAGGATCATTTACTATTAGTGTTTTGTGAATGAAAATGTTTAAATCAGTCTCAGCACAACATATTTTTGAGATATCTTCTTCGTTT", "TTTATCATATTTTTTTTGGTTTTATGAATGTGAAATTAGAAAAAAGAGATAATACAGCAAAAATATTTTTGCATAAAAAAAGCCATCCGAAAGAGATGGCTCATTGTTATTAATATAATTTGTAAA", "TATATAAAAATTTTAAGATGCAAAGGTACAAAAATGCTTTTGCACAGGCTTATAAAAAGATTTGATTTTTTAAGGAACTTTATACTGCTGTTATTTGTATA", "ATTATAGTAATGTATGTTTGTATTGTATAGCCTTGTAGGCTGTTAAATTAAAGAAAAAAATAAATGAACCGG", "AATTTAATTTGTGAAATGATACCCTTCGAATTTTCGAAGGGTATTTTTTTAACCTCCTGTTTTATGGGGTTTGTGAGTTGTAATTTAGGGTAATGGTCTCATTTGTAAAATATTATTACGGTTCTTATTTGGTGTTTTAAGATGTCTTATTTTATATTTTTTTAATACAAGATTGCGATTTTTTGTACTTATGTTTATTTAAATTACTCGTGTAAGTTATTTTTATTCAATATGTTTTTGTTATAAATATATTGTTTGTATACATTTGTATTCTGAATTGACTATTGTGTACAGATAAATGTATATTGTAATTTTCTTTTAAGAAAATTGAGGTGGATTGTTGAAGCTAAATCTGAGATCATAAGTTAATCTTGCTAAAGTTATACGAACTCGTCAGGTTAAAAATACTTT", "TAATTTCAGAACTCTATAAAGCTAATAATACAAATGATGCTCCGGAAGATTTATATATGTATA", "CAAT", "ATTTTTTTGTTCTCCAAACTTGTTAAATCACAAGCACTAAATACAATTCGTACCATAGTACAGGTGCCTGATTCTTATATCCGATTTTTTTTAGGGTTAAGACAAGATGGTAGAAAAAATGACTACATAATAGTCGTTTAATTATATTTTACAATTTTATATAATTGAATATCAATGATTTGTGTTTTTGTATATGTTTCTTGTTTTATTTGTCATTTTCCGGATTTTGAAAACAGTAGTACTTCTTTGGTAGTCCTTATTACTAGTATTTTTGCACGTCTTTATCGATCGCCAAAGACAGGTGATAACAAACATTAGATTTAATCGTATGAGATATTCTGAATAATAAACCGTATCAGAATATCTAAATAATGCTATGGTCAGTAATCAGAAATTATTGTCCGGTTATTTCTAGCGCCCATATCCCATAAAGAAGAAGATTACAACACAAACAAATGTACA", "GTAGTCAGATAGTAATAAACAAATATGAAATAAATCTATTACCTCACAAACA", "TAATAAATTCAATCACTTATTATTTTCCATAAAGAAGAAGAAAACTGTTAATAATCCGGTGCTTATTTGTCATCATCCTATTTTTGTTAGTTAACAGTCTAAAGGCAGGTATCCGTATGGGTGCCTGTTTTTAATTGTTATGATCAATAAAGAAACATAAATATGCTTTATTAAAAGCATATTTTTTAATTTTACTCTACCAAAAAAACAATGAT", "AAA", "AGTTTATTCTTTTGATATTTAGGTTTTTATGATTTTTATTGATAATGAACTTAAAATTAATTTATGTTTGTTTAATACTTTTCTAACATTTTATGGCAGGAATATTGCGGTCTGTTTTTAAAATAACTATT", "ATTAAATTAGAATAAGACCAAAAAAGCTGCTGTGAAAACTCACAGCGGCTTTTTTATGAATTAAGATATAAGCAAAAATAACAGGTTATAATTATGTTAAGAATTGTTTAAAAAATATTAATATCTTTATCTGATTGATTAAATCTGTGTAT", "TTATAAACCATAAAATAATATAAAAACCATCCGATAGGGTGGTTTTTGCTTTTCATAGTAATAAAAATGTTAACTTAGTAAACTATAAAAACTAACTAATTAACCAAC", "TACTTTAAAACCACTCTGAGATCAGGGTGGTTTTTTTTATAACAGAATATTTATAGAGCCTGCTAAATTTTATTGCTAATACTCTTCGATAATTCGTCAAGCTCATTACAGGCTTAACTCGGAGTGACATTGTTCGTATCAATTATCCTCTTTTTAGGGTGTCAGGCCGAGCCAGTCGAAGCCTAATACTTTTTTGAATTAAAATTTTAAGGCTCATAAATGAATAAAATAAATTATTTTTATAAAAAATAATTT", "CTTTTCAGTGATTTTATCTACTCATTGTGTAAGTTTATAAAGCCTATTTATAGGCTTTTGTTAAAATAATATT", "TCAAATAATAATTTATATAAACTGAAAGTTGCTCTTTTAAAGGGCAGCTTTCTTTTTTAGATGGAATTCATTGTTTAACTTTAAAAATAAAA", "AGGAGGTGAGAGTTTAACAGCTTATTGTAAAAATAAAAAGGATGAAATTATTCATCCTTTTTTAT", "G", "TAAAATGGTTAAATGGGTTATATAGTTATAAAGACGATGAAGGTTTATTACCCAGAGATTTTTTCAGATTTGTAATCATGATT", "GATCTATAATGAACTCCCATATAGCTTTATAACCTCTATAACTAGTGTAACTTTCT", "AAATAGGGTTTTATTTAATAAAAAGGTTCAAAAAAATGCGTACTAAAAATACAACATTTCTTTGAACCTGAGTTTTCTTATTTATCGAAGA", "CTTATAAATTTAGTGAAACTAAGACAAAAACTAATCCAAAAA", "TTTTTTACTTTTAGATTATGAAATGCAAAATACTATTTTTTAGCGAATGCCACTAACAATTG", "ATATTACTATTATAAGTTATTATC", "AAATCAGGTTGTGACTTTCTCAAATTTACTCAAATTATCACACGTGAAGAAAAAATAGCCTAAGAATATGGAATGATATACCTCATAGTATTGATTATTTAATAGTTTAAAAGAGGTAGTCATGTTATAAATTGATGAAGTGTAAAAATGCGCACAAAAAAGAATGAACAATATGTGGTACTTATCATTTCATTGAGTCAGAATATTATAAAAAACCCCCTGAATTAATTAATTCAGGGTGTTTTTATATATTATAAATTGTAT", "AAATTTATTGTGGTT", "TTTTATGCAAATCATACAAAAACCCGGAATGGTTAGTCGGGTTTCATAGTAAAGTGCAAAA", "CCTGTATCTTCTGTTGCATAAAGTTAAATAAAAATAGCGTAACGGGAAAACTTTTCTGAATTGCAGAAACAGAAAAAATATAGTTCAACTATTCCTTATTAAAATTACTCATTGCCTATTAGTA", "AAAAACAGAAGTTGCTGAATTTTGTTCATTGAATTTACTAAAATTAATCCACAGAAAATATTATATTTGTTGAAAACACTAAAAAAACATTAAT", "AAGT", "AAAAGTGATTATTAATCATATGAAGGCCGGAAATTGTTCCGGCCTTTTTAATTATATATTATTTTTTAGTAGTAAAAAATGGTGATT", "AAAA", "GTTTATTAAGTTTTTAGTATGCCGAAGATAATAAATTACTGAAAATAAAGAGCATAAAAAAGCTCCGGAATTCCGGAGCTTTGTATTTT", "AAAATATGGCTATGACTAACCGTTTATAAAATAAAAATATAGCTAGTGAAGATAATAAATAAAACACTAACTATATTATATTTTATTAAAAAACTAAAAAAGA", "AGTTTAAAAATTTTTATTAATAACAA", "TTTCGAATTTTGTTTTTATTTTTGGACTGCAAAGATAAAATATTTTT", "TAGTTTTTTTACAACGATAATTATAAAATAGTTATTTTTGCCGGATGCTTAAAAAATAAGTTCCTTTTGCAGTTTTATTTATTATTAAAAGATTGCATAAGAGGCTTATGAGAAAAAATATTAAAATAAAATTAGAATACTTAGAAA", "TCACCGGTTTTTTTCAAATTTTAATAATACTTACATCAGATAC", "TTATAATAAAAAATAAGGTTTAATTCGGGCAGAATTCTAATTTTGCACCATTATAAAAAATAGAT", "AAAGATTGAAATCTATAACAAAATCCCTGTAGTAATGCTACGGGGATTTTTATTTTATAAAAGCATGTTAAATCTCGTAGTTAGTATTCTTCGATAATTCGTCGGGCTCATTAAGGGTCTGACTCAGACGGACAAGGTTAGTCCAAAACATCTTTTTTAGAAATGCCAGGCCGGGGTAAGTTTATAGTGAGTTTGGTGAACTAGACTATCGAAGCCTAACATTTTGAATTAAAATTCTAAGTTTTAAAACATAAAAATGTATCCGAATGCTAATTAAGTACCCGAATTTTGTATATTGGAAATTGATTGTTATTTTTAAAACATT", "AACGAATATTAATAATATCTTTTTCTGAAAACAGAGAATACCAGGCTTAAATAAGTTTTCATTTAGAATTAGGGTTCCCTCAATTTTTATAATTGAGGGAATTTTATTTTATAGAAACAATAATTGTCATGAATTTAATCTATTACAATTCCTTGCTAAAGCTCCTCACTGATCTGTTGTAATTATACGTTATGGTATTCATAATAATATAGTTTAAATTTTATTTTTTTTGTTTTAGTCTTCTGGCTGGGGTGAATCGAGACTGCTATAATGTGCTGTTTAAATTTGTCCAATAATACTATGAAAATTAAATAAAGTTTGGTATGTATTTTGAAAATAAGGGGCAACTGCTTCAGAAAACAAGAATGTATATTTTTTATTATGTTCTGAATATAATTTGTTTTTTTATAATTATTATAAATGAGTAATTTTGCACCCCGAAAATTATAAACTATAAGTTTTCTGTTTTGCAATTACTTGCATTTTTAATAAGACTACTTTTTTTGAAGAACTATTTCTGATATGCCTTACTGATAGGGAGTTTTCGGTGTGTTAGAATAAATGCGAATGAAGTTATAAAGCATAAATGATAGAAAAA", "GCTTCTGTTAATAACAAAACAAAATGATTGAGAA", "TATGTTGATGATGTTGCTGATGTTGCTGGTGATGCAGAAGGTTTCATGCTGGTGTTGATGCAGATGATGTTGAAGTTAAACTCCTTTAGTCTTTTTTTGGCTAAAGGAGTTTTTTTATAAAAATGAAAAATAAATTCCTGATAAGCTTTGTTTAAATCTTATTTATAAAATTAATGTTTAATATTTATTTAATATAAAATTATATTAATGAATATTATATAATGATTATAGCATATGGTTAAATTAATATTCAAATAATATCTTGTTTTTTTGATTATTTTAAAATATGATATAAATTTACCACAGATTTTCATCTTTTGATAAGATTTGATTGTTAAGGGTTTGTATTCTTATGAATGGAATGTTTTGTGGTTTTTATTGAATGGTTCTTAATAAATTATGTCAGAGATTTTTATTTAAAGTTGAAAACCTGTCATGACAACAA", "TCGTGTAGCTATGTCTTGATATGGAATAAAATTACAGATTTTACAAACAAATAATTTTACAAACAA", "TATAAAAGATCGCTTCTATAAATATTATTATGGCGTCCGGAATTCTGGACGCCATTTTTGTTTACTGATTAAAATTACAATTCTCTTATTCGATGTTTATAGATTCTAAATAATATGATTTTTGTTTCGTTTAGAATAATTCACTTTTATAAAATAAATAAAAATTGTAAATTGCATACCTGTTTTTATTTTTATATAAAATACTGATTATCAATTAGTTGAATTTTATATTTAAGTAATGATTATTTAATTTTACTTTAAATTTTTTATTATAAAGTATTTTTGGTGATCTGATTTACTCATAGAAGTATAAAAATAAAAAACGAATGATGATAATTTACAAACAATTGAAACGAA", "TATCTCTATAGACTAACACAAAAAACAAATAA", "AACATAAATAGTATTTTAAATCATAAAAAAACTCCCGGCTTTTATATAAGTCAGGAGTTTTTTATTATTGTTTGTGGTAATTGA", "GTTATAAAATTT", "AATCTAAAATTTCTTTTTCAAAGCTTTGCAAAATTACAAAAACGTAAAATGACAGAAGTATAATTTTCTCAGTATTTTTGCACTT", "AAAATCAATGTTTTTTCTGTTGTATTCTTTTAGGTGCAGTTTTTACTCTGAATTTGAATCTTTTTAATGATTTACCTTTCTTAATCATATTCAGTTGTGGATTTTGGTTTTCCTAATTTACAAAAATTAAATTAAGATTCCACACATTGTAAACAATATTTAACAAAAATCCGGTACAAATTTTTGCACCGGATTTTCTTTATTCTCTAAAGGATAAT", "GTGT", "ATTTAATTAGATAATTGTTTACAATTAGTAGTTAAAAATTCTTTTTTGTTACATTTTAATATAAAAAAATGCTGTTGACAAACTGTTGTCACAAACCGGAATTAACTTTGGATTAGAAATAAAATTTAATCTCAAACAAT", "TGACACCGACACAAAATTAAATTCCGG", "AATCGTTTT", "TACTGGTTCTCAGGTTATTTAT", "ACACAAAAATAGAATTTTTCCGATTCTTTTCCGACTTTTTAAATCGTATTCTTATCTATGAACAAATCTTAATAGACTTAATCTATATCAATTCAGTAGATATTCTTCTGAAAGGTCGTAAATTTGCGCCTTCAAAAAACGAACAGAAATATTAAGGTG", "TAAGATATAATATAAAACATAAGGCGCGAAGAAAATTTTTTTTCGCGCCTTATGTTTTATAACTTTAATAGAA", "GGGT", "GTTTATAATTTCCTGACAAAGATAGTCATCTTTTACGGTTTGCCGAAGAAGAACCTGTTGATGCTTGTTTTAGATAATTTTATATCACGGATTATGGAAAAATATAATGTAAATGAAAATTTCCATAGAAAGTTTCCATCGAATAAAGAAAAAAAACGTCTGTATCGAATATAAAAAGTGCTACATTTGATATAGTTAACCAACAAATACAAAATAT", "AAGATAATCTAAGCTTCACAAAACGTTAAACTTAATAAAAAAGCTTCTCATCACAATGAGAAGCTTTTATTTCATTCAGAATATTGTC", "AATTTCTTAAATTTTAATGATTAAATATTTTGTTAAAGTTTGTT", "TGTATTTGTCTCATTTGATAAAGCAAAAATACAATGGAACTTATAGCAGGTTCATTGATGTATGATAACTT", "CTTGATAAGAATTAATCTATTAAAGATAATAAATAACTT", "A", "AACTTCTAA", "CTCTGTTATATTTGAAACAAATTTCCGATATGTGATACTATAATAAAACCCGAATCTTGC", "ATATCAGGATTTTAGTACAATATTAGCAGATTTAATTGTATTGTACAATGTCGTAGAAGGGTATTAGAAGTTAGAGGTTTGAAGTTAGAGGTTTGAAGTTAGAAGTTAGAAGTTAGAAGCTAGAACATTCACTATTCACCTATTGACGATTCAATGATTTAACAAAATTTTTTTTTTGTACGATGTATCATGTAAAAAGTACAATGTAGACAAAAGTTAGAATTAGAGCATTCATTATTCACCTATTGACGATTCAATGATTTAACAAAAGTTTTTTTGTATGATGTATCATGTAAAAAGTACAATGTAGACAAAAGTTAGAAGTAGAGCATTCACTATTCACCTATTGACCTATTGACGATTCAC", "GAAAAAGTGAATTAATAGTTTTGGCGCTAAAATAACTCAATATTTCTTATGAAAAATAAAAAAAATAATAATTATTTTTTGGAAATTCTATTTGCTGTAGCTGTTGTAATAAAAAAAACTCACAGGATTACCTGTGAGGCCTCATGTTTAT", "ATTTATTTTTATACAAAGATACTATCGAAAGAGGGGCAATGTCAACTATAATATTAATCTATGAAA", "AACTTTTAGTTTATGAGACAAAATTCAGGAAATGCCCTGAGCCTGTCAAAAACAATAAGCCGAGTGGAAGAATTTACTTGCCGAAAGGAATGAAAATAAATTTAAGCCGTAT", "AATAAGATTGGAATTTATCCTAAATAATGATGTTTTGGGCGTTTATAGCTTAAATGGAGAAATGAAGAATCTTATGCGTGTCATAAAAAAATGACAGATATCTGTCATTTTTTTTTTTTAGGGTACAGTATAATTTTGCAGAACAAATTAACACTTACAAATATAATTATGATGATTTTCAAAAAAATGAGCACCTGTTTTGGGTTACGATCTGAGTGATATACTGATCACTATATGGCTAGGTTACGTATGATTTTCCTGAATAGCCTTCCATTCCTATTATTAATTCTCACGAGATTCATTTGAACGGTAGCGTAATAGTCGCCGTCTCAAGTATTTTGCCCTGTAGATTATGCTATACATTGCTGAAGTGTTTCCACTTGCAGTGAGAATTGTTATCCCTGAATAAATAGTACAAGAACAAATATAAAATAAAACATAAACAAA", "ATACTTTTTATTAAAACAAAACAACAAAATA", "TATAAGTTAAGCTTTATTGAGTGAAATTATAAAATAAGGTTGGAAATTTTCCAACCTTATTTTTATTTAAATTTAATTTTTATGAATAAAGAAAGATTACCAATATTTTATTGGATGATAAATATGAAATAATATTCTATTTTTATCAAATGTTAATATGATAAAATT", "TTTCTAAAACTAATCAAC", "AAAATAGCACGGAGAAGACCGTGCTATTTGATGAAAAGAGGAACTTTTTTATTCGTACTACTAACTTCTGATTTCGTATTTA", "GTTGTTGGTTAGCTCTTTACGTTATTACTTTTTCTTAGATCATTAAATCTTTATTTTCTTTAA", "CCGTTTCGTTAAAATTTGCAATACCTCAT", "T", "ATACAGTTAATTTT", "GTTTTTAATTTAGAAATATGGATAAGTACGAATTTAGAATTACGAATGTCGATTGATGAGCCTTGTCAAGGTTTAAAACCTTGACAAGGCTTACTTCATATT", "TTTTTATAAGGCTATAAGTTATAAGATTATTAG", "AATTTTGTGTGTTTTTGGTAATAATATTTTAATTCTAAAGGTTTTAATTTATGATCAGTTTTTAAATTTAACTATTCTCTATTTTAATTATCCTTATAACTAAAAGCCTTATACCTTTACACTTTATTACAC", "GAGAGGTGTAAGTTATAAGGGGTGTAGTTCTAAGATTCGGTTTTATAAAATCAATGTGTCAATAGGTGAATTAGTGAATTTTATGCTTCTAACTTCTTATTTCGTACTTTA", "GTCTAATTTTTGATGTTTGGATCCGAGCAGCAATGCAGAAAAATAAAAACCCGTCATGACTGCTCAGACGGGTTTTATATATCTTAGGTGTACACACAACTAATCAACTCGCCTGTTGCAAGAATGATGATGGTGATGATGTACAGCGTTGAATTTCATTTTTTGTTTTTCTACTATGCAAATCTATAACTTATTTTTGAACTGCAAAATATTTTAGATAATTTTTTTTAA", "CGTGTTTTAGATTACTCTTGTATTGTTAAACGTCTCTTTCTCTCAAATTTAATAATAAAAAAAGAGCTTTAA", "TGTAAACTTTATATAATAAATCTGGCTTCTAAAAAAACGAAATGCAAATATACGTTAAGAAGCATAAATTATGCTATAGATAATATATATTGCGATAAAAAAGCTTTACAAAATA", "ATTTGTTTGTTTTATTTT" ]
[ false, false, false, false, false, false, false, false, true, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, false, false, false, false, true, true, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, false, false, false, true, false, false, false, false, true, false, false, false, false, true, true, false, false, true, true, true, true, true, true, false, false, false, true, true, true, true, true, true, true, false, true, false, false, false, false, true, false, true, false, true, false, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, false, false, false, false, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, false, true, false, true, true, false, true, false, false, true, true, false, true, true, true, false, true, false, false, false, true, false, true, false, false, false, true, false, true, true, true, true, false, true, true, true, false, true, false, false, false, false, false, false, true, true, true, false, false, false, true, true, false, true, true, false, true, false, false, false, true, false, false, false, true, false, false, false, false, false, true, false, false, false, false, true, true, false, true, true, false, false, false, true, true, true, true, true, true, true, true, true, false, false, true, true, false, false, false, false, true, true, true, true, false, false, false, true, false, false, false, false, false, true, true, true, true, false, true, false, true, false, true, false, false, false, false, false, true, true, true, true, false, true, true, true, true, true, false, true, true, true, true, true, true, true, true, false, true, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, true, false, true, false, false, false, true, true, false, false, false, true, false, true, true, true, true, true, true, false, false, true, false, true, false, true, true, true, true, true, true, true, true, false, true, true, true, false, false, false, true, true, false, true, true, true, false, true, false, true, false, false, true, true, true, false, false, false, false, true, true, true, true, false, true, false, true, true, true, false, true, true, true, true, true, true, false, false, false, false, false, false, true, true, true, true, false, false, true, true, true, true, true, false, false, false, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, false, false, true, false, false, true, false, false, false, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 68, 70, 71, 73, 74, 76, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 100, 102, 104, 106, 108, 110, 111, 113, 115, 117, 119, 120, 122, 124, 126, 128, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 160, 162, 164, 166, 167, 169, 171, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221, 223, 225, 227, 229, 231, 232, 234, 236, 238, 240, 242, 244, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 267, 268, 270, 272, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 293, 295, 297, 299, 301, 303, 305, 306, 308, 310, 312, 314, 316, 317, 319, 321, 323, 325, 326, 328, 330, 332, 334, 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366, 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 387, 389, 391, 393, 395, 397, 399, 401, 403, 405, 407, 409, 411, 412, 414, 416, 418, 420, 422, 423, 425, 427, 429, 431, 433, 435, 436, 438, 440, 442, 444, 446, 448, 450, 452, 454, 456, 458, 460, 462, 464, 465, 467, 469, 471, 472, 474, 475, 477, 479, 481, 483, 485, 487, 489, 491, 493, 495, 497, 499, 501, 503, 505, 507, 509, 511, 513, 515, 516, 518, 520, 522, 524, 526, 528, 530, 532, 534, 536, 538, 540, 542, 544, 546, 548, 550, 552, 554, 556, 558, 560, 562, 564, 566, 568, 570, 572, 574, 576, 577, 578, 579, 580, 582, 584, 586, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 614, 616, 618, 620, 622, 624, 626, 628, 630, 632, 634, 636, 638, 640, 642, 644, 646, 648, 650, 652, 654, 656, 658, 660, 662, 664, 666, 668, 670, 672, 674, 676, 678, 680, 682, 684, 686, 688, 690, 692, 694, 696, 697, 699, 701, 703, 705, 707, 709, 711, 713, 715, 717, 719, 721, 723, 725, 727, 729, 731, 733, 734, 736, 738, 740, 742, 744, 746, 747, 749, 751, 753, 755, 757, 759, 761, 763, 765, 767, 769, 771, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 821, 823, 825, 827, 829, 831, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 855, 857, 859, 861, 863, 865, 867, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 889, 891, 893, 895, 897, 899, 901, 903, 905, 906, 908, 910, 912, 914, 916, 918, 920, 922, 924, 926, 928, 930, 932, 934, 936, 938, 940, 942, 944, 945, 946, 948, 950, 952, 954, 956, 958, 960, 962, 964, 966, 968, 970, 972, 974, 976, 978, 980, 982, 984, 986, 988, 990, 992, 994, 996, 998 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 69, 72, 75, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 101, 103, 105, 107, 109, 112, 114, 116, 118, 121, 123, 125, 127, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 161, 163, 165, 168, 170, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 233, 235, 237, 239, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 269, 271, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 294, 296, 298, 300, 302, 304, 307, 309, 311, 313, 315, 318, 320, 322, 324, 327, 329, 331, 333, 335, 337, 339, 341, 343, 345, 347, 349, 351, 353, 355, 357, 359, 361, 363, 365, 367, 369, 371, 373, 375, 377, 379, 381, 383, 385, 388, 390, 392, 394, 396, 398, 400, 402, 404, 406, 408, 410, 413, 415, 417, 419, 421, 424, 426, 428, 430, 432, 434, 437, 439, 441, 443, 445, 447, 449, 451, 453, 455, 457, 459, 461, 463, 466, 468, 470, 473, 476, 478, 480, 482, 484, 486, 488, 490, 492, 494, 496, 498, 500, 502, 504, 506, 508, 510, 512, 514, 517, 519, 521, 523, 525, 527, 529, 531, 533, 535, 537, 539, 541, 543, 545, 547, 549, 551, 553, 555, 557, 559, 561, 563, 565, 567, 569, 571, 573, 575, 581, 583, 585, 587, 589, 591, 593, 595, 597, 599, 601, 603, 605, 607, 609, 611, 613, 615, 617, 619, 621, 623, 625, 627, 629, 631, 633, 635, 637, 639, 641, 643, 645, 647, 649, 651, 653, 655, 657, 659, 661, 663, 665, 667, 669, 671, 673, 675, 677, 679, 681, 683, 685, 687, 689, 691, 693, 695, 698, 700, 702, 704, 706, 708, 710, 712, 714, 716, 718, 720, 722, 724, 726, 728, 730, 732, 735, 737, 739, 741, 743, 745, 748, 750, 752, 754, 756, 758, 760, 762, 764, 766, 768, 770, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 802, 804, 806, 808, 810, 812, 814, 816, 818, 820, 822, 824, 826, 828, 830, 832, 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, 856, 858, 860, 862, 864, 866, 868, 870, 872, 874, 876, 878, 880, 882, 884, 886, 888, 890, 892, 894, 896, 898, 900, 902, 904, 907, 909, 911, 913, 915, 917, 919, 921, 923, 925, 927, 929, 931, 933, 935, 937, 939, 941, 943, 947, 949, 951, 953, 955, 957, 959, 961, 963, 965, 967, 969, 971, 973, 975, 977, 979, 981, 983, 985, 987, 989, 991, 993, 995, 997, 999 ]
[ "2841813427|Ga0349638_01|CDS|2841815632|-|2343081:2343956", "2841813427|Ga0349638_01|CDS|2841815633|-|2344008:2344358", "2841813427|Ga0349638_01|CDS|2841815634|+|2344499:2345020", "2841813427|Ga0349638_01|CDS|2841815635|-|2345081:2346394", "2841813427|Ga0349638_01|CDS|2841815636|-|2346514:2347317", "2841813427|Ga0349638_01|CDS|2841815637|-|2347321:2347827", "2841813427|Ga0349638_01|CDS|2841815638|-|2347907:2348734", "2841813427|Ga0349638_01|CDS|2841815639|+|2348849:2349808", "2841813427|Ga0349638_01|CDS|2841815640|+|2349871:2350365", "2841813427|Ga0349638_01|CDS|2841815641|+|2350516:2351010", "2841813427|Ga0349638_01|CDS|2841815642|+|2351145:2352713", "2841813427|Ga0349638_01|CDS|2841815643|+|2352846:2353364", "2841813427|Ga0349638_01|CDS|2841815644|+|2353380:2353970", "2841813427|Ga0349638_01|CDS|2841815645|-|2354017:2356809", "2841813427|Ga0349638_01|CDS|2841815646|+|2357068:2358870", "2841813427|Ga0349638_01|CDS|2841815647|+|2358974:2359693", "2841813427|Ga0349638_01|CDS|2841815648|+|2359796:2360926", "2841813427|Ga0349638_01|CDS|2841815649|+|2361088:2363487", "2841813427|Ga0349638_01|CDS|2841815650|+|2363625:2364059", "2841813427|Ga0349638_01|CDS|2841815651|-|2364109:2364348", "2841813427|Ga0349638_01|CDS|2841815652|-|2364403:2365296", "2841813427|Ga0349638_01|CDS|2841815653|-|2365303:2366244", "2841813427|Ga0349638_01|CDS|2841815654|-|2366254:2366796", "2841813427|Ga0349638_01|CDS|2841815655|-|2366805:2367767", "2841813427|Ga0349638_01|CDS|2841815656|+|2367941:2368588", "2841813427|Ga0349638_01|CDS|2841815657|-|2368722:2369105", "2841813427|Ga0349638_01|CDS|2841815659|-|2369353:2369784", "2841813427|Ga0349638_01|CDS|2841815660|+|2370058:2372037", "2841813427|Ga0349638_01|CDS|2841815661|-|2372280:2372612", "2841813427|Ga0349638_01|CDS|2841815662|-|2372683:2373180", "2841813427|Ga0349638_01|CDS|2841815663|-|2373540:2374511", "2841813427|Ga0349638_01|CDS|2841815664|-|2374658:2375053", "2841813427|Ga0349638_01|CDS|2841815665|-|2375070:2376767", "2841813427|Ga0349638_01|CDS|2841815666|+|2376870:2377838", "2841813427|Ga0349638_01|CDS|2841815667|+|2377839:2378594", "2841813427|Ga0349638_01|CDS|2841815668|-|2378665:2379873", "2841813427|Ga0349638_01|CDS|2841815669|-|2379870:2380934", "2841813427|Ga0349638_01|CDS|2841815670|+|2381048:2381617", "2841813427|Ga0349638_01|CDS|2841815671|+|2381610:2382353", "2841813427|Ga0349638_01|CDS|2841815672|+|2382479:2382781", "2841813427|Ga0349638_01|CDS|2841815673|+|2382781:2383074", "2841813427|Ga0349638_01|CDS|2841815674|+|2383320:2384891", "2841813427|Ga0349638_01|CDS|2841815675|+|2384986:2386047", "2841813427|Ga0349638_01|CDS|2841815676|+|2386091:2386567", "2841813427|Ga0349638_01|CDS|2841815677|+|2386914:2388008", "2841813427|Ga0349638_01|CDS|2841815678|-|2388077:2388643", "2841813427|Ga0349638_01|CDS|2841815679|-|2388728:2389429", "2841813427|Ga0349638_01|CDS|2841815680|+|2389564:2391882", "2841813427|Ga0349638_01|CDS|2841815681|-|2391932:2393272", "2841813427|Ga0349638_01|CDS|2841815682|-|2393480:2393926", "2841813427|Ga0349638_01|CDS|2841815683|-|2394213:2395370", "2841813427|Ga0349638_01|CDS|2841815684|-|2395493:2395876", "2841813427|Ga0349638_01|CDS|2841815685|-|2395863:2396636", "2841813427|Ga0349638_01|CDS|2841815686|+|2396720:2399659", "2841813427|Ga0349638_01|CDS|2841815687|+|2399690:2400547", "2841813427|Ga0349638_01|CDS|2841815688|-|2400707:2402884", "2841813427|Ga0349638_01|CDS|2841815689|+|2403063:2404100", "2841813427|Ga0349638_01|CDS|2841815690|+|2404174:2404968", "2841813427|Ga0349638_01|CDS|2841815691|+|2404965:2406455", "2841813427|Ga0349638_01|CDS|2841815692|+|2406487:2407677", "2841813427|Ga0349638_01|CDS|2841815693|+|2407696:2408793", "2841813427|Ga0349638_01|CDS|2841815694|-|2408899:2409708", "2841813427|Ga0349638_01|CDS|2841815695|-|2409719:2410375", "2841813427|Ga0349638_01|CDS|2841815696|-|2410368:2411396", "2841813427|Ga0349638_01|CDS|2841815697|-|2411484:2411588", "2841813427|Ga0349638_01|CDS|2841815698|+|2411890:2412282", "2841813427|Ga0349638_01|CDS|2841815699|+|2412429:2413514", "2841813427|Ga0349638_01|CDS|2841815700|+|2413535:2416726", "2841813427|Ga0349638_01|CDS|2841815701|+|2416704:2418155", "2841813427|Ga0349638_01|CDS|2841815702|-|2418244:2419191", "2841813427|Ga0349638_01|CDS|2841815703|+|2419344:2419901", "2841813427|Ga0349638_01|CDS|2841815704|+|2419927:2420505", "2841813427|Ga0349638_01|CDS|2841815705|+|2420570:2421553", "2841813427|Ga0349638_01|CDS|2841815706|+|2421597:2422871", "2841813427|Ga0349638_01|CDS|2841815707|-|2422919:2423686", "2841813427|Ga0349638_01|CDS|2841815708|-|2423736:2424701", "2841813427|Ga0349638_01|CDS|2841815709|-|2424707:2425678", "2841813427|Ga0349638_01|CDS|2841815710|-|2425772:2426908", "2841813427|Ga0349638_01|CDS|2841815711|-|2426929:2427567", "2841813427|Ga0349638_01|CDS|2841815712|-|2427706:2429388", "2841813427|Ga0349638_01|CDS|2841815713|-|2429502:2430020", "2841813427|Ga0349638_01|CDS|2841815714|+|2430245:2431531", "2841813427|Ga0349638_01|CDS|2841815715|+|2431787:2432614", "2841813427|Ga0349638_01|CDS|2841815716|+|2432778:2433572", "2841813427|Ga0349638_01|CDS|2841815717|+|2433562:2434452", "2841813427|Ga0349638_01|CDS|2841815718|+|2434507:2435130", "2841813427|Ga0349638_01|CDS|2841815719|+|2435232:2435930", "2841813427|Ga0349638_01|CDS|2841815720|+|2435993:2437597", "2841813427|Ga0349638_01|CDS|2841815721|+|2437590:2438198", "2841813427|Ga0349638_01|CDS|2841815722|+|2438205:2439887", "2841813427|Ga0349638_01|CDS|2841815723|+|2439980:2440450", "2841813427|Ga0349638_01|CDS|2841815724|+|2440472:2441164", "2841813427|Ga0349638_01|CDS|2841815725|+|2441262:2442146", "2841813427|Ga0349638_01|CDS|2841815726|+|2442159:2442743", "2841813427|Ga0349638_01|CDS|2841815727|+|2442861:2444024", "2841813427|Ga0349638_01|CDS|2841815728|+|2444093:2444407", "2841813427|Ga0349638_01|CDS|2841815733|+|2445338:2446765", "2841813427|Ga0349638_01|CDS|2841815734|+|2447526:2448197", "2841813427|Ga0349638_01|CDS|2841815735|+|2448218:2448760", "2841813427|Ga0349638_01|CDS|2841815736|+|2448771:2449298", "2841813427|Ga0349638_01|CDS|2841815737|+|2449315:2450229", "2841813427|Ga0349638_01|CDS|2841815738|+|2450255:2452621", "2841813427|Ga0349638_01|CDS|2841815739|+|2452743:2453150", "2841813427|Ga0349638_01|CDS|2841815740|+|2453250:2454083", "2841813427|Ga0349638_01|CDS|2841815741|+|2454147:2454587", "2841813427|Ga0349638_01|CDS|2841815742|+|2454607:2456487", "2841813427|Ga0349638_01|CDS|2841815743|+|2456518:2457462", "2841813427|Ga0349638_01|CDS|2841815744|+|2457517:2458437", "2841813427|Ga0349638_01|CDS|2841815745|+|2458447:2459592", "2841813427|Ga0349638_01|CDS|2841815746|+|2459646:2460188", "2841813427|Ga0349638_01|CDS|2841815747|+|2460216:2461580", "2841813427|Ga0349638_01|CDS|2841815748|+|2461715:2464207", "2841813427|Ga0349638_01|CDS|2841815749|-|2464258:2464665", "2841813427|Ga0349638_01|CDS|2841815750|+|2464937:2466910", "2841813427|Ga0349638_01|CDS|2841815751|+|2466913:2468559", "2841813427|Ga0349638_01|CDS|2841815752|+|2468615:2469625", "2841813427|Ga0349638_01|CDS|2841815753|+|2469651:2470628", "2841813427|Ga0349638_01|CDS|2841815754|+|2470661:2471128", "2841813427|Ga0349638_01|CDS|2841815755|+|2471147:2474206", "2841813427|Ga0349638_01|CDS|2841815756|+|2474289:2475224", "2841813427|Ga0349638_01|CDS|2841815757|-|2475326:2475517", "2841813427|Ga0349638_01|CDS|2841815758|+|2475518:2476579", "2841813427|Ga0349638_01|CDS|2841815759|-|2476716:2477960", "2841813427|Ga0349638_01|CDS|2841815760|-|2477963:2478514", "2841813427|Ga0349638_01|CDS|2841815761|-|2478690:2479352", "2841813427|Ga0349638_01|CDS|2841815762|-|2479393:2480223", "2841813427|Ga0349638_01|CDS|2841815763|+|2480429:2481403", "2841813427|Ga0349638_01|CDS|2841815764|+|2481459:2482106", "2841813427|Ga0349638_01|CDS|2841815765|+|2482294:2483763", "2841813427|Ga0349638_01|CDS|2841815766|+|2484124:2484318", "2841813427|Ga0349638_01|CDS|2841815767|+|2484406:2486295", "2841813427|Ga0349638_01|CDS|2841815768|-|2486432:2487850", "2841813427|Ga0349638_01|CDS|2841815769|+|2487946:2488845", "2841813427|Ga0349638_01|CDS|2841815770|-|2488885:2489724", "2841813427|Ga0349638_01|CDS|2841815771|-|2489732:2490199", "2841813427|Ga0349638_01|CDS|2841815772|-|2490249:2491328", "2841813427|Ga0349638_01|CDS|2841815773|-|2491460:2492152", "2841813427|Ga0349638_01|CDS|2841815774|-|2492634:2493089", "2841813427|Ga0349638_01|CDS|2841815775|-|2493312:2493536", "2841813427|Ga0349638_01|CDS|2841815776|+|2493484:2493576", "2841813427|Ga0349638_01|CDS|2841815777|-|2493573:2494841", "2841813427|Ga0349638_01|CDS|2841815778|-|2494879:2495058", "2841813427|Ga0349638_01|CDS|2841815779|+|2495798:2496331", "2841813427|Ga0349638_01|CDS|2841815780|-|2496341:2497888", "2841813427|Ga0349638_01|CDS|2841815781|-|2497994:2499037", "2841813427|Ga0349638_01|CDS|2841815782|-|2499050:2500312", "2841813427|Ga0349638_01|CDS|2841815783|-|2500320:2503076", "2841813427|Ga0349638_01|CDS|2841815784|-|2503379:2504425", "2841813427|Ga0349638_01|CDS|2841815785|-|2504455:2505258", "2841813427|Ga0349638_01|CDS|2841815786|-|2505276:2505677", "2841813427|Ga0349638_01|CDS|2841815787|-|2505756:2506520", "2841813427|Ga0349638_01|CDS|2841815788|+|2506712:2507092", "2841813427|Ga0349638_01|CDS|2841815789|+|2507158:2507427", "2841813427|Ga0349638_01|CDS|2841815790|+|2507424:2508113", "2841813427|Ga0349638_01|CDS|2841815791|+|2508135:2508815", "2841813427|Ga0349638_01|CDS|2841815792|-|2508879:2509601", "2841813427|Ga0349638_01|CDS|2841815793|-|2509620:2510822", "2841813427|Ga0349638_01|CDS|2841815794|-|2511038:2511607", "2841813427|Ga0349638_01|CDS|2841815795|-|2511679:2512503", "2841813427|Ga0349638_01|CDS|2841815796|-|2512609:2514129", "2841813427|Ga0349638_01|CDS|2841815797|-|2514074:2514943", "2841813427|Ga0349638_01|CDS|2841815798|+|2515223:2515339", "2841813427|Ga0349638_01|CDS|2841815799|+|2515469:2517856", "2841813427|Ga0349638_01|CDS|2841815800|+|2518111:2518587", "2841813427|Ga0349638_01|CDS|2841815801|-|2518620:2518991", "2841813427|Ga0349638_01|CDS|2841815802|-|2519102:2519887", "2841813427|Ga0349638_01|CDS|2841815803|-|2519884:2522913", "2841813427|Ga0349638_01|CDS|2841815804|-|2522918:2523844", "2841813427|Ga0349638_01|CDS|2841815805|-|2523847:2525112", "2841813427|Ga0349638_01|CDS|2841815806|-|2525267:2525695", "2841813427|Ga0349638_01|CDS|2841815807|-|2525775:2527025", "2841813427|Ga0349638_01|CDS|2841815808|-|2527026:2527700", "2841813427|Ga0349638_01|CDS|2841815809|+|2527890:2528771", "2841813427|Ga0349638_01|CDS|2841815810|-|2528789:2530225", "2841813427|Ga0349638_01|CDS|2841815811|+|2530657:2531244", "2841813427|Ga0349638_01|CDS|2841815812|+|2531342:2531983", "2841813427|Ga0349638_01|CDS|2841815813|+|2532133:2534580", "2841813427|Ga0349638_01|CDS|2841815814|-|2534630:2536873", "2841813427|Ga0349638_01|CDS|2841815815|-|2536936:2537424", "2841813427|Ga0349638_01|CDS|2841815816|-|2537504:2538262", "2841813427|Ga0349638_01|CDS|2841815817|-|2538294:2539244", "2841813427|Ga0349638_01|CDS|2841815818|-|2539347:2539787", "2841813427|Ga0349638_01|CDS|2841815819|-|2539949:2540275", "2841813427|Ga0349638_01|CDS|2841815820|-|2540492:2541895", "2841813427|Ga0349638_01|CDS|2841815821|-|2542133:2543194", "2841813427|Ga0349638_01|CDS|2841815822|+|2543329:2543676", "2841813427|Ga0349638_01|CDS|2841815823|+|2543775:2543993", "2841813427|Ga0349638_01|CDS|2841815824|-|2544245:2545045", "2841813427|Ga0349638_01|CDS|2841815825|-|2545127:2545681", "2841813427|Ga0349638_01|CDS|2841815826|+|2545996:2546148", "2841813427|Ga0349638_01|CDS|2841815827|-|2546194:2547162", "2841813427|Ga0349638_01|CDS|2841815828|-|2547188:2548165", "2841813427|Ga0349638_01|CDS|2841815829|+|2548259:2549116", "2841813427|Ga0349638_01|CDS|2841815830|-|2549213:2549578", "2841813427|Ga0349638_01|CDS|2841815831|-|2549679:2551187", "2841813427|Ga0349638_01|CDS|2841815832|+|2551529:2552161", "2841813427|Ga0349638_01|CDS|2841815833|+|2552511:2553680", "2841813427|Ga0349638_01|CDS|2841815834|+|2553882:2554313", "2841813427|Ga0349638_01|CDS|2841815835|-|2555072:2555713", "2841813427|Ga0349638_01|CDS|2841815836|-|2555751:2556695", "2841813427|Ga0349638_01|CDS|2841815837|-|2556757:2557947", "2841813427|Ga0349638_01|CDS|2841815838|-|2558171:2558926", "2841813427|Ga0349638_01|CDS|2841815839|-|2558923:2559957", "2841813427|Ga0349638_01|CDS|2841815840|-|2560514:2560702", "2841813427|Ga0349638_01|CDS|2841815841|+|2561145:2561966", "2841813427|Ga0349638_01|CDS|2841815842|-|2561970:2563364", "2841813427|Ga0349638_01|CDS|2841815844|+|2563755:2564354", "2841813427|Ga0349638_01|CDS|2841815845|-|2564375:2564545", "2841813427|Ga0349638_01|CDS|2841815846|+|2565010:2566683", "2841813427|Ga0349638_01|CDS|2841815847|+|2566732:2567574", "2841813427|Ga0349638_01|CDS|2841815848|-|2567595:2568392", "2841813427|Ga0349638_01|CDS|2841815849|+|2568528:2569850", "2841813427|Ga0349638_01|CDS|2841815850|+|2569855:2570919", "2841813427|Ga0349638_01|CDS|2841815851|+|2570984:2572504", "2841813427|Ga0349638_01|CDS|2841815852|+|2572817:2574697", "2841813427|Ga0349638_01|CDS|2841815853|+|2574697:2575437", "2841813427|Ga0349638_01|CDS|2841815854|+|2575618:2576121", "2841813427|Ga0349638_01|CDS|2841815855|-|2576173:2577201", "2841813427|Ga0349638_01|CDS|2841815856|-|2577320:2577853", "2841813427|Ga0349638_01|CDS|2841815857|+|2578207:2578455", "2841813427|Ga0349638_01|CDS|2841815858|+|2579648:2580289", "2841813427|Ga0349638_01|CDS|2841815859|+|2580279:2582306", "2841813427|Ga0349638_01|CDS|2841815860|+|2582355:2584232", "2841813427|Ga0349638_01|CDS|2841815861|-|2584447:2585484", "2841813427|Ga0349638_01|CDS|2841815862|-|2585689:2588679", "2841813427|Ga0349638_01|CDS|2841815863|-|2588806:2589405", "2841813427|Ga0349638_01|CDS|2841815864|-|2589410:2589961", "2841813427|Ga0349638_01|CDS|2841815865|-|2590026:2590391", "2841813427|Ga0349638_01|CDS|2841815866|-|2590391:2591419", "2841813427|Ga0349638_01|CDS|2841815867|-|2591428:2592441", "2841813427|Ga0349638_01|CDS|2841815868|-|2592505:2592813", "2841813427|Ga0349638_01|CDS|2841815869|+|2593434:2594726", "2841813427|Ga0349638_01|CDS|2841815870|+|2594761:2597991", "2841813427|Ga0349638_01|CDS|2841815871|+|2598001:2599344", "2841813427|Ga0349638_01|CDS|2841815872|-|2599420:2599731", "2841813427|Ga0349638_01|CDS|2841815873|-|2600019:2600495", "2841813427|Ga0349638_01|CDS|2841815874|+|2600733:2601062", "2841813427|Ga0349638_01|CDS|2841815875|+|2601120:2602022", "2841813427|Ga0349638_01|CDS|2841815876|+|2602027:2604006", "2841813427|Ga0349638_01|CDS|2841815877|-|2604144:2605268", "2841813427|Ga0349638_01|CDS|2841815878|-|2605716:2606738", "2841813427|Ga0349638_01|CDS|2841815879|-|2607685:2609673", "2841813427|Ga0349638_01|CDS|2841815880|-|2609801:2611108", "2841813427|Ga0349638_01|CDS|2841815881|-|2611105:2611545", "2841813427|Ga0349638_01|CDS|2841815882|+|2611726:2612232", "2841813427|Ga0349638_01|CDS|2841815883|+|2612455:2612760", "2841813427|Ga0349638_01|CDS|2841815884|+|2612772:2613110", "2841813427|Ga0349638_01|CDS|2841815885|+|2613100:2615592", "2841813427|Ga0349638_01|CDS|2841815886|+|2615623:2616255", "2841813427|Ga0349638_01|CDS|2841815887|+|2616255:2617247", "2841813427|Ga0349638_01|CDS|2841815888|+|2617268:2617891", "2841813427|Ga0349638_01|CDS|2841815889|+|2617906:2618163", "2841813427|Ga0349638_01|CDS|2841815890|+|2618166:2619449", "2841813427|Ga0349638_01|CDS|2841815891|+|2619476:2620363", "2841813427|Ga0349638_01|CDS|2841815892|+|2620556:2621566", "2841813427|Ga0349638_01|CDS|2841815893|-|2621833:2622609", "2841813427|Ga0349638_01|CDS|2841815894|+|2622671:2623831", "2841813427|Ga0349638_01|CDS|2841815895|+|2623835:2626885", "2841813427|Ga0349638_01|CDS|2841815896|-|2626902:2627501", "2841813427|Ga0349638_01|CDS|2841815897|-|2627614:2628897", "2841813427|Ga0349638_01|CDS|2841815898|+|2629121:2630710", "2841813427|Ga0349638_01|CDS|2841815899|-|2630783:2631538", "2841813427|Ga0349638_01|CDS|2841815900|-|2631695:2632510", "2841813427|Ga0349638_01|CDS|2841815901|-|2632513:2633124", "2841813427|Ga0349638_01|CDS|2841815902|-|2633265:2635448", "2841813427|Ga0349638_01|CDS|2841815903|-|2635453:2636355", "2841813427|Ga0349638_01|CDS|2841815904|-|2636613:2636828", "2841813427|Ga0349638_01|CDS|2841815905|-|2636914:2637369", "2841813427|Ga0349638_01|CDS|2841815906|-|2637372:2637938", "2841813427|Ga0349638_01|CDS|2841815907|-|2638611:2639915", "2841813427|Ga0349638_01|CDS|2841815908|-|2639905:2640462", "2841813427|Ga0349638_01|CDS|2841815909|-|2640466:2641134", "2841813427|Ga0349638_01|CDS|2841815910|-|2641394:2643652", "2841813427|Ga0349638_01|CDS|2841815911|+|2643814:2644089", "2841813427|Ga0349638_01|CDS|2841815912|+|2644270:2645355", "2841813427|Ga0349638_01|CDS|2841815913|+|2645478:2646266", "2841813427|Ga0349638_01|CDS|2841815914|+|2646485:2647018", "2841813427|Ga0349638_01|CDS|2841815915|-|2647061:2648191", "2841813427|Ga0349638_01|CDS|2841815916|-|2648443:2649228", "2841813427|Ga0349638_01|CDS|2841815917|-|2649266:2650396", "2841813427|Ga0349638_01|CDS|2841815918|-|2650630:2651064", "2841813427|Ga0349638_01|CDS|2841815919|-|2651103:2651363", "2841813427|Ga0349638_01|CDS|2841815920|-|2651600:2651965", "2841813427|Ga0349638_01|CDS|2841815921|-|2652124:2653635", "2841813427|Ga0349638_01|CDS|2841815922|-|2653881:2654015", "2841813427|Ga0349638_01|CDS|2841815923|-|2654050:2654235", "2841813427|Ga0349638_01|CDS|2841815924|-|2654607:2655185", "2841813427|Ga0349638_01|CDS|2841815925|-|2655242:2655808", "2841813427|Ga0349638_01|CDS|2841815926|-|2655811:2656677", "2841813427|Ga0349638_01|CDS|2841815927|-|2656778:2657542", "2841813427|Ga0349638_01|CDS|2841815928|-|2657549:2658130", "2841813427|Ga0349638_01|CDS|2841815929|-|2658194:2658766", "2841813427|Ga0349638_01|CDS|2841815930|-|2658932:2659771", "2841813427|Ga0349638_01|CDS|2841815931|-|2659800:2662574", "2841813427|Ga0349638_01|CDS|2841815932|-|2662673:2662927", "2841813427|Ga0349638_01|CDS|2841815933|-|2662944:2663450", "2841813427|Ga0349638_01|CDS|2841815934|-|2663755:2664645", "2841813427|Ga0349638_01|CDS|2841815935|-|2664931:2668266", "2841813427|Ga0349638_01|CDS|2841815936|-|2668358:2669461", "2841813427|Ga0349638_01|CDS|2841815937|-|2669644:2669778", "2841813427|Ga0349638_01|CDS|2841815938|-|2670040:2670477", "2841813427|Ga0349638_01|CDS|2841815939|-|2670458:2671486", "2841813427|Ga0349638_01|CDS|2841815940|-|2671473:2671685", "2841813427|Ga0349638_01|CDS|2841815941|-|2671660:2672637", "2841813427|Ga0349638_01|CDS|2841815942|-|2672634:2673179", "2841813427|Ga0349638_01|CDS|2841815943|-|2673198:2673659", "2841813427|Ga0349638_01|CDS|2841815944|-|2673701:2673937", "2841813427|Ga0349638_01|CDS|2841815945|+|2674201:2674746", "2841813427|Ga0349638_01|CDS|2841815946|+|2674980:2675525", "2841813427|Ga0349638_01|CDS|2841815947|-|2675713:2676609", "2841813427|Ga0349638_01|CDS|2841815948|-|2676621:2677511", "2841813427|Ga0349638_01|CDS|2841815949|-|2677759:2679015", "2841813427|Ga0349638_01|CDS|2841815950|-|2679017:2683336", "2841813427|Ga0349638_01|CDS|2841815951|-|2683563:2683877", "2841813427|Ga0349638_01|CDS|2841815952|-|2683950:2685326", "2841813427|Ga0349638_01|CDS|2841815953|-|2685352:2685744", "2841813427|Ga0349638_01|CDS|2841815954|+|2685925:2686899", "2841813427|Ga0349638_01|CDS|2841815955|+|2687699:2688742", "2841813427|Ga0349638_01|CDS|2841815956|+|2688980:2691775", "2841813427|Ga0349638_01|CDS|2841815957|+|2691798:2693006", "2841813427|Ga0349638_01|CDS|2841815958|+|2693008:2694522", "2841813427|Ga0349638_01|CDS|2841815959|+|2694533:2695672", "2841813427|Ga0349638_01|CDS|2841815960|-|2695810:2696175", "2841813427|Ga0349638_01|CDS|2841815961|+|2697063:2697233", "2841813427|Ga0349638_01|CDS|2841815962|+|2697857:2699305", "2841813427|Ga0349638_01|CDS|2841815963|-|2699528:2701015", "2841813427|Ga0349638_01|CDS|2841815964|-|2701048:2701242", "2841813427|Ga0349638_01|CDS|2841815965|+|2701760:2702557", "2841813427|Ga0349638_01|CDS|2841815966|+|2702680:2703837", "2841813427|Ga0349638_01|CDS|2841815967|-|2703900:2704199", "2841813427|Ga0349638_01|CDS|2841815968|-|2704218:2704643", "2841813427|Ga0349638_01|CDS|2841815969|-|2704653:2704925", "2841813427|Ga0349638_01|CDS|2841815970|+|2705210:2705368", "2841813427|Ga0349638_01|CDS|2841815971|+|2705671:2707002", "2841813427|Ga0349638_01|CDS|2841815972|-|2707097:2707657", "2841813427|Ga0349638_01|CDS|2841815973|-|2707776:2708984", "2841813427|Ga0349638_01|CDS|2841815974|-|2709143:2709568", "2841813427|Ga0349638_01|CDS|2841815975|-|2709690:2710466", "2841813427|Ga0349638_01|CDS|2841815976|+|2710838:2713048", "2841813427|Ga0349638_01|CDS|2841815977|-|2713331:2714500", "2841813427|Ga0349638_01|CDS|2841815978|-|2714506:2716890", "2841813427|Ga0349638_01|CDS|2841815979|+|2716989:2717975", "2841813427|Ga0349638_01|CDS|2841815980|-|2717994:2718971", "2841813427|Ga0349638_01|CDS|2841815981|+|2719379:2720200", "2841813427|Ga0349638_01|CDS|2841815982|+|2720205:2721557", "2841813427|Ga0349638_01|CDS|2841815983|+|2721610:2723730", "2841813427|Ga0349638_01|CDS|2841815984|+|2723786:2724976", "2841813427|Ga0349638_01|CDS|2841815985|+|2725133:2725726", "2841813427|Ga0349638_01|CDS|2841815986|+|2725869:2726357", "2841813427|Ga0349638_01|CDS|2841815987|+|2726361:2726840", "2841813427|Ga0349638_01|CDS|2841815988|+|2727165:2727731", "2841813427|Ga0349638_01|CDS|2841815989|+|2727845:2728291", "2841813427|Ga0349638_01|CDS|2841815990|+|2728356:2729090", "2841813427|Ga0349638_01|CDS|2841815991|+|2729145:2729282", "2841813427|Ga0349638_01|CDS|2841815992|+|2729472:2731022", "2841813427|Ga0349638_01|CDS|2841815993|+|2731097:2731666", "2841813427|Ga0349638_01|CDS|2841815994|+|2731753:2732526", "2841813427|Ga0349638_01|CDS|2841815995|+|2732687:2733265", "2841813427|Ga0349638_01|CDS|2841815996|+|2733314:2733727", "2841813427|Ga0349638_01|CDS|2841815997|-|2733951:2734643", "2841813427|Ga0349638_01|CDS|2841815998|+|2734719:2735294", "2841813427|Ga0349638_01|CDS|2841815999|+|2735333:2735809", "2841813427|Ga0349638_01|CDS|2841816000|+|2735836:2736345", "2841813427|Ga0349638_01|CDS|2841816001|+|2736321:2736992", "2841813427|Ga0349638_01|CDS|2841816002|+|2737193:2737501", "2841813427|Ga0349638_01|CDS|2841816003|-|2737723:2738091", "2841813427|Ga0349638_01|CDS|2841816004|-|2738102:2738524", "2841813427|Ga0349638_01|CDS|2841816005|-|2738528:2738962", "2841813427|Ga0349638_01|CDS|2841816006|+|2739251:2739913", "2841813427|Ga0349638_01|CDS|2841816007|+|2739941:2740891", "2841813427|Ga0349638_01|CDS|2841816008|+|2740954:2741961", "2841813427|Ga0349638_01|CDS|2841816009|+|2741994:2743577", "2841813427|Ga0349638_01|CDS|2841816010|+|2743691:2744737", "2841813427|Ga0349638_01|CDS|2841816011|-|2744827:2745270", "2841813427|Ga0349638_01|CDS|2841816012|-|2745340:2745915", "2841813427|Ga0349638_01|CDS|2841816013|+|2746132:2747163", "2841813427|Ga0349638_01|CDS|2841816014|-|2747333:2747443", "2841813427|Ga0349638_01|CDS|2841816015|-|2747474:2748010", "2841813427|Ga0349638_01|CDS|2841816016|-|2748015:2749349", "2841813427|Ga0349638_01|CDS|2841816017|-|2749406:2749990", "2841813427|Ga0349638_01|CDS|2841816018|-|2750102:2751415", "2841813427|Ga0349638_01|CDS|2841816019|+|2751472:2752089", "2841813427|Ga0349638_01|CDS|2841816020|-|2752026:2754335", "2841813427|Ga0349638_01|CDS|2841816021|-|2754413:2754787", "2841813427|Ga0349638_01|CDS|2841816022|+|2754883:2755425", "2841813427|Ga0349638_01|CDS|2841816023|+|2755493:2756764", "2841813427|Ga0349638_01|CDS|2841816024|-|2756827:2757432", "2841813427|Ga0349638_01|CDS|2841816025|+|2757472:2757858", "2841813427|Ga0349638_01|CDS|2841816026|-|2758036:2759082", "2841813427|Ga0349638_01|CDS|2841816027|-|2759083:2759673", "2841813427|Ga0349638_01|CDS|2841816028|-|2759675:2760946", "2841813427|Ga0349638_01|CDS|2841816029|+|2761164:2762285", "2841813427|Ga0349638_01|CDS|2841816030|-|2762474:2763379", "2841813427|Ga0349638_01|CDS|2841816031|+|2763601:2764623", "2841813427|Ga0349638_01|CDS|2841816032|+|2764724:2765518", "2841813427|Ga0349638_01|CDS|2841816033|+|2765612:2767849", "2841813427|Ga0349638_01|CDS|2841816034|-|2767926:2768843", "2841813427|Ga0349638_01|CDS|2841816035|+|2768941:2770116", "2841813427|Ga0349638_01|CDS|2841816036|+|2770151:2771251", "2841813427|Ga0349638_01|CDS|2841816037|+|2771268:2772251", "2841813427|Ga0349638_01|CDS|2841816038|+|2772339:2773313", "2841813427|Ga0349638_01|CDS|2841816039|-|2773393:2773860", "2841813427|Ga0349638_01|CDS|2841816040|-|2773860:2774405", "2841813427|Ga0349638_01|CDS|2841816041|-|2774455:2774610", "2841813427|Ga0349638_01|CDS|2841816042|-|2774828:2776249", "2841813427|Ga0349638_01|CDS|2841816043|-|2776407:2776868", "2841813427|Ga0349638_01|CDS|2841816044|+|2777026:2778936", "2841813427|Ga0349638_01|CDS|2841816045|+|2778942:2780363", "2841813427|Ga0349638_01|CDS|2841816046|+|2780412:2781665", "2841813427|Ga0349638_01|CDS|2841816047|+|2781711:2782751", "2841813427|Ga0349638_01|CDS|2841816048|+|2782864:2785671", "2841813427|Ga0349638_01|CDS|2841816049|+|2785730:2786968", "2841813427|Ga0349638_01|CDS|2841816050|+|2787055:2787441", "2841813427|Ga0349638_01|CDS|2841816051|-|2787497:2788498", "2841813427|Ga0349638_01|CDS|2841816052|-|2788515:2788976", "2841813427|Ga0349638_01|CDS|2841816053|-|2789101:2789655", "2841813427|Ga0349638_01|CDS|2841816054|-|2789770:2790738", "2841813427|Ga0349638_01|CDS|2841816055|-|2790735:2791601", "2841813427|Ga0349638_01|CDS|2841816056|+|2791710:2792294", "2841813427|Ga0349638_01|CDS|2841816057|+|2792389:2792793", "2841813427|Ga0349638_01|CDS|2841816058|+|2792878:2794083", "2841813427|Ga0349638_01|CDS|2841816059|+|2794151:2795578", "2841813427|Ga0349638_01|CDS|2841816060|+|2795626:2796642", "2841813427|Ga0349638_01|CDS|2841816061|+|2796666:2800358", "2841813427|Ga0349638_01|CDS|2841816062|+|2800444:2801418", "2841813427|Ga0349638_01|CDS|2841816063|+|2801489:2802211", "2841813427|Ga0349638_01|CDS|2841816064|+|2802251:2803747", "2841813427|Ga0349638_01|CDS|2841816065|+|2804046:2806811", "2841813427|Ga0349638_01|CDS|2841816066|+|2806822:2808423", "2841813427|Ga0349638_01|CDS|2841816067|+|2808440:2809453", "2841813427|Ga0349638_01|CDS|2841816068|+|2809539:2811485", "2841813427|Ga0349638_01|CDS|2841816069|+|2811544:2813706", "2841813427|Ga0349638_01|CDS|2841816070|-|2813874:2814677", "2841813427|Ga0349638_01|CDS|2841816071|+|2814915:2815643", "2841813427|Ga0349638_01|CDS|2841816072|+|2815751:2816302", "2841813427|Ga0349638_01|CDS|2841816073|+|2816577:2817563", "2841813427|Ga0349638_01|CDS|2841816074|+|2817642:2818205", "2841813427|Ga0349638_01|CDS|2841816075|+|2818216:2819091", "2841813427|Ga0349638_01|CDS|2841816076|+|2819296:2820810", "2841813427|Ga0349638_01|CDS|2841816077|+|2820935:2822182", "2841813427|Ga0349638_01|CDS|2841816078|+|2822309:2823838", "2841813427|Ga0349638_01|CDS|2841816079|+|2823984:2825633", "2841813427|Ga0349638_01|CDS|2841816080|+|2825655:2827001", "2841813427|Ga0349638_01|CDS|2841816081|-|2827274:2828092", "2841813427|Ga0349638_01|CDS|2841816082|-|2828134:2828967", "2841813427|Ga0349638_01|CDS|2841816083|-|2829023:2829682", "2841813427|Ga0349638_01|CDS|2841816084|-|2829688:2830851", "2841813427|Ga0349638_01|CDS|2841816085|-|2830988:2831485", "2841813427|Ga0349638_01|CDS|2841816086|-|2831517:2832239", "2841813427|Ga0349638_01|CDS|2841816087|-|2832302:2832739", "2841813427|Ga0349638_01|CDS|2841816088|-|2832844:2833377", "2841813427|Ga0349638_01|CDS|2841816089|+|2833745:2836282", "2841813427|Ga0349638_01|CDS|2841816090|-|2836443:2837135", "2841813427|Ga0349638_01|CDS|2841816091|-|2837159:2839243", "2841813427|Ga0349638_01|CDS|2841816092|+|2839340:2839498", "2841813427|Ga0349638_01|CDS|2841816093|+|2839618:2840742", "2841813427|Ga0349638_01|CDS|2841816094|+|2840760:2841776", "2841813427|Ga0349638_01|CDS|2841816095|+|2841937:2842938", "2841813427|Ga0349638_01|CDS|2841816096|+|2843019:2844605", "2841813427|Ga0349638_01|CDS|2841816097|-|2844686:2845345", "2841813427|Ga0349638_01|CDS|2841816098|+|2845625:2849488", "2841813427|Ga0349638_01|CDS|2841816099|+|2849721:2850398", "2841813427|Ga0349638_01|CDS|2841816100|+|2850829:2851506", "2841813427|Ga0349638_01|CDS|2841816101|+|2851597:2853339", "2841813427|Ga0349638_01|CDS|2841816102|+|2853495:2854106", "2841813427|Ga0349638_01|CDS|2841816103|+|2854259:2855347", "2841813427|Ga0349638_01|CDS|2841816104|+|2855635:2855940", "2841813427|Ga0349638_01|CDS|2841816105|+|2855956:2857035", "2841813427|Ga0349638_01|CDS|2841816106|+|2857045:2857968", "2841813427|Ga0349638_01|CDS|2841816107|-|2857977:2858612", "2841813427|Ga0349638_01|CDS|2841816108|-|2858599:2859204", "2841813427|Ga0349638_01|CDS|2841816109|+|2859827:2860759", "2841813427|Ga0349638_01|CDS|2841816110|+|2860786:2861682", "2841813427|Ga0349638_01|CDS|2841816111|+|2861725:2862711", "2841813427|Ga0349638_01|CDS|2841816112|+|2862827:2864068", "2841813427|Ga0349638_01|CDS|2841816113|-|2864164:2864721", "2841813427|Ga0349638_01|CDS|2841816114|-|2864831:2865436", "2841813427|Ga0349638_01|CDS|2841816115|+|2865490:2866014", "2841813427|Ga0349638_01|CDS|2841816116|+|2866016:2866387", "2841813427|Ga0349638_01|CDS|2841816117|+|2866392:2866988", "2841813427|Ga0349638_01|CDS|2841816118|+|2867024:2867641", "2841813427|Ga0349638_01|CDS|2841816119|+|2867664:2867945", "2841813427|Ga0349638_01|CDS|2841816120|+|2867951:2868808", "2841813427|Ga0349638_01|CDS|2841816121|-|2868822:2869478", "2841813427|Ga0349638_01|CDS|2841816122|-|2869529:2869687", "2841813427|Ga0349638_01|CDS|2841816123|-|2869769:2869882", "2841813427|Ga0349638_01|CDS|2841816124|-|2869915:2870613", "2841813427|Ga0349638_01|CDS|2841816125|-|2870639:2871712", "2841813427|Ga0349638_01|CDS|2841816126|+|2871757:2872479", "2841813427|Ga0349638_01|CDS|2841816127|+|2872555:2873346", "2841813427|Ga0349638_01|CDS|2841816128|-|2873338:2873760", "2841813427|Ga0349638_01|CDS|2841816129|-|2873748:2874422", "2841813427|Ga0349638_01|CDS|2841816130|+|2874507:2875580", "2841813427|Ga0349638_01|CDS|2841816131|-|2875659:2876081", "2841813427|Ga0349638_01|CDS|2841816132|+|2876272:2876886", "2841813427|Ga0349638_01|CDS|2841816133|-|2876952:2877791", "2841813427|Ga0349638_01|CDS|2841816134|-|2877795:2878553", "2841813427|Ga0349638_01|CDS|2841816135|-|2878563:2879180", "2841813427|Ga0349638_01|CDS|2841816136|+|2879330:2882203", "2841813427|Ga0349638_01|CDS|2841816138|-|2882459:2882926", "2841813427|Ga0349638_01|CDS|2841816139|+|2883149:2883499", "2841813427|Ga0349638_01|CDS|2841816140|-|2883603:2884679", "2841813427|Ga0349638_01|CDS|2841816141|-|2884721:2885230", "2841813427|Ga0349638_01|CDS|2841816142|+|2885345:2886517", "2841813427|Ga0349638_01|CDS|2841816143|+|2886560:2889712", "2841813427|Ga0349638_01|CDS|2841816144|+|2889716:2891125", "2841813427|Ga0349638_01|CDS|2841816145|+|2891153:2891554", "2841813427|Ga0349638_01|CDS|2841816146|+|2891556:2892509", "2841813427|Ga0349638_01|CDS|2841816147|-|2892759:2893196", "2841813427|Ga0349638_01|CDS|2841816148|-|2893238:2894473", "2841813427|Ga0349638_01|CDS|2841816149|-|2894491:2894730", "2841813427|Ga0349638_01|CDS|2841816150|+|2894820:2896100", "2841813427|Ga0349638_01|CDS|2841816151|-|2896191:2897039", "2841813427|Ga0349638_01|CDS|2841816152|-|2897130:2898200", "2841813427|Ga0349638_01|CDS|2841816153|-|2898204:2899961", "2841813427|Ga0349638_01|CDS|2841816154|-|2900116:2900481", "2841813427|Ga0349638_01|CDS|2841816155|-|2900545:2902461", "2841813427|Ga0349638_01|CDS|2841816156|+|2902788:2903684" ]
[ "2841813427|Ga0349638_01|IG|IG_001913|+|2342932:2343080", "2841813427|Ga0349638_01|IG|IG_001914|+|2343957:2344007", "2841813427|Ga0349638_01|IG|IG_001915|+|2344359:2344498", "2841813427|Ga0349638_01|IG|IG_001916|+|2345021:2345080", "2841813427|Ga0349638_01|IG|IG_001917|+|2346395:2346513", "2841813427|Ga0349638_01|IG|IG_001918|+|2347318:2347320", "2841813427|Ga0349638_01|IG|IG_001919|+|2347828:2347906", "2841813427|Ga0349638_01|IG|IG_001920|+|2348735:2348848", "2841813427|Ga0349638_01|IG|IG_001921|+|2349809:2349870", "2841813427|Ga0349638_01|IG|IG_001922|+|2350366:2350515", "2841813427|Ga0349638_01|IG|IG_001923|+|2351011:2351144", "2841813427|Ga0349638_01|IG|IG_001924|+|2352714:2352845", "2841813427|Ga0349638_01|IG|IG_001925|+|2353365:2353379", "2841813427|Ga0349638_01|IG|IG_001926|+|2353971:2354016", "2841813427|Ga0349638_01|IG|IG_001927|+|2356810:2357067", "2841813427|Ga0349638_01|IG|IG_001928|+|2358871:2358973", "2841813427|Ga0349638_01|IG|IG_001929|+|2359694:2359795", "2841813427|Ga0349638_01|IG|IG_001930|+|2360927:2361087", "2841813427|Ga0349638_01|IG|IG_001931|+|2363488:2363624", "2841813427|Ga0349638_01|IG|IG_001932|+|2364060:2364108", "2841813427|Ga0349638_01|IG|IG_001933|+|2364349:2364402", "2841813427|Ga0349638_01|IG|IG_001934|+|2365297:2365302", "2841813427|Ga0349638_01|IG|IG_001935|+|2366245:2366253", "2841813427|Ga0349638_01|IG|IG_001936|+|2366797:2366804", "2841813427|Ga0349638_01|IG|IG_001937|+|2367768:2367940", "2841813427|Ga0349638_01|IG|IG_001938|+|2368589:2368721", "2841813427|Ga0349638_01|IG|IG_001939|+|2369106:2369352", "2841813427|Ga0349638_01|IG|IG_001940|+|2369785:2370057", "2841813427|Ga0349638_01|IG|IG_001941|+|2372038:2372279", "2841813427|Ga0349638_01|IG|IG_001942|+|2372613:2372682", "2841813427|Ga0349638_01|IG|IG_001943|+|2373181:2373539", "2841813427|Ga0349638_01|IG|IG_001944|+|2374512:2374657", "2841813427|Ga0349638_01|IG|IG_001945|+|2375054:2375069", "2841813427|Ga0349638_01|IG|IG_001946|+|2376768:2376869", "2841813427|Ga0349638_01|IG|IG_001947|+|2378595:2378664", "2841813427|Ga0349638_01|IG|IG_001948|+|2380935:2381047", "2841813427|Ga0349638_01|IG|IG_001949|+|2382354:2382478", "2841813427|Ga0349638_01|IG|IG_001950|+|2383075:2383319", "2841813427|Ga0349638_01|IG|IG_001951|+|2384892:2384985", "2841813427|Ga0349638_01|IG|IG_001952|+|2386048:2386090", "2841813427|Ga0349638_01|IG|IG_001953|+|2386568:2386913", "2841813427|Ga0349638_01|IG|IG_001954|+|2388009:2388076", "2841813427|Ga0349638_01|IG|IG_001955|+|2388644:2388727", "2841813427|Ga0349638_01|IG|IG_001956|+|2389430:2389563", "2841813427|Ga0349638_01|IG|IG_001957|+|2391883:2391931", "2841813427|Ga0349638_01|IG|IG_001958|+|2393273:2393479", "2841813427|Ga0349638_01|IG|IG_001959|+|2393927:2394212", "2841813427|Ga0349638_01|IG|IG_001960|+|2395371:2395492", "2841813427|Ga0349638_01|IG|IG_001961|+|2396637:2396719", "2841813427|Ga0349638_01|IG|IG_001962|+|2399660:2399689", "2841813427|Ga0349638_01|IG|IG_001963|+|2400548:2400706", "2841813427|Ga0349638_01|IG|IG_001964|+|2402885:2403062", "2841813427|Ga0349638_01|IG|IG_001965|+|2404101:2404173", "2841813427|Ga0349638_01|IG|IG_001966|+|2406456:2406486", "2841813427|Ga0349638_01|IG|IG_001967|+|2407678:2407695", "2841813427|Ga0349638_01|IG|IG_001968|+|2408794:2408898", "2841813427|Ga0349638_01|IG|IG_001969|+|2409709:2409718", "2841813427|Ga0349638_01|IG|IG_001970|+|2411397:2411483", "2841813427|Ga0349638_01|IG|IG_001971|+|2411589:2411889", "2841813427|Ga0349638_01|IG|IG_001972|+|2412283:2412428", "2841813427|Ga0349638_01|IG|IG_001973|+|2413515:2413534", "2841813427|Ga0349638_01|IG|IG_001974|+|2418156:2418243", "2841813427|Ga0349638_01|IG|IG_001975|+|2419192:2419343", "2841813427|Ga0349638_01|IG|IG_001976|+|2419902:2419926", "2841813427|Ga0349638_01|IG|IG_001977|+|2420506:2420569", "2841813427|Ga0349638_01|IG|IG_001978|+|2421554:2421596", "2841813427|Ga0349638_01|IG|IG_001979|+|2422872:2422918", "2841813427|Ga0349638_01|IG|IG_001980|+|2423687:2423735", "2841813427|Ga0349638_01|IG|IG_001981|+|2424702:2424706", "2841813427|Ga0349638_01|IG|IG_001982|+|2425679:2425771", "2841813427|Ga0349638_01|IG|IG_001983|+|2426909:2426928", "2841813427|Ga0349638_01|IG|IG_001984|+|2427568:2427705", "2841813427|Ga0349638_01|IG|IG_001985|+|2429389:2429501", "2841813427|Ga0349638_01|IG|IG_001986|+|2430021:2430244", "2841813427|Ga0349638_01|IG|IG_001987|+|2431532:2431786", "2841813427|Ga0349638_01|IG|IG_001988|+|2432615:2432777", "2841813427|Ga0349638_01|IG|IG_001989|+|2434453:2434506", "2841813427|Ga0349638_01|IG|IG_001990|+|2435131:2435231", "2841813427|Ga0349638_01|IG|IG_001991|+|2435931:2435992", "2841813427|Ga0349638_01|IG|IG_001992|+|2438199:2438204", "2841813427|Ga0349638_01|IG|IG_001993|+|2439888:2439979", "2841813427|Ga0349638_01|IG|IG_001994|+|2440451:2440471", "2841813427|Ga0349638_01|IG|IG_001995|+|2441165:2441261", "2841813427|Ga0349638_01|IG|IG_001996|+|2442147:2442158", "2841813427|Ga0349638_01|IG|IG_001997|+|2442744:2442860", "2841813427|Ga0349638_01|IG|IG_001998|+|2444025:2444092", "2841813427|Ga0349638_01|IG|IG_001999|+|2444408:2445337", "2841813427|Ga0349638_01|IG|IG_002000|+|2446766:2447525", "2841813427|Ga0349638_01|IG|IG_002001|+|2448198:2448217", "2841813427|Ga0349638_01|IG|IG_002002|+|2448761:2448770", "2841813427|Ga0349638_01|IG|IG_002003|+|2449299:2449314", "2841813427|Ga0349638_01|IG|IG_002004|+|2450230:2450254", "2841813427|Ga0349638_01|IG|IG_002005|+|2452622:2452742", "2841813427|Ga0349638_01|IG|IG_002006|+|2453151:2453249", "2841813427|Ga0349638_01|IG|IG_002007|+|2454084:2454146", "2841813427|Ga0349638_01|IG|IG_002008|+|2454588:2454606", "2841813427|Ga0349638_01|IG|IG_002009|+|2456488:2456517", "2841813427|Ga0349638_01|IG|IG_002010|+|2457463:2457516", "2841813427|Ga0349638_01|IG|IG_002011|+|2458438:2458446", "2841813427|Ga0349638_01|IG|IG_002012|+|2459593:2459645", "2841813427|Ga0349638_01|IG|IG_002013|+|2460189:2460215", "2841813427|Ga0349638_01|IG|IG_002014|+|2461581:2461714", "2841813427|Ga0349638_01|IG|IG_002015|+|2464208:2464257", "2841813427|Ga0349638_01|IG|IG_002016|+|2464666:2464936", "2841813427|Ga0349638_01|IG|IG_002017|+|2466911:2466912", "2841813427|Ga0349638_01|IG|IG_002018|+|2468560:2468614", "2841813427|Ga0349638_01|IG|IG_002019|+|2469626:2469650", "2841813427|Ga0349638_01|IG|IG_002020|+|2470629:2470660", "2841813427|Ga0349638_01|IG|IG_002021|+|2471129:2471146", "2841813427|Ga0349638_01|IG|IG_002022|+|2474207:2474288", "2841813427|Ga0349638_01|IG|IG_002023|+|2475225:2475325", "2841813427|Ga0349638_01|IG|IG_002024|+|2476580:2476715", "2841813427|Ga0349638_01|IG|IG_002025|+|2477961:2477962", "2841813427|Ga0349638_01|IG|IG_002026|+|2478515:2478689", "2841813427|Ga0349638_01|IG|IG_002027|+|2479353:2479392", "2841813427|Ga0349638_01|IG|IG_002028|+|2480224:2480428", "2841813427|Ga0349638_01|IG|IG_002029|+|2481404:2481458", "2841813427|Ga0349638_01|IG|IG_002030|+|2482107:2482293", "2841813427|Ga0349638_01|IG|IG_002031|+|2483764:2484123", "2841813427|Ga0349638_01|IG|IG_002032|+|2484319:2484405", "2841813427|Ga0349638_01|IG|IG_002033|+|2486296:2486431", "2841813427|Ga0349638_01|IG|IG_002034|+|2487851:2487945", "2841813427|Ga0349638_01|IG|IG_002035|+|2488846:2488884", "2841813427|Ga0349638_01|IG|IG_002036|+|2489725:2489731", "2841813427|Ga0349638_01|IG|IG_002037|+|2490200:2490248", "2841813427|Ga0349638_01|IG|IG_002038|+|2491329:2491459", "2841813427|Ga0349638_01|IG|IG_002039|+|2492153:2492633", "2841813427|Ga0349638_01|IG|IG_002040|+|2493090:2493311", "2841813427|Ga0349638_01|IG|IG_002041|+|2494842:2494878", "2841813427|Ga0349638_01|IG|IG_002042|+|2495059:2495797", "2841813427|Ga0349638_01|IG|IG_002043|+|2496332:2496340", "2841813427|Ga0349638_01|IG|IG_002044|+|2497889:2497993", "2841813427|Ga0349638_01|IG|IG_002045|+|2499038:2499049", "2841813427|Ga0349638_01|IG|IG_002046|+|2500313:2500319", "2841813427|Ga0349638_01|IG|IG_002047|+|2503077:2503378", "2841813427|Ga0349638_01|IG|IG_002048|+|2504426:2504454", "2841813427|Ga0349638_01|IG|IG_002049|+|2505259:2505275", "2841813427|Ga0349638_01|IG|IG_002050|+|2505678:2505755", "2841813427|Ga0349638_01|IG|IG_002051|+|2506521:2506711", "2841813427|Ga0349638_01|IG|IG_002052|+|2507093:2507157", "2841813427|Ga0349638_01|IG|IG_002053|+|2508114:2508134", "2841813427|Ga0349638_01|IG|IG_002054|+|2508816:2508878", "2841813427|Ga0349638_01|IG|IG_002055|+|2509602:2509619", "2841813427|Ga0349638_01|IG|IG_002056|+|2510823:2511037", "2841813427|Ga0349638_01|IG|IG_002057|+|2511608:2511678", "2841813427|Ga0349638_01|IG|IG_002058|+|2512504:2512608", "2841813427|Ga0349638_01|IG|IG_002059|+|2514944:2515222", "2841813427|Ga0349638_01|IG|IG_002060|+|2515340:2515468", "2841813427|Ga0349638_01|IG|IG_002061|+|2517857:2518110", "2841813427|Ga0349638_01|IG|IG_002062|+|2518588:2518619", "2841813427|Ga0349638_01|IG|IG_002063|+|2518992:2519101", "2841813427|Ga0349638_01|IG|IG_002064|+|2522914:2522917", "2841813427|Ga0349638_01|IG|IG_002065|+|2523845:2523846", "2841813427|Ga0349638_01|IG|IG_002066|+|2525113:2525266", "2841813427|Ga0349638_01|IG|IG_002067|+|2525696:2525774", "2841813427|Ga0349638_01|IG|IG_002068|+|2527701:2527889", "2841813427|Ga0349638_01|IG|IG_002069|+|2528772:2528788", "2841813427|Ga0349638_01|IG|IG_002070|+|2530226:2530656", "2841813427|Ga0349638_01|IG|IG_002071|+|2531245:2531341", "2841813427|Ga0349638_01|IG|IG_002072|+|2531984:2532132", "2841813427|Ga0349638_01|IG|IG_002073|+|2534581:2534629", "2841813427|Ga0349638_01|IG|IG_002074|+|2536874:2536935", "2841813427|Ga0349638_01|IG|IG_002075|+|2537425:2537503", "2841813427|Ga0349638_01|IG|IG_002076|+|2538263:2538293", "2841813427|Ga0349638_01|IG|IG_002077|+|2539245:2539346", "2841813427|Ga0349638_01|IG|IG_002078|+|2539788:2539948", "2841813427|Ga0349638_01|IG|IG_002079|+|2540276:2540491", "2841813427|Ga0349638_01|IG|IG_002080|+|2541896:2542132", "2841813427|Ga0349638_01|IG|IG_002081|+|2543195:2543328", "2841813427|Ga0349638_01|IG|IG_002082|+|2543677:2543774", "2841813427|Ga0349638_01|IG|IG_002083|+|2543994:2544244", "2841813427|Ga0349638_01|IG|IG_002084|+|2545046:2545126", "2841813427|Ga0349638_01|IG|IG_002085|+|2545682:2545995", "2841813427|Ga0349638_01|IG|IG_002086|+|2546149:2546193", "2841813427|Ga0349638_01|IG|IG_002087|+|2547163:2547187", "2841813427|Ga0349638_01|IG|IG_002088|+|2548166:2548258", "2841813427|Ga0349638_01|IG|IG_002089|+|2549117:2549212", "2841813427|Ga0349638_01|IG|IG_002090|+|2549579:2549678", "2841813427|Ga0349638_01|IG|IG_002091|+|2551188:2551528", "2841813427|Ga0349638_01|IG|IG_002092|+|2552162:2552510", "2841813427|Ga0349638_01|IG|IG_002093|+|2553681:2553881", "2841813427|Ga0349638_01|IG|IG_002094|+|2554314:2555071", "2841813427|Ga0349638_01|IG|IG_002095|+|2555714:2555750", "2841813427|Ga0349638_01|IG|IG_002096|+|2556696:2556756", "2841813427|Ga0349638_01|IG|IG_002097|+|2557948:2558170", "2841813427|Ga0349638_01|IG|IG_002098|+|2559958:2560513", "2841813427|Ga0349638_01|IG|IG_002099|+|2560703:2561144", "2841813427|Ga0349638_01|IG|IG_002100|+|2561967:2561969", "2841813427|Ga0349638_01|IG|IG_002101|+|2563365:2563754", "2841813427|Ga0349638_01|IG|IG_002102|+|2564355:2564374", "2841813427|Ga0349638_01|IG|IG_002103|+|2564546:2565009", "2841813427|Ga0349638_01|IG|IG_002104|+|2566684:2566731", "2841813427|Ga0349638_01|IG|IG_002105|+|2567575:2567594", "2841813427|Ga0349638_01|IG|IG_002106|+|2568393:2568527", "2841813427|Ga0349638_01|IG|IG_002107|+|2569851:2569854", "2841813427|Ga0349638_01|IG|IG_002108|+|2570920:2570983", "2841813427|Ga0349638_01|IG|IG_002109|+|2572505:2572816", "2841813427|Ga0349638_01|IG|IG_002110|+|2575438:2575617", "2841813427|Ga0349638_01|IG|IG_002111|+|2576122:2576172", "2841813427|Ga0349638_01|IG|IG_002112|+|2577202:2577319", "2841813427|Ga0349638_01|IG|IG_002113|+|2577854:2578206", "2841813427|Ga0349638_01|IG|IG_002114|+|2578456:2579647", "2841813427|Ga0349638_01|IG|IG_002115|+|2582307:2582354", "2841813427|Ga0349638_01|IG|IG_002116|+|2584233:2584446", "2841813427|Ga0349638_01|IG|IG_002117|+|2585485:2585688", "2841813427|Ga0349638_01|IG|IG_002118|+|2588680:2588805", "2841813427|Ga0349638_01|IG|IG_002119|+|2589406:2589409", "2841813427|Ga0349638_01|IG|IG_002120|+|2589962:2590025", "2841813427|Ga0349638_01|IG|IG_002121|+|2591420:2591427", "2841813427|Ga0349638_01|IG|IG_002122|+|2592442:2592504", "2841813427|Ga0349638_01|IG|IG_002123|+|2592814:2593433", "2841813427|Ga0349638_01|IG|IG_002124|+|2594727:2594760", "2841813427|Ga0349638_01|IG|IG_002125|+|2597992:2598000", "2841813427|Ga0349638_01|IG|IG_002126|+|2599345:2599419", "2841813427|Ga0349638_01|IG|IG_002127|+|2599732:2600018", "2841813427|Ga0349638_01|IG|IG_002128|+|2600496:2600732", "2841813427|Ga0349638_01|IG|IG_002129|+|2601063:2601119", "2841813427|Ga0349638_01|IG|IG_002130|+|2602023:2602026", "2841813427|Ga0349638_01|IG|IG_002131|+|2604007:2604143", "2841813427|Ga0349638_01|IG|IG_002132|+|2605269:2605715", "2841813427|Ga0349638_01|IG|IG_002133|+|2606739:2607684", "2841813427|Ga0349638_01|IG|IG_002134|+|2609674:2609800", "2841813427|Ga0349638_01|IG|IG_002135|+|2611546:2611725", "2841813427|Ga0349638_01|IG|IG_002136|+|2612233:2612454", "2841813427|Ga0349638_01|IG|IG_002137|+|2612761:2612771", "2841813427|Ga0349638_01|IG|IG_002138|+|2615593:2615622", "2841813427|Ga0349638_01|IG|IG_002139|+|2617248:2617267", "2841813427|Ga0349638_01|IG|IG_002140|+|2617892:2617905", "2841813427|Ga0349638_01|IG|IG_002141|+|2618164:2618165", "2841813427|Ga0349638_01|IG|IG_002142|+|2619450:2619475", "2841813427|Ga0349638_01|IG|IG_002143|+|2620364:2620555", "2841813427|Ga0349638_01|IG|IG_002144|+|2621567:2621832", "2841813427|Ga0349638_01|IG|IG_002145|+|2622610:2622670", "2841813427|Ga0349638_01|IG|IG_002146|+|2623832:2623834", "2841813427|Ga0349638_01|IG|IG_002147|+|2626886:2626901", "2841813427|Ga0349638_01|IG|IG_002148|+|2627502:2627613", "2841813427|Ga0349638_01|IG|IG_002149|+|2628898:2629120", "2841813427|Ga0349638_01|IG|IG_002150|+|2630711:2630782", "2841813427|Ga0349638_01|IG|IG_002151|+|2631539:2631694", "2841813427|Ga0349638_01|IG|IG_002152|+|2632511:2632512", "2841813427|Ga0349638_01|IG|IG_002153|+|2633125:2633264", "2841813427|Ga0349638_01|IG|IG_002154|+|2635449:2635452", "2841813427|Ga0349638_01|IG|IG_002155|+|2636356:2636612", "2841813427|Ga0349638_01|IG|IG_002156|+|2636829:2636913", "2841813427|Ga0349638_01|IG|IG_002157|+|2637370:2637371", "2841813427|Ga0349638_01|IG|IG_002158|+|2637939:2638610", "2841813427|Ga0349638_01|IG|IG_002159|+|2640463:2640465", "2841813427|Ga0349638_01|IG|IG_002160|+|2641135:2641393", "2841813427|Ga0349638_01|IG|IG_002161|+|2643653:2643813", "2841813427|Ga0349638_01|IG|IG_002162|+|2644090:2644269", "2841813427|Ga0349638_01|IG|IG_002163|+|2645356:2645477", "2841813427|Ga0349638_01|IG|IG_002164|+|2646267:2646484", "2841813427|Ga0349638_01|IG|IG_002165|+|2647019:2647060", "2841813427|Ga0349638_01|IG|IG_002166|+|2648192:2648442", "2841813427|Ga0349638_01|IG|IG_002167|+|2649229:2649265", "2841813427|Ga0349638_01|IG|IG_002168|+|2650397:2650629", "2841813427|Ga0349638_01|IG|IG_002169|+|2651065:2651102", "2841813427|Ga0349638_01|IG|IG_002170|+|2651364:2651599", "2841813427|Ga0349638_01|IG|IG_002171|+|2651966:2652123", "2841813427|Ga0349638_01|IG|IG_002172|+|2653636:2653880", "2841813427|Ga0349638_01|IG|IG_002173|+|2654016:2654049", "2841813427|Ga0349638_01|IG|IG_002174|+|2654236:2654606", "2841813427|Ga0349638_01|IG|IG_002175|+|2655186:2655241", "2841813427|Ga0349638_01|IG|IG_002176|+|2655809:2655810", "2841813427|Ga0349638_01|IG|IG_002177|+|2656678:2656777", "2841813427|Ga0349638_01|IG|IG_002178|+|2657543:2657548", "2841813427|Ga0349638_01|IG|IG_002179|+|2658131:2658193", "2841813427|Ga0349638_01|IG|IG_002180|+|2658767:2658931", "2841813427|Ga0349638_01|IG|IG_002181|+|2659772:2659799", "2841813427|Ga0349638_01|IG|IG_002182|+|2662575:2662672", "2841813427|Ga0349638_01|IG|IG_002183|+|2662928:2662943", "2841813427|Ga0349638_01|IG|IG_002184|+|2663451:2663754", "2841813427|Ga0349638_01|IG|IG_002185|+|2664646:2664930", "2841813427|Ga0349638_01|IG|IG_002186|+|2668267:2668357", "2841813427|Ga0349638_01|IG|IG_002187|+|2669462:2669643", "2841813427|Ga0349638_01|IG|IG_002188|+|2669779:2670039", "2841813427|Ga0349638_01|IG|IG_002189|+|2673180:2673197", "2841813427|Ga0349638_01|IG|IG_002190|+|2673660:2673700", "2841813427|Ga0349638_01|IG|IG_002191|+|2673938:2674200", "2841813427|Ga0349638_01|IG|IG_002192|+|2674747:2674979", "2841813427|Ga0349638_01|IG|IG_002193|+|2675526:2675712", "2841813427|Ga0349638_01|IG|IG_002194|+|2676610:2676620", "2841813427|Ga0349638_01|IG|IG_002195|+|2677512:2677758", "2841813427|Ga0349638_01|IG|IG_002196|+|2679016:2679016", "2841813427|Ga0349638_01|IG|IG_002197|+|2683337:2683562", "2841813427|Ga0349638_01|IG|IG_002198|+|2683878:2683949", "2841813427|Ga0349638_01|IG|IG_002199|+|2685327:2685351", "2841813427|Ga0349638_01|IG|IG_002200|+|2685745:2685924", "2841813427|Ga0349638_01|IG|IG_002201|+|2686900:2687698", "2841813427|Ga0349638_01|IG|IG_002202|+|2688743:2688979", "2841813427|Ga0349638_01|IG|IG_002203|+|2691776:2691797", "2841813427|Ga0349638_01|IG|IG_002204|+|2693007:2693007", "2841813427|Ga0349638_01|IG|IG_002205|+|2694523:2694532", "2841813427|Ga0349638_01|IG|IG_002206|+|2695673:2695809", "2841813427|Ga0349638_01|IG|IG_002207|+|2696176:2697062", "2841813427|Ga0349638_01|IG|IG_002208|+|2697234:2697856", "2841813427|Ga0349638_01|IG|IG_002209|+|2699306:2699527", "2841813427|Ga0349638_01|IG|IG_002210|+|2701016:2701047", "2841813427|Ga0349638_01|IG|IG_002211|+|2701243:2701759", "2841813427|Ga0349638_01|IG|IG_002212|+|2702558:2702679", "2841813427|Ga0349638_01|IG|IG_002213|+|2703838:2703899", "2841813427|Ga0349638_01|IG|IG_002214|+|2704200:2704217", "2841813427|Ga0349638_01|IG|IG_002215|+|2704644:2704652", "2841813427|Ga0349638_01|IG|IG_002216|+|2704926:2705209", "2841813427|Ga0349638_01|IG|IG_002217|+|2705369:2705670", "2841813427|Ga0349638_01|IG|IG_002218|+|2707003:2707096", "2841813427|Ga0349638_01|IG|IG_002219|+|2707658:2707775", "2841813427|Ga0349638_01|IG|IG_002220|+|2708985:2709142", "2841813427|Ga0349638_01|IG|IG_002221|+|2709569:2709689", "2841813427|Ga0349638_01|IG|IG_002222|+|2710467:2710837", "2841813427|Ga0349638_01|IG|IG_002223|+|2713049:2713330", "2841813427|Ga0349638_01|IG|IG_002224|+|2714501:2714505", "2841813427|Ga0349638_01|IG|IG_002225|+|2716891:2716988", "2841813427|Ga0349638_01|IG|IG_002226|+|2717976:2717993", "2841813427|Ga0349638_01|IG|IG_002227|+|2718972:2719378", "2841813427|Ga0349638_01|IG|IG_002228|+|2720201:2720204", "2841813427|Ga0349638_01|IG|IG_002229|+|2721558:2721609", "2841813427|Ga0349638_01|IG|IG_002230|+|2723731:2723785", "2841813427|Ga0349638_01|IG|IG_002231|+|2724977:2725132", "2841813427|Ga0349638_01|IG|IG_002232|+|2725727:2725868", "2841813427|Ga0349638_01|IG|IG_002233|+|2726358:2726360", "2841813427|Ga0349638_01|IG|IG_002234|+|2726841:2727164", "2841813427|Ga0349638_01|IG|IG_002235|+|2727732:2727844", "2841813427|Ga0349638_01|IG|IG_002236|+|2728292:2728355", "2841813427|Ga0349638_01|IG|IG_002237|+|2729091:2729144", "2841813427|Ga0349638_01|IG|IG_002238|+|2729283:2729471", "2841813427|Ga0349638_01|IG|IG_002239|+|2731023:2731096", "2841813427|Ga0349638_01|IG|IG_002240|+|2731667:2731752", "2841813427|Ga0349638_01|IG|IG_002241|+|2732527:2732686", "2841813427|Ga0349638_01|IG|IG_002242|+|2733266:2733313", "2841813427|Ga0349638_01|IG|IG_002243|+|2733728:2733950", "2841813427|Ga0349638_01|IG|IG_002244|+|2734644:2734718", "2841813427|Ga0349638_01|IG|IG_002245|+|2735295:2735332", "2841813427|Ga0349638_01|IG|IG_002246|+|2735810:2735835", "2841813427|Ga0349638_01|IG|IG_002247|+|2736993:2737192", "2841813427|Ga0349638_01|IG|IG_002248|+|2737502:2737722", "2841813427|Ga0349638_01|IG|IG_002249|+|2738092:2738101", "2841813427|Ga0349638_01|IG|IG_002250|+|2738525:2738527", "2841813427|Ga0349638_01|IG|IG_002251|+|2738963:2739250", "2841813427|Ga0349638_01|IG|IG_002252|+|2739914:2739940", "2841813427|Ga0349638_01|IG|IG_002253|+|2740892:2740953", "2841813427|Ga0349638_01|IG|IG_002254|+|2741962:2741993", "2841813427|Ga0349638_01|IG|IG_002255|+|2743578:2743690", "2841813427|Ga0349638_01|IG|IG_002256|+|2744738:2744826", "2841813427|Ga0349638_01|IG|IG_002257|+|2745271:2745339", "2841813427|Ga0349638_01|IG|IG_002258|+|2745916:2746131", "2841813427|Ga0349638_01|IG|IG_002259|+|2747164:2747332", "2841813427|Ga0349638_01|IG|IG_002260|+|2747444:2747473", "2841813427|Ga0349638_01|IG|IG_002261|+|2748011:2748014", "2841813427|Ga0349638_01|IG|IG_002262|+|2749350:2749405", "2841813427|Ga0349638_01|IG|IG_002263|+|2749991:2750101", "2841813427|Ga0349638_01|IG|IG_002264|+|2751416:2751471", "2841813427|Ga0349638_01|IG|IG_002265|+|2754336:2754412", "2841813427|Ga0349638_01|IG|IG_002266|+|2754788:2754882", "2841813427|Ga0349638_01|IG|IG_002267|+|2755426:2755492", "2841813427|Ga0349638_01|IG|IG_002268|+|2756765:2756826", "2841813427|Ga0349638_01|IG|IG_002269|+|2757433:2757471", "2841813427|Ga0349638_01|IG|IG_002270|+|2757859:2758035", "2841813427|Ga0349638_01|IG|IG_002271|+|2759674:2759674", "2841813427|Ga0349638_01|IG|IG_002272|+|2760947:2761163", "2841813427|Ga0349638_01|IG|IG_002273|+|2762286:2762473", "2841813427|Ga0349638_01|IG|IG_002274|+|2763380:2763600", "2841813427|Ga0349638_01|IG|IG_002275|+|2764624:2764723", "2841813427|Ga0349638_01|IG|IG_002276|+|2765519:2765611", "2841813427|Ga0349638_01|IG|IG_002277|+|2767850:2767925", "2841813427|Ga0349638_01|IG|IG_002278|+|2768844:2768940", "2841813427|Ga0349638_01|IG|IG_002279|+|2770117:2770150", "2841813427|Ga0349638_01|IG|IG_002280|+|2771252:2771267", "2841813427|Ga0349638_01|IG|IG_002281|+|2772252:2772338", "2841813427|Ga0349638_01|IG|IG_002282|+|2773314:2773392", "2841813427|Ga0349638_01|IG|IG_002283|+|2774406:2774454", "2841813427|Ga0349638_01|IG|IG_002284|+|2774611:2774827", "2841813427|Ga0349638_01|IG|IG_002285|+|2776250:2776406", "2841813427|Ga0349638_01|IG|IG_002286|+|2776869:2777025", "2841813427|Ga0349638_01|IG|IG_002287|+|2778937:2778941", "2841813427|Ga0349638_01|IG|IG_002288|+|2780364:2780411", "2841813427|Ga0349638_01|IG|IG_002289|+|2781666:2781710", "2841813427|Ga0349638_01|IG|IG_002290|+|2782752:2782863", "2841813427|Ga0349638_01|IG|IG_002291|+|2785672:2785729", "2841813427|Ga0349638_01|IG|IG_002292|+|2786969:2787054", "2841813427|Ga0349638_01|IG|IG_002293|+|2787442:2787496", "2841813427|Ga0349638_01|IG|IG_002294|+|2788499:2788514", "2841813427|Ga0349638_01|IG|IG_002295|+|2788977:2789100", "2841813427|Ga0349638_01|IG|IG_002296|+|2789656:2789769", "2841813427|Ga0349638_01|IG|IG_002297|+|2791602:2791709", "2841813427|Ga0349638_01|IG|IG_002298|+|2792295:2792388", "2841813427|Ga0349638_01|IG|IG_002299|+|2792794:2792877", "2841813427|Ga0349638_01|IG|IG_002300|+|2794084:2794150", "2841813427|Ga0349638_01|IG|IG_002301|+|2795579:2795625", "2841813427|Ga0349638_01|IG|IG_002302|+|2796643:2796665", "2841813427|Ga0349638_01|IG|IG_002303|+|2800359:2800443", "2841813427|Ga0349638_01|IG|IG_002304|+|2801419:2801488", "2841813427|Ga0349638_01|IG|IG_002305|+|2802212:2802250", "2841813427|Ga0349638_01|IG|IG_002306|+|2803748:2804045", "2841813427|Ga0349638_01|IG|IG_002307|+|2806812:2806821", "2841813427|Ga0349638_01|IG|IG_002308|+|2808424:2808439", "2841813427|Ga0349638_01|IG|IG_002309|+|2809454:2809538", "2841813427|Ga0349638_01|IG|IG_002310|+|2811486:2811543", "2841813427|Ga0349638_01|IG|IG_002311|+|2813707:2813873", "2841813427|Ga0349638_01|IG|IG_002312|+|2814678:2814914", "2841813427|Ga0349638_01|IG|IG_002313|+|2815644:2815750", "2841813427|Ga0349638_01|IG|IG_002314|+|2816303:2816576", "2841813427|Ga0349638_01|IG|IG_002315|+|2817564:2817641", "2841813427|Ga0349638_01|IG|IG_002316|+|2818206:2818215", "2841813427|Ga0349638_01|IG|IG_002317|+|2819092:2819295", "2841813427|Ga0349638_01|IG|IG_002318|+|2820811:2820934", "2841813427|Ga0349638_01|IG|IG_002319|+|2822183:2822308", "2841813427|Ga0349638_01|IG|IG_002320|+|2823839:2823983", "2841813427|Ga0349638_01|IG|IG_002321|+|2825634:2825654", "2841813427|Ga0349638_01|IG|IG_002322|+|2827002:2827273", "2841813427|Ga0349638_01|IG|IG_002323|+|2828093:2828133", "2841813427|Ga0349638_01|IG|IG_002324|+|2828968:2829022", "2841813427|Ga0349638_01|IG|IG_002325|+|2829683:2829687", "2841813427|Ga0349638_01|IG|IG_002326|+|2830852:2830987", "2841813427|Ga0349638_01|IG|IG_002327|+|2831486:2831516", "2841813427|Ga0349638_01|IG|IG_002328|+|2832240:2832301", "2841813427|Ga0349638_01|IG|IG_002329|+|2832740:2832843", "2841813427|Ga0349638_01|IG|IG_002330|+|2833378:2833744", "2841813427|Ga0349638_01|IG|IG_002331|+|2836283:2836442", "2841813427|Ga0349638_01|IG|IG_002332|+|2837136:2837158", "2841813427|Ga0349638_01|IG|IG_002333|+|2839244:2839339", "2841813427|Ga0349638_01|IG|IG_002334|+|2839499:2839617", "2841813427|Ga0349638_01|IG|IG_002335|+|2840743:2840759", "2841813427|Ga0349638_01|IG|IG_002336|+|2841777:2841936", "2841813427|Ga0349638_01|IG|IG_002337|+|2842939:2843018", "2841813427|Ga0349638_01|IG|IG_002338|+|2844606:2844685", "2841813427|Ga0349638_01|IG|IG_002339|+|2845346:2845624", "2841813427|Ga0349638_01|IG|IG_002340|+|2849489:2849720", "2841813427|Ga0349638_01|IG|IG_002341|+|2850399:2850828", "2841813427|Ga0349638_01|IG|IG_002342|+|2851507:2851596", "2841813427|Ga0349638_01|IG|IG_002343|+|2853340:2853494", "2841813427|Ga0349638_01|IG|IG_002344|+|2854107:2854258", "2841813427|Ga0349638_01|IG|IG_002345|+|2855348:2855634", "2841813427|Ga0349638_01|IG|IG_002346|+|2855941:2855955", "2841813427|Ga0349638_01|IG|IG_002347|+|2857036:2857044", "2841813427|Ga0349638_01|IG|IG_002348|+|2857969:2857976", "2841813427|Ga0349638_01|IG|IG_002349|+|2859205:2859826", "2841813427|Ga0349638_01|IG|IG_002350|+|2860760:2860785", "2841813427|Ga0349638_01|IG|IG_002351|+|2861683:2861724", "2841813427|Ga0349638_01|IG|IG_002352|+|2862712:2862826", "2841813427|Ga0349638_01|IG|IG_002353|+|2864069:2864163", "2841813427|Ga0349638_01|IG|IG_002354|+|2864722:2864830", "2841813427|Ga0349638_01|IG|IG_002355|+|2865437:2865489", "2841813427|Ga0349638_01|IG|IG_002356|+|2866015:2866015", "2841813427|Ga0349638_01|IG|IG_002357|+|2866388:2866391", "2841813427|Ga0349638_01|IG|IG_002358|+|2866989:2867023", "2841813427|Ga0349638_01|IG|IG_002359|+|2867642:2867663", "2841813427|Ga0349638_01|IG|IG_002360|+|2867946:2867950", "2841813427|Ga0349638_01|IG|IG_002361|+|2868809:2868821", "2841813427|Ga0349638_01|IG|IG_002362|+|2869479:2869528", "2841813427|Ga0349638_01|IG|IG_002363|+|2869688:2869768", "2841813427|Ga0349638_01|IG|IG_002364|+|2869883:2869914", "2841813427|Ga0349638_01|IG|IG_002365|+|2870614:2870638", "2841813427|Ga0349638_01|IG|IG_002366|+|2871713:2871756", "2841813427|Ga0349638_01|IG|IG_002367|+|2872480:2872554", "2841813427|Ga0349638_01|IG|IG_002368|+|2874423:2874506", "2841813427|Ga0349638_01|IG|IG_002369|+|2875581:2875658", "2841813427|Ga0349638_01|IG|IG_002370|+|2876082:2876271", "2841813427|Ga0349638_01|IG|IG_002371|+|2876887:2876951", "2841813427|Ga0349638_01|IG|IG_002372|+|2877792:2877794", "2841813427|Ga0349638_01|IG|IG_002373|+|2878554:2878562", "2841813427|Ga0349638_01|IG|IG_002374|+|2879181:2879329", "2841813427|Ga0349638_01|IG|IG_002375|+|2882204:2882458", "2841813427|Ga0349638_01|IG|IG_002376|+|2882927:2883148", "2841813427|Ga0349638_01|IG|IG_002377|+|2883500:2883602", "2841813427|Ga0349638_01|IG|IG_002378|+|2884680:2884720", "2841813427|Ga0349638_01|IG|IG_002379|+|2885231:2885344", "2841813427|Ga0349638_01|IG|IG_002380|+|2886518:2886559", "2841813427|Ga0349638_01|IG|IG_002381|+|2889713:2889715", "2841813427|Ga0349638_01|IG|IG_002382|+|2891126:2891152", "2841813427|Ga0349638_01|IG|IG_002383|+|2891555:2891555", "2841813427|Ga0349638_01|IG|IG_002384|+|2892510:2892758", "2841813427|Ga0349638_01|IG|IG_002385|+|2893197:2893237", "2841813427|Ga0349638_01|IG|IG_002386|+|2894474:2894490", "2841813427|Ga0349638_01|IG|IG_002387|+|2894731:2894819", "2841813427|Ga0349638_01|IG|IG_002388|+|2896101:2896190", "2841813427|Ga0349638_01|IG|IG_002389|+|2897040:2897129", "2841813427|Ga0349638_01|IG|IG_002390|+|2898201:2898203", "2841813427|Ga0349638_01|IG|IG_002391|+|2899962:2900115", "2841813427|Ga0349638_01|IG|IG_002392|+|2900482:2900544", "2841813427|Ga0349638_01|IG|IG_002393|+|2902462:2902787", "2841813427|Ga0349638_01|IG|IG_002394|+|2903685:2903757" ]
[ "MNTKKIETVIAPQGTHFVGDGFRVHNFIPSVSGLSMQRMSPFIMLDYNSKFVFPPSEHLKGVGVHPHKGFETVTIAYKGRVAHHDSSGGGGVIGEGDVQWMTAASGVLHKEYHEESFNRTGGEFQMVQLWVNLPAKDKKADPKYQAITNADMTKVDLPDHSGSIEIIAGNYNEHKGPAFTFTPVNLMNAKLNAGGKANFSFPANYNTAALVIEGNVKVNGVDVPTDNFVLFENNGEEFTVEATEDAIVLIMSGEPINEPIFAHGPFVMNTREEIIQAFDDFNRGKFGTLQD", "MKTLEMLLVTNQQTDFNQFSNWKITSAENIEAAIEKIQSIDFDLIAVEKNFDQNLTAKLQKIANLQQSDVPVFPFSSVADIIEKSNLVTEELKIQKQQNYSFTDNMFESHPLYCNN", "MKRYSRLSLFLFVIIAVVSVKGQQKYCDSLRGEIPRFCKLIEDEAIEEFPKDYSRIGKCIEVDPTIPVLLNFNMMKLKALELQKREGRLFTYGDWIVIIEKYKKLPEYNDVKGVMALLDHKINITDWNKIEKVIRKYVLPVYLEKLNLDVVKKKLFSPENREKSFSDVLGQLK", "MYKTLFTSALLSVSSVLLSQSFNKEKLDTYFSTLEKNNKFSGSVAITQDNKLIYTRSVGYSDIENKILNSDKTKYRIGSISKTFTAVLILKNFEEGKLKPDDKLSLFFPQIKNADQITISQLLQHRSGIHNITDDSSYMDYYQEPQSEAKLVDIITKAGSDFQPDSKYSYSNSGYILLTYILEKVNKKPYAELLKEKITKPLGLNSTYVGKKINSQNNEAYSYSPGNKKSAETDMSIPIGAGAVVSNPTDIVKFSNALFNGKLLNKESLEKMITVRDGYGYGLFTTQFNDLKGFGHSGGIDDFSSLFVYYNVGNVSFALDSNVSDGYGNNLIAKALLSAVYNKPYDIPEFKTYLADVNDFAKYIGTYASPTFPLKIAITTDNTSLKAQATGQSEFTLTPTDKNKFEFSQAGIKMEFYPDKKQFRLLQNGLDILFTKE", "MNPEEHYIDVNKESWNNRVDTHFDSEFYDVEGFLKGNTSLNSIELALLGDITGKKVLHLQCHFGQDSISLSRMGAEVTGIDLSDKAIEKAKELALKAGTDTRFVCSDVYNLPNVLNEKFDIVFTSYGTIGWLPDLDKWAAVISHFLKPGGQFVFAEFHPVVWMFDDDFKGIGYNYFKSDAIVETNTGTYAEKDADMVLHTVSWNHGLSEVFTSLINQKMQITAFNEFDYSPYNCFRHTEEFEPKKFRIKHLGNNIPMVYSLLAQKAE", "MVSYFAGLFYTVRLFVYYKDTDAFDNPKKDILREQYSFMASRLWNIITVPGGTLMLIFGITMICMNPALLKMPWFHLKLTALVGLAIYHYWCWKKVIQLKNLNKATLPSQNLALRQANEIATFILFFVVFTVILKYALLEYWWQLALGFVGLVIFITLVVKLVNRKRK", "MPIITLTSDYGTLDYRVAAIKGSIYNELATINIVDITHQIQAYNLQQTAYIIRSSYKYYPKGTIHIICVDSFYHKDRKNIIAKVDDHYFICADNGLLSLMFFDINPDDIYEITLGSRFDDQVNFTSTDIFVPVAAHLAKGGIPEVVGKKTDEIKENSFPRAILNEAEGIIVGEIMYIDNFGNAISNISKNFFNNTLKSYDSFELKFRNFVMSKVNNHYTDVVDDWKNEPQYHGSVSAIFNESDLLEVAIYKGSSMNGASSLLGLSVGERIFIEFS", "MYELNYELKDIDTKQFYGVQNQYFNLIKSSFPTLKITGRDNFIFAMGNNEALKVLQEKLDDIVSFISNNNSIELKDIESILKIKDENEKQLVFDSDILLKGVGGKIIKAKTTNLKKLVKVSEKKDMVFAIGPAGTGKTYTSVALAVRALRDKEVKRIILTRPAVEAGESLGFLPGDMKEKLDPYMQPLYDALRDMIPFEKLEGYIEKKVIEIAPLAFMRGRTLDDAFVILDEAQNTTHSQMKMFLTRMGMNAKFIITGDPSQIDLPKNQQSGLKEAMFILKNIEEIGFIHLTDEDVVRHPVVRKIIQAYSSEEGRMQEK", "MKKSLLLGAFALLGFAASAQTTGQLKIGANIGIPVGDISNTTSFTMGLDAAYQWRLAENFDLGIATGYHHYFTKSKFKDIGGKDYGFIPIAASAQYSIDPKFFIGADLGYGISTNSDMTKGGFYYQPKVGYQQPDWELYLGYKGISVDGGNVGSVNLGFNFKFR", "MKKTLLLGAFALLGFAASAQTTGQFKIGANVGIPVGDASDVTSFTMGVDAAYQWRLAENFDLGIATGYQHFFAKSEIKDWGGADAGYVPLAASAQYSIDPKFFIGADLGYGFLTGKYASGGGFYYQPKVGYQQSNWELYLGYKGVSKDSNTLGSVNLGFNFKLK", "MKKILLSAILLSSIIACREESLSNRDAQLQAQQNLLDVKSVYKQEFSIALAKALASNADLRDFIKKEALKKFDNDYDILYQMVKNQMIGGKAFKDIIAEQYDKKENLEALERLYPTLTIFVPELPMDSFSAEKWNAKTEIPLVAYTLNTTNDTPVVNEKGEEYFLEAKYTPSFPIVVVKENERVKISTNNSIRNNAIASNSPAVIQNNVLKGSSYNFEFLDDAFNGNKSNKILKSVSKTQSGAIINSVESFDSKIQQAYEIYKNNDGWQRDFIYYDITPGQQRGQFKYDFKEHLTSFRMNKGSDVSIISGATGDPVNNPNYIEGNGFTTDMPRSSWSGGQFEFRVNVLVNASNGIGSSIVKYFSATPDELFNTEYELAKYVKKFGIEMKFFSLKKIEPRTIPLNIPLFSWDLNQYASSIKISIEEVDFTEEITTTDTRDVKYATNFGIDTDGLIKKIGIKFGASLERNQSSTVTHKITKSSNQLGDVIIAFGEKIITDLPSRVREDYRSDYYTITVEPRRVQ", "MKKNLLIGAFALLGFVANAQTKGNFKLGTHIGVPTGNLADVASFNVGIDMAYLFNIDTNFKAGFTTGYSHYFVKDYTIEYSGGKFTLQPEDIAIIPVAATAKYNFEPNFFIGADLGYAFFVVGGEGTTGAFYFQPKLGYQLKNNELYISYKGMSKEGTTIGSVNLGYAYTFK", "MKKNLLIGAFALLGFAASAQTEKGSWVVGGSTSIGFNNVSTKVKSGNTTFDGPKVNTFTIAPSAGYFVIDKLSVGLDLAYTNATTKYDGAKTTSNTFAILPTATYYFTDNTVIKPYLGAGIGYASNTEKEEYRGKSNEYTVDGFAWKVKGGFAYFFTPSIAADLGLSYSQFSNKDNGVRTNVNTFGVGVGLSVFFK", "MAHQDNIDIKKEIFVKNAHLNNLKHIDVSIPKNKLTVITGVSGSGKSSLAFDTIYAEGQRRYVESLSSYARQFLGKLEKPKIDDIKGLAPSIAIQQKVISSNPRSTVGTTTEIYDYLKLLFARVGRTYSPVSGEEVRKDSVTDVIDFVKAQKKAPTLILRAPWHYESENFAEQLKTLKLQGFTRLEIGGNVASIEDLESFGFVPEAGTEIFLVIDRFKYEDDETFLQRLADSIQMAFYEGKGYCSIKNADNGKIREFSNKFELDDIVFNEPNIHFFSFNNPYGACPTCEGYGKIIGIDEDLVVPNKNLSVYEDAVAPWRGETMKEWKAAFIKKVAKDFPIHKPYFQLTKEQRQFLWRGDKSANFPGVDNFFKMLEENLYKIQYRVMLSRYRGKTTCPTCEGLRLREESSWVKIDGHNIQSMVELPLDELLPLIQSLNLNEHDAAIAKRLVYEIVSRLEFLVKVGLGYLTLNRNSNTLSGGESQRINLATSLGSSLVGSIYILDEPSIGLHSRDTENLIEVLKNLRDLGNTVIVVEHDEDVMRAADHIIDIGPEAGYLGGEIVFSGDFEEIKKANTLTSDYLNGVEEIAVPKHRRKPKEFIHIKGARENNLKNVDVDIPLESLVVVTGVSGSGKSTLMKDVLAQAVQIELELGGKKADFDSITFPKKLIQNIEMIDQNPIGKSSRSNPVTYLKAYDDIRDLFAKQKMSKHMGLKAKHFSFNVDGGRCDECKGEGVITVSMQFMADIELQCETCHGTRFKDEILDVKFDEKNISDILNLTVNEALDFFRDNHQDKIVQKLKPLQDVGLGYLQLGQSSSTLSGGEAQRVKLASFLVKGTSHDKTLFIFDEPSTGLHFHDINKLMISLQALVNLGHSVIVIEHQPDIIKCADYIIDIGPEAGKYGGEIVFAGTPEELIKNKTSHTARFIKEKLK", "MKKLLLSAIVLIPAAGIMAQEKAIDEVVIDGKFLSLPYKKVSENIEIITKEQIEKAPAQSIEDLLAYYTGVDVRRRGMADTQADVSIRGSSFEQVLMLVNGIRMSDAQTGHNMMNVPFSLASVERIEIIKGPAARRFGQNAYAGVINIITKASGKDEYRVSATGGDYKTWSLGAAADFGNEKFGNFLQVNNTESAGYRYNTDYKIKNFWYQNQMKIKDGSIKFQAGFVEKKFGANGFYSSPAAKDQYEETQTSLVSAIYNQKFGNWGVNANLYWRRAQDMYLFVRNKPEIYRNMHIGNNYGGELNLSYKSSLGVTGIGAEMHQEDLRSNNLGARGRFVTQILAEHHFSFINNRLSIIPGASWVNYTGTGNFFYPGVDVGFDIDKQNKIYGNVAKVHRVPTYTDLYYQSKTEQGNADLKPENALSYEIGYRFSRQKFLFKTSVFGRDSKNAIDWTRANANSIWVAENISDVKTKGLEVEASQGFNSFIKNISIGYTYLDSKAKNNDKGENSRYALENLKHQFNAKLTLGYWKFTNELIYQYNQRVTLGSYNLLDEKLTFSTKDVDIFLLINNITNAKYTGASLVPMPGRWFQLGFNFKGKF", "MKKHFVFMLLTLAVIGKAQNKEHISSFNMLSITYKFSKKWMAYTEFQTRSIEDYSYIDYYEMKGGVGYNINRDNQAFVGIGRYGTYKEQKISQEELRLWLQYTYSHNIGRVNIDHRGRAEQRFFHMSQTGENTTDNRFRYRLSATMPINNPKMQPNTFFVNAFEELFVGPKSDFLKRNRLFTGFGYKFSKSVSTSMGYMWQREFSPNGNKNLHFLYMALNFTIDHSDDDHARVIIPVAD", "MKFIVASGELQKALQTVSGVISGSQSRPILENFLFELENDNLKITASDGETTLITSIPVKSENNGRMAVPAKMFLDVIKSFGDQPLTFVEKESENGIGSLLEILDEKDNYFVALDNAEDYPELPEFDASKSVKIQAGILSEALVNTLFATSNDSLRPVMTGVLFQFNENEANFVSTDSHRLVVYNRKDVMNVDNIEFIMPKKPLAIIKNILSNTDDEVLIEFNENMAKFSFQDNIWICRLIDGKYPNYTAVIPKENPNVLTINRNLLLSSIRRASIFSNKSTNQVRFKLSGNLLHLHAEDTEYANKADMQIPCEYNGEDINIGFSSKFLTEMLSVLGSDDITMKMSQPNRPGIIEPVDGLEENESILMLSMPVIGL", "MKISDKWLRTHLETDLSAEKLGIILTDLGLEVEGIDPYESVKGSLNGVVVGKVLTCEQHPNADKLKVTTVDINTGTPLHIVCGAPNVAAEQKVAVATIGTTIHLSNGDSFKIAKSKLRGEVSEGMLCAEDELGLGESHAGIMVLPEDYEIGKPLSDYIKVEQDEVYEIGLTPNRTDAMSHYGVARDLQAYLSLNKLKSTFNKLQAPVINGEGSHNFKLEVEDTVLTPRYLGAVIEDIKVEESPEWLKNRLKAIGLGPINNVVDITNYILHSYGQPLHAFDAAKINGSVVKVGVAPEGTKFKTLDGTERTLNGTEIMIKDGDNTPMCIAGVFGGENSGVSEGTTSVFLESAYFNPVAVRKAAKAHGLNTDASFRFERGVDPNITKDALLKAIEMIQEIAGGKLKGEILESFPKKVDYFPVILRYSKVDQILGIKIHRETIKEILKSLDILVLNEIKDGLEISVPPYRADVTREIDVIEEILRVYGYNKVSSQDKIAFTPVRLTLDDQDSLENFWARMLQSNGFNEVMNNSLTSLKEEKADAVTLLNPLSNELSIMRQSLLEGLLQNADYNIKRKNQDIKFFELGKIYFKKEKFEERKQLAILVSGNNNPENWMLSKSPTDFFILKGYVQLLLDKLGLNTTEKALEDARFSDALEIVAEGKTIARIGIVSKALLKEADLSQPAYYAEIELEACQALRSTANFKFVDIPKFNKIRRDLALLVDKTVSYNDLLQASNGISANLKKIQLFDVYEGKNLPEGKKSYALSFELLNTEKTLEEAEIANIMNKLIKKYQKEFNAELRS", "MKKLFYIASIGILAAVSSCTSTANLGNVSKIGSQQPTLSNTRWEVMDNLQTNAKPYISFDPEKGMSGNAGCNKIFSQDIIIQSKQGDFSIKQIGSTRMACPSMDMKIESNFIKILESADKYVVVKNTLELYKGNMLLMKFQKAN", "MKLPKFLLADNSDFPEDLFVVHTEYPRFILNVEEEEVEWLDDLEGDDEEQVAEEATKVVEEAFKWCDAELEKYDDEDEA", "MNKNIVVIGAGTMGNGIAHTFAQTGFKVNLVDVSQEALDRGIKTITTNLDRIIAKGNLTEEEKANTLANISTFTALEDAVKDADLIVEAATENIDLKLKIFQQMDAAAPADCILATNTSSISITKIASVTSRPEKVIGMHFMNPVPIMKLVEIIKGYSTSKETFSAIYEMSKTLGKVPVEVNDYPGFVANRILMPMINEAIYSLYEGVAGVEEIDTVMKLGMAHPMGPLQLADFIGLDVCLSIMNVLYDGFKNPKYAPCPLLVNMVTAKKLGVKSGEGFYDYSESKKAEKISKQFAK", "MKRISIIFLFFSLFVFSQHSKPELLEKINTITKGKKATVAVSVLGIENDFQFSNANGNLKMPMLSVFKFHIALAVLNQVDKGNLTLDQKILIKKSDLLENTWSPLREKYPDGNVELPLSEIITYTVAQSDNNGCDILLRLIGGTKTVQKLMDVNGIKNFQIKYNEEEMHKNDVKTLYANYTTTASMVKTLKAFYKGMFLSKRSTIFLMDIMTKTNTGMSKLPGLLPKVRMARKTGSSGKMKNGLTIAENDSGIVTLANGKHYAIAVFVKDSMESEEVNCGMIAQVSKIVWDALNKKINPNNKTERFVNSLIKK", "MRFLIFVLLLLLTLVQCSKGNEEKDEYEIINLILKKHVNTYSVRIFPKKGYSDEKIRKHFNDSLLHTGKLTYYLYSSIDKLDTTSSRRTIIKDKKIDLSKIQSLEINRIDNIPCKDRMMDSCDPYFTAAYYFSEIKFKGNKALVMLNFQCGGRCGKGLLIKLLKENNQWKIVKEDMVWIS", "MLKAGLVGAGHLGKIHLRLLNQSEKYELVGFYDSDAENGKKLEQEFGYKYYNDLDQLLSEIQVLDIVTPTLFHYEYAKKAIEKGIHFFIEKPVTQTLEQAEELIRLCEEKNIKVQVGHVERYNPAYIATKNYLSNPQFIEIHRLAEFNPRGTDVSVVLDLMIHDLDILLSIVKSPVKHLHASGVSVVSKTPDITNARIEFENGCVANLTTSRISMKAMRKSRFFQKDAYISIDFLEKKAEVIRMQAAPETPSDFDMIIENAEGEKNQIVFEYPNIQPNNAILDELESFAQAIEENTPIEVSLNDGTEALKVALKIMELIK", "MRDSFVHKGKRRHLVNYLMSKGISDQNVLQAMNKVPRHLFLESIFEDYAYEDRAFPIAADQTISHPSTVAEQTQLLRVKEQEKVLEIGTGSGYQTAVLIEMKAFVYTIERQKDLYDFSERKLREIGLRPKFQSFGDGFAGLPTFAPFDKILVTCGASVLPVKLLKQLKVGGLMVIPLGEGDEQILTRFRKVGETSFEKEEFGLYKFVPMLNNTNK", "MNLEEKIQNSETHVFKVVFPKITNHHNTMFGGTVMEMMDEVAFMTATRFSRKRIVTVSSDKIDFKKPIPSGTLVELIGSIKHVGNTSVKVHVDIFTEKMYQEGRDLAVSGEFTLVSVDENGKPVSIK", "MKKVLLGIVALAIIVAACNSKKEKSDAETLTKNDSVVVDQKDSTAVNTKIEVDNDGSLYISSDEQYHFRIISKQEDSKPAKILLRNDISGRIYDMERVISASGEKYQDVDGNYFWLKGDNFSFGKADKVVAEGSIAGKPKEEH", "MTFKEQIQQGIPAILPNPKAYDTTVSHAPKRKEILSEDEKKLALKNALRYFDAQHHAALIPEFKEELEKYGRIYMHRLRPDYEMFARPIEDYPGNSQQAKAIQLMIQNNLDKAVAQHPHELITYGGNGAVFQNWAQYLLTMKYLSEMTDEQTLVMYSGHPMGLFPSHKNAPRVVVTNGMMIPNYSKPDDWEKFNALGVTQYGQMTAGSYMYIGPQGIVHGTTITVLNGSRKIDDQGTAGKLFVTAGLGGMSGAQPKAGNIAGVVSVTAEVNPAATYKRHEQGWVDEVISDLDELVARVKKAKENKEVVSIAYDGNVVDVWEKFDQENVYVDLGSDQTSLHNPWAGGYYPAGISFEEANRMMAEEPELFKEKVQETLRRHAAAVNKHTAKGTYFFDYGNAFLLEASRAGADVMAANGIDFKYPSYVQDIMGPMCFDYGFGPFRWVCTSGKPKDLQKTDDIACKVLEEIMKNSPKEIQQQMQDNITWIKGAQENKLVVGSQARILYADAEGRTKIAKAFNDAIANGEIGPVVLGRDHHDVSGTDSPFRETSNIYDGSRFTADMAIHNVIGDSFRGATWVSIHNGGGVGWGEVINGGFGMLLDGTSDAEQRLKSMLHWDVNNGIARRSWARNEEAIFAIKRAMEEEPLLKVTLPNIVDESLL", "MKHYIYLFSAIIFEAVATSTLKSSEQFTKLIPSIITIVGYAGAFYFLSLSLKQIPVGIAYALWSAIGIVLIAVVGALVYKQIPDLPAIIGFIFIITGVVIINLFSKMSSH", "MKKTIFASAFLGLSLMSVSLMAQTTYKVDLAPFPKPEKGQKQVVIEVPHSQNDGNKKIEIFVGKTMETDGCNKTFLSGEFKSSELKGWGYDYLTFTTNGSTPSTLMACPGAKPKMEFVMSGGYLTRYNGRMPIVLYIPEGYEAKYKIYEASPELYSAPEIMEKKK", "MIDWNNLFTENGQGVVYTWAAPIHLTVILGEMIYSHFNKEKLYETKDTITNVYLALLNYGLDLLMKAFAMGVMFFFYHYRLFTWEENAWYWIAVFLLQDFAYYVLHLVDHKSRVFWAVHITHHNSELFNISTGFRSSVFEPLYRYMFFSPLAFLGFNPWHIMVVYAIVQVYGTWVHTKTIKNMGILEYILVTPSHHRVHHACNIRYLDKNMGMMLIIWDKLFGTFEKEDPELPVKYGIYPKAKDRGPINVVFYEWKKLARDLTQPNLSMMDRFRYIFYSPGWRHDGTGKTVKDYQRAELKRRQRKAAEMAAKNAENEAIKKVS", "MKKVILTILISLTFLVNAQTVDDIKISDLKSEYIAVRFSPRLISPNIQIEINYGQSSDWLSSYKSSPVLDENKKKKNFNSIVSAINFLSDQGYMLITSTTEVYNQQSTNYFFFRKKEVLVKQQSSETTNKQ", "MRKLLFTIGIISSSSTLLFAQYTDIRVVKEVKVKNKGVVVSAHPLASEAGTLVMNEGGNAFDASIATQYALAVVYPQAGNIGGGGFMVATTANGKKLSLDYRETAPAKAHKDMYIDKKGNANTDLSQYGWLAVGVPGSVAGLYEMHKYAKLPMEKLIQPAIDLAEKGFAITQAEANLLNSTKKSFLSNNKNATVFVKDTDWKEGDILVQKDLAETLRRIQKEGLKGFYEGKTADLIVAEMKRGNGIITHNDLKNYKVKSRTPITFNYKGNEVVTMPLPSSGGILLAQMLTMTDFVGLKDKQINSPEAVQLMVEAERRAYADRAEYMGDPDFIQDKTAMLISTDYLKKRFANYNPNLATPSKDVGKIINPGKESTQTTHISILDKEGNAVSVTTTLNGYYGSKTVVSGAGFFLNNEMDDFSIKPGVPNMYGAVGGEANAIAPNKRMLSSMVPTIVLKDNKPYIVVGTPGGTTIPTSVFQAIVDVIDFGTNTNIAVNAPKFHHQWLPETVAVEKGFPEYTIKELEKKNYKFERRDKIGRVEMIVVDANGNYHAVADGRGDDSVSMEK", "MESHTERILITGALGQIGTELCIRLAAIYGKENIFGLGLEDEGKAVDSAAGTYVKMDVTDSASIEKFVAEHKITTVYHLASLLSGTSEKNPPLAWRINVDPLIHFLEMAKDKKINKLFWPSSIAVFGREIPKTDVGQEVPLNPSTVYGISKLAGEKWCEYYHNKYNVDVRSIRYPGLISWKAPAGGGTTDYAVEIFYKAIEDGKYTSFIKEDTAMPMLYMDDAINATLQLMDAPAEQLSVRTSYNLGGMSFTPAELAAEIKNEMPTFEISYEPDFRQAIADSWPASIDDTVAKKDWGLKYDFDITSMTKDMLENLKKKLAKA", "MILLTFNLRSFQVPTEKQGLFTMKELDEVSVKGAQSLLRILENAEIQATFFIESHFAERNPELIKSIAAKNFGIAHWYTEENTDQLAESKKILQEISGKKIFGIRYAPHCDVSADDLKKLDYVYDASFEPRDISQYLKKITRKTTQYVKDDIMYLPVSQSPMTRLPFSDFSFQFLPLRYYEGMVLETVNQDEYTLLYYYPWQFMNVKSPDYGLPFYRKYNLGDKMYHKFSEFLKWINENDFATATLKEYFF", "MIQKIFPYVFGIILILELYVYQAVKNITKNKKIRLTYWVITILLYGIIITLMLTFEKGSRDQTKVHWLAALFTMFLVPKVLIAVILLLGDIFRVAEYTLQRFTKPAKTFPERRKFLSLTALGLGAALSYSFFDGMVWGKYRYFVRNIKVKIPNLPKSFKGYKVLQISDVHSGSFSDPAKLQHAIDMINEQNADLILFTGDMVNAYAEEFVPFVKLFSTIKSKDGKLSVLGNHDYGGYGTWKSQAEHDQNIPKLIELEKQAGFDMLRNEFRIIEKNGEKLYIVGVENWGLPPFPQYGDLDKATQGIPADAAKILMSHDPTHFDEIVKKHPSNIHLTLSGHTHGMQFGLDLKNIKWSPVQYKYPKWADLYESMGKYLYVNRGFGVIGYPGRVGVKPEITVFELS", "MLKSVITGSGHYLPERVIDGSYFNDAVFYDENGNKIEKSNEEIVKKFVEITEIERRRYVTDDLLNSDIGAKAAQQAIEEAGIDPETIDYVIAASNFGEVTQNGLANFMPSVSARIKSKLGIKNRKCVNYDMIFGCPGWVEGMILANDLIQAKRAKTILVVGTETLSRVVDIYDRDRLIFADGAGAVILQAKENTEEGFITSSTICDNDAELDYLSNGCSLNPEVGPERLFIRMRGRKIYEYALKNVPDAIKDTISQAGLDIDDIDKILIHQANAKMDHAIIHRLFKLYGKEYREEIAPMTIQEFGNSSVATVPTMYDLIKKDKMQGHSFKKGGYVAMASVGAGMNINCLIYKNE", "MKKLGASAKGLIFSVLAAIVAFAIYYIYLKKENHYLVDNPTPDTYYFKVNNGEENIIASGQYVTVDLNKGQNKIQVFDKNKKMLYDSAFTVNKLRGLLNIAHKDYYVNRQYYGYNLNKDSLRAKHNIVVDGETLFTDAKKINKLYTEDFYYNINEEYDKVIKNIQKVESRTKIFRKQDFLNYYKDYYNE", "MNKNNILEHNTITPYNSEQSKKNQVEEMFDNIAPKYDLLNHVLSMKIDVTWRNKLVKWLKIDSPNRILDVATGTGDLALTIQKGTGADVVGYDLSQQMLNVGIEKVKRAGLQDKIQMIKGDAEHMPFKDNEFDAITAAFGVRNFENLEKGLAEMKRVVKTNGNVFILEFSKVEGFLGPFYMFYFKNILPNIGKLISKDSRAYTYLPDSVNAFPYGEKMKNILLDLGFSKVEYKKLTFGIATIYKATK", "MLKDLESNFVSLEKKILRLTANYKNLSEKYAELSDKYEKLRMKYEDESSKNEELQEEQRRIKLMSAISGNPEHNRLMKNHINRLVKEIDSCIAQLQNTGI", "MDFRRITINIAGRNYPLNVPAAEEETLRKVGKQIEDMIKEFEANFAVNDKQDALAMCALRLGTNAEINKLNDEKNISISNEKLIHLNNLLQNELEEL", "MTTTIIIVGILCLVIGALVGFLLSKSSLNSKARFIIEDAKKSAENLIEKANVQAESIKKEKNVQAKEKFLELKAEHDNNIQQREKKMQEAEKRIKDKENKLNDELSKVGKLEKDLTRQNQDLEKKIEQMEIRQQELAAATAQKVELLQKISGYSADEAKAELVEAMKGEAKSKAQAYVTNVMDEAKLNAKNEARKIVIQTIQRIGTEQAIENSVSVFNIESDEIKGRIIGREGRNIRALEAATGVEIIVDDTPEAILLSCFDPVRREIARLSLHRLVTDGRIHPARIEEVVNKTTKQIEEEIIEVGKRTILDLGIHGLHPELVKIVGRMKYRSSYGQNLLQHSREVANIAATMAAELGLNVKLAKRAGLLHDIGKVPEQESELPHALLGMQWAEKFGENPEVINAIGAHHDEIEMTSLLSPIIQVADAISGARPGARRQVLESYMQRLKDLEAAALSFDGVSSAFAIQAGRELRVMVESGKVNDDVAAQLSYDISEKIQNELTYPGQVKVTVIRETRAVNIAR", "MTRICFTADRFDINGNSIALPLPINELESILGEACVFTGEYNTVYTWSELGIKAYSKERKLVETVDVVFEPEDYEHSPEKVFTGELLLNGTDIKEYYINNKDKRVKLWDDDPNGAFVFNNHSLWLDIEDGVFNTVSIEAYTKGEAKTLESLPLDAGFEDLAVIWNKWIAAIKEYVDEDNAYYNLTHGITAGQMHETEVQLEVPLPGVLLNFYKVHNVRWNAVTSAFSFSVNGWSYDLLPFEKIIDEWEEIQDLNDDEVLGAEMKEGYSDNVKAVNYANPKWIPFAEGRNGDYLLIDTDPSEKGTFGQIIELQNEGWTRSVVASSLEELITQEIEIIKSEGNNRFGFIQENGKF", "MKYKSYYLMLLGLLLPLAFSGQQKDLTKAPFECDLLKAYAEPIKGLKFERCEEPKELSQLMKTAYYSVAGKDHARIEKLLREKYKMPKLVPFMGHYETEHGGSGVIRKQEGENGSLYELDVKMSGKMKSGADPKTGRYTVDSNSNLAEYTVTVSIWEL", "MNNNFTISFRKIVFFLFLTFSFNITFGQQKQYKVVAYISSDSTSLMQYDLKKVTHLIYGFAHLDNEGKLSINKKKDTVMLRTFAELKKKHPKLKTMIALGGWTGCFTCSATFSDKNKRIEFAKSTKAFIDYFKLDGIDLDWEYPAIKGPPEHLYQDQDKPNFTDLVIQLRKQLGKTKLITFAAGGFGDFFEKSIEWQKVNPYLDFVNLMSYDLVHGYSTETGHQSALYSNRSQDESIDRAVNFFRKYKFPLSKVIVGVPFYTRYFQVEDTSDNGLFKAAKFVSGKDYKNNKDTMESDGFVAYWDSTASVPYWFNAEKKLFATGDNKKSIKIKTDYIKKQRLGGIMFWELASDAPKDGLLDAIQF", "MELREKRPALILIDIQKGFLDEDYWGSNRNNKNAEEISGTILNKWRELNLPIFHIRHSSTNPDSKLHETNSGFEFNEYVLPLDNEPIITKNVNSAFIGTDLKERLDREGINILVITGITTNHCVSTTTRMAGNYGYETYVISDATAAFDRTGINGEKYDSEIIHLTALANLNDEFATVWSSEKLLNEL", "MEINRDLIREAMKEGYSFYQMNGKLVIRRAGGFKKGDLKNDPKYSKVTQNASEFGRCSRMGKLLRTALENELKNIQDTNIHRRVAKLLHDIMKHDPESQPGKKTTEKGLLNNEGLALMKGFQWNEHDTSAISFDSEKHSLEFVVFPKNAVQFSAEWKNIDTDMEQGTYQVIEQMLKIQPLDQKKKYSFKKRQEHPQNLMQFLIIHFFDKTGTEIPQSCHIEYIEAKSFMQSLF", "MDYLKGLNESQYEAVTTIQGPLMVLAGAGSGKTRVLTMRIAHLIQNGVDPFNILALTFTNKAAREMKERIAKVVGDSDAKSIWMGTFHSIFARILRMEAHYLGFPSNFTIYDSQDALNVIKKVLKEMSIDSDLYKPKKVLNRISQYKNNLITVNAYFNNPELMEADEMANMKLLGEIYRKYVETCYKSGAMDFDDLLLRTNELLTRFPEVLAKYQDRFRYILVDEYQDTNHSQYLIVKALASKFENLCVVGDDAQSIYAFRGANIYNILNFKKDYPDAVTVSLEQNYRSTQNIVNAANDVIAKNQQQFKKNVFSENEPGDKIQVYRSLSDADEANFVASQILENSMRNQRKYSDFAILYRTNSQTRAFEDALRRKNIPYKVYGGLSFYQRKEIKDLIAYLRLLVNENDQEALLRIINYPTRGIGETTQNKLIVTADQLNISMAELLNNLQMYGPQTGFNAGTLNKLSEFWNMIKAFQVMMKTETVYQVAMDVAQKSGLLKLLKDDQTPEGVSRMENIQELMNSLQGFIEEQQQLEDGDPGLSNFLENIALSTDTQDKDDDNNKVSLMTIHLSKGLEFPVVHIVGLEENLFPSFMSANTREELEEERRLFYVALTRAEKQAIFSYAVSRFQWGKITDSEPSRFLSEVDTMYLDFLNPATDTRFRNSSGLTSSLFDDAPPPRLVKKDAPKKLTPTPALTPKNLKPVASAKINNPSGGTTDHIEVGDMVRHDRFGVGEVVFLDGTDPQNIKAKVLFQHEGEKNLILKFAKLTKIS", "MKKLIVYILLVPAAFSAQQVISKDAEIVNYVSQISTDSLKSHINKLVGFGTRHTMSSVTDPKRGIGAARTWVLRKFKDYAKNTDGRMEVFLQNQIIQPDGKRIDKPTDLGNPVAILRGTNPNDKRIFMISGHLDSRVSDVMNAKDNAPGANDDGSGTAAVIESARILSKSKFPATIIFVAFSGEEQGLLGSRMMAEKAKNENWQLEALLNNDMISNNLTSETNLINAHQLRVFSEGLPQYELDKNAQKIRSFGLENDGDARQLARYIKETGERYVDNLQVKLIYRNDRFLRGGDHSPFVERGFSAVRLTEYNENFDHQHQDIRKENGKQYGDLPEFIDFDYFKKNVGVNVSVLANLAKSPSKPENVKMEVKELTNYTSLSWEKPKSGEVTGYYVLMRETDSPVWQKKFFTKETFIKLPYSKDNCFFAVQAVNSTGNESLIVIPGVK", "VSEYIHKSHNVSVLLYHFVCPAKYRRVVFSSAVDLSLKSICLEISNRYEIFFIEIGTDNDHVHFLIPSVPTESPTKIIRAVKSITAKEIFKLHPEVKQKLWGGEFWSKGYYVNTVGRHGDENTIQKYVKEQGKEKEYKKLHSQQLGLF", "MNIQIRKTFCTLALVTGTMLFSQKAKPPIMGWSSWNNFRININEQMIKEQADALVSSGLYAAGYRYINIDDGYFGGRDEKGNLLTDNKKFPSGMKNLAAYIHSKGLKAGIYSDAGKNTCGSIWDNDKQGFGVGLYGHLNQDADLFFKDWKYDFIKVDWCGGEQMKLNEQEEYTKIINKVKSIDPNIVFNVCRWQFPGEWAIKIADSWRVSGDISAKFSSILHIIDLNKNLYSYASAGHYNDMDMLQVGRGMSYDEDKTHFSMWAMLNSPLLAGNDLRTMSQATIEILTNKEIIALNQDTAFKQAQNIISDGNIEVWQKTLVKGQKAIAIMNRGDQEMSYTLSASKLGLNQNTKIRDLWLHENLGKYGDHKIFKVPQHGIIVLKTY", "MQEDKIYEYAVIRLVPKVEREEFFNIGLVMFSKKEKYIRVEFHLCPDKFALMHSKLDYEDITQNLISFQKIAKGDKNGGPIALLEIPERFRWLTAVRSAVVQTSRPHPGKSKDLDKTFDKLFEELVK", "MLDIRTVTVMRYILPLREGGSLPALAEADDDFKYVLKFRGAGHGVKMLISELLGGKITEVLGLKIPELVFVNLDADFGRSEGDEEIQDLLKASEGLNLGLHFLSGAIAYDSTIKIDPLLASKIVWLDAFTTNIDRTFKNTNLLMWHKELWIIDNGASFYFHHSWQNFDTAAKTPFKYVKDHVLLPQASMLDEANQFAKEKLNDDIFREIVNLIPEDWLYWNDADETPEEIREVYFQFLKTRLENSEIFVNEAKNARG", "MAGNKKKCDINRFIFRKQDSMYCSKLITLNRRLATISYLCFMDATQDKRLFLIDAYAMIFRGYYALIRSPRLTSKGMNTSAIFGFTNSLIELIKREKPTHLAVVFDVGGKTLRHDDFEEYKANRSETPEAIKIAVPYIHQILEAMHIPILGVEGYEADDVIGTLSYKAEKEGYNVYMVTPDKDFAQLVTDHVKIYKPGMKGGDIEILGVEEVKAKYEIQDPKQIIDFLAMMGDSVDNIPGLEGVGEKTAKKFLQDYGSIENLLANTADLKGKLKEKVEASAERGILSKKLATIMTDAPIDFHQEQYDLEAPDFEKVKEIFEELEFRRLYENMYRAFAPKGEPVQAAAEETVKKVSPQAEQLNLFSSFDELDAATSSKKDIKTNDHLYQYVDSPKALSVLVRNLLEKPALAFDTETTSLNELEAQLIGVSFSYKKGLAYYIPMPEDKQEAQVLLDIMRPVFEKEDQIKIAHNLKFDYKVLHQQGVEVKGKLFDTMIAHYLLSPDGRHGMDYLSEMYLDYIPVSIETLIGKKGKKQGTLRDVSVAEQTAYAAEDADVTFQLYELFAPQLKSENLEKLFTEVEMPLVNVLARMELAGVSLDKGWLAQESVDLESDLKKLEQEIFELSGEEFNMNSPRQLGDILFEKMQLDPKAKKTKTGQYATSEDILQKLASKHEIIKHILEYRQLQKLKSTYVDALPTQIDAFDNRVHTNFSQTTAATGRLASVNPNLQNIPIRTLRGQQIRGAFVAGQGKKLISADYSQIELRLIAEISGENNMLEAFNQGADIHASTAAKLFKISIEEVSKIQRSQAKTVNFGIIYGQGAFALAEQTGLSRSEAKAMIDAYYETYPRLREYMDEQVKKARDLGYVETILGRKRHLTDINSNNFVVRGHAERNAVNAPIQGSAADIIKLAMIRIDQQLDEKKMNTKMLLQVHDELVFESPISEIEKASKLIKTEMESAYPTKVPLVVEVGVGDNWLEAH", "VRFLIVIPTHNEEENILRCLDSLRKQSFQDFSCIVVNDGSTDNTRVLVEDFIENIRLSGVESSRFTLRNLPKSEHQPGAKVVRTFNKGLEGISLDDYDIVCKFDADIIFPENYLEKVNRVYEENSKAGMVSGLVYIEKNREWIFENLSSKNHVRGPIKSYRVTCFKEMNGLRPVLGWDNIDVMLAQMHGWDVITIKDIWVKHLRPTAYKYKKQKAEKLGQYFYNIGLNFPLAFVSSAKSSLKNKSLSEFFITMKSFLKQNSERVLSPQEIAYIRSLRWNQMLRRK", "MRTLCSFIISCMLLCLGKLQAQDKAPIILISTQNTALVYTTNAKKQLTQLYLGQSLTNISDYPLKKPNNLPAIITQGSGPVREPSLGVHHADNNPSLELQYINHNTKTEGNIQITQIQLKDPQYPFYVTLHFKAYKNENVIEQWTEIKHQEKKAVTLKHFTSAFLQLSSKNYYLTHFFGDWANEMRMEETLLPEGIHHIESKLGTRATNFDLPSFMLSVDQPANEENGKVLAGTLAWSGNFKLSFENIKYSEDFGNLLQVLPGINNYASEYTLAPNTTFTTPSFIYTYSYSGKGQASRNLHQWATNYGIYKGKENKSTLLNNWEATYFKFDEQKLTSLLGDAQNLGVDVFLLDDGWFGNKYPRNNDDAGLGDWEVNKKKLPNGLPFLVKEAKKHNVKFGIWVEPEMVNPKSELYEKHPDWILKLPNRDENLRRTQLVLDLSNPKVQEHVFKVVDNILQENPDIAYIKWDCNRYMTNTFSDYLKDKQNNLYIDYTLGLYKVLERIRQKYPDTELMWCSGGGGRAEYGGLKYTNEFWPSDNTDPLQRIFIQYGYSYFFPMGIQCAHVTSWGKQPLKFKIDVAMSGKLGFDIRIEEMNAEELKLSQNALKNYKSLQDIINTGDMYRLIAPYNNHHAAWMLTDKAKNKAVLYTYNLHTQLGDYFAPIQFQGLDPNKKYVLKELNLENENKPQLPQNEKSFSGDYLMKAGIPWFLNGSLKSSVIELTAIN", "LKNIAYIELDTHAELAINFIELTRDSQYLHVEYFFSRKILDRINEKGSHVIHAEAATLLKELDGRKFDAVIIGTAHRYFNVFEEVARNYPTFIIAHNLNFIKASKADIFRNIFKQDRSFRIKLWLKEGLMKKDKLYSEARSLFILDENIDELQYPYELRWLPLLYTKHSEQKIQTNLVAIPGTVDQHRRNYKRIFNKIKYFRGNFTFSFLGRAEGKELDKLQRVSQNLPDHVNIEYYDSRVSHEEFERKMQAAEVLWCPIQKETRFFGITEIYGKTKMSGNIGDAIKYAKPAIFPKSYSASYSFIFKEKRDIEKQITEIKNKHYDFDSFEISLVRRKLEYLLSNL", "MSLYSRLAENLMYLSPSYYKQRFFKKLNNISLQNVLERGVEPELIWIREFLPKDAVFFDVGANVGAYIYMLEGHLKPENIYAFEPNPLLFKRLKRLFPKVNISSYALSNKNTKAQFKIPVIKGHSNAARGTLQTELKEEGEEKTITRTVTVKRLDDWAEKNVIDRIDFIKIDVEGNETYTVAGMIDTILRLKPVLMIEIEQRHHKRPIWDYIRYFERYGYNAHYLDRTSFELVPLTENVCMQQSEYNEKDKQRYINNIIFLPKR", "MSVVARQSFKYSIVGYLGFLLGTLSAIFVFPLDMDYYGKLRYILSAAEIVLPFIVFGLSYANVKFFLYTQKDGKQQNLLSLSLLMVLLNFLLFTLLLFLVNMIRPDLKNWDLFENFWRYKAIIIPMILILAVSQVYNKYISNYKRIVVPNIFENIFPKIANLGAFILFFFMGVSEKPSMFFFLGVFALALIGYHIYLNKLEKFKPDFSMGYIKKDNFWKEVLNYGFYGFLGNIGNYLSLRISSVMISSYMDFKDNGVYGIVIAVTSVLTIPQMGLYNISAPMINKHLENNEMEELNVFHQKTSLSLLFLGLVLFSCVLVGYPYLTHLIQNGFELRAAEPVLWITGIGLMFDLATGFNGQIISMSRYYRYNIVVTLFLAVINIGLNFYFLKYTNMGLAGVALATTISLALYNIAKIVFNYWKFKVHPFSIEMLYALVLCFLVVSMVILMPNTSSNLFNLFYKPAVVLLLIGVANHYMKIVSLDKYLNRDFFKSISKF", "MILKKTTIMAFAIMAFGLGAQSRKAQMGKLVTENFKFADQQFKYLMKGLPDDKVPQTYDAKSGKVVNYERTWWCTGFYPGSLLYVYEETKDPVMLKEAERVLKIIEPNQTFTGNHDLGFMMYCSFGNAYRITKNPEYKKIIFNSAEALSTRYRPTIHAIQSWNKSARFDCPVIIDNMMNLEMLNWVSQNGGDKKYQEIAVTHSNTTMKNHYRPDYSSYHVLDYDLNTGEVIKKKTWQGFSDESAWSRGQSWGLYGYTMMYRFTKDPKYLDFANKIANFILTNPNLPKDKIPYWDFNAPDIPNAPRDASAAALMASAFLELGQYTKGKERKKYLDNAEQILINLSSPAYRAKLGENGGFLLMHSTGALPLKSEIDVPLVYADYYFLEALKRYKDWYL", "MKSFTLLTIGFAGVMTFAQTKPLLKLQLANGLAVERTQQSVEVSAATVAGIKNKTFVVKSNETEIPYQWLSDGKLLLQADFKPNEKKQITFTEGTPSQNESKVYGRFVPERYDDFAWENDKIAFRMYGKSLEKVPNQNAWGMDAWSKRTNRLILDEWYKLNNYHKDNGDGLDFFHVGSSLGAGDILPFIGDKFTYLGNYQSYKITEKGPLRFAFQLEYPEVNVDGYRIAAVKKVSLDAGSQLNRVEVTYTFSGQSALPVFAGLVHWDGKGEKTVDDTKHIAAYWPEDSKDGIVGTAIIFSDSKVKIQNTLKHLGEKVILKNNQKFTFYSGAVWSKAGQITNNESWVKYLELFANKSINPVKVTKM", "MKRFTLLSLITIALLFLYSCTNAKKDNPNSIKVGIASGPERDLAEAAKKEAKEKYNLDVELVAFTEYVLPNEALNNGDLDANAFQHVPYLTEQSKQRGYKLAVVGKTFVFPIVAYSKKIKNIAELQNGSTIVIPNDPTNGGRSLLLLQKNGLLKLKDNVGLLPKVTDITENPKQLKIVEIEAPQLPRVLDDKDVTIAVINNNFAAQAGLDPEKNGLLKEDKESAYMNVIVAREDNKNSEKVKNFVKAYQSKAVEQAAEKAFKGGAIKGW", "MLDQITLSLLLKGLWETIFMTIVSGFFGFLLGLPLGIVLFLTRKGQLLENNFYNRFLSVLVNVFRSIPFIILIVWMIPFTRVLVGTSIGIWAALVPLSIGCAPFIARLVENSLLEVPNGLIETARALGASPQQIISKVLLPEALPSLINNATITLITLVGYSAMGGAVGAGGLGQVGYQYGYIGYNAVIMNTVLLLLIALVFIIQFTGDKLSKKFNHR", "VITIKNISKTFVQKKKQFKALDNVSLSVDKGDITGIIGFSGAGKSTLIRCINLLEKPDEGQIIVNETDLIKLTPKQLAEQRKKIGMIFQHFNLLSSRTVFGNVALALELDHVSKTEINKKVTELLRIVGLEDKANDYPKSLSGGQKQRVAIARALANDPYILLCDEATSSLDPVTTQSILQLLQDINKRLGITILLITHEMEVIKSICNHVAVIDKGKLVTKGTLEEVISDKEHPIIKQFITTKAMNIPQSLSKKLQNEASAGLFPLIEIELNGNIPFEELLSVVYSDYKIPYKLITADVEYLGKANFGKMLLHLQGNHEENTKAIQYFNQNNIQNTIKGYA", "MDRIIIRKSNCKNKNVTMVMCYQMAMPYSIVSGD", "MRNFLVFLLTGLFLLFIVESKLHVRTFQNCKLAHSHRHLPKKANHLNQTYEKFSIQQSSDDSGNNSPLELSENDFQFSADFQTIIILASVFSFVCLLGLLGHERRNLAAYNFVVNLSTIKKFILIRSIRI", "MMKRVTSGIALSILLFAIGCNKKKEEKEEAAIYPVTSPIVKDTIINKEYVAQIQSVKNIEVRAQEKGFLEKIYVDEGQYVHAGQTLFRIMPQLYHAELLKAKAEAQQATIELQNASTLANNNIVSKNERAMAKAKLDAANAEVKLAQIHLSFTDIKAPFSGIINRLPLKLGSLINEGDLLTSLSDNTSIYTYFNVSEPEYLSYQTHAGDRGSQLVNLIMANGEVFPEKGEIQTIEGEFNNETGNIAFRAKFLNPNKLLRNGETGKVQMTMPVHNALIIPQKSTYEIQDQKYVFVIDKNGVAKSRNIKVLYELPDLYIVGSGISAGDKILLEGVQKVKDDQKLKVKFQDPLKVIKSLKLKAE", "MFKKFIRRPVLSIVISLIIVFLGILSLVKLPVTQFPSISPPKVNITAEYPGANNELLIKSVIIPLERGLNGVPGMKYMTSDAGNDGEASIQIVFDLGTDPNVAAVNVQNRVSSVVNKLPPLVVREGVKITREEPNMLMYINLYSDDPKADQKFLFNYADINVMSELRRIGGVGFADILGTREYAMRIWLKPDRLTAYNISADEVMEALNEQSLEASPGKTGESSGKRSQSFEYVLKYPGRFNNEKDYGNIILKANAKGESVRLKDVADIEFGSSMYDIYSTLNGKPSAAITVKQSYGSNASDVIKNVKALMADLEKNTFPKGMHYEISYDVSRFLDASMEKVIHTLFEAFILVAIVVFLFLGDWRSTLIPALAVPVSLIGTFAVMSAFGITLNMISLFALVMAIGVVVDDAIVVIEAVHAKMEEKNLSPLKATEEAMHEISGAIIAITLVMAAVFIPIAFMSGPVGVFYRQFSITMASSIILSGIVALTLTPALCALILKNNHGKAKKKTPVTIFLDKFNNIFTRGAGKYEKTLNKTVTKKMITLPLLLAFCACTYLLSNSLPSGFIPSEDQGMIYAIIQTPPGSTLERTNQIARELLKESEDIDGVQSVSSLAGYEVLTEGTGSNSGTCLINLKSWDERTESAAEIIEKLEEKTKNIPGANIEFFQPPSVPGYGAAGGFELRLLDKAGSGDYHKMEQVSNDFVKELKKRPELGSAFTFYSASFPQYMLRVDNDLAEQKGVTIEKAMDNLSTLIGSNYETSFIRFDRPYKVIVQAGPQYRALPSDLLKLYVKNDKDQMIPYSDFMKLEKVYGLSEITRHNMYNSAEVSGTPAPGYSSGQAIKAIQEVADKTLPRGFGIDWAGISKDEVSRGNEAVFVFLVCLGFVYLILSAQYESFILPLPVILSLPVGIFGAFLCLKLMGLENNIYAQVAMVMLIGLLGKNAVLIVEFAVQKRAEEGIPVAQAAIQGAAIRFRPILMTSFAFIAGLIPLVIATGPGAVGNRTIGTAAAGGMLIGTIFGLMIIPGLYYIFGTIADKSKLAKYEEENPLTEQTEPYQHDGKFED", "MMENLKTKSIISAVAVSLVLASCKAPMVTVVKDEVKSNLPQNFNQQETEDSGNNSGTTPWRQFFTDPNLVSLIETALKNNQDLLITFQQIEIAKSSVLAKKGMLNPTVSAGVNAGLKKAGRYTSEGAGDATTEIEPGRKMPDPLGNFAGGITASWEVDIWKKLRTEKESAIAHYLSTVEGKNFVLSSLIEEVADSYYELLALDNQLDITREYIKLQERALEISKIQKQASATTELAVKKFEAELAKSRASEYTIRQDITEKENEINALLGRYPQAIVRTKESFMSTIPQTVYTGIPSQLLANRPDIKQAELELKAAKLDVQAARKEFYPSLNISAALGLEAFKPSYLVKMPESIAYNLVGELAGPLINKSAIKANFQAADARQIQALYEYDKTILNAYLDVANLMSQVKNIDEFYKLKSQENHALEEATGIANQLFKNSRADYLEVLLNQRDALDAKLELIDAKQQQLSTVVDIYKSLGGGWK", "MKSLFFAGILCMTAQLNYTQSFDKQAHRGGKSLYPENTIPAMKNALKMGITTLEMDLAITKDRKVILSHDAFLSPELVTKPNGKYIPRDSGFYYKIYDMPYAKIQTYDVGMKKLERYPDQKKMKAQKPLFSAVIDSCESYARELKRPLPFYNIETKTRPFSDNVFHPEPKEFTDLMMKIILEKGIQDRVIIQSFDPRTLEIIHKKYPKIMTALLVEKVDDQKIAQQRANFQNIPVEKFKQYPNHLNGVKGDMRFLSFTPTIYSPDQSLVTPELVKECHALGMKVIPWTVNSKERLQELQKMGIDGLISDDPRIFE", "MEKIKVHDKTFVPYLKDEELQEIVKDVALKIYEDYKDEVPVFVGVLNGVFMFFSDLMKHYPGNCEIAFLQVSSYAGTQSTGIVYKKMDLTKDIKDRHIILVEDIVDTGNTIEALFEYFKNTQRPKSVKLASLLLKPEVYTKQFKIDYIGKEIPNKFVLGYGLDYDELGRNLKDLYHLEDGKINDK", "MINLVLFGPPGSGKGTQAQNLIKKYNLKQVSTGDLFRFNMKNDTELGKLAKSYIDKGELVPDQVTIDMLIDELKKPTDAAGFIFDGFPRTAAQTEALEQIVEEELNHPIDICLSLIVDDEILVERLLKRGETSGRTDDSNEDIIRNRIKEYYTKTAEVAELYKKQGKYIEVNGVGEIEEISNKLFAEVDKIK", "MSNFVDYVKIHCQSGHGGAGSAHLRREKYIPKGGPDGGDGGRGGHVIMKGNANEWTLLPLRYTRHVKAQRGENGGKSQLTGADGEDIYIEVPIGTIAKNEEGEVIGEILEDGQEVVLMRGGKGGLGNEHFKSATNQTPRYAQPGLPGEEGFIVFELKVLADVGLVGFPNAGKSTLLSAVSAAKPKIANYAFTTLTPNLGIVNYRNYKSFVMADIPGIIEGAAEGKGLGHRFLRHIERNSILLFLIPADSEDHFQEFKILENELKEYNPELLDKDFLISVSKSDLLDEELKTEIAAEFPENRQPLFFSGVTGEGLTELKDAIWKMLHG", "LNFNDLKLIEPISKALQEEGYKTPTSIQEQAIPKILAGKDLLGCAQTGTGKTAAFAIPILQLLTERSENKHRKGVVKALILTPTRELAIQIEENFEAYGRHLPLKTMVIFGGVKQGAQEEKLKRGVDILVATPGRLLDFIGQGIITLKNLDIFVLDEADRMLDMGFVHDVKRILKYLPEKRQNLFLSATMPKEIQKLASEILVNPVKVEVAPVSSTAETIDQSVFFVEKEDKINLLIHLLQDQSLSPVIVFSRTKHGADKIAKKLNQSKISAEAIHGNKSQNARQNALNNFKSGKTRILVATDIAARGIDIDNLKYVVNFELSDVAETYVHRIGRTGRAGASGTSFSFVDGLDLVNLRNTEKLIGKKIFVNKEHPFHTDNLVEQKRDSNNKPVPAGARPANTGNNRNSRNTKSSKKPFFRGKKK", "MIDTHTHLYSEQFDEDRDEAIKRAKEAGVEKFYLPAIDSETHEKMLELESQYPDEIFAMMGLHPCSVQPETWQKELALVKEYLGKRPFCAIGEIGIDLYWDKSTLDIQVKAFEQQIDWAIEMDLPIVIHTRESFNETFEVLERKKHPKLRGIFHCFSGNLDQAQHAIDLGFILGIGGVVTFKNGKIDQFLNEIPLDKIVLETDSPYLAPVPHRGKRNESAYTALVLGKLVDLYKKDYKEIEAITNQNALNIFGMK", "MLFRTEIQLAESKQKIQAEDRIFSIGSCFATEMASIFASGQLQTVNNPFGTIFHPVAVNNALKRIYEGREYTEDDFIYHQGKYISLDHHTSFDDQYLHKSLDRINQSLNEAVEFLREARWVIITYGTSWVYEFTEQNKIVANCHKIPQKHFTKRLLSHLEITDAISETINMLKDISSEKLQVLFTISPVRHVKDGIVENQRSKALLINAVHELVEVSEYCEYLPIYEILMDDLRDYRFYKEDLIHPNNQAIQYIWEKFSKAYITPETLNFMKENMKINQALQHRPVQHNSEEYIIFKEKLKERIDAQQQTVHHKIFSNVKI", "MKFFDQYQDLVSEGIEKYKFTQKPSELYDPINYIISHGGKRLRPMMVMMACDLFGGDMDTAIKPALAIEFFHNFTLIHDDIMDEAPLRRNKPTIHTLHGINTGILSGDALMIKAYQFFEDLEPELFKKCVKIFSETGAVLCEGQQLDINFENMPNVTYRDYMLMITNKTGVLSAASLKIGALIGGASDEQAEYLYNFGLHIGIAFQIMDDYLDVFGKQEQFGKKHAGDIFENKKTILYLIALKFANEEERRELNFWYSKKTDNIDKVYGVEKIFRRTKVDEKVQRLIQRHNEKGQHYLNKINLPDDKKQPFIELANYLLKRDS", "MDFPILLIVIIALALIFDYINGFHDAANSIATIVSTKVLTPFQAVLWAALWNFAAFFLAAYVIGEFKIGNTIAKTVNENFITLEVIFAGLIAAIAWNLLTWWFGIPSSSSHTLIGGFLGAALMHAFVTDYNQIAAAQPGLGFFETLSLAFKQLTTQGVVKFNVVIPIFLFIFLAPFIGMVISIIITLIIVHLYKRSNPHKADQAFKKLQLASSALFSLTHGLNDAQKVMGIIGAAVIFYHVNILQDGYAAMPSADRFNYFAQHYLWVPLVSFLAIALGTMSGGWKIIKTMGTKITKVTPLEGVSAETAGALTLFITEYLAIPVSTTHTITGSIIGVGLTKRVSAVRWGITVSLLWAWILTIPISAIVAAIAYLIVILF", "MGIGNIFHAFQPKDKIFFVLFEKVTDNLVEMSNEFNNGLKDFDLNDDSMLKKMSDYEHKNDDLTHEIFVELGKNFITPFDREDIHELATGLDDIADYIYASAKYIYLYKSPQQKSYTDFSLLIHKACIEIQNAMKNLKGFKNMEQVKEACIKVNSIENIADDLLSNSMVELFETNDAINVIKVSSVLNYLEIVTDKAEDVANTIENIMIKYA", "MNLFTETNLSPEILKAIGELGYESPTEIQKQTIPFISSDIRDLIALAQTGTGKTAAFSLPILDMVDDTSRKIQFLVLCPTRELCLQITKDIKNYSKYLPNIKTVAVYGGSSINDQIRSLREKPQIIVGTPGRVIDLINRKSLDFSNIHWLVLDEADEMLSMGFKDDLETIISETPETKQTFLFSATMSKEVERISKNYLTKPHRISVGSINEVKKNIKHEYYVVGYRQKKEALKRLIDNNPNQYSIIFCRTRMETQEVADFLMQNGYAADALHGDLSQAQRDTVMKKFRLKNIDILVATDVAARGLDVDSLTHVIHFSLPDDPEVFVHRSGRTGRAGKDGISIALIKPEESRKLKQIKSQSKIEINEMKIPTGKEIIKAQVEGVFEKLFSEHENYFEFDASLIPDLSNFTKEELVGQLLQFQLRDMALYYENRNDIAEQKFNGRDDSERGSRRERSRDRDRDRGDRNSRDRGDRKLRKKSENMVRFFFNLGKRDELKKVDVLDIINQSTKKSGKKADIGDIEILEKFTFFEVEKSFKNEVMGNINNKKFKGREMRLEVAN", "MKRAILFFGIILSGLAIAQQTPKVLKTIFTKEALAQKITAENGDVISIKDVFSKHKGKVIVLDLWAGWCRDCILALPKAEELEKNNPEVHFVFFSLDRNREGFDKSLEKFNMKGKENYWFSEGWKNNFNNYIDLNWIPRYLVIDQKTKIAKYYAITPDDPEIQITINKLLKK", "MSTYVVVGLQYGDEGKGKITDVLSAKSDYVVRFQGGDNAGHTVYVGDNKFVLQLLPSGVLQCRGKCFLANGVVVNPKSFLKEIQQIEDKGMKTDHVFISRRAHVIMPYHILLDTYREEEQGGTQIGTTKKGIGPCYEDKISRVGIRMVDLLNPEILREKIEKNLKLKNALFSKYFGKPEMDVEEIYNEFLELGEKLKDRIVDTELEINEAIKEGKNILFEGAQALMLDIDFGTYPYVTSSSPSTGGVCTGAGVPPTSLQNLIGVAKAYCTRVGNGPFPTELDNELGENIRKIGGEFGAVTGRPRRTGWLDLVSLKHACMINGINNLVITKLDVMTGLGKLKIATHYKTEDGKIIDYFTSSTTKLYNYEPIYEELEGWDEDITTVRSYDELPAAAKKYIEFIEKYLGINVYLVSVGPERSQNIIRKELF", "MKPFQHLNHEFALNEIVFENRNKFYGAYDLRNRAGQYMNRALFSGILFFGALTAAAVIYTNLVNSKVVEVPPPTLFNGVIVNEPLDPVKPKAPEPVQSQPQKVVKTIDTQVPTPVNNPPIEKITTKAPDDAVPGTQDIEGPVATRPTIQAPIIKTPGEGIVPAPQQPKVPTDEIVTHFDVEAAYVGGLDSFRNKIKENFDGSVMDGTEGVIKTMVTFIVERDGTITNIKADGPNKDFNAEAIRTIKSVKGKWNPAKLEGQNVRSYFRIPVSMKFE", "MGKIIGVANQKGGVGKTTTSVNLASALGVLEKKVLLIDADPQANATSGLGVEEVYNSTYNVLENSKNVRECIQRTTSPNLDIMPSHIDLVAAEIELVDKERREYMLKEALKEIKNDYDYIIIDCAPSLGLITINALTAADSVIIPIQCEYFALEGLGKLLNTIKNVQRIHNPDLDIEGLLLTMYDSRLRLSNQVVEEVNSHFPDMVFSSIINRNVRLSEAPSFGESIIMYDAESKGAIQYIQLAEEVLLKNESKTKKKKETIER", "LKDKKRAMGRGLGAILSAETKGTVNSATDAGAEQLVGNIVEVSIDDIYPNSSQPRTYFDEKALNDLAQSILALGIIQPVTLRKDGDKFEIISGERRFRASKIAGLKTIPAYIRLVNDQELLEMALVENIQREDLDAIEIALTYQRLIDEIGLTQENLSSRVGKERSTITNSLRLLKLSPEIQGAIRSNEISAGHGRALLSLQDEAQQELLYQKIVKENLNVRQAEELVNKLKNSKQKTVRAIKELPNHLKKAQKSISDALDLNVEIKSVGKGKKGKIILDFASEEDLERILKLIGE", "MKKLIVFFSTLIVVFCFSQEKVNDTIKSPSVTDTLSAKKTPDDVLKDINMVNAAPKKIIEISPTKAGLYAAILPGLGQAYNKKYWKIPIVLGAIGTGVGIAMWNDKQYRRYREAFIAELNGQKHEFSGIAGVTKDVLGRTQDRSKRQRDYAIAITAGVYLLSIIDAVVDAHLAPIKNDPDLAFAPVVIMDPMGFEPSKPGIGIRYRF", "MKIALVGYGKMGKIIDEIAQKRGHEIVARLKETPTADNLNNPDVVIEFSNPEAAFENIKNCLEHGIPVICGTTGWLEKKTEIERLAVEKNTAFLYGSNFSLGVNLFFALNERLAKMMNPFPEYDVQLEEIHHIHKLDAPSGTAITLAEGVIGNSGFESWKLEETKGKELGIFAIRENEVPGTHSVYYRSEVDEIEIKHTAFNRNGFALGAVVASEWIKDKKGVFTMNDVLGL", "MNYVLTYCFYVLILSVLMGISTWKLFKKMGYNPVFAFIPFYNYSIVLKETEHPKWWAILSYLPIVGPIMMSVFHLFLMKKFGKVSFAQRVLTVVLPFIYMAVVNYSKDTEIYKEFLLQGEEEAKKKDGFWGSVVYAVVFATVIHTFITQPFGVPTGSMERTILVGDFLFVNKLNYGYRFPMRPVAIPFLQGTIGGSDNPKKATKSYVDGVKLPYFRLPGWEKVERNDIVVFNYPGDSAHVAIDRKDPYVKRVVGIPGDVIEMRNGRLFVNNQPEKIMGDAEVQHSYLVYTSSALDINRLWKVYGYLPLQEGEMPTGGYVYQFQGLTDKTAAEIKSLPEVTKMEEVINPKGEAAISYYNQQTKAKIDTAQSIFPINKPWNADQYGPLKIPKKGDVVAVNKDNLPEYQWIIHKYEGHKLENKNGKIFIDGKESNQYTIEQDYYFMMGDNRDASLDARFFGFVPEQYIVGKPMFTWLSVQGVFDEGPKKVRWDRMFKASNTGDINKTSYWWIAVLILVLFFGWDYFTKMFKKKKEDE", "MNNVLLPVFYLPPVQWFAEFLNENNNVVFEEWENFPKQTYRNRTEIYGANGKLALIIPTRHTGSRLYKETEISYAENWQKLHWKSIKTAYQSSPYFEFYEHQLEDIYSVQTENLMEFNFRALNIILKILKTEKKFASTSAYEREPEATDFRDSFSAKKDTDSASKEYYQVFSDKLGYIPNLSIVDLLCNLGPESATYLKNFK", "MRKFFISAAFLTAFTFGFSQETSDKQNKDLETWYHKDFATTKVYGVNTEKAYKFLESKGLKPQTVIVGVLDSGVEVDHPGLIKNMWVNTKEIPGNGIDDDGNGYVDDIHGWNFQGGKNGDVDVDTQEVTRVIQKYKPLFEGADSVANKANQAKMPAEFDLYMKSKDIYTAKNGEAQQYYVFYMELKNRIPAIVGLLGGKALTPENVKAIVPKDGLEANYVSILDNMTKDGDLAGKSGSDLQKVFSEQIEEGIKHYKVQATKQFNLDYDPRSIVGDNYNDINETKYGNNHYEGPDAEHGTHVSGIIAGLPNGKEVQYGVASRVAKIMTVRAVPDGDERDKDVANAIRYAVDNGAKVLNMSFGKPVSPGKDKVWEAFKYAQDKGVLLVKAAGNENEDISEHVAYPTNFKDPADEKPFVNNVIVVGASTNDNSKLRASFSNYNQKMVDIFAPGEKIYSTVPDGKYKYLQGTSMASPVVAGAAAVLLAYMPTLTPAQIIEAIVKTANKSTADAGIEGRKVNNTFNYMSRSGGVMDLYKAAEYAYNNFYTSGKKLPVKSKTKVKK", "MKNLLLTGLFGSMVAVSCSTANQAQSARNDNYSLKGTWQITNVDYDKNYKIKPFDEGVDINCFVGSQWKLVPNNNTGSYSISEAACPGVNTQFKFNVTTDRQFSFKKIPNGTKAKTVTAGYFLQLQNQSPNSFELVQTVGDSSAPVNVVYHFQKIN", "MKYLNKSSVAALFLSGSLLLTSCEAVQNANNTQKGAAIGTAGGAVLGGILGNNIGRGGNGAIGAVLGGILGGVAGGVIGNKMDKQAREINQALPGAEVERVGEGIKVILNENTINFNFDSATLTPTAKANLDKLIPVFKNNPDTNINVYGHTDAKGTDSYNIGLSERRANSVISYFVANGLSRGRFVAKGMGKAEPIATNDTEAGRAQNRRVEFAITANEKMIQDAKEGR", "MKKYLKLLRVEQWVKNLFVFLPVFFSGKIMDADLFFKSCIAFIVFSLTASCIYILNDYMDIELDKQHPEKCNRPLASGAIAKKTAIGIFILLIIVAVGFTVYMQNQGLEIQEFSTVIISYFIINILYTFKLKHVAIVDICIIATGFVLRVLAGGFITGIVVSQWAILLTFILALVLAIGKRRGELINAQINGKTRKALDGYNVQFADIALSISCALAIVCYLMFTLSPEVQQKFHPRVFYTVIFVVFAFLRYLQQTLVYNKTESPTKIIYKDHYIQATMLLWLIAFLLQIYFKK", "MKKLYCFDFDGTITTKDTMFLFLRFYNPGRYYFQFMRHVPLFVMMKLKLANTERVKKSFITSILKDEKQKKLEELAQNFFKEYKNSIMRENALDFFKNIDKNATAYLVTASLDIWVKPFAEHFNFGYISTEAKFVNGKFAGDFATRNCNGPEKVIRIKRTIDLTRFDKTIAFGDTSGDKPMLEWADEGYFKFFH", "MDRVYLDNAATTPLLEEVIDAMVDVMKTQYGNPSSTYSIGQEAKAIIEENRRKVSAYLNVTPAEIIFTSCGTESNNMIIRSSVDNLGVQRIITSPMEHKCVAEACLEMKKMRGVELVYLRPDSKGDFDLAKLESLLQNSEKKTLVSLMHANNEIGNLLDIEKVAALCKQYDALYHSDTVQTVAHMPLDFSKIMVDFASCSAHKFHGPKGAGFAFVRKSSGLKGIIVGGSQERSLRAGTENVTGIVGLGKALDIAVEHMNEYANHMEDIKAYTIEKLTEKIPGVLFNGHSAERGTSLYTLLSVLLPFKNPLIGLQLDMKGIAVSQGSACSSGAAKPSMVMMSILTDEQMDNCTPLRLSFSHMTSKSDIDALAKALEEIKEATIEKMNV", "MALEITDSTFSEIINSDKPVLVDFWATWCGPCRMLGPIIEEIATDFEGKAVVGKVDVDNNQQISMEFGIRNIPTVLIFKNGEVVDKLVGVSPKEVIAQKLSAHL", "MKSIILIFLISLNVFSQNRESNIQSVKQTKADKTKKEPEYINQINELMTKSYERGLFNGNILVAKKGKIVYQKSFGFTDETKKTPLTKNAIFNFGSIVKQFNAVAIMMLVERGQLNLDDPISKYNLDLPKWSEKVTTRHLINYASGIPRIENKMIVPKNDDEAWKILRKTDTLLFEPGKGYRYDNGNVFLQRRIIEKVTGMTFQDFVTKNIIKPLKMTNSVFDAKSGYKNRISCYDMDNVRCPEMEFISGWLWLDINDMYKWIEAMNYNRLISRKSFETLLNNPYAKEEGGSLGSYFENEKLQRHNGISHKFESILLNDMKNDIIVILASNNLNKVYSLGYIIRDIMLGKAYEIPKKSVYRAIRKKSFTDINKAKDTYYLLKKTSENEYSFENPSELNTLGYELLRAGRIKESIEIFKLAISEFPKNANLFDSLGEAYFTNKQYDLALDSYKKAISLGGSNGNAEKMIDKINNLL", "MENNSNSVIRFSIADSDFYFKQFLLKMLLENPFYRVVNDCNNGHELISRLYRKQEDVFLINLYMPILSGLEAIKFIRQTNKTTPIITYSATYQNDMDAIISEIPNTYYCQKNSIVIRDILRNSILSKKVNFEDYRQEWSQQMLEVQDYMERQKKSQQDLSLSEIQMIKLCYEGYSNKDIGERMNLSTRTVDTYIKRLTEKLGLKSKLDLVRFCVESGYYNTSI", "MISSGQEKLNRKDVNKGIWKFIFSFLFLSGFSFLSVFLFFKSSEYQKDNIQKEVENYKNILNKNELLQSKMEGIYSKMSMIANDKVQNDVFLRDNIVEDIHDCKNIMGKDSVKEFKQYASLLKNINEMVSLKDKLISASLEEKAALNNLQECQGRLNIVTSSILNGIPKVGPRRKPRSIR", "MQFQVTLSKKEKRYYFVYLFGMLLLAVVFLGIIFLNKLESPFTHSDALAIKTLQEKSIFNNRQQAIQPTIDSTFIKLKKLSSENQQPVEENELKYDINDIKNAFSDITSVDPRKDNYAQIAKFYKMYYDDKKIIVKKNENVKTFTKQYEECTIGMKDMQQQIKQRKNAQIISNRN", "MNYFQKNKKNIIIAVISTLLVASLIAMWLQRKSVNSSENIVAAVFPANLSLGDSLRFEDKTLNAKTKQWDFGDGKVSEKNKGIHMYTKPGFYEVKLTIDNKYTKTFPILVSSVIRPVLAPEESRIDAQTQALQLENVVFRAVAPNAKTFSWRFGESGNIDSKERMAIYSYKKPGNYTVTLLTDDNPQPIVHQIRILPAYNPNEQLEQDLSSIDDTYSDIDDDIKRTLQQIADGNNFNSNYNYLLKTYFCNNDNTVMIVNNGKPKNYYYYTTGLQFDKNNTIQEVKSTFDNNQKCIIKLEVTQSK", "MKNTKSTLYILGSALLVTGCQVRVPSVKTPAPDYYGGIEQNMVINGYPKDPLPWITFSDRSKNTAFLKKEKNESPKEIKFLEPLMVVDYNKSSKLVKVAEYNADALMKKLPAKSVKSYGWISEDNLLLWNNALRDRTSGFIMKAAIVPGNTDVVKNTGTYIKNDSAVVYSSPNLSDPVKKKIPIGELVYVYKKAADNKGYLVGKSPKINMDSIDKDIYGWVSANMIATWGERSAIRLDRKADYSKLPLFGIYSALPEYTDEKPIVPIADAANRSEIENIFPTSTTLNKNTTKYFTNAFDYSQNYIFNVLGEKLPFKRYKEITKRNKNLNIVFAIDISAENRAYAPIAKSLIQDIQLKMQKLSYYKDVKYSAVLYKNNTCGPNVIASVLSSDYNGIFKYIDDKTMEMRCEGIGGQPVNEALSTAGQLLSTVPDETNLIVLIGSTASSGMNTSSAVRFISKARAKVIAYQTQSRSSDAYNDFVLLAQNIVTTTAQNITELNKEKVADQSLILNKNNFNFIEGDLGIYSLDYPKNSMTQGFVIYPKKREDNSNSLLIKAMDTLVAQVTDENKITDRSLTAYFKSAVGSGKTTIDGRYAFMFPDVSNPLPVSFASQLVTYDYPTVASGYLPVDMRKNNPGIEKGILVSEQEYDQLKNFYDQIYKQTNPDSKDFNQKRAISRYVKLLKENNPTLDEFDTNKIYSQPMRVAVAKSTGMDNSDEVMMSEINLERWKDKKEIGRETVQTYFRNYKVLSNRLLENKNNPKYKVIQNGTTFYWLNEYFMPFVTDRTKS", "MAVNNSRGVLRFNGGEAQKVLKLNYSVQRSTDVSGRVASDASNALVKITIEAGENAHVLESFLNNKYKPTTGEVTFNKAHEEGTLIKLNWENGYVIQHEVDFNAFNDNNMHITFVVSAEKINYGGSSYDGIWPGN", "MFSGRNASAQVLTVTDTSDAHAMRIKSTINANKEIVDFIEHSLAQRKLPRHLRNLPLLESGFDRTRVSSTGAVGIWQIMPAHANYYGLRESDRSDIYKSTQVALNSLSNLHRKYKDWISVLAAYSCGEANVAKAMEKAGSKNYEDYYIYLPDETTNAIRKYINACYVTGELDQLLPGGSANVTKLKARVADNNTEPQSNTEEQPVDSSLLKTTINSGYDLATIAQFLGIKLEDLLYWNPNVEKNLNEKQEVNFYLPADLMGRFEADRNKILRLSLAK", "MEGINYRFPFNPSALMTENGSIETCDIAESIAQNIMLLIITKKGENRYDENYGNDVWNVEFDNGISSAVWENVFINSLKRQLSDYEHRLVNPQIKAHIVFVEHNYDTRNFTEIKKKVKIAINAKLEATGEQFNFATELFLSPMSID", "MHLDQNIYSKETIKARMLQNATKLWGVKSIQSLDPFVKLLIDAFSTEVFKANNEIQNVNSRLLERLARMLTPTKYTHPVPAHAIAFYTPEEDVEYVMDYTEFFFKKSINSFSKTQSDKQVDVPFTPVDNIRTIKAQVGAMIVGNTCYTFDENLNRAPICRINNRIEDYRKISIGINVSQYNAGRFPEKLSIFCSNTAFEHIDYVYRLLPHVKVTSNGKPLSINPGLSYTDQRKYEGFEEVFKEQSIRYKVTEDIKKIYNSKFIEVEGIHDDLKLQPGYFPFELGYLKGQAPALDQLIHDNSFLWLTFEFPPQFTDQILDNFSFALNAFPVYNRGWKKTEYILDIMGNNIPLETGVGEYFLYVEEVVDGEGKKYEEIPFTPNDHLSKGLYTVRKGGMERFSNRNAVDLMVNVLELTRDEVAAFSVFNRDKLRDLLGEMSDKMKGMIKKVENADKDLAEDVNYVIIEPIESSVHTYAAFWITHCALANHIRPGTTLNSQQKAKSITFLTESTGGELEQKGSDSIQAYRYALMTRDKIVSIEDIKAYCQMVMKDELKSIKVSRGTIISDKPKEGFVKTIDVSIVAQNYAFYGKSYWDSQATVLMNNIKMRAIDGVIYRVKIEEDSTVEI", "MLNGEGSFTDRKYNQLQTDFRVEAVAANILKYYDTQANIYIKRIGLNDRAYLKDIKGITTSSYDLDEENIIIETYREGIYDYLPEGIFHPPSLGASSTNIDSVVREIRKQKAVEDDARKFFQPFELEFFYTHVSALLKESEFDAENKTNALLEFACELWPVLRKIDQKSAKILVHILPFIHEVRGNKNWIEKFLSAFLNVPVNISFLPNTVEEQDDKEGITALGNARLGVTFIPTGKHMDGDRNWMINIGTIPYDEIHRYIPGSSFRELLRELYDFFTPVSVKIFENFITEKKDESFVLSIDNELNRLGYSTFL", "MELTSIKGVFLRYILMPLFAVIMMFIMGIIRKNTPAVKLKHIIVYVLLGGLILAIPGFFGFTGNLFNPYWYLGAQVVFLGLGILHVNLLHHYFRKHFTSTTRSIIFDCVLSITCIAFGGYLFVLIFKWISLGLGNPFMAATSMVSFIIPLLFYYCYISFISIPFDIYKTWRYNPDEKPFNFQGVDFDKLMVLNVELSKNLEDQQRFRIKAKTLPTGITYGEWFFRVVDDYNHKNPNSKIQLMDYNNNSYYWIFYIKKSFFSSRKYIDFEKDISSNKISENQVVICKRVIQHQEEGEKEKLVISEAK", "MIQPIKHNAINWVDGMKVSQMHLNEQDNFIIDSIRDSNSLQINNFNYGLLPMTGQFSEKTVFEIYSTATNDAQLVIKNCNAITLAGYRIEIKDFNMNIRSLAKNLGSAEDSIDGDYYILISVNPFNKVPFGEIDPEEIPPRHPYSMPNYNIEMVDVATLNNNLAGGNYIVLGKVNFRANFAQIDNSFIPPSTSLQSHQRLVDYYQSYVRSVSNLQQYAFKIIQKSSHKDQNTSLANNVRALCTKLINALADNYFSFKNLVPEQPPIYLIDVFSKTALHLYNATQMIPNAELEEMLNYSLEWSEIAPHTFLGQITTVSEINYDHYNCGEYLRNIQSLLNCLETVFGKLSELDYIGQRKENIIVTEQEIKSNTDPKTGWSVLD", "MSNFFSNFKKLFSNLFEKQDDKIIIKNKKTSNMAMYNYGVGGNEIKVDANEAIQEIQENRSLLVSQLTSDESMVPEVVRGLKTIEDVFRHFQPSVSVQHEKEDGSFVDEEFRFQTLGDFTPKSLTQNSQYLQQLSIEQEQYNKILRQLKNNKILRNMLENEQTKAAFVEVLKEVAKELEK", "MENKPQAVPQQEGQQQQQQHSGAKSNPLNELNKVGGFGFVETVIDGIANMNPTRKARKEIFLNDENKDKERKDLLQKINLWVSLLEGESSAEKMAESAKNKANAAENNLKHNLKNTLDSVRDLETSYRTVAQFYKNTELDKVDNVSIVNASLEQMKDLDNPLFIDAIADEFKQNYDRLDLRDNYSILAIPGYLGSNKVVEKWAKICNENKVMMVTDFANLDKPDDVVDLFHSANLTGGELHRSNVIMTCNWLVGRGKAEEVGEEENVDLPPSTSLAGKIHRTLMSQVAAGKKHGNINEVDAVKFDLKKSEISQLEKMGLVPMVNEYGKIMAFSAKTLFTGDNIGLQTYSVVRVFDYVTKVLLDFLNRRAFENWTPRNEDDLRKQIVAFLDGIKGADKLIENFKIVRFEQDKVNKDRVWLDIRLTPYFPTKSFVIKLDGHKGDDGNEWDAQYTQE", "MSILISNETVKQLFHIAQSIARENYNSTYGAPHLLQASVHQDIGLREFLQSIEKDPGYLYDWADVRIEDYPKTAHLPQEVEAADHVNDILSQADDIRIKLGLDEVTPVCILASIVKPHVVYDTQELKSLPLREHEILNHYRGNKVNSQESGDDILSSPSYEKQSFPSIKSYCIDKTLDAAQGKLDDIMGRDKELRTLIEILCRRSKPNVIIVGEPGVGKTALIEGFAQEINKGNVPELLKNATLLELDTGALLAGTSYKGEIEDRLKKVINECKKIDKAVLFIDEIHALLDSRGSAGNVANLLKPELARGEITVIGATTQEEYRKIIEPERAFNRRFEVLLVEEPDDTTCVKMMEVLLDGYKEHHKVEVEKSALSECVRLSKRYAKGKKLPDAAIDLLDRTMAAIKMLDELSENELAKWKEEYDHLLKQEYLDDKDLLDELIWHYRLLQDRLSPILWGSLSEQHQVDSSMNVEEVKKIIEDTYTELQQHAGVKREKVGKLELAAVMAAKTGIPIGKIQAQEKEKLINMESLLLKRVVGQDHALKILSDAIVENRSGLNKPGQPIGSFFLLGPTGTGKTELAKSIAELLFNDEKAMIRFDMSEFKEEHSAALLYGAPPGYVGYEEGGMLVNKIRQQPYTVVLFDEIEKAHQSVFDVFLQIMDEGKVHDKLGKEGDFSNALILFTSNIGSEEIVRKFEEGITPESKTLMQIMSDSGKFRPEFLARITEIIPFAPITEQVAERIFRIQLKSLIQSLTRLGIDFEISDNAVHHLAVNGFSSRYGARQISGVIRTQLARPISKKIVREEVKAGQIIKVDWSAESETLNWEIKDQI", "MAANNSRAILKFNNGTDQKVLKLNYSVARSTDVSGRVASDPSNAIIKITVEATEKSDIIESLLNGKYKPTTGEVTFNKSHEEGTLIKLNWENGYVIQHEVDFDAIDSNNMLVSFVISAEKITYGGGAYDGIWPTN", "MKKNGQPEDVFFSPPKFAPDNNADGIKENHHSGINRLVKLSIVVDGQVIKYYKHFKLKQSARGHHYFELTLAHDALGERQDHQLSQANQFLGNRLTVKIMYKDIASSPERVFVGVITQVGFSQDSHSLGNIVLKGYSPTILLDAAPHTQSFGGTQPVNMGIIATNVIKQGIDSSKYDVRVDAKASSQILYSAQYDETHYNYLARMAEAYGEQFYYDGEVLHFGNMPPQNKPIELTYGSNVTDVNVELKAVHLKPEYYGYNSSSNTKLTSGETSINHKSDLAQKAYQKNKGIFTTPSLRVAPIKAITDMDVVNSQTSTSGSQAVEVFTVSGGTTVPFLYPGCVSDLKMRKQDSNQTSYFTRLMVTEVTHEVDTLGHYTGHFEAIASDTGYMPKPDFVVPIAQPQIATVISNTDPESQGRVTVKFDWQQSDTTNFIRMMSPDAGGTDQITQNRGYVAIPEVGDQVMVGFVHNHPDRPFVMGGIFHGGTGLGGGVNNHLKSIQTRSGIKVLMNDAEGSVNIIDPSGNTYFMDGKGNITVTAPKDMNFNAGANLNISVGQNMTTTVGANQSNTIGMNKVDNITMNHNESVGAMKNMAIGANFMTNVTGKLTHYVKGDMETFGEKEHRLTSLKGVEVNSKGNVEHHAEKEVMNNSGEKSKSQ", "MSIEYFVEGKILTQTEGNNTTFSKEGIVHNSTKYVNQKGADTGVSYNSPKTIHPNDKPINTVDVSLNLFFDGTFNNKTNVQEGKQKDPSKRKGSYDNDFSNVARGYDAIDANAENQVSWYIEGIGTVDSKTDNDTLGLPARGGGLGVGERGIAAKVTKGCIKGAEALRAKYRGKVINVLTVNVYGFSRGAAAARHFMHVATNAPTTQKLSKNKLQIYPPEVFEKSDKEERSEQFFVLEKTDSHLMNYGYFGACLLKNELVVNQVKFNFVGLYDTVASFGINHKGFSLFGVSIIDSDAEQLGLNAVKNGSFVFQIASADEYRDNFSLTNIESAGIKGLQITLPGVHSDIGGGYVDKEKNKVLLYIERGSRTVCDKFRKILIEEGWFKDSSNEIWVEQDAIHPSNVSPQYKLWGERTLSNHYDKVSLFHMFEFSKQFNVKYNPSIEKDNSITDNFILKISSQLLGYIQKCNELRNKYVNLYNEGANPSEQQYVKTAKEYSYLDSFINMEDLKKLRREYLHWSSNMAIFGMGPRESGALTNSQRKRYIIDG", "MKNEKPMPSYNVQISHPGNNYLITPVEDNIITLEGIPAHLPYGSSSGSWGNSGKGFTEQQGTPIGVNIVYFSRYEDAFYHLKVDFPKDKVQDLIQRAYANAESKSSTKPLKEYIDTTQESDYDKTYNGLGKSYDKFSDLIFGFAPNGMVVVWLGFGPTQIELGKYTAERIKDDKIYADKLFSKISQTREGIKKDMFIEGASSKQWEDYRILYKWSPKISSGNKGFRLFNVNVDYYNAERETMLRPWVENIPVKDRAIPKEITFFWETAKGESFEGRAFFDWQKTNEAFKKAGNNLKLEFKIAPDNNNYEILLNGEPFKADSLRVYNSNFTFKESYK", "MVSTDKEYVKIRIDGILDLEEISRGYEMASEELVEFHNKHCALHELLTLTLPKYVEYLYIPEKAFKKQESNQLKSTKLDLPNTESTKVYGVIVKFFPKELQLHYKINVKRIQNTIEFVKEKTYINNQEITKVVEQIFEKAEQAIYPLKIITDHNGDLLKIDNSEQIAKRWISEYRPKLKEYYVSESADEIIDELDKALIDVEARKNLLTKNIFYRLFCLPIYQSYPGFFKKDILHIYFPGMSGEVSYEVEYKLRKNYTRGNKIVLEIKGIEEVSMLNLNAQKGRIDLLYKLHKETKTLFSITGVVSAFDHEIEQKIEFQLYELNS", "MSEKHLVCQGALCICNFGTAPDKLKVKTQSKRYINDKDGASKLMATHMDIGKTFEKNMFGSCSKLNNNPCQVSVTEWSGFYDKITLEDNKGKALLEDSKATCPIGSKDCITIINHGQTAEVSTQNVENADKEVVMSLIPFANIGQDIRKTDRLEV", "MENNKLTAIILEPAKSYFNEYYRTLSIGEDQSFVIGIKEYEEEIKSLEDKLKILQKEKEKIDLDSNIERDKLFQQATDRYNQGGEWTKAETYQGKKVSHAEVTYNENLKAAEKKAEALKAKKDKELKKVQDELKDLEKKYDDIFWVWELAKKRSHVPTGANESIIKGKKRLRLQLNRHLHGGGFVWIEPFHENTRPTGSAKNGIYAHTEGGIPDIITAEWYGKNSSQIPVKITQPVAPMTQVQLHIYTKSLYGVDFRVELKANGKTLKANEYMTGFTVYANKKGEKTKDPEVKTQIEGSKDMFYTETDIYDYSAPSSVQPPAGAMTGHLVGGLDENVSRIPNVQKAVLSLYIDPAWCGLGEKKIIIKPTFHFSGKTKALEIPLEVNCSLKPNIEMPVPGNKAVFVDNIETSMQAFHPCGYNFFKVSDGDREIDLLEDKSTSPIDIFELVAGTSNNTHDITITLDTDTTECSYDGSPNDHEGHVIEISEYPEKKTEENAKEKEKSSISGSSKVKAQIGDKKNHVAVNEKLSFEGKLEIYTKTDKELKFKSRFIYDLSPYTLAGIQVDPIFRYFWLGNGVPTNTYLVKTHTCRYQQNLSIKTYPDVAWSLKLSYKNSTVERELWGNQRYADTDKAKFKRKPQKWIPAGDGKSIGISLGAKWDKSNEYDATADITKQVQKLLDSLGVIGKFVNSVFLGEENKKQEGYGKPKPENEQALESVRDKEKKELEKTQQNLDAARARYRNAQTDREREKITKDINSLQRKVDKQSEAYKLKLTRSIAKIEIQWPQLDAQFEWSLENIKTHGPYYNQTGVILQGALELSPLIGLTATLDFLALAQRVHPVAMALIAAADITMALIGDGSQITCNLTAAGTFGGKVQGFLNTKTKENSFNREDRNAKDKQVAELKCDLEFKLEISVKIKFEKKKLWVKVTVTGEAGANATAKWTGRAPIDADDYGWYIAPEMTFEGLEVKGYANVEGQIGDKDDTWGSAKSNNEINWQAIDAWKEPKQWGKFYFPSKKE", "MNDNATEILGSLYKDVKYYDQRINYHADIFIGGCNYEVLINDFPVDSHFGPGDGAMNTSIPINTAILHKGEQTWKIRVYPVHDNKEMNGGGTAMIARPAIQDGARVEIKIEGVRFKENGSLEKSFGRVVDFKAPTKKDDKTGKNIFADADKPYVEYSGTFNTETPYSLSGWEKSEDLTKIDSTVLQKQLLKEYQKFHQWVQNKDINDIAKATLAEKKEYAQSLFFDKKDNDNMVNSFMKGWGQKGLTMYPIENYKIKLYGDGKLATLQRTDHVGDPVLAGWYMNENNSRKLKTFTLYFHIPKGKKELEVIR", "MIMDLIINLKLEKNSQNLILTQQKLYKQLIINRTTIIKTGIKFLNTVYYAIIFIIYLQIIMLL", "MKENYSLKQKLLLVLAFAVAPLGSFLDACTRVVYKGPENNVITARSMDWKDEIDANLWAFPRGMQRDGNVGSNSIKWTSKYGSVITSAWDIATTDGINEKGLVANVLWLVESQYPKFNPKGKSKGVTIAAWAQYVLDNFATVKEAVDELRKEKFVIVSDFIPGTQKFTTLHLSISDATGDNAIFEYINGKLVIHHSPSYTVMTNSPEFDRQLAINQYWQGIPGTVMLPGTNRAADRFVRASYYINAIPQTADVRTSVASVFSVIRNCSVPFGISSETEPNISSTRWRSVSDHKNLVYYFETVKTPNTFWVDLKKIDFSKNAEVKKLSASKNESYAGETSQQFVAAKPFTFIGI", "MRRVVVTGIGAVTPIGNNIDDFWISLTEGKSGAAPITRFDTSKFKTKFGCELKNFNPLDFIEKAEARKYDLYTQYALVAVEEAVKNGNIDFEKMNRNRIGVIWGSGNGGIETFQQQMTEYISGDGTPRFSPFFIPKMIVDIASGVISIKYGLRGVNFTTVSACATSNTAIIDAYNYIKWNKADMIITGGSEAAITESSVGGFNSSKALSTNNENPQAASRPFDVDRDGFVIGEGAGAVILEELESAKQRGANIIAEIVGGGMAADAYHLTGTHPDGEGAYLGMLAALEDAGIQAHDIDYLNVHATSTPQGDISELKAAERVFGRENKLNISATKSMTGHLLGAAGAVEAITCIKAVSENIIPPTINTLEPEPEYKDFFDFTLGKKKSKEVNYAMNNTFGFGGHIATSIFKKYTE", "MNTREKIILLGDNLIRKKGYNAFSFGDISKELGIKNASIHYHFTTKTTLVIAIIQKHHMLLEKFKRRIANENPLQKLIQFLSVYAVAKSEGRISILGALSNDYYSFEPEVQAELKILTDNTLNWLTDTLKDGKKEGFFNYNMDHHTKALMIITNILGAEHLSRITYHQNFLEIKNTIINDLIS", "MNFRFLFIILFISTIFCSAQNKNECYRIFSDSVSGTELYGYKTHKNVIKIPAKFISTYSDKLCKMAIVLDSKEGWIGIDKKGTIILRPYIYDNGPDYVEEGLFRFTEGKKIGFANLNGVKIITAQFDFVTPFKDGLAEYYIGGERIYENGKTAAQIDKDGGSLEDLHWSWGGNVTEYGYINKSGQRFKEIISLKKDVRQAITFQNKKILLDKKGQVIKKY", "MIKPPLTRNLLCISLLCSVLLFLSSCSVNNQYHKGKLVWQDNFNDKKTFNSKYWSKIPRGTSDWDRHMSNDDICYDMRNGKLILRGINNTNLAQDTAKFLTGGIYTKDKVAFGLGRWEVRAKLNAAKGAWPAFWLLAQNGKWPEGGEVDIMERLNHDNIAYQTVHSYYTHRLNIKDNPKHGSTGKIRPDSFNTYAVELHSDSLVFFINGHRTFSYPRIKTDKGGQFPFTDHKYYLLLDMQLGGSWVGKVDPKELPVEMEIDRVKFYSFEQESKSKK", "MVKKSFLLILLSVYFICLSQKNDKNNIAKIDTTEIVPIGGIKQFISIKGNNKEKPILLFLHGGPGTSLVAVSEKFTDKLKDEFVVINWDQRETGETLKLNSTQKDLTPELLKSDAYEVVNYLLKRFKREKLFLASHSWGSVLGFDIAKNHPELLYAYIPISAIIDINKSSELTVDMLKKWAVKTNNATATQELNLINIPFTRPDDLFYSQKWLFIHNGVDFAKKEDFKPTYYKWLGIWFPMWKKSVEGSLFDTLPEINTPVYFIEGNGDKQKSHYLVEDYYKFVKAPKKGMFWMKKSGHTIFNTEPDKLQKVIIEKVKPEVFAR", "MKEKVSAELIEKWLNGWSVSRGVSLSVRYKSGFKVDVGWEEQKCRFVFPVLNEDLIHLAESIEEPWVFLKVCAACSELTEILPDRWTVQPQGYLMMSENNSDKIKDRTLADTYTMETEVSDDGVHLIKIKDQNNELASSGRVVCLDDWAIYDRIETSQYHQRKGLGSYLFGELQKIADKKGIENNILVATEEGRLLYESLGWKVVSLYTSVVIIP", "MMKNLYLVILLLFSQFVFCQNLNEKYNELRKEVNNSPDNVIKESKILKEKALKVNAFDIVSKADYIASFAFYLKGSPDSCIYYANAAIESAKKNNYNEGEALGLRILGTQYAKMGLLDKSRELLDQALVLVSKQQNDEAYEIKGGIYASLLVLMDNNKELDKKIIVAQKAINSYLKVSNETSRKELLPSAYTNLSYLYSKTKKYDSAYVYSQKALEFININDTYKLAFTYHDIGYLLAAQGKYTEAIEQYKKALSYCKGESFYDKKLEVLKGLSEAYGDAGDSKNALYYLQQYQKLYLNGTQKNQHAVNEIYNTASKTAPIRIAPILVTTACIAFGLVVIYFFLIYKKKSEKIQSPQEEKKESLQIDVNISTETEEKILYNLNEFEKQEAFIDKETSLYSVANKFQCNTKYLSLVIKRHKNKSFVQYINDLRIQYVINKLKTDPNFSKFKIYYLAELSGFSSQRAFTSAFINNTQMKPLEYIKKYYSKD", "MEKTKRDEMLFHGKKHVYIPPVFEIVLVEMEAGISATSALVKPDTTVQTDWEGTDTQTGEIIWE", "MKTENFKSLSITLLLVLLSSCRSNDTDNTLNPDSKANTTVKINLLGSDFAGATEPEFTASAGKGSIIASNAVQTQITMIDPSTFISTKVTPVFKALNTQAAMSGTKAAVSGNNLGNGMQFRVIAYRSASSTSPGAYVAHRDYRVNGANVELVNPAEGDLWLDNSISYDIVAYSFATASLPNITTEKGNISGAKADYINNTDMMYVKMPNQTFAKGSNTLGIILRHKTALIEKVNVNVLDSQLSFSGSGITNTVLTIPHAKDGSIAFSGANGGVISGRTDYENKVINLTSINGTAKSQSQTLNNVLINTNTSIDNSKMATFAANVTITDNTKTPAVTKTQSINSSFKLLPEYKQNLNIEIKKCGAYTRPKGASQMTLENKIWREFMCNDLGVDTSGNFNPFDVSSSTNIKRFHGARFQWGSNGLGNQQNIRWITKDVDQDTKYNPGLAFNSPGAPAGNPNGAGWRGIGWIAGGLYPWTDTSAGASTLPANSWTTSGNGGVNNPCPSGYRIPTKEEWTDAANAGDGFNKFTRTGNASVDFGDEYGRGRWLGNALFIPFGGYRRITSTKVPSSAQGELAARRTENAFYWTSSRDEGGADLVYFSSITPSGTISSGTTHPTHGMAVRCIKEYN", "MKNDFLYSSITHKLSAQIKSGVLKEGERLPSVRALCQNHNVSMNTAKRVFLELESQSLIYSVPQSGYFVSQLPYLRLPLPETSKPSPVASSNEPNELINKVFTNIGREDLTLFSIGAPDGELIPLPQLKKEVIQATRTLKGGGTSYESLQGNVTLRRMIAARSLNWGGNLNEDDIITTNGGMNSLSFCLMALTKPGDTIAIESPCYPGILQLAISLRLKVLELPTHPETGLLVDELEKLVSKIDICLLIPNFNTPLGSCMPDENKKAVVQLLSKHNIPIVEDDVYGDLHFGNKRPSCCKAFDTEGNVLWCSSISKTLAAGYRVGWIAPGKYKDQIMKLKLVHSICSNSIINESVGSFLKSGKYEKHLWQLRKTLQENYLNYAQTIAQYFPEGTKISQPKGGLALWVEFTEDINTVELFELAMKKNICIAPGRVFTLQDQYHNCMRLTLGLPWNESLKEKLIEVGNLAKTLIK", "MMRDTVISTAQTDNTTKGWINGFIGVLLFSGSLPATKVAVMDLSPYFVTAARASIAGVLALLVLLIFKEKRPSKQQIVPIMLVSLGGVVGFPLLSAMALQYFTSAHSIVFIGLLPLATAIFGIFRGGEKAPKPLFWVFSILGSLLVVGYAVAQGISVAPVGDLLMILAIILCALSYAEGAKLSKDLGGWQVISWALVIALPITAPLTFFLLPESLDTVSIPAWMGVAYVALFSMFIGFVFWYKGLAQGGIAAVGQLQQLQPFFALALASTLLHEKVSIGMIAVTLGVILCVLGAKKYAK", "MSLLYINWDVNPEIVNIGGGFPLKYYGLLFCIGLILCYNLLGKVYKKEQLSEKAHEALFAYAFIGILVGARLGHCLFYDFDYYSQHPLEIFLPIQKGEDGAYHFIGYAGLASHGGVIGLIIMMIFYARKYKIQFLRIFDIIAIVAPLGGAFIRLGNLMNSEIIGTPSNLPWAFIFRHVDDVPRHPAQLYEAISYFIIFFLVYFIYQKGIFKAGKGFYFGISICLIFILRIAVEFIKVDQVNFEHGMILNMGQILSIPFIILGLFFIIKNLLGMKKLQTS", "MKEHHYQTKVEWTGNKGSGTDHYKNYERNHNIIVEQKPVIQASSDPAFLGDPTKHNPEDLLVSSLSSCHMLWYLHFCSANHIIVEEYTDEAQGIMLEEQNGNGYFKEVTLNPVVIVKSSDMIEKAIELHKKANEYCFIANSVNFPVKHNPIVKTK", "MYSKRFFSAFLFLFAITTLFSQNLEQKTDSIIKTEFGDINGPGGVFMIAKNGKPLYKKAFGKSNLELNTDLNHENVFQLGSITKQFTAIAILILEEQGKLKVTDPVSKYVPDYPSGDKITIHHLLTHTSGIRDFTKMKSLQDIAQKEMTPKMMVDFFKNEPIDFAPGEKFEYNNAGYVLLGYIIELTSGVTYENFIQKNIFDKTGMTNSYYASDRKVIKNRAYGYHQKGNLYVNKSIISFSVPFSSGSLMSTTADMLKWQKALNQNLLLKPENVQKAFRKYKLNNGQEFTYGYGWHIKEITGQPTREHGGSIFGFKTMGIYFPKQDIYILGLSNCDCNSPTKVISDIAALAIKTLGGQK", "MILSIGKEKSEYFSQSTYAVDSVLSENFKRGINSMPPNKIMTYTRVIKRNDSPNNLEFFENIASRRYNINEEIQIHWELSHETIQILNLKAQKATAKYGGRKWTAWFCQEIPIPNGPYKFGGLPGLIVKIEDDTKSYIWELKGIKHEKRNFVYPIRSRDTDAIKITYPQYIKAFRNYRSDPSSNIGEIPDHYSGGKFINGAERKRELVKEYKEDFLKDNNIIEIEMLKRH", "MHHKLDTFYVIGIAVRTTNENQKAAQDIPVLWNKFMTEGIINQIPNKADHSIYCIYTDYEKDYTKPYTTILGCKVNSLDKIPEGMVGKIIESSDYSKQTTKGNLAEGIVYNEWLKIWDMDLDRSYTADFEIYDEKAQNPENAEVDIFIAVK", "LVRVVISKDGKISLFGSKSSGGALEPLELFNGNTLNTIKWNTTGTNTVTATQSVINITYMSGNGTGKQIVTCAP", "MLPKSEIFPSLLITTLTNGAEGVPSRSQIS", "MMKLKLQAAGIPLLALSFAVISCKSTDGSIEDNNTNPSAFNVRVNLSGIENIEETPVLQASASKSSSVSSSPQQAKISLGDDSFIMATLTPQSNTASLASQAQASINPVAAATPPTELGAGVRYKVAVYDNNGNYVTEKEFAYKNGETDGFLLNGGQNYTFVAYSVNSVSSTPSINNGGTLANAKLSSISGDLMYFKKNMTVSGNGVNNLDIVLKHQYSQITTKLDARQVGNISAVTNATISPANSSADISFATDALTYNGSINQPVSFSAQNLPIATSSATQVISNTTTTGQLNLGTVTVDGVSKSMTIDKLKITPGVKYNLNLRLGPCRQDINPVPFSVKDGVTQTFTMPATDFGFVFDIYKLDNSFNLTINGTQMATKEINFQGNDGATRTVRFADGTVYGSDVVPALLRPAALPAKRT", "MMIKENLKQEYSAPALDVLWLEMEQGIAAGSARVVPPNSGGQVQEEWTQDPDDNRTIEW", "LESHALNEEHPQYGIAEYRAIIHKLEDQNTIIISEKRKPNTDIEAYAMRVKGQIDSLSQKGIPIRNIAIIGTSQGGFIAQYVSYYMKNPELKFVFVGSSFKDDSLSKDADLRLYGKILSINESSDKGSISLSDQKRFIKSKLNSFKEIELNTGLVHGFLFKALDDWIMPAKKWATGK", "MKGNFINSDRFIKTTVLFSFILLKFLIQYSLILPEYDLQRDEYLHLDQANHLAWGYLSVPPVTSWISYLIKFLGNSVFWIKFFPALFGALTTIVVWKTIEELGGNLFALVLGASCVTFSVLFRLNTLYQPNSLDVLCWTLLYFFIIKYISQQQFKWLYYAAITFAFGFLNKYNIVFAAIGLLPAFIITPERKIFSNKKLYFALVLAFVLILPNLLWQYQNNFPVVHHMKELADTQLVNVNRLDFIRSQFLFFPGTNIIILIGIFSLIRHKPFKKYRLLFWSFFITLGIFLFLKAKDYYAIGIYPVYFAFGSVYIAHLLEKKSLKILKPVCVILAIASFIPMYLVAFPNRSPDYYITHKETFHKLGMLRWEDGKEYPLPQDFADMLGWKELAAKVDKAYLEFNDPEHTLVLCDNYGQAGAINFYSKYGIRAGSFNADYINWFDLHKKYVHLIRVKNQSERNNELKETSPFFKHSIIADSVTNKYAREYGATIFSFSGAKIDIRPRLQEEINKVKAR", "MKYYLYTLISLSALSCSGQKQVVASPEIIKPVIVTEPVNFDSDDPAIWVNPKDPSKSLVIGTDKDINGGLFVFNLEGKIQKDLSVTGLQRPNNVDIAYGLTLNGRKTDIAVTTERYTHKLRIFSLPDMKPIDSGGLPMFEGQTGEGERDLMGIALYTDPKGNIYAITGRKTGPKDGTYLWQYLLSDSGKGFVEAKLVRKFGNYSGKKEIESIAVDNENGYVYYSDEQFGVRKYYADPAKGNQELGVFAQTGFTEDHEGISIYKTKTKKGYILVSDQGANKFHIFSREGKNKLLKIVKVQANKSDGSDMVSIPLNTTFRHGLLVVMSDDKTFHYYRWEDIAGEELDVN", "MKTQYYKIFALALLLSITQSCENANSMVDTSVEAPNTSGVHGEVFGIWNKNTTIYVSGDIIVPEGKSLVLEEGVTVIMDPNTKPEFVVKGNLYSMGTAVNPVKITGPAETRKPDSWGQVWGGILATPTCTEMLLDHTIIELGGATTTEASTSVKMGLYKAKAGENLPALWFSNTKGKLVITNSIIRNFHDDATYLEGGELIISKNKFYSTGNKGGEGVNIKSGVLADVSYNLFFSNNTNALKLSNSGGRSPQAHVVAYNNTIINTGWRRPDIKGGSIWLESSVYAEVYNTLFANTRFGIKQDTKNKPDTRSKSSNNLYYGYSADAVTGFTPNNGDILSGSNDIISTTPQANDPKFKNYPLNNSYTSALFNDAWDFHLSSGSPALSKGSTNIIRNFPKGLTINNTLYESPEPSSYIGAYGQ", "MKTALQKTMFIALCTTGAAVIAQEFKGKIFNSETGEVVTGAEVQIFPGKQKAYSGLDGEFKFKNLSPGQYTYTITYNPFKTVHSTIVIAEEKNEVEVFMGTSAVKEIERISVKGNKKADSDAFARKREQKLPQVVNIVSGAAIAKSPDISVANVIQRVSGISVERSSNGEGQYAILRGMDKRYNYTLVNGVKIPSPDGKNRYIPLDIFPAELLDRLEVYKSLLPDMEGDAVGGAINMVMKDAPSREEINVNLALGYSQMLMDRKFKTFPTDQINFRSPYELGGKNYQAKNADFPRNNYRIENVTAMPNFSGGVSYGKRFFNQKLGFIGAVSFQNNSRLTESQFFNSSNVDVMKYAVLTSQSDRNYYENQQRLGIHTKLDYKFNKNNSLSLYNLFVQLRDTQLRENLNTNFSNSVYDPALGNASLSYQNRTRLQQQRVWNSTLKGEHRLVPGLLNMDWSAVVSSASNELPDTALFGTLGVRKNFAENHTSPTDASRSWQRNTDNDYAGYLNFGLDLGNRSEIKFGGLYRDKKRSSFYNNYVLKPVNPTAQWGTDYQGYTDIDYEVQNPKGAVANPLTYDATEKTTAAYLMVNTRIEDLHISGGLRMENTNQGYKLYFPQGESKPEMNQKYTDYLPSLSLKYTLNHNQNFRATYFRSVNRPGFYEIVPSRIVYEEFQERGNPDLKRALADNLDFRYEYFPNATDQYMVGVFHKTIKNPIEYTLQPDPTRPQDIFYSPGNFGTARNFGVEVDVIKFFNKIGFKANYTFTNSNIETPKSQKIRNQAGDLETIQVMQKRPLYGQSKHIANLSLLYKDVQNGWDAQLAGSYTGERINTISQYLDNDIWQKGFVQLDFSIEKKLKNGVTIFLKANNLLNTPMELFMKGSNPENQNIPYQKVSDNETLIRKDYYRQTYLLGVKFKI", "MIKTKGYAVQDPNSDLASWNFERREVGAHDVQIEIMYCGVCHSDLHQIKNDWFPGLFPMVPGHEIVGKIVKVGDHVKDFKVGELAGVGCMVDSCQECENCKNDLEQYCLEGNTQTYNNLDRSGHPTYGGYSDTIVVREEFVLHISEKLDLAATAPLLCAGITTYSPLRHWKVGKGHKLAVLGLGGLGHMAVKFGVAFGAEVTVLSTSPSKEENAKQLGAHHFVVTSEPEQLKAVKGSFDFILDTVSAEHDMNLYISLLKTDGVHICVGAPSKPMELGIFPLLGGRKSVAGSGIGGIKETQEMLDFCAENNIVSDIELIDIKDITNAYERMLKGDVRYRFVIDSKTLTN", "MEGQKDIVFDKLVYSCAFEKYTGQEEFIPEHFLGFQLSGETHAQHARGNTIVPEGSVVLVRKNQLIRSTKYPSKEGKYEFLSITLDKEVLQQYALEHKIVTEGHSEGMPELFFEPNNFLKYYFLSLIPYIDQDINIDSKLANLKIREALELLLQSNPDFRHLLFDFSQPYKIDLEEFMNLNYKFNVSTESFARLTGRSLSGFKRDFEKVFNSPPQQWLRNKRLDEAYYLIKHQKQKPADIYLDLGFENLSHFYFTFKQKFGKTTSEI", "MNILYNEAVKAMNASIEKSKELGIPVSIAIVDTGGHLVSLARLDSVYGVIDFAVKKAKTAIMFGTDSDIMGSMITGSSIHGYGMINSNNGLLTIPGGTILKNKEGNIIGAIGVSGGTPEQDKEIAYAGTYIPL", "MSKTILITGAAFELAKKGHSIIATAQTYPQMSDLITEAKEKGIELTVDKLDITNLRDIDYIHKKYDIDILISNAGIMEGGPIAEQPLDLIRSMFDVNVFGALELAQGFIKKFVAKKSGKIVFTSSMGGLWTVPYVAAYCASKHALEAIAEGLKTELEPFGIKIATCNPGVFGTGFNDRGVDSIFRWYDPNVNFTPSSAFDGASDSLAHQLDPTSMAEVIVNVALDDQSNFRNVHPKETEDFVKQLQADAWNAKS", "LKSLISIFIIFTIALRPVLPLLDYALNYDYIVNRLCENRNRPQLDCNGICYLSKELVKVSDSSPKQENSKINISNFIDAFIVNETFTFKSLFDNMTHKVKPSVYYSDFYDFTSQTRIFRPPLVSLF", "MKQYILVMLCSVSIFSCAKQEPTVKHVMKAGEGPNLKNVKVVNEDDPVCHMKTAEFLKDTAVYKGNVYGFCSDNCKKTFKKNPDKYVQK", "MKSSSKRKSQQSSRAKIVVPLIVLAVVFVTIGLGIGYFKRDLYTVMKVPEFNLTDQNNKKINNHDMLGKVYLVEFFYSRCPTICPVMNQNMRHIEDEVNNPNFGIISISIDPTNDTPEVLKNHAAMMGAKSPNWHFLTGSRDYIGKIADQFNIYVGDKEDEAESLNHSGMIALVDQQGNIRSRYGKDGMPILYYSGLNYEDPQGKNAQLNGKYHPDRELLIEDIKKLLK", "MKLFGAATLGFVLVAQLVSAQFKQVPLPYAYNALEGSIDAQTMEIHYSKHGAAYVANLNKAIAGTSLEKESLVKILSGISKQSLAVRNNAGGHYNHELFWTILTPEKNTQPSEKLAKAINQSFGSFDAFKEKMSKAGADRFGSGWAWLIVTPEKKLAITSTANQDNPLMDIAEVKGIPVLGIDVWEHAYYLKYQNKRADYLSAIWNVINWKEINKRYEAALNGKTE", "MKIIPLKEGNFSVNSQKEFVLLENAGISPGLKMAIQPFLIITGQDYILLDAGIGWKEGNMQKIFQKLAEAGIKPEQINKILLSHLHKDHISGLVNRTSEGMELNFPSAEIYLQEREYNFALTKEGSSSYDLDILRFTVQHSQLVWMNENSGNITDEISFEVTGGHSPFHQVFWIKENNETAFYGADNLPQSTYFKYHLAYKSDYDGKKALQDRIKWEKQAKEENWKILFYHDMEYPLLSL", "MSITTKAKKDSKRFRNIKLCIFLSGLSVFAQLYLFQPLLPMVAEHFNRSVGDSSLLVSSATIGMAIGLFFFAFKADQFSRKKLMVFSLLASAILTIISARIESLTLLIAIGVVKGFVISGVSAVALAYLTEEVDLAIVGLAISMYVSGNTIGGMSGRILATIVSGELGWQTAVLVIGIESLLLGLIFWKFFPESHFFTPQKTDFIQKFKQMRRFLSDPYMLRLYGIAALLMGVFVSVYNYLTFRLETPPFSLHHIFVAFIFLMYTFGVFGTMATSRLVKRYAPENILKVFIMSMFIGVVMLFSKHIYILIIGLALLTFSFFAVHTMASRMVAMHAKEGKSSATSIYWLVYYLGSSVLGSGTGYLLHATSWMGFILFLMFVILITFFLTAKSNRTNQNQIN", "MKNLLHLLVNGQHYFINILRISVFIVMAWIGGLKAFQYEADGIVPFVANSPFMSFLYQKKAPEYQDYKNPEGKMLKKNIEWNKANGTYIFAYGLGTVIILIGLLNLGGIWIPKFGLYGGILTFLMSLVTLSFLITTPEVYVPDLGGDFPTPQYGFPYLSGAGRLVLKDIVMMAAGLVTASESARQLLKK", "MLIQINHITTETNQDPQHKAFYQVFLIQSPTKVIVDFTTYDITTPALLFLSPYQHITWAGKSSEVITQLLFHGDFYCIEYHKQEVACNGLLFNNIYLLPYVGLSPESLEEIEGIILKIEKELDDHSDFSEAVIKAYLQVILALSSKVKKSYIEDNTAIVLTVENNEGSEFQKLLEENFSQEKSVNFYAEKLHLSTDSFSKKIKNQLGKTPSAFIQERIVLESKKLLHLTRLSIKEIAVQLNFQDEHYFSRYFKKNVGVSPSEFRTKTGISIVAE", "MLKRNLKLRDFSLLISFLNFLLFHLPFFKFVVANVDYKTFSGFSIIISLVILMLAANFFTFYLILFLSRIAGKVLLVLFFIINSIAVYFINTYSVIIDESMIGNILNTNYEESSSFFSYKLILYLVILGILPSIFIIKAKIIKEVPKKFLITSSLTLLFMVILAFANASNWLWIDKNSKTLGGLAMPWSYSVNISLFYIHQAKKNEKEILLPDAKIKDTQKSVMVLVIGESARRENFSLYGYKKNTNPLLSKTPGVHSFNATSCATYTTAGVKCILEHKNTDDLYEILPNYLSRNDVDVIWKTTNWGEPPVHIKNYQNKESLEAKCKGEDCGYDGVLLNGLKEEIMASKKNKILIILHTSTSHGPTYSKKYPSRFETFKPVCNSVELGNCSKEQLINAYDNTIVYTDYILHSIIEDLKQLNGYNSAMMYVSDHGESLGEKNLYMHGVPISIAPKEQYEIPFIVWVSDGSKQLKPSNTVSQNQVFHSVLNFLGVQSPIYDEKMNIFK", "MHKTTTDNYIKLHLYLFYVPVILVALCILFLYITGSLNIGSYIQLQTPLFFLINSKLSQYPSVQYNLTQLGDALVFLSFLTILIVYAPKVWGALITASIISAVFCTPIKTLFAVPRPAAVFDNNSFTIIGKTLSGHNSLPSGHSITIFTILTVLLFSFMPKKLNYKIIWIATFTFAGLMIAFTRVGVGAHYPLDVIAGGVLGYLSGILGIFINQKYKTWTWISDKRYYPVFMLVIAICSIVLINKLINEKLIIFYLSLINLMVVLHIITNIYAKKKFKITRFFIINKLS", "MIMQINYNIFRDLNLNDEWVNKGDVMRLLNVENKISCC", "MKNAVIFLMGSCFISYSSSLKAQEKTAGKDPVKNIESVNVSGKQNLDALTVPRKTLDFIQSGTLGETLSKIPGIQNSYYGPNSGAPVIRSLSGNRVRVLENGVAVNDLSGISPDLNIDTEINNVQSITVYKSSAAVLYGGKAIGGAVDLETDYIPRQLPAKALEFKGFLEGGTNSGQRQGFSAKGKVGKNWAWTVGANNYKQDVVRIPGSSKDPRCYDPQLVGFDSGLQAMCQLDVRSRNVLNKSLFPYIDQFAKDHFVEYELSEDDLYTFRPTYRNSKDGKDYPNPKNDLYIPGQDPTKDRYTREVNSIKDLGPVKDGEITNSHSERNSFHIGTGYVGESFYVGVAYQNSYSYFGAPGYAMPKMPEHSHGNKPQEKIDYLPINVMSLAHKAMLESAYKFRNFPLASIKLSYMGVFSENTEMLGRRRANQLDVNQHNGRIEFVQQKMKFLTGTTGVEVQYREMDGSGNLRYLPNNISREIGFYTMQHLNFNFLEFDLGYRNDHVQRRADADKNYVRSRGMSGGKLSDRDFTLNQYHAGMTWNIFKKAYLKAQYNHSERAPEINELYAGNNHYALMIEENGDDRLDKEVAKTWEIGGGLNLKNVRLAASWYHTKYDNYIYLAHTGISRAGGFSVKEWRSDNTEINGIEAEAAYKFDLTKFGKWEVGSYFDLVRNISVADSSIRKWSDGDYMPNMPTSRFGFNLAGTIDRFSLNVSLDHYMKQKYLGKNINPELPMPAYSLLNARISYESTLSNLNIEYYVTGNNLLNKEARPQNSPLKFLAPLPGINISVGVKIKV", "MDERIEKLKNMQTGLLIDVVKNHKKHGYPLELREAAIETLKDRGITSEELELSGNLYNLQYEEAMTEYRKFNINSTLGFILYILAVLTAFGRSGISIIIYLAAMLFIGLAFNNSKRIAQITKDDLPDYYIVLLPSFFFYFIMFFITRKQVKERIDLMT", "MRNTIAKAKILELINHSEVALSHSEIEKLTEGLCNRVTIYRVLDRLTDEGHIHRFINIDGHQKFAACHHCDAQHHHNHAHFSCTQCGTVSCLEDVEPVYKLPKEYKVQDMNFVLSGVCPRCVK", "MKFLIFLLLPLAIFAQNDSLNKQTKDSLVSIKHSSIIQDSLPARTSFQLKPKQFIIPGVLITYGVLATETKWFKKINFDTRNEITEDHPTFRTTIDNYTQFVPGAAVFALQAFGVKGESSVKKELIVYAMSLGISTAIVVPTKKLTHQERPDGSNNQSFPSGHTALAFASAEFLRREYWNVSPWIGIAGYAVATGTGILRMYNNKHWLGDVAAGAGIGILSTTLSYWLYDKIHIGGNTKHQAFLFPGYSNKQFTIGYVKQF", "MKKSFFVTYRSPLLILIFLILTGGIYSYTKIQSALFPQVTFPKIKIIADAGQQPVSQMSVGVTKVLENAIKKAPDLQVLKSTTSRGSCEISAFLSWNTNVDLAKQQVESSINEVRNQLPPETNITVEKMNPSILPVMGYSLNSDTKDPIALKQLALYTIKPFLSQVPGVSEIRVIGGQDKEFRVLMNQQMMARLGITSASVEQAINNTNFIRSNGYSSDFRYLYLTLTDAQIRNENQLKNLVISNNGNRIVLLGDIAEINIHQAKQYIKVNANGKESILIAVIQQPNANVVELTKAMDAKINDLQKTLTKDVVLKPYYVQADFVNDSIKSVTDALWIGLLLAIIVAVIFLRSWKASAVILITIPITLSLTLLVLYAIGQTFNIMTLGAIAAAIGLIIDDAIVVVEQIHRTHEEHPEESSRTLVQKAINYLLKAMIGSSLSTIVIFLPFILMSGVAGAYFKVMTNTMIITLVCSFFATWLLLPIVYLILSTGKIKEKNLQHHDVKERKWVGFFIHKPVFSYAFISLLVISTAFILPNISTGFLPEMDEGSIVLDYKSPPGTSLEETDRELKVVEKIIVANPDVAAYSRRTGTQMGFFITEPNTGDYLIQLKKNRNKTTAEVTDEIRSEIEASGLPLTVDFGQVISDMLGDLMSSVQPIEIKIFGTDQKVIENYSKKVSDIVAKVNGTADVFDGIVIAGPSMIVTPKLQALAQYQIPLPDFQSQLQANLDGNIAGNIFDQVQFTPIRLLYNNRTNQSLSDINNSMISLPNGTLKPLSEFATVNITSGSAEVNREDLQTLGIVTARLDNGDLGGTIKEIQKQIDQKIKLPAGYNIVYGGAYAEQQRSFKELFVILGVSCLLVFSVMLFLFRNIIVAFLILFISVLGISGGILLLYLTNTPLNVGSYTGLIMMVGIIGENAIFTYLQFHESLASKTKEQAIIYAISTRLRPKLMTALGAIIALMPLALGIGTGAQMHQPLAIAVIGGFLVALPLLLIVFPTLLNKIQLKHTEE", "MKKFLYLILVITSALSCKKNDAATTEESNTETKPKTEVVVAYPSDTIHLDNNVTLNATASYLLKSDAKANSTGYITSMNVRLGDRITHGSVLFGLQTKEARALGNTINKLDKSFRFNGTTTVVSPATGYVVMLNHQIGDYVQDGEILATITDASSFGFIVDVPYEYLKLIKDKSGLLIKLPDGSSLPAKVAKVMPTVDAVSQTVKVLLKVPHSDNIPENLIGTVSFSKSTAYGLAVPKMAVLSDETQSSFWVMKMLGDTTAVKVPIVKGAETDKYIQIVSGNLSTKDRVVTSGNFGLSDTATVKIKKQ", "MDSVYKYPAWCFLALIALSLCFSVKAQTGHALPYFIKTAQANSPLLNDYNNQVTFNKIDSLKLRATYGFIVTGEATAGYSPNIKGWGYDNALTNGQSLFAGVRVVKEFISRNNLNTRLKAYDANIGQILAQKNLSIQTLNKQITDQYIATYSSQQRYELSQEIISLLNQENIILKKLTQSSVFKQTDYLTFKVTLQQNELTLQQQQAEWQSNYALLNYLCGIVDTRFPSLEAPDITKTLSPVDFKESVYIQSFKADSLKIANDSEIIKYNYKPKITAFSDGGYQTSFAQTPYKNFGLGLGMGVSIPIYDGRQKKMLLQQNQLALQTRQKYLEQTERQYQQQIFQIQNQMDQYYSMIKTANQQINYARTLVEANAKQLPTGDVRMVDFILSINNLLSLKGNIIQYKSTLFNLQNQMQYLIIQ", "MKMTILALVFATGFSTVALAQEKKENVKVPSVVEQAFQRQHPNIKAKWEKEDGKYEAGFKQNGKKMSVLYTAAGTLEESEVEIATDQLPASVPQYITQHKLGPIKEAAKITKANGTVLYEAEVKSGDALFDVKGNFVTLKKD", "MKLISYISRRYIVYAILILLIAIPAFYFSLRYLMLKSLDENINHQKAWIEKQLKTTIPDNFISFENSIIVGPSNNLKVFDSLYNQPVFIPDDNETVMHRISVSNTIVNGKPYEIRIQKSMIEDEDLLNSILVLQLVLVIVLLAGLIAINFQLSKKLWKPFNDIVHKLSLYRVDSNEDYQFIPTNIEEFKNLGSSIKDLIKRNQKLYRTQKEFTENASHELQTPIAVMQSNLELLMQTSPISQEQADLIEEISVAGNKMQRLNRTLLLLTKIENNQFPDTEKLKINTSIQKLLSQYEEPAAQKDIQWEIHIENEIEITANPILIDILIGNILSNAIRHSENDGKVLVRTSHQELVIGNYGYNELNKKYLFQRFKKQSENTNSIGLGLEMSKKICDLYHYDIQYQFINDMHLFSVNFN", "MKILIIEDEIALSKSIATYLKQENYLCETATNFNTAIEKVDSYDYDCILLDISLPDGNGLSVLKNLKENDKTDGVIIISAKDSIDDKIAGLTLGADDYIPKPFHLSELSARIAAVIRRRRFNGGNILVFHEITIDTLAKTVTANHQTIDLTRKEYDLLLYFTINKNRVLSKTAIAEHLSGENADVYDNYDFIYAHIKNMKKKLAAAGCNDYLKSVYGMGYKFEY", "MDSISVLHMGLFQENNASSSFYFNTMKGHLKSSHKHIEKPHRHDFYVTVLFTQGSGVHEIDFQSYDVQAGSLFFLSPGQIHSWELSDDTDGYIFFFSQEYYNMHYVNQKLKNFPFFASVSFPRKLQLDNQNLHKINSLFTELQEESLQENLMQKENILALITRIYIQSVRLFSKEQQLLTSGNVFSYIRHYQEFEALLEEHFLVQKSSSYYAGLLGITAKHLNRITQTVVQKKASDIITERVILEAKRMLIYLNESLTDIAFKLGFEEYSYFSRVFSKNTGETPSHFALRHKS", "MNTQFQAPVDLQEESFRNEVGTMDKTGKRKWVFPRKPKGKFTNYRDYTSYALLAVFFILPFLKINNNPFFLFNILDRHFFIFGQPFYLQDFFILAIGAVTSVIFVMLFSIVFGRIFCGWLCPQTIFMEMIFRKIEYWIEGDRNKQIKLDKQEWNAEKIRKRLLKWSVFLLIAVVITHFMFMYIVGYEEVFKIMQEGPAAHPVNFIVMIVFTGLFYFVFSWLREQVCTLICPYGRLQGVLIDKQTINVYYDYKRGENRSKWRNNEDRKALGKGDCIDCNQCVVVCPTGIDIRNGQQLECINCTACIDACDEIMEKVGLPKGLVRYATEQEIEAGTKFKFTPRMKATTVALSLLVGLVGYLMYDRGSMEAKFLKVPGTSFQITDGKINNLYTYTFLNKENSKKIITIKVLNPANASITYYGPVKITLKENEIIKGNISIAFPEKEMRLSKQNITIGIFDEKGKMITSYNTTFEGPFKFAL", "MTKVFENYLSSIEGLSAEEINFSSQLFKPILVKKGDFFIREGEYCPYIGFIANGAVKAFAIDKEGKENITCFKFENEFVTSFQEFITQQKSRRSIRAIEDSIIYRISYPDYKDLLSQVSTWNNVIKLVIEQEYNQKERYMLNYNNKSAVDKYYHILYNEPMLIKRITTQDLASYLGITQRSLTRAKGQIHRPNVL", "MPRNSINCYIIEGVLVDSGIRSSYTTVKKALQEIPVYQHILTHAHADHQGCSDQICAEFSIPLGCHPDEVFRTETGMVTTDYPNPQHWVARLQQEYWAGQGHTVEQTIGENDKIGNFSVIETPGHSQGHISLFREHDGMLIIGDVATNMNLLTTVPGLRLPPNIFTLDQQRNIKSLQKLAKLNPAIICFGHGPIMRNTDRQFEQFVAKCSAAI", "MKRISVLTLSTCLLFGSQLVLAQDRITAELESHKVGLPNGWTLSPVGKQIELGDLPLNLVISHDKKIAAVTNNGQSTQTLDLIDLSSQQKIDSIEIPKSWYGLAFSSDDNTLYASGGHDNMIRTYSIKNGKLAPKDSIVLGKPWPNRIGIAGLAVDDKKQQQLYTVTREDKKLYVIDLKSKAVKSSFDLGAEGYACMLTPDAKQLYISVWGAEKVLVWDVSLNKVTKEIAVGNHPNEMTFSKNGKWLFVANANDNSVSVINTKDGAVVETLNAALYPNAPSGSTSNGVALSEDGKTLYIANADNNCLAVFDVSNPGKSVSKGFIPVGWYPTNVKVVGKTILVTNGKGLSSKANPHGPNPTDQKEKVDRHSGDQSKPNEIQYIAGLFKGTLSFIPDPDPEHLAVYSHAVYKNTPYSKDKELLTEGEAGNPIPMKVGEASPIKYVFYVVKENRTYDQVLGDVNKGNGDPSLCLFGEKITPNQHKIVNEFVLLDNFYVDAEVSADGHNWSMGAYATDYLEKTWPSSYGGRGGTYGGEGEREIANNKGGFIWDNAKRHQVSYRTYGEFADKGKPNVKSLEGHVAPGYTSYDLSVADTLRFSQWKADFDQLLKEGKMPQLTTIRFSNDHTEGMRAGKKTPYAHVADNDLAVGLFVDYISKSPIWKESAIFILEDDAQNGPDHVDAHRSPAYLISPYVKRKSVDHTMYSTSGMIRTIELILGMKPMTQYDAAATPMWRSFTNQPDYSTFNHLTANVDLNERNPTKGKLAVLSDKYDWSKEDAVPDLVFNEILWQGLKGTSAPSPKRAAFLKVNNKNEDDDD", "MKKILSLATLFFSLLLFSQNISISQFKKVDSSNIKSLISYLGPIIKKQTAESKEASDNDNLFRMNFVMGDYKTALEQLNAIKNSYMKGNPKIATAMGAQYEVYMNTLQQLNNKQDFNKTYQAELLKKYNSLASNSQVFMPDFFSISPKETKEDLNSFIKNSITGKSSIDLKTAMLLFRRYASNLIAEVTYTKGLPFLQKLDKESFTVNDSIIVHTKDKKEISISTVVNKKIQNPGPVIIVNTIYSAPQNIETAKQLASNGYSCVYINTRGKYKSKENIEPFEHEYEDITEVIDWIVQQPWSNGKVGMIGGSYLGFSQWAATKKLHPALKTIVPQAPVGIGIDYPMSGNVFMSYMLRWIYFTTVNKLTDYVGFSDEKKWNDLYKKWYTSGQAFNKLDSINGQKNEIFQRWLKHPSYDSYWQGMTPDPKEYSKINIPILTTTGYFDDDQLGAMYYYKNHIKYNPNADHYVVIGPYDHYGPQGYVMPEVMGVKIDPVAELDINEICFQWFDYILKGKSKPDFLKGKINYEVMGDNIWKSANSMQEFDQTKVKYYLSEELKLKENKASKSAFTTLNVNFKDRSDVDKFILTDEPQLISASIQEKDNSSLFASEVFDKDTEVTGSFSASLNFSINKKDCDIVAELYQVLPDGKYFLLSNFITRASYAKDRSKRQLLTPGKKETIPVTGTPFVSKKIEKGSKLLLKIGVKKNPAWQINYGSGKDVSTETIKDAEVPMEIKWYNDSFVEIPLKK", "MKFQDITLILTGITTALMAGLFFSYSVSVSWGLGKLADKEYLQAMQSINREILNPIFFACFFGALITLPLATIQQYQHNQTAFLLLLLASLFYIIGVFGITSAFNVPLNDKLDLLDLTKTSDVSIKQMRESFERPWNNWNTVRTFSSVISTALVIIACIYRK", "MSFQEIIPNEPLSLFIKSILIFENSENSEITKLPFFADGYPGLLFHKTDGGLTVIPHHKEMPDLFIYGQTINPIELEIKGSYSIIVAQLYPFVLKSFYSIDPKSINDNCYIPGDTEEVLSNLRSSGTTDDCIELIKAYLLNNFEKRKDNLDFKIRRALEMIIAGNGTENIGQIAKELDFNIRTFERRFLTETGLSPKQFAKIIQFQTSLQRLTAKDYNKLSDIVYENGFSDQSHFIRVFKAFTGKTPKSYSS", "MPSKTITFYKFSFNAVTFVMIVLLCTSCSSIVKSSKTATLTTDYCRPNFSYQYPEIVTTFSNHEDSTLIKKFPEQDYALSKNIGILSLLSQYVNAKDPLKKLIAKQKVSDQLMITNSGINAMAAELDCNGERIQQLSNYLGDINNKNIRKLTVGSIILGAAIAVSSSLINNNNTNKAINIGGGAAGAALGLLTLNPKGRKVQMDIQRNLLRNIWNNDNSDKAFPPDLWNILNEKSFSNSGNKSMRETLKERWQLYAFNGQSDAETLKLFFGNGGTFRADDLQTMSNMYNELQASVRSVQQNMQSLMLKINTLNTEN", "MNMKDFINTVVLVVHISGIFFLIYLLKKHFRTGNKIPEINKEKKQIKINLETLNKLVSLAHENNPKFYEKFKEAYPYFYKRLLKVNPKLSYSDLEYCALIRLNLDTKKIATIKRSTVGAIESKKYRIRKKLDISTEENIYIWMMDK", "MNWIILVIAALFEVGFASCLGKAKETTGTESYWWYGGFILCLTVSMALLIKATQTLPIGTAYAVWTGLGAVGTVLMGIFVFKDPAGFWRLFFLFTLVSSIVGLKAVSH", "MDRRRFLKGTAVLSGFLTINPIGLFAGSTNPNSARKKTAKNIIFMISDGMSSGTLAMANQYSLNTLGKNSYWIGLYLENKVSRALMDTASASSIVTDSAAASSAFGGGKRVKNGVLNIGANGEIHTPIWQKFKKAGKKTACVTTVTITHATPAGFCINSEKRNAEPEIAEKYAQAGFDVMMGGGDEFFNAAKREDKKDIYSLYQKEGYQILKSRSDLQKAEKGKKILGVFSTGALPYSIDRNNITQLQNTPTLSEMTKTAIDQIKDNKEGFVLQVEGGKVDWAAHANDVAALIHDQLAFEEAVKTVMDFAEKDGNTLVIITTDHGNANPGTIYGNDATKSFNTIANYKYTNEYILNNIHESFNLQQMKDWIFETNKFSLTDEEAKHLLSFYTGAEKQEEGLYNYKKMPFKAYSEIQKKHNSVGWISMDHSGDYVELAMYGPGSELLKPFVKNTDLHYLMLEATAVQT", "MNTDSLFSPFIYKNLHLKNRIVMAPMTRSQSAAGIPTPEMADYYSRRAASEVGLILSEGTVINRPASKNLLNIPNFYGTEALQGWKNVIDQVHAEGGKMGPQIWHVGDTRMSKEYPEVPMEAAAKMSTSDIEDTIRQFAASAKAAKDLGFDCLEIHGAHGYLIDQFFWEGTNTRTDEYGGKTLKERNRFAVEVVKAIRAAVGEDFTIIIRLSQWKQQDYKARLAATPQEMEEWLLPLKEAGVDIFHCSQRRFWEPEFEGSDLNFAGWAKKITGQPTITVGSVGLKGDFLSAFAGEGTEKTDLSELLRRLDRQDFDLVAVGRAILSDYQWVQKIKTGNTEELSDFSAESLKVLY", "MKQKRIAECDCPLVKAMSALGNKWKPVIVRVIKDRTLRFGEIAARIHVISRKVLTDQLREMEQDGLITRAEFKELPPRVEYTLTEKGLALLPILFMLEDWEKQYETKEIPEVAEV", "MLSGLFQGQLKYNNDIIKTCAGQTELCIPKLKGKCVGVITNQTSVIGKTHLLGSFIYRGINIVKVFGSQRGF", "MIQTILITGASSGLGKETAKLFASKGWRVIATMRQPEKETELNQLSNVHLLKLDLTNPSQIIEAAKKAEEIAPIDILLNNAAYGLIGPFEGITQEQLSQQINTNFLGTLLVTKAFLPYFRARKQGTILTVTSSTANISYPFVSVYAATKSALQNWTEGMSYELNQFGIKIKTIVPAYMQTSFGNNAQIATHPDYDTLFNQYLTAMKQDSNAKRDVPEDIATVIYQTAIENKDQLHYTAGDLATKEYDWLKEEGIEKVMHTMQKRFF", "MEQFIIFLNKFGIFSESQLSHITSKATKIQLNKEDHFIESGKILKQVGFVLEGIFRIYYYNDRGQEISKIFLEENHLISNLKENISTEYIQAATTCQLLVFSNKDWNEILLEIPQLEHIIQEINRQALVEKLKRVSPLIAQDATTRYLEFLQKYPTLVNRIPLSYIASYLGITQQSLSRIRKNI", "MSKAKELLQDTDKTISEIAYELGFSHSQHFHRAFKMTFDTVPSVFKKNDR", "MKAAILEEFGPVTNFIVKEVPITKPKFKEVLVKVFATSINPLDYQIRRGDYKNELSLPVITGHDISGIIVELGQGIENFKVGDEVYYSPEIFNGQGSYAQYHCAHESIIALKPKNISHLQAATLPLAAGTAWEMLVTRAQLKINQTILIHGGAGGVGIPTIQIAKAMGATVFTTAREVHHDFLRELGADYVIDYTRENYIDRINQLTNNKGVDVIIDTIGGTTLTDSGKVLSQLGQVVTLVDIAQPQNLIEAWGKNATYHFVFTRQNRNKLDELTKLIEQGKLKPIVTKVFSLEDMDKAHDLLETKSSNKDFYGKIAIDIEH", "MKKTITKLPIPTLLTFGVTNASSAYAQSNLISINQNMENHSIHYRNIKVAELKIFFREAGNPNKPTLLLLHGYPTSSHMFRNLIPILSKQFHIIAPDLPGFGFSDAPDHKAFNYTFDHLAQVMQSFIEQLQLKQFALYVFDYGAPVGFRLAMNNPEKITGIISQNGNAYQEGLSTEWDPIQHYWRNSSDANRKALHGFVAKEAIWFQYHQGVKDSSLIAPEAYTLDQKFLDRPGNIEIQLDLVRDYQTNVALYPKFQEYFRTYQPKTLLVWGDKDPYFLPEGAKAYKKDLPNATLKFYDTGHFALETHVNEIGLEILEFLNNLSN", "MNTNDLKIFEAVAESGSFTKAAELMFTVQSNVTARIKSLEEEFDTKLFSRTSRRVDLTEEGKILKRYAKQVQHLVQEAKNEIKSSDVISGHLAIGCMETTMALKAPEILLSFGQKYPNIDLEFKAEDRDVLISDVLNYKLDAAFVSAPLNTSGLEKIKIKEEQLVILASSKQPSLNKLVVEEPLKSIVFDNGCIFRERLESWFTHKGVHNYKSIELNSIEGIINFVEAGLGISLLPEEIISQYYQNRKICTYPLSKQLGTMTTLLVYRKDKITSKALQSFIDMYL", "MKKQRTELGPDCKMHLRGVEDTVYLLSGKWKTIIISHLYFAGKMRFMDLKRQLEKVAAKTLSKELKELEMNNLVSRTQNNTMPVTVDYELTDFGKSLHDVIDTMSKWGINYRKELLQNGNK", "MMKKTLLYILLLGLPLTGNQYITAQDLSYQQKISLLDKENQALSFDSDLKLSDAETALDKKLFQLRKEFLTETEKQKIPLYNSSFNQIKPLIENSKLFDIIQSIPKGGLLHTHSGGITDAKWIIETARKYKESYIYVQKDNDQYIFGQMAFFAKDHVPAGFVNLDEKLSSDPSFEKQLQELLILKRDQLCNYTDYWIEFEKRFKRTGSLLSYRPFFKAYYLKGFQYLIKDNVQHVEIRFIFDQLYDFQRGKYSLNTSITDLQDILKEIHKTAPQFTLKLIYSSFKFLDSKGVDKQLEAAFQLKKEFPDMITGFDLVADEAAGNSIYSFHESWMKLNSLSKKYDVDMPLFLHAGESNSVFNKNVLDLTLLNNKRIGHGLNLIYFPKTMELIKKQNKLVEVSPISNQILGYVSDMRNHPARVLLSNGVQCSINSDDPGVYGYEGLSYDFWVAFVYWELDVKALKKLVYNSINYSSLNEKEKKEAILYLDRQWIDFVTKMNQKLN", "MSLQKTLNWRSATKAYNGKTIEKEKLEQVLEAIRLAPSSSGLQPFKVLVITNKELREKLRPISRDQDQIVKASHILVFAAWDEYTTERIDSFFEFSNQVRNLPDTTTDEYRLNLLDLLSKQTKEEHYVNAAKQAYIALGFGLLAAADLRIDATPMEGFDNKAVDELLDLPAQGLKSTVILALGYKDEDTDWWSRLDRVRRPTEELFVEIN", "MNIKTEQPKGFSLSFLNNKTTFWFLLCGFLIISVLLLIQTYNRALRIPDGNDFTLYLHASRNFFELKNPYIIESEFDFLYPQTLCIPLYPLTLINYKIAVFIWYLSGLIALYISFNECMKMTNGDGEINRYSIFFLSFITLFAIAQDNFLNGQVNFIVLCLCVLFFRHLRKSQYILSALFLALAISIKVTPLIFLGLLFFYKEMRTIILTIVFSAILIIVIPVLLTNLDFVLSNYKHYLDIFILHRTTNFSESNLHSGYSLTMFLSKYFGKYALVVSATLSLAYLFIKQLREKTLSPLVFAGYLLCILLISPMSEQHHLIFTFPLLILLLMSNTNRTVNLIVLLLFIIFSSAKIEIFISLLILYTALVSQGGKESSNAYSKIRNAQIPI", "MNYQILRTIIDTELKRFETISEDEWSYKASPEKWSRKEIIGHLCDSAFTNIRRFVVTQYKENENIVYDQDEWVKAQNYQNIPTAEVINLWKSLNYQIVHIVENIPDEALQRTSDISKATPEILTLEVLIKGYIDHLHHHLETI", "MKKIFLLVIISNAVLLKAQITLAVKSNLIFNTYSPNWTLSSNWSGNNSGVKEITNNSKDISGFNIGLSTTIKLPGIPLFLMPEIYYTTIGNKAVYIDSNGNLFNLKTKSNRIDIPVLAGITVIKPLRIFLGPVFSTNLHNSGSSGDFKTIHLNKFLLNYQIGASLHISHLIINVRYENSLSKDQQKFYNREKNILIDYKNRQGLFMMGIGYQF", "MNSQRLEIIDALRGMAICGIIFMNIPFLLDIPFQMPQADRYLLSRFEMIFRTKFYVILSFLFGVSAFIFVDHLRQKNLNPFLLFFRRLVFLFVVGVIHSFLHPGEALTVYAIIGLVLIPAFLIKPEWNFFVACCAIILCIFFIPTYISVLGLFYLGYYIGQIRFFYSTQNYTRTLVWVLIISFIMALWGFYLLSEFKDTSYYFKILTLSGIMQAFTYMSMIILLYNSIPLAKIVLRMLAAVGRLALTNYILQTIIILLIGDAFGLKAKIYYTNAIYIATGIIIFQVTISNLWLHLFSYGPLEWVWRKWTYSFTL", "MENFLQQDNRIVELDILRGFALFGILIVNIGLLGFPAFDINPRDYWHEPYDQFTVKFLYLFAEGKFISIFSFLFGLGFIIFMKNANSKGKNAKALFLRRMAILFIIGLIHGYFIWFGDVLMVYSILGCILYLTFNRKNTKYPLWALLLCLSANLFFTILIFLDKEPVNNLNTIKESINNVHHIIDTYSKGTWQEIFSQNHHDLLTSKYAYTLCSPQILSMFFLGAFAGEIQLFSNIKRLKGFIITSTFYCFVLSIFIVIFNYYLIDTPPNTILFNAVDVFNTFIGSPIISLLYILIFTLLLQKEWFYILMTPLKVVGRMALTNYVFQSVTGIFLFYSMGLGLYGTISPAVSMLIALIIFTIQIALSHVWMELFRYGPLEWLWRIGTYMKLVPILKK", "MIKVLIVEDEEHNIEHLQDIIENSSFDISVVNILGSVQDVISWLSTKPQLDLILMDIRLSDGNSFEIFDTINVDTPIIFTTAFDEYAINAFKVNSIDYLLKPIRKQELEQSLSKFTKFSSPHNNQDLLQKVSQLFNENKTNYKTRFLLNNGDRYVILETTDICYISTEFRRTKAFTQDKLSHVLPYTMEEIELSLSPDDFFRASRQYLITLKSIKSVKNNLYSRITVVLNDDTQIDLSRERSRALKIWLDQ", "MYNNKIKNRLVFILIISGVFIFFRYIVTPVSQYNHLTLSENISTFIYDIITFIALSWLIKSLGEAFFSKINDKNLNFKQLLRLCMIQTGILLLITIAFIIFQNYFFHKIGYLQDKNWLRLKELVFILIWVSIVVFGAEMGKILVKKWKQSVSDYSNLSQKMIFWQLTALKMQLDPHFMFNNYSVLNNLIKKDPQKAGIFLDKLSNIQQYLHQNIEHDLVTLEQEITFIQQYFYLMKIRYKERIDLNINIKAFSSHIKIPPLTLQLLIENALKHNKATLESPLIISIGIEDNFLIVENNLQIIVPLSSSSKIGLNNIRERYQLLGDQQITIEKTRDKFTVRLPLL", "MINKDKYKWNKYWWLGLLGFVGIYELPVVIDVFKNNEPKWKIIELLWLLWFSYFIPEKKKKE", "LEGLKKLVICFIIQSVAIIVGVTFIILLTDMLISLGGEKPADNWEELKRMLFVAVLMSVFILFVHHGRNLILEWRANLIKHEDIKKKALQWELTSLKLQLDQNFMFNNYRSLFNLIQEDREKALTFLEKLASTHRYLLLNAEVNLIKLKQELLFLKDLGDLIKEHYEGRIIFKINIKESDLNLEIPPVSLHFLADHAIQSNLAFLKDYLQLFINIYTEEEYIVLKCQNLSKEVYDVGLDHGLNNIKKRYELLGKQVPKIELDPDFFIVRLILL", "MIHKRIIYLSSLLFFSQQISAQENTITRGQLVKDIGYLKNAIESTPVSPFNKIPKNTFRNQLQKTKLELLKKKNISVFDMYLALQPLIVKLEDGHMELDCTEAFSKLNYFVFPFLFDTSDNSLSIKNIKRVYRDLIPKEVAGKKITSINGFSTEKVLNIFNTYTSGESEYRRLYMSNDYLNIYYNFLFNATSNLEVNFQDGSSLKIALLKKMDARKLINEINENDKNKNSQTHTDRKYNYKIVNSKYALLTFNSFFDIKEFTVFLKKMFSDLKAKHIQNLIIDIRSNGGGNSLLGSELLSYFINKPFYQFDKAILKYSEISKQDFFKSTQNTDKQKLEYMNKKNGSSEIKDLSQELVSPKNKEEQYSGKVYLLTSPYTFSSATDFTNAFKYYKVGEVIGEETGGILVSPGDVVTTYLPNSKLELSITTSKYYSIGAKENDNRGVIPDHNIKADKALDYAIGLVK", "MKLKLTFYVLLTSILLTSCMTTPSYYVDGGGTVSIDKGKKYILVNNNVLSSDYELNVKVKHDFEEIFKEDLYHASYNRFLEFDNKMLADKVFLDEDMLAGIKNTSKGDYLIFIRFFGISKDKNGKVNLYSQKKNRIDWYREFHVLLQVYDLNARKDLLSKVCVSVFERVSDDGLATMRYSQILKTYNKVMKEFRKSIIY", "MTTIISPVYCAAGSPCPESGIWKNEGFFHTSIIVSKGNIMPNYCGVPVTWELLWRV", "MNRLFCFTGLLLSMFLYAQESDMGVYQRLKSSYSHFEKNDEKAFYYLTKFISKARKDSNFTRLVEAYRDAVFFSSTDKSKLKYADSSIWAAKRSKNRDLISMAYLGKGIVYYSTMRKYPLALKQYLIAYQYAEKTKDLYLKHKIIYHIGVIKSYLGYYEQASEHFIKSSVYFQQYISGDYHYNELFNHNKGYLNSQHQLAVCYRNMNNYLKADSIVSIGLENTTGRDDFALEKSYFLSDRAVSDYNKRNYSSSIANLKEAVSKILKSGDYASTSLIYYYLGKNYQAIGKKSLAMEHFKKVDSVFAKRTFLHPETLSSYKELIRFYKEKDQHTLQLYYTNQLLKADSLISKDFTFLSLRLHRDYDTKVLREQIEELKEYNTSRIRGSFFVIVLILMAIFILSKYSGREGFIVRRGTREIETLSIKDSEHYIRKNGLSPEIENELLEKLRIFEESKEFTKRGLSLNKLALKLKTNSYYLSMVINDFKGCNFSYYLSTLRIEYITNLLNTDRKFLSYTIEALANECGMASRQNFSDLFFEINGVRPRDFINKIKRETGLM", "METKTFFRKVDKIKENLIFDINLIHDSEKEIINLSEKALLKIDKALREVKSLVSGFVFENMADEVYFFKYIKPYFISQYIYYSTILNIEAPKPKSNTKILKRYYEKELLKLKNYSLEHRHFYDYYSRNATYLDYKYFVRRSYDLKMALPQNLYNYDDDFTTSHDQYISCFLANENIGLYLSDAIHKLNNTPANFKKDSLSWSLSKVALIELLYALYKARCFNGGNIELSQIMRWAEHNFNTDLGNYHKTIAEIRGRKTGRTKFMRFLNENLDQYFYDLDA", "MGLIQALPDIDKHSKSVFVMHEKSEKLIPFHRHTKGQLSYVEGGIAYITTNNKTYVVPAKHFFWIPQGMEHLLRIGHSATVLRSLYFYAYDDHTDPFYTKLGIYPASELLIQMIKYTEIWDEKHVKSKDHNFEFLISLKNILPKISNEALPIILPMTDDQQMNKILHYLDSNINETLTLISISKRFGMSERSVSRLFRSALDISFLQYLKTLRMVKAIELILKSNKSINEIAYEVGYSSISTFSDTFHEFTQSRPSDLRKTKNLS", "MKIYFPLAFMLGTFFPAFAQTQVLKNDTIYLSLRQMWQRAEENSRPIQISNLEHDIAEASVKDAKLERLPELMVKGSMEKASNIPVYENGLFSKPSQHEVIHTLYRAGADFYFNVYNGNKLNLKIKQEEVLEKIKQIKKDESISDIHYKSASLYLELQKSLMFRRLITEDIRDQKLQLKEIQSLFKNGVILKSDVLRAELELSKREMSLVTIENDILIVTQKLNIIIGMDDQTVIIPKEDSFEEEKNITYQQYLSKALEYSFDYHLSKQQTELSKLHLKEVKANIRPKIGLYGEFYFANPQIFLYPYNPNWYSLGIVGVKASFSLSSLYHNTQKTQAAKLEIEKEEIAHKNTEDRVRQNVKQAYLRYTEALKQIELAEINVKQAKENARIIKNTYFNQTSLITDLLDADIQLLQTRFELESSKIIAQDRYFLLQNITGTL", "MKKKYTTTDKLITRITGWVTIVIVALLALWGGASLYDYYQYEQTNDAQVQEYVNPVISRAGGFIVKVKFEENQNVKKGDTLLVIDNREYVLQQNQTQALLYKAQAELKVLETTAHTMLKEALASKEQIASNDAKVKKQELDYNRYKKLYDEESATRQKLEDVEALLKVNKSEYNASRESFLAAQSKIEDVEAEKQVVKAEILRLQALVNRHKLDVGYTVVTAPYNGRMGRRTVEVGQMIDAGETLAFIVNNQTDKWVVANYKETQISEMKIGDKVRIVADAYPKKEFKGTIISLSPATGSSFSLLPPDNSTGNYVKIVQRIPVRIRIDGKKLDNEVLKVGMNVNVYASKKHSNG", "MTLPGIAIFFLPLTNIEAARGYYGCETGDIQFSVILFYAGYVGFYSLERRFFSFLAAKEYLLLFVSLQIINSLICYLTHELYILFPIRFLQGVLFACNVNLSLTLFFSRLGSERGREISFSIFFGLLICAAPINNLLTAEMIDSYNFNIIYKGAIFAYVPCLIFLALTMNHYRSDKRFPLYKLDFQSFILLSVSLILFGYIMIFGQEYYWFEDQRIILSVIGIFILSVIMLIRFRAMKRPYIDLRVFKYRNFIIGLLFLLILYICRFASGIANAYFTTALHFDPFYLSFVNIFNLVGLITGVVLACGMIIIKTKIRYIWFLGFSLLLLFHVLMYFSFDIEANAFNYYIPLFLQGLGVGILMVPTIIYIISAVPFSIGPSAAATALTIRYIGFCSSIALINFFQLFGRSRHYNAFQDHLSRTDSMVKDFFHKASKNFERKGVYKSHLLKASDKLLLRKVETQTQLRFSMDYYEIMSFVLLLTLLLILLFPYLNKTILHLKSKRLSPA", "MSDCLKFRILSIVSICALLFTISCNKETKEQVTVNDSNTDKNFISFAIKTDIVPRQGKEKIFIKLDSITNGLSVKDKALYRDYMKYALSKKDSSDYYLSKIDTVGRSVDVRDLIELYTFKDGFKSDAIGPKITEAIFKKISSEEKRKSPLLFKYYDLMAQAFYINKNIVKSTEYFKLSFDNNPEKNTASEKIKYYEVLFLYAMESSNIKEMEINQKKAEHIARQEKNEYELSRTMDYKAIIYGLKKMPDSGVYYSKKSFQYLESTNRLNPVAFINLAINYQGNKEFDSAIKYSDEGIKWSVKHKDSSGMAEFYAVLSDAYKGKGDYKNSLKNIDTFYKLRLKNINDIQKDKVYEIEQKFKSENKDLTISSLKTKNKLNNRIILQQKWLMFIVGATFLLGGFVLYNFLKRKNLQSRAERLLVENDKLKLEQKTFQLKISPHFIFNTVSNLQGLISEKETTKSISYLTKFARLMRNILEFEKEDFISIEDEIRLLEDYMQLQQMRFKTSFEYSITVDDNIEPYLQLIPPMLLQPFVENSIVHGFGNIDYIGKINIIFENKTGNMEIIIQDNGRGEAAQNVDGNKQSLSTSITRQRLKVLFPKTNSYVHTMAQDNGFRVEISIPIITEE", "MRVYVLEDEENIRNYILSILKEIPGINVVGFSDQVKKALIEIPELEPEIILADIQLKDTISFRLFDTLNIEQYKVIFITAFSHYAIQALNLGAIAYLLKPISDEELKEAIAKIAQKSETYLVHSPQLQLASSYIEKPKEVNRIVLKNRDYMQIINAGDIVYCEGDKGYTTFFLNNEPSVLVSKVLKEYEALLSPVSFIRCHQSYLVNMDYVTKYFKEGYLQLKTGVKIPVSARKKEDVLRYLEQYL", "MKSDLFFDFSIDKENSTIVIKREFNANLEQVWQAWTKAEFLNQWWAPKPYHVETKTLDFTEGGIWLYAMVSPENEKLWCKADYQKIEFKKLIEWLDAFCDENGNENTEKPRSNWSINFSADDHLTTLHIILKHDSSKDVETMLEMGFKEGFTICMENLDELLMEVNN", "MEIGIDSFIATGAYEGPLNPQQNIEAIEALLSKIEHADKMGLHVFGLGEHHRKEFLDEAPAVILAAAAARTQNIKLTSAVTVLSAADPVRVFQEYATLDLISKGRAEIVAGRGSFIDAYPLFGLNLQDYDKLFEEKIELLLAIRDKETVSWKGKFRAPLHEQPIYPRPYQESLPVWIGVGGTPESFIRAGKLGLPLMVAVIGGETHNFRPLIDLYYEAGEKAGHPREKLQVGLHSLGYVAEDTNQAKDEYFPGYQEMMGKIGKERGWPIPTRSQFEAQAGLTGAYVVGSPEEVAAKILRHSKALGGISRFTFQMDNPGLTQEQVYKSIELIGKKVIPLINQA", "MKILAFAGSTSSTSINRELVKFVLKSFQDHEINLIDLNDYSMPVFSVDLEKKGFPEQAHGFLKQIEESDIIICSLAEHNRSYSAAFKNVFDWSSRINVKVFQNKPMLLMSTSPGGYGGGNVMNTAKTFFPQFGALVMENFSLPKFYENFDMENGVINTEMLEDLNNKIKSFKSQISA", "MGILRWIIFGLIAGAIAKAIHPGSDPGGWIATIIIGIIGSVVGGWLGLMIFGVDVTGFNISSFLVAIGGTVLCLAVYRLIAK", "MVSKTSSTLQDAIRLLYIFVNGANLIKTHPQGYNGHFEGKAKLHAMDFWVRYPDFLAFELLNKYEEHNEVRYLELAKSIINDQEPDLRSIPMIRYRFGAYEDLDESLSILISKGLIVSDGVKKNGKIQHYDYYVTPAAYDVILKATSEYPILEWYQERSKLVKEISGNLGGAALKEIQYKHMSYANTSMGSIIPSIKDEVVKRINEILVSNEQ", "MSNRDKEIVKYKSWTDSVAEKTKYDKKEIQSFIKKYQIPQSPGTGTPKRIQIKKITFSGTKRGRYENDFLFEFDKLSSGLWGLFSDGNGKGKSTALEVIKWLLKGRPSDGLQSGVKSWIKNAELIINSDEIYYSINVNQDEDYLSGEIRKSIDGVEYKPFKEFSSEEDMSITISEFMMSQLDLEKVLSSRQGSHELDSGSEVTHGWPALASAMFIGTSYGAIFGDVAISGLPNRILNMYMGLPWIPTYSALKALEGQLKNVTIVEEKHKDRAEEDRLKRLKEIQSHLKEKEKLLAEKPIPSVKVEEYHRLMVEYNSAFELEKNAQRRVLDCQSQLQQIKFEADADQIKLRNFTEDRAANKIFKQINPTCCPHCEQKVTAEQIQKEINEHRCSVCDKEMFDGEDAEELFQQIKSSSKLTSGALKKAQKELKIREKAFEEAGKLLSEKETSVRKYKDQLDAEKLQLEQIAGIEKEIMKLKILETEYQNFKISREKELRDSAQNEEQQTQIPIIDETLILKEALKETQSRFKGLQEDLLIDVNKKMLEYCSKVGLKQYNNLTLNGQPSLKIVKDGGETSFSKVSKGEQLRLKVIATIALISVAEERKLGRHPGFLVIDSPAAQEVNREDLNNLIGGLENLCKELPHLQIIVASVANDTLLEHIPMTQRKYAEGDNFLW", "LENNIPLSLSLIGGLDGVKENRNDILYSKFLADIVNLIIDEADLTDLGIINFTTDLISDGLRSNSDEITVKEVVLVLSRNSDKFPGCFNTLIELLQKPSRKSFFKAQYLLAAFQIAIISKVKKHYFLAYLLEEDNFDDHHFFSHYIKILGLSYSYFDDESIFEKLIDLMSNRDNDNGLYEIGMSYLRKGITSMAHNEAYQYFNNAKKYFLKVDHTTQTDAYCYYLILEVLFSYADGTFIIETIRKLLNRMEQAIRLSIGWHNISDAIPWGRARNMEMISWFKLVEILRISMDSLQEVSWFDPKIVIENYLLQLYNANRTLLGRDSGNGVDQLIQPIIQMKFNENETYLYLLDKWISSQAGHELWSDAHQLQEDIKVYSERVYSGNEQGTVEKSALAVPFSKRIPENELSNFDQFKKEYLNSHLNATSLNLIAVFEKVIKNLELIPSFMTSPFNINFRVHVFNTLKFLESRMDETRSNSPAVAYLFKKDQLEDTLQNDYYLYMSALPSDNTVNVEVSDIAGGRADVVFNHLNHKFVFEVKRELTDSSFENIRKQYIGQAAEYQNTGPKLGGLLVLDLTNNGGSIGSIEDNVKVETICSENGLPERAVVVVKIIGNRATPSRIKINE", "MKLIFKIFKFTGKLIAGILILLLFSGLCFRLFSSKPVPPGKLVNVDGTNIHVRTEGEKKSLPTIIIEAGAQSNTDMLHWLAEGLKKNTRVIRYDRDGKWFSASSKTDNISPESYARQLHKLLEKTGEKPPYILVGHSMGGPYSRIFRDLYPNEVEGIVFIDSSHPEQWKRLAQKELVPKGQAKLLKIGSVLADLGILGIYDNTFGKPAYQGDGLPKELYDRSRSLMSNSGDVYRMFLRENEVTNKVLQRAGKAKDLGSLPVLVFTATQQYKESQKEKYRKDGIDPEKQVQLWFDMQKELKELSSNGKQIIMNASHGTIITKKENADIINKEILLLSENIVKKNNK", "MNSEHLKWLAYWKKNLSDSLNTDIKTKDYKHFELGSFSMESEFINDLENVNDLIDSKEKKINEKKGISDKESKEWIHIDETDIIIAPFKLKPIPEHLIYLQDKNSKLPFWFFAKLNRLGKLSVPEETFPLFQRKYLEPLAEEKTEFIFGSVDTIDEVAPHGKEEYKTYREYTDYIKKVFSKVTAQQISLYSTQGYQTISNAIILLADEDMHIAKSIIELYDKIIQKKEGFPLLEALITLENNTEKPPLSVSEFTNANDLHLGQMEFKHSLSVSQRKSLYTLLHSDDKVFAVNGPPGTGKTTLLQSIVASKVVETALNGSLPPIILACSTNNQAVTNIIDSFSKATTKKGPLQDRWLPNVQGYATYLPSNSKTEAELKGINYKTLRGNGLFNRIENFSYLNEAKVYYLEKCSLHFDTPILSIKEATQRLQNEIKAVKKSLTEAKTRWKDYTESEKLFISEYPLADMNSAQYYKEGLLNESVLKDETNHLLNTEKELIAYFRHEPFIRKFLCFLGSKSALSNRLTEIRVILRNSPVQLPKESDLKKTDLLNKVNHKIEILLRVTESIVNWKTWKLENQITGNPPDSEKEYWEFELLKIKDGAEPNCFYDELDVSHRHTAFQLALHYWEGEYLLQLEKDLPSPAFDKKGKNIVINRWQRQAMLTPCFVSTFHSAPNFFSYSKFFKKTQNGSNIYDSPPLFNFIDILIVDEAGQVTPEVGIPTFALAKQAVVVGDIKQIEPIWSVSNKIDIGNLKKSEIINDYDDLIYEDEYDAKGFLSSTGSLMKMAQNACSYKEPLSHEKGVFLSEHRRCYDEIISYCNTLAYDGKLIPLRGKAKDDLLFPPMYCIHTEGASEKDESGSRFNVSEVKAITDWLKENKQKIEGMYKTIEESVGIITPFTAQKKKLISALKQAGFKTDYFKIGTVHALQGAERPIILFSMVYGEEDKGIKFFDRDNKPNMLNVAVSRAKDNFIVFANTKILDKKASTPSGILSKFLKYNI", "MYENFPYLSDLITAIENIGDNYCKWDYKASIQQIERVFAYELYYQLKLISHTSPNYQEINFNGEISKKISAEINTLHTGITIESKYVSPDLVLHKQQIDSSTINQKLIIEIKAGNKSNKQIAKDILKLNYGIETLNFEFGVFISINTRFTTINSKLKKIFINKDRLNQEETSRFSKIIIVNYNNEIIECKSLYEILEID", "MKKKIHHNLLYLSALGFYNTGIKIAQSLSNTKNSEEYFEKSPVAAMNLSFSTELLLKLLHQISSEQPSIQGHKLDILFNSLPENEKIQLKRKYLLKKEKNLHPYKISFNSYDNNPEDSQDKNNITELTLEQLLEIHSDSFIKWRYIFEISDEYYSYEFNFNLINNFAEAIIDRIKELISIEKN", "MKDFTKIPKDRILYKNDYFFIIEDGFPVSPGHLLIITNSLKKDYFELDFNEKQNLPLVIDKAKEIILTNYSPDGFNIGMNCGESAGQTVFHFHCHVIPRYVGDMDNPRGGVRHVIPSKGKY", "MNLNFQDNDPSLESQWRSLILFGKNSATYKFAFGKALLDFITQEKTTVSLKDLAPLYAKNILSHLTENDKQGAARSSTFLNSCREYLSHNISYDQLLNTTEKYGFQNVIDAFQNINGGTINDLFYHKDFSNGSKNIILTYNLLSLKESVQFTNLEQEIEARWKLVETAWNLNINPNALQVQYDDTENFLFVENNLMRRKNLTSVRDALNGYQKGKCFFSFKDISIVEKKANICSVDHFFPHAYKAYFSNSGININGIWNLVLVDTTINGEKSSKIPEIQYLERLHKRNEFYITSKHPLGETIINQTGKTLSDRRKFLQKQYDLCLNLSIQRWKPSTELEALF", "MHQYFNEICEFRQTHPVKKGEQIHTNSPVLESVKQSLSRLKSELETKFSQYNNINFTVKESQGSGNFPNILHLCILPPNQKVSDGIYVGIGFDKFGRGAVVGCMESKSNSRGLNTKQRKFKNEDLRIDVDGGNANTKYNNVFENPKQFYYPLQESSLLVKHIAKSLDLCLYNLNLIDNPPLLQANDYLITNTEEGLFKDFNLDAVQDTRQKIALQINVRRGQKKFRDSLLKSYDNKCAISGCTIVELLEAAHIYPYKGDDTNHLQNGILLRTDLHTLFDLGLMSIHPTEYTVELSDQLLEHDFYKDLLGIKIGLPIRKEDYPSIEVLEYHFNHIFNK", "MYKWDTEEVNIQIGNIFQLNRLKKRLSQLQLGNELNLSSNHIGRIERAETNPTIENIIKLCNFLEIDILSLFTKLTQKDLENIQHEIEILQKEFKNKNKKKS", "MKNIFCRGLLSLVLLILFSGVSAQKKQLKIGDKLPDAVWNTNLEMVNYPQKTLTLSAYKDRLILLDFWATWCGGCLQNFPKMESLEKKYGDKIKILAVSNESRGVLEKFFSSKNGQRYKEIHSVAEDQLFEGLFPHRGIPFIVWLKDGKVLNTTDAEQVSEETINEILKGESSSLQTVVQQERDRPLMLSENFDLERGTHLEHYTFFSKGRIRSIGYGSEFHRKGSVVYGRQFTNLPLLSIYSAIAYEVFKQRGGALSAKQIITEVRDLSKIHFNTNTKDLDNEQKLYSYEYIVPYSKADSLYKNMLEDLDRYSGFKASIEKRKVKCLVLSRISTKDKIATKGGKVISSFLDTPSVLQNVPFYYMLSGLNANSDITPLPVVDETGYKGNIDIKISNPNDLKIIQKELLSYDLELKEGVREVMMLVIRDKE", "MKRFITFSLMCYCLKLSAQETLRGRILDHKNLKPVSGISVSVLGSPIVSVSDDKGRFSITTTGSKVKLRFSAEDYITEELLISLPLKDPLEIYLKSKELLGGKPNAKIIEIEEVNLSTGYQKIPKERATGSFSSVSKSTLEQQVSTGIMERLPALVNGVSMSSGVRGENQLMVRGLSSLKGPLSPLIVVDNFPYEGDIKNINPNLVESVTVLKDAAAASIWGARAANGVIVITTKGSKFNQPTRVEFTFNTTLGSRPDLSYIQQISSKDFIDIETVLFSKGFYKNMINGPGHQVLTPVVDILNKEQKGLISHHSATQEIERLKGIDVRDQYRKYMYQPLENRQYALNMSGGSQNFSWSTFAGFDDNTGNLGEKYQRLNARFENTWKLFSGLSLTTNVYYTNRTTQNGRSAYNAISINSSWKIPYLEFADDKGNPLLMSRSFAQDYKNSLKGKGLLDWDYYPLNDWRHSTSKSAQSEIILNAGINYKVFKGLDLDVKYQYQRFNNESSTYADQEYYNTREYINSFSQISPDGSVKFIVPKGGILNKSTAIGTVNNIRTQLGYNNSFGRHMVAVIAGGEVRETLTRYNSNRYYGYDPNTLSVGAVDYTRQYPKLTTGGSDYIDKGTSERNTNIRFVSLYANGAYTFDKKYTLSLSARRDASNLFGLKTNDQWNPFWSVGGSWSISKEAFYSLKWMPQLKLRGSYGFNGNIDPSMVALTTIVYFSDASVYTGEPIARIDNYYNPNLRWETIRMINLGVDFGLFKDRITGSLEFYNKKGENLFGEAPIDYTTGISSMLRNVAGMSGYGVDIELKTRNIDKNFKWNTVMNFNISRDKITRYHLPNTITRRFVPNAGNVGPVSGSIGLPVYSVFAYRWAGLDPQTGDPLGYHNGEVSKDYLKIMGSDKGIQDLRYFGSAVPTVYGSFTNSFSYGAVSMDIGITYKLGYWFRRNSISYSNLIGNNWDGHSDYQYRWQKPGDEKSTYVPSNLYSSNYSRDEFYNGSEVLVEKGDHIRLQYINLNYSFSKDFLKHVALKSLQVYFSASNLGILWRANNQGLDPDYVGAYVLKPVSTYSFGLRAQF", "MKKTIIPMLLFLGALTGISGCKDFLEEKSDTQLTTPTTLKDNQALLDHYRMVSDGNFSSEVSAGDVYVTDADFQMTLYDEDKRLYTWQEDRVSKENGNDWSNCYQKINICNTVLDNLKTYRIKDSDYVKGQALALRGSLYLEAAQVWCLAYDKKTAEKELGLPLRLDSDMNQPSVRSSLQKTYDQVLDDLHKAVGLLPVQRVSNSRMSKIAALGFLSRAYLYMGDYKNALLYGKQALSYPVDLLDFNALNPGDEYPVKERNKETIFDLGMGGNSFLRANTAKISRALYDSYENNDLRKSIYFRGDGLGNILFKGNYSGSTVRTMHLGTDELYLIVAESYVREGDIEKGMDILNALLRTRWKKGYYKDYTANSQEKALEIIFQERRKELIFRGLRWADIKRYNRDGAGIVLSREVLGKTYTLPANDLRYAIALPQQVIVLSGMPQNKR", "MKLQQFLKSNAIAIVAAITIGTTMSFKLAGSPEGQDFYYDNESLSEGAFQNANNWTTQNKSTECVIKGERPCKITVPEGSSLERIIGSKSNIQVLEISKGRKP", "METPNFIYKDVNRLKSYIVLTVTIFFVVLFSYASISKLLDFEKFQSQIASTISPLSYAPLISSALIFSELLTVLLLSLHRIRLIGLYMCLGLMGIFTGYIYTILNYSQIVPCSCGGILQNMDWWTHLYFNTGCIIALVIAIACEKKENNYLKKYLPKT", "MNLDKIEFLSWMERLMERFDLLKEHLNDLEKSTQTIDGEVLLDNQDVLQMLKISSRSLQRYRSSGRLPYYTISGRLYYKLSDVHSFIRQSLHQPRKQQAEIQQCKAHFN", "METPSENQQEYRFSTDQINWEALSYFGLTKEKFQELNILETLLKGYKTNQLVSVKFNLGAVVTRLDARLSFQDNQDGETVLIMHGMRREPQLSLPFFSHEFTKEDKENLLSTGNMGRVVDLTNPKTGEKIPSIVSIDHLTNEIVALRQELIKIPDVIKGVTLSTEDKELLKEGKSVYLEGMISNKGEAFDAFVQFNAEKKYVEFLFNQKNKLTSNVQKEEHSEAPRAFRGKELTKVQYNKLVEGETISLSGLIDRSGRQYSGELTFDKETKRTVFLFKNFKDQVKSQNSEKIQKPRGKKI", "MKIIVADGPRVAQEIAFFLGLQNINGHYTSTDFCIFDIKNIFTSDFTAHLSKQTGAGLPLFAIDTVKEEFPSLEKQYLLFEHLNNCTEIILALGFCSAEELMFHDILKGLDREIPVKRLLLNDLTLASIKEGFSNLLPVNKCDNLYHNIKRRKISIRAFEKILSGCLMESCERQHEPNLWAFPLLCMIAEKFKAFKAHKSDVFWQLQACFRKDYLSYKITSELKWVSSKEAEKAIRILERYPRAIVSSIEKEKFTETSPLLFNLFDLSKEAYHRLGFSFKETLETALTLYEKKFITFPVTSSGHIPKHRWGSVIKLADLLKENKRCCLAAERLNLKSLNKEIISDIPPSSNHGILPTTVLPTGLNTKENALYNLISLRFLESLSNPCVKEITKIKLEALHYDFYLKGIKLLEKGWREVKGFYYGKEQDFIIDFPDLKISDEIKISRIESKDISFFRPRLYDKYGLVSEVENFRKGLNLTHEVLWDIDKALNYLIGNGYIRKQNTCFIATDKGQRLYDVIKDLKIAKLEMPISWEMTLENSKDGSSDYSLFNKKLKVYISNIRHELSTFISGSQDSMFLLCPQCKCKRLKFKYGEIYCPDPSCQWTLKRKIFGKELSEKELESLITYGKTSLISGLETKSGKHFTASLFLDKHSRVGFNC", "MKRNNVVGILLAFALFSCSRDEKTESPTTTPKESAITYTMPEESSPHEGTWLQWPHQYQYGPTFRDRLDPAWISMTRELVQSEKVHIIAYDESEKERIITLLNDAKVSLSNIDFKIYPTDDFWVRDNGPIYVKDKNGKLFIQDWGFNAWGRKAGYNNCDLVPSKIADDTGISKVDLNKVMINEGGSIEIDGNGVLMACKSSILNTNRNPGMTQQEAEAIFTRNLGVTKFIWLEGKAGLDITDMHIDGFARFANSSTIITMNSEDLEYWQVPSGDITTLYNATGQNAIPYKFVKVPLTKNEVVTTYGKKVGRASYINYYIANNRVLVPNYGDPNDVVANRIIQGLYPDKKVVGIDSRNLFANGGMVHCVTQQQPR", "MLVNHSIITDIKTIIAQSKDKAIRAVDHQRTLMYWHIGKRIFEEEQEGKERADYGTFLIKYLSKQLQPEFGSGFSTRQVNLYRQFYRTFENVHTLYAQLSWSQYKLLLSVDNQDKREFYIAETIKNNWTVRQLERQIYSSFYERLLLSNDKESVLAIAKNEKQPSDAKEIIKDPMYLEFLGLKKEASYYEKDLESFIITHLQEFLLELGNGFSFVARQKRIHIEGDEFFVDLVFYNRILQCFVIIEIKTTKLTHQDIGQLQMYVNYYDRIEKLPHENPTIGILLCANKNDAVVKFTLPENQKQIIASQYKLYLPTEKQLLEEVNKELESFGGKKSTNNIK", "MQQEDDLKGLSKIMAFMRGVSIILMLIHLYWYCHIFFLKQGWTLSIINKILVGFNHSAGLFSSLIYSKVFSFLLLLLSTLGTRAVKSEKMTKPKIYSALLVGFILFFLNFPLLKIPLATATLFYIISTFLGYLFLMVGGVWTTRFLKTKLMQDVFNYENESFLQETRLIKNKYSVNLPTRFYYKGKLNNGWINIVNPFRGSMVLGTPGSGKSYAIINSYIKQHIEKGFSMYIYDYKFDDLSLIAYQHLLRHYDKYKIKPKFYIINFDNPLKSHRCNPLSPKFMTDISDAYEAAYTIMLNLNKTWIQKQGDFFVESPIILLASVIWFLKIYDGGKYCSFPHAIELLNKRYTDLFTILTSYPELENYLSPFMDAWKGGAQDQLQGQIASAKIPLSRLISPKLYWVMSGDDFSLDINNPNEPKILCVGNNPDRQNIYSAALGLYNSRIIKLINKKGQLKSSVIIDELPTIYFRGLDNLIATARSNKVAVCLGLQDFSQLTRDYGDKESKVIQNTIGNIFSGQVVGETAKTLSERFGKILQKRQSLSINQSNNSVSFSTQLESLIPASKISTLSQGMFVGAVSDNYSERIEQKIFHCEIVVNKDRATTNAKDFSQIPDIRTDSKEILDKHIRDNYHNIKLQVSEILENELQRIENDPDLKHLITRD", "MISRLSYSMSLIKALYYNQSKVEQHQGSVLMVNKIIEPVDGIYNISLFYQSFLPYLWANNKTEKPVFHVSLNPHPGDKISDEDLKNIAKKYMEEMGYSSQPYVVFKHTDIERHHLHIVSIGVDIFGKKISNLYCYRRSMEICRKLEEIFGLRAPAKKRKESKDMAFKPVNYKKTDLKNQISSVLRYLPELYLYGNLSEFNDLLYLFNLKAEEVKKNPELTLAPHYIYFVINPEGKNVSHPFTSTTLGEYASYSFIQQHFELSTEKMKAHPKKAYLKHHVEKAYGTTDYEADFKEYFLSLGINTLIKRNKEGSITGVSFIDHNSKVVWTLERLDLEPVLDLLNSKWEKKSRKIKKGLLQNEGKNAPEPYTVKQTKRPHKLFDFLYNDSKSIFNGENPQSKVIKDILNLFPLQGEIDLSELDFVNQLRKKRKKRISH", "MEDSPKKNTFRYGRNPKANPKKYVHGFTLNENENTQFLSLVKDSGAKNKSQYITSVLLGKKIKTVSIDMAAMEYYIRLTTFYNQFNVIAISYKEATDTLNLKFSRDKARIVVSKLETLTIRLSEICYEVKKLTEQFESNYLKEIKK", "MEITLLIIVAITLLIVFLIFGKTKEKHISKIVTSKELIGKTMAPECLGEPSKDLKGQDKNNVVNTPSFESEIKAHEILSDYEEKQQEALSGNDSYTTENSLTTGVTLEEFALWEKKRYSNYELSVLKDQAERILWNIEGTEFSDFLSSSTKNAAQAVSRLLDMGKTDT", "MEKQKVKLMFLLTALFSGFKVMAQGNGSAGITQATQMVTSYFDPATKLIYAIGAVVGLIGGIKVYNKFSSGDPDTGKTAASWFGACIFLIVAATILRSFFL", "MSEYNINKGIGRNAEFKGLESQYLFLFGAGMLGILVLVMVFYMAGMNTYVCLILGFSGGSLVSWLTFSLNKKYGRHGLMKLRSRRKYPEYILSRKALSVYLKPNSKEISHEK", "MRNSARITTLERMFPLLSVENNCIVSKNGDITVCYKIFLPEIFTIASQEYEEIHSLWLKAIKTLPDYTIVHKQDWYLKDNYKGDTRSHQSFLSNCNDAFFKGRGFLNHFSYLYLTKTSKERISAKSNLSSLCTGMFIPKELTDKHLIGQFLEAADQFEGIINDSGLFSLESLSEEEIVGSNEHSGILEQYFSLNTFEKPCLEDITLGDQCVRIGDKNICFFSLSDTDHLPQKITCESCYEKLSTDKSKCLLSFASPLGLFLNYNHIYNQYLFLDNSADNLKKFERAAKNMHSLSRYSRSNQINKIWTEDYLNQAHSFGLSSVRAHFNVMGWSEDKGELKQMKNDIVSSFSKMECKTRHNTTDAASLFWSAIPGNASDFPAEESFYTFLPQAVCFFTQETNYHSDSSAFGIKMAERIFGKPVHVDISDLPMKKGIITNRNKFILGPSGSGKSFFTNHMVRQYYEQGAHVLLVDTGNSYQGLCELIKGQTLGEDGIYFTYSEQSPIAFNPFYTRDRVFDLEKKESIKTLILTLWKRDDEPPRRSEEVALSSAVSGYLDLITENKQSGSFNGFYEYIKGEFREMLEKKSVREKDFDLANFLNVLEPYYKGGEYDYLLNSSKELDLFSKRFIVFEIDAIKDHKILFPVVTIIIMEVFIGKMRRLKGIRKLILIEEAWKAIAKEGMAEYIKYLFKTVRKFFGEAIIVTQEVDDIIHSPIVKESIINNSDCKILLDQRKYINKFDDIQRMLGLTDKQKDQILSINLSNDPHRHYKEVWIGLGGIDSKVYATEVSPEEYLTYTTEENEKLMVTQRTRLLNGDMEKAIKQIAKEQKRD", "MKKIMLQVLLALSMAITPKINAQWIVSDPSNLAQGILNSANEIAQTSSTASNMIKNFNEVKKVYDQGKEYYDKLKAVNNLIKDARKVQQTLLLVGDISNIYVNSFEKMLRDTNFRPEELLAIANGYAKLLSESTALLKEIKEIVSVTSLSLNDKERMEIIDRVYKEVKDYHGLVSYYTRKNISVSMIRSKKRNSTQRVLDLYGNTSQKYW", "MQTENLHEILRSLYDEMLIISGDMAGVAKGVAGLGALFYVAIKVWQSLTRAEPIDLFPMLRPFALGLCIMFFPTLVLGTINAVLSPLVSGTHAILEHQVLDLKALQQKKDRLEYEAAVRNPETAYLVSDEVFDKKLEQLGWLPKDLIVMSGMYMERGMHQVGQKIKNWFRELLEILFQASALVIDTIRTFFLIVLSILGPIAFAISVWEGFQTTLTQWITRYISVYLWLPVADLLSSMLARIQSLIIEKDIEKLSDPNFIPDSSDTVYSIFMIIGIVGYFTIPTVSGWIIHASGAGNFIRNLNHTAQKTGNMASGGASSVAGSITGQLIK", "MEFKSLKNIETSFHQMRTLVFGFVILCAFVTGFALWKSYSFASKEREKIYVLDNGKSLMLALSQNVNINRPVEAKEHVRRFHELFFTLAPDKEAIDSNLKRAFYLSDKSAFDYYKDLLEKGYYNRIISGNVQQRIEVDSIVCNFNDYPYQAITYARQIIIRSSNITTRSLITSCRLINSVRSDNNPQGFNMEKFSVTENKELEILKR", "MKEIKKIPALFILRANTKWESFSPENRRRYLKLIFTLYFILTLVMLLRIGMTTGKGQNKITVEHIENSPIKRSEKAPVFNPSNHK", "MEKTNENKSVVLSAHKDKEFNKSPETKRDQRKGSIKKVSVIFLLILLFLGCIYLIFRPSGKAKGLDFKGLNNSVPQVGELQMESDKQKVYESQLLAEKENHDNETLMGLSNYFEKEEAQVDVKTPKDEKMLSRDFSSDLNSYRDAQSTINGFYNSKTSSREQLLEKEILSLKSELSQRNSSLGPKPQDPLALIEKSYELAAKYFPQSTTEQKLYKEERLERDDSDKDILGARREKLEKVVSLLKNKTLDSTSVFLTNTFYTSGVTEESQELGNSFKAMVYKAQVIYGQGDISLRLLESMRIQDHMMPVGTLLLAKGKLQQGRLEMKISSVLFKGNIIPTDIDVYDSTGQLGLRVGGSEEMNAGREILANMGQGTGMNITMTNSASQQVLSDLARGAVQGISGYFSKKIKAPKATLKAGIMVYLRSKK", "MKVLIRGLFGLVIFLIVIFQRLGAQDSLKDRQVISQLEPSKIEVTYYKTSHLLFPSPICYVDLGSEYIMADKVKGAENILRVKASVQDFKETTNFSVITQDGHFYNFDVYYSSYPGLLNYNIGKAFTPHHGNEVQFKELENSSASRIEDVFRNIYILNRDLDSGIDFKNSGIKYFLKGLYIDNGKYFFHTEIENKSNVPFDIDYVNFIVTDKKSSKRNVVQQSEKLIVREYKTIKTVQETSRKSNVYVLEKFTMSPDEVLLINVYEKNGARHLVLKVNNKDLIRAQLMKNFIPLN", "MRKNYFMGLCLIILASCSTSNDDLQNFDSKSIPLAQGFKNTPTIQEPLPRVYGVNQTPNAIYGFYSSHTNKHLYSPYKRTDMLPRTQPGAYYYELDRFLGCAAGTGQEITGWFNEYNEDYVLTTNPAEFNGQNGWRKNDNIGNSYNGNEPGSYPVYRYFRNSTKSHFYTRDKNELGDGKDGFVYEGVAFYLKESAPKDYRIHDGTYYQDNNTNNLYIVYEGQLRLIETIDAAKRIFNFEVGRGGHSKADLINKVDINTVLGTRGPAISANSVLYEDVDTGIRYFDDRAYNRGNLRKIQSELVFKVYNFNEKSLIKTRGVGSGPRGLQTSEIIITKD", "MSIFSDNIRFLRFKKNSTQQELANNLNITRARYVSYEDGRSEPPIEILLKISKFFHLSIDLMVSVDIRKYPLEQMLKLPDNRIVLPVVVDKLGNNAIEVIPQKASMGYLEGYSDPEYIESLQTISLPFLTNGKYRAFPAQGDSMPPFADGSYIIGKYVENIQDLKIGKTYIFITLNEGITYKRLTDKKEDNITVSADNAFYESYDISLSDIVEIWQYASGIFPKEFEKNTTDHHNLKDMFIEIRKDIQKLGDRVSDLK", "VERAIVHMDLDTFFVSCERLINSKLNGIPLIIGGGDRGVVSSCSYEARYFGVRSAMPIKMALKLCPEAKVIRGDHELYSNLSHTVTEIIQKSTPVMEKASIDEFYLDLSGMDKFFGCYKWTLEIAHKITKETGLPISFALSTNKTVSKIGTGEAKPVGRLEIKEKEVKSFLNPLSVKKIPMVGNTTFQLLSRIGIRTIETLSEMPPVILQQMIGKNGVELWKKANGIDETPVVPYSERKSISTERTFTTDTMDVEALKNLISSMVEQLTYQLRKERWLTSTVVIKVRYANFDTETKQCKVAYTAADHTLSKVALELFNKAYTRRMRLRLVGLRFTGLVHGNHQMDLFEDTEELMNLYQTMDLIKNRFGAHAVGRASGFDFGKLKRI", "MFLNTHSYHSLRYGTLSVEQIVKQAHELGIKTLALTDINTITGIYDFKRECEKYNIKPVIGIEVRKEGKLLYIAIAREFSGIGEVNKMLTAYNFGEKDLSNRAPDFKEVFVIYPLDNMPEILKEYEFIGIREEEVNFLIRGEFEKYLSKMVVLCSVTFRTKNEYNLHRILRAIENNTLLTKLRDEDVCRKTEYFRDEKDIIEMFKHYPQVIKNTQWILYTSGFEFSFKEVRNKKYYTHSKQTDLKFLKRLAFLGLKKRYGEYNLQARERVEKELKVIDELNFCAYFLITWDIIRYSNQREFMHVGRGSGANSIVSYCLGITDICPLELDLYFERFLNLNRKTPPDFDIDWSWQQRDEILSYIFNKYGRDHVAFCGTNVEFKYKSIFREVGKVFGLPKEELDELALKPLQEHEKNSVVQLVHKYGKLLEKFPNQRSMHPCGILISHEPITQYSILEMPPKGFPIVQFDMYVAEDIGLEKFDILSQRGLGTIDDTVTLIKENQGIEVDIRNTHISKNETKANEYLAKGNTIGCFYIESPAMRGLLRRLHCDNYRTLVAASSIIRPGVAQSGMMREYIFRHNHPDEFHYFHPVFKEQLGETYGIMVYQEDVIKIAQYYGGLSLADGDILRRAMSGKGRSLSALEKVKSHFFESCKKIGHPEELSKEVYRQIESFAGYSFCKAHSASYAVESYQSLYLKVYYPLEFMVCVINNMGGFYRTEVYVHEAKMSGGFIQNPCINKSCYKTILREKDIYLGFMHLEGLEKQLAIEIEHERSRNGLYKSLEDFLRRIPVGIETLQTLIFIGAFRFLGKSKNELLVDARMLFINYKPENRGLMLFQEPIKEYRLPKLFREKLEDAFDEIEILGFPVSFSPFDLLKTKYRGSVFVKDLLLYHKKEVKMLAYLISRKHVPTKKGTMYFGTWIDADGDYFDTAHFADSLKLYPFQGGGCYLLLGTVEVDFHFPTITISKMAKMPFIADPRYAYDEEKRYDTYKRLREDVSMTHRKPYPQEHEIGLPRNKL", "MSDSFNTTIKGSIKSKYFALVTAVISIITGILLFAYPIDNKLNTIQICAGAFIIFGLLRLVFSFQNRRIINGWGWHLIYGMLILDMGIYLLIYKGGSAFILMSFIALFRAFTFLGTSIDLKRHEHYGWKSIAIWSTLAILFAIMSFSPSLFGQGSTIILLSITFIATGIASIFLFREFSKINQYHRTIRKMMRSLEDEI", "MRYFSNLFGLHNGEENRKKVQDDVLKNISFKGANLWILASAILIASIGLNVNSTAVIIGAMLISPLMGPIVGAGFALATYDFILLRKSAKNLIIATVVSLFVSTLYFYLSPFKEVQSELLARTSPTIYDVLIAFFGGIVGAVSITRAEKGNPIPGVAIATALMPPLCTAGFGLATLNFKFMAGALYLYSINCFFIGISTFLIIKYMKYGAVSSGNSSFDKKLRISITLVMLLMIIPSSYLAYNLLNERKFSQNVEQFLKDKFYNNGYITIYKKVSYNSNPKSIELAFLSKKFDSTEIKQLNNDLKKFGIVNTKLIIKQNTSDLKSEILSEINKQSSNLSEKDLQLSTLSTELKNYRITNPQLIKEITILFPEISEVSLGKIDNYYPNDSMATSAVLLYKAEKKVDEEKLKKWLQEQLQDSGIKVLKE", "MRTKLFKPLLGAFMLAFMLNSCKRDITSEGETNPQAKAGITTTTITNAWRNNPYKLNVIYFVPNDIDTIPNYRKRLSNIMLQLQKFYGDNLQRAGYGYTSFGLDLLSDTQVNIITIKGTKGNASYPYDGGGGVVMDEVRQYFTQNPTQKKSDHNLIIMPSYNSDPNNPGGPPFYGLGRDCFALDYAGMDTDKLGVPGATGDLATKWIGGLAHELGHGLNAPHNKEHKTDKPTLGTALMGAGNYSYGKTPTYITNATSALFSLSQTFATTTRSDWYASVQNNLVKLKGEVKDNKIIISGKYTSSLPVKIVNVYHDPFPAGGNKDYDALAWDARPTGGDSFSIECPLDDFYTLSGQYELKLNFYHENGTLVTYKYQYEFVNGVPNISVINTKDLLDRTGWQTLSADSQETSDGVIANILDGNSATVWHTKWRGGEAPLPHQFIVDMGSTTTVNGFAFANRSNLNGAMKDIEIFKSSDNSNWTSIGTFSLKAQQSWQYVDLSQAQSMRYVKVKVTSTNGGFQYAHLAEFAAY", "MSKIAVVTGGNRGLGKDMVLNLAKGGKDIIFTFNSKEDEANEVIKEVEALGRKAAAIQLNVERQSSFDLFFDQLSSVLNDKFDGHKIDYWVNNAGIGLPTLLGHTDEKSFDTLMNIHLKSPYFLVQSAINFMNDGGGIVNIGTGLTRFSMIGYSVYAGLKSAMETMTRYWAMELGNRKIRVNAVAPGAIETDFGGGAVRDNAEINKNIAGGTALGRVGLPDDIGSVVAFLCSDESKWVNGQRIEVSGGIHL", "MKKKIPPQITEKKNNKKEILIGIIPSIVALLLFGLSFMIPSIPEKMKLTVMLLIYFFLTLIVFIVSLFCSPNKFTMSWALPLIMFTLFMGSDSVWQEKLLWNKNYTVIYSINILIILLFIVVLCHSSKIRIKPLIQEFKQKSEPLYEKIIAVLTVFFFIAATWWIINQIICTAIYYLPQKEAHYTAEVTSTGSIRGKYYWHRYWNMELSNGKKEVFWVYAAANTETGYKCSTSHDPESGSVLYLSGKQNFIGFSYQKVDSIIGKDGKRICP", "LTLKTSYGDFRQTEIKKLKQLRNTVYIALFAINCGILFFFTYNFYVAYNSRNITKAFFIPYILPTILSIQAILLLAIGPLIYITYKRFKIFMGILRNLDKEYMTLYEIYISKIARVWAGIPPYVFTKDGFIILRTFGNKIIPYQQIIRISSKTIKIPGASFKYRLQISTEKQGNFTFTFTQEIQSVFAIENIKLKNPDVWINR", "MKKLLIAIQVEWLKTKGLGLVYLAIAIGAVMPLLGFITDFFQDHNTDAATLKYPIIETAVQDSLKGFVMFFFLLYIIISANRIAQTDHKNGGWLLMETQPVSKLNIYMAKYINLVIMAFICAVSFLLVTAIVAVAQYYIYPDPAKVLDLSFTWLGETLFRIMVSALGIIALQLMISVIISGFIWPFLLGILGLILNIFSLVQRINIEYSPYNVFYIMSKDNNVRSLNHLVSYSEYLSLFWMLVFLIVGYFWYAKKGFRSAFISNKKSLLASIIAVIIFGGIFFTMTKPVIPKANPELTSIAGKFETDVKIDSVRIFTKDFHKKIASVPVTNNQFKWETKENLPMDEYVMEFGNKKYPLVFGKGDWFDLFFRLNATKMLSYAKSNRKAEQNYLDTEEDFAGNFQYQLDNKEFKNPQEFYNKIQKEWDDSKKYLSKFSTSENFGLSNEFKEYRKQLLAIKFLSNIDNYRKISDAAPAPEALMNELQNTVKSPVRLLKKNDNYLDYRLNQLMAGQANAEGNDSLIFNKLNTLPTGIEKDRLVGTQLYKSLELKSDSASRNSLYRSEIGYIEDKEVKQYLKSKLTALNQSQKGMLFPDLNFTDHTGKAQKLSQFRGKYVIIDLWATWCQPCLEIRPAFEARERSYKYYQNIKFISISVDQDQQRWKNFLKTKPSKTLQWHLADSNKFAMEYGIQGIPRFIILDTEGKIYNMNAPSPNEDNFVEIMNQIKKN", "MENTIEIKDLSFSFNKGKPILKDLTINVPKGSIFGFLGANGAGKSTTMRFIIGALTDTNKTIKLFGEELDTFYPEGFNKIGSLIDYPAFYDHLSGWDNLMVLSQLRQLPKQNAEEVLHLVSLWDARNTKMKKYSLGMKQRLAIAMSLLGNPELLILDEPVNGLDPNGMIEIRELLLKLNREKGITIFISSHLLQEIEKMITHLAIISHGEIKFMGSKEELNTLYQYSRVKVGINNAQEFIDKIPSVYSPKIINENTMECAVGSKEEVIKLNSLLVSQQAEIFELKSNTGLEDWFIELTKN", "METKEYSENKDITVLWTPSRCKHAGVCVKTLPKVYHPKDKPWITPTEATADELKQQIERCPTGALGYRLNH", "MKAIKKVFFAGIILLLFIQFFQPVRNTNKGQAPSSDFMRIYNPPISIKRTLLNSCYDCHSNNTNYPFYSYVQPVSYFLEMHINKGKKELNFNEWGNYSSRKKRNKLSAIKDQIENNKMPLPSYLGIHKHAKLSEAQKQELFKWMESIHMND", "MRNIIITAFIGLSLLACADKKTKLENKLLQSTVDNVQTKKQTQTPFSVKEIISSYLSIKNALTKDDGEASAEAAKRLFETLENTNKDTLDQEKKSIFIDIYESMRENADHISTNAGNVEHQREHFALLSRDINDLTDSFGTADLKLYLDFCPMYNKQKGAVWISEKKEIINPYYGPKMSDCGSVKKEL", "MENKVVIFWFRRDLRLEDNKGLQQALASGLPVLPVFIFDTEILDQLSNPYDRRVDYIHQALTAINQVLHQHHSALQVYHGKPLDIFKKLTEQYQIQTIYCNRDYEPQAIKRDQAVKTQLQKYDIDFYDFKDQVIFEQAEIVKADGLPYTVYTPYAKKWRTELLPEHYKAAKTSFANFLKVQPHEIISLTDIEFQKTDLSLQKPTPDFDIIKDYDKYRDFPSLDKTSHLGIALRFGTISIRECVAFAVKHNDTWLSELIWREFFMQILYHFPEVVHRSFKPQYDYIEWRNNEEEFQRWCNGETGYPIVDAGMRQLNTTGFMHNRVRMIAASFLCKHLLIDWRWGEAYFAEKLLDYDLAANNGNWQWAAGCGCDAAPYFRIFNPTAQTQKFDKDLQYIKKWNPDFKENIMPPIVQHEMARQRALVVYKKALQNFNK", "MKNKVILGTVLAITTIALLSSCSNIPKNAKAIENFDANRYLGTWYEIARFDYKFEKDLDNVTAQYSFKENGEIKVLNSGYNTKTKEWKSATGSAKFRKDKTTAALKVSFFKPFYAGYNVIAIDKDYKYALIAGQNLKYLWLLSREKTMPENIKEEYLKTAQSIGYDTSKLIWVNQDKNNPFVHGK", "MDYTLLKDTIKLVEQFEAELQKSNQYDHNIESFKRWVADQITEEQSFTEPYWEGKENKRTPESAISTLIVHMNRYAKTYSKSAMYGSAFSTQEEFIYLINLRAFGGMTKMELIKKNIQEKPAGIQIINRLLQQGWIEQSDSSKDKRSKIIQITTQGLHALDAQMNKIRQATQIVAGNLTRKEKMKLIELLNKLNEFHHPIFTQNIETSELLDSVIENNFKVL", "MKIQSTIIACIIGSSFFSAQELQVPALIPYPQQLERTAGNFSLNNNTINYSVETKQPWKRVEDFINQSLFSSSVTRKKSSSAESGLRIKIQQDLKPEAYKLQITNNRIEISASAEAGALYALQTLQQLYLLSGEKKLPALTIQDNPSYSWRGAELDVARHFFSKEYLYKFIDLLASYKFNKLHLHLTDDQGWRIEIKKYPKLTQQGGWRQFNNQDLACLEKAKENPDFELPKEHLRTLNGKQEYGGYYTQKDIKDIIAYAASRNIEVIPEIDMPGHMMVATTAYPELLLDGATSGWGKQFSVPINPCKESSYQFVEDVLKEVIDLFPSRYIHIGADEVEQTSWSKSTRCQNLMQQKKLNSLHDLQSYFVKRVNKYIQSKGKTAIGWDEILEGPSDPSMTVMYWRGWKKNAPLEAVNRNHPLIMSPTNPLYFDYLPNSSTLESVYNMSVIPSNIPKDRRNLIMGAQANMWSEMIPSRERLEFMILPRLTALAERVWTDGNLYSSYQQRVIQHYNLWDKKDYRYRMPDLSGFAEEQVIVDGQSVLKINNPLATSKIHYTTDGSLPTISSPVLQNSLTIREPAKIRFATISSSGAKSELYQVQVKQGKWHPAIKVKSATPGLNAAFFSGVFPNTKGIKGEVVKNDIIANIKLSDTIKMPAFGAKIKGLIRIPEKGIYNFYFTCDDGGVLKIAEQVVSDNDGQHAPVMKSGQIALEAGYHPIDVDFIEAGGGFTLKLYYSVNNSEPQPIPDNWFYH", "MKNLKKLSRAIQRSFMAGDGPYYCFEGEGPGTGGCSAGEICSGGKCIPYTGPGGGGGGGNPGGPSYTCICPWGTFIQNEPCAGPPYYCIQG", "MDINLLILLFIAGALLLLSFLMLSNAVGVNRKPNFYFGICLFIWSSFFWDDLLLGDLLDHQIVYIITRFIQFLAPLIFYQSVHFYSNPYSKYRIRDTGHLILAILFLILLICKPERSHEYFQIIYLMMVLGNALFYTGLSYLKILKHRKNIESFASSKENIDLRWILWIIYATIAASVTTAFYNVFSGERSLNIYISIFFMLVVYIVAFYTIRQKEIYPKGLDVEDIDTEFSEINSGIKNKLMDDSDLKELKIKLTILMEAEKLYLDNELNLVKLAEKMNISGHQLSYVINQGFGENFFFFVNKYRVKRAEELLTNSDYEKYTILAIGYEAGFNSKTSFNNAFKKLTSFTPTEYRKNRSDL", "MKDSYAVITGASQGLGRAFAEELAKENNNLILVSLPGQGLESFAEELQTEYLVKTSCYETDLSIKENVLDLTEWINSKFSIHMLINNAGIGGSKKFSDASGSYIEKIIQLNVLATSLLTHQLLPNLQKSSKAYVLNVSSLAAFSPIGYKTVYPASKAFIHSFSRGLYQELKDTNVFVSVVNPGPMKTNAEVSRRIEEQGFWARITCLDPQRVARYCIRRLKKRDTVIMVNHVSWLLLKILPIWLKMPMLTNKIKREINIAAS", "MIHTEQLILTEPNQDDFDRYFNINADPQNNLYNPSGPMKYEAAISNFENIIRHWQEHKFGVWSIAEKKNPKHVIGFGGLNYKKYANHLKLNLGYRFDKDFWGKGYATELAIMAIKFGFNELDKNEIYALVRPSNLSSIRVLEKSGLELFSELNDVENEVNSLVFRIENNPIKDSLLF", "MSNTAFSDSKKHYEILDGLRGIAAIMVVMLHILEIFSGGDHTKLMINHGYLAVDFFFLLSGFVIAHAYDDRWNKMTIGQFFKRRLIRLHPLIIAGMTLGGIFFYFSESSVLFPVVGETPVWKLILVMLIGYTLIPVPLSLDIHGWGEMHPLNGPAWSLFYEYVGNILYALFLRKTSTTILSILVILSGAFLAYYTISSPQGDIIGGWSLTGEQLTIGFTRLLYPFLAGLLLHRIFKPMSLKNGFLLCSILLIVVLAMPRIGGKEHQWMNGTYESIVIIFIFPLILFLGASGNIQGKTATKVCNFLGDISYPLYITHFPVLYVYYAWVVNNKVTLDQAWPVGVGILISSIVVAWVLLKYYDIPVRKWLTQKYMNPKH", "MKKILLSILLTLGAGSIISAQQTETSTSVSKPNFFNTDIDYQVRANYSIGGSAPMGMPREIRKIESYNPTLALGLEANATKWVSEDRKWGIRVGVRVEGKGMKTKAEVKNYLTEIKQDNSKVRGYYTGKVQTTVKNSYITIPVSAVYRLSDRWNLYGGLYFSGLIDKNFDGYVSDGYLRQNTPTGPKITFSEGSTATYDFSNEVSKFQWGLQLGAEWSMNKHFVLFPEFTYGINGLLNKDFDAISFSMHNVYLNMGFGYKF", "MMRVKNFIYLLLLATGLFLVSCIRDQAQNMEADIETATIANSTELLQVQPVITDNTITFRLREYTTDFNFSPEFTLTPGASIKPASGTKLDFSTPQKYTVTSEDGAWTKEYTVSFVIDNSERRYYPFENVEVIDTDGPEGHFHKFFDYQANGQKKYDWATANEGYNVLAETLLEEGETLTPAFYPTAQIPDGYIGKGVKMQTKSTGPLGGMFGSPLAAGNLFLGTFKLTVPAIKSTLFGIPYNFKTAPKAIKGYFKYKAGDNFVVNSKTGTKLTKDTWDAYAILFEKSDKNNYLSGDHSFKDPRMVSVARIKADKRIETDKWTAFEIPFENVNGKAFDKNKEYMYAIVFTSSLEGDLFNGAVGSALWIDEVNIVTE", "MADSFSKKENNKKKAQKQQEKAKRREERKSTNNKGKSLDEMMAYVDANGQITTTPPEDNAPLEINLDDIQLGAAPIEAEEAVKTGIITFLSEKGYGFITEDKSKENIFFHINNCKEPVKKGNKVSFEKERTMKGFAAIDIQIVK", "MNILVGKLNPQTTEQQLEKHFNSFGFITAVDIIKESYSGDSLGYGYVLMPNPEEAEAAIRKLNGTSLDGHAIFVSKASQNSNRYRL", "MRKKITGSMLLILLCLLISSCATTSKFSSESLNIGMTKEEVIAKFGKPYKSAFSQDKETGVIEETLFYREFLYISGNSNITNILSFKAGKLTSLKQGQESDSATRTTIINTPPSTIVQTSN", "MNPSATALTGIPFSEFLTHFKDSISQLFKKDCINQLSLSRGLPPHVWKTIMNLKPLSVAVPEEFGGRGTKVKECLGILSAASYESLPLSLTFGINIALFLEPLAKYGNALAKADIFKHFLDYGAMGGLMITEPDYGSDALNMKTQNKLEGEKYHIKGTKHWQGLTGMADYWLVTSRNMNSEGALARDVDFFIANTHECDQNIEVVEYYDNPGLYMIPYGLNKIDIKVPQQNKLIPESTGLKMMLDILHRSRLQFPGMGMGFLKRMMEDASKHCQERQVGAGNLFSFDQVQFQLSKMQSFFTLCSAMCAKSVKISGIDHDVSGSGIHANSMKALVTDMMQESAQLYVQLSGAKGYRMSHIGGRGIMDSRPFQIFEGSNEMLYTQIAEGILKDMKKKKTENLAQYLDINETTKNAATVYSKDLDLNVTAPISQRKMIDLGKIVARVIIVNDLLELNDSGFSQELTDNCIQMVRQEIRNLISSMQHHENISALEDTSNKSDWMLFS", "MEVFFLLTKATQHNSLALKRKLQREIKQLENTFVLNLEKIKQYR", "MYLKIKTESYTTDLLNIIIDKYIFLNITKKIVVQLRSLLYHCITIKIVIKRKLNNHYMTKY", "MKRIAIINGHPYAASFNAGLAKAYKEGALQSGADIQEINIADLDFNPNLENGYSKRTELEPDLLKAWKIIQWAEHLVWIHPVWWGGLPAITKGFIDRLFLPGMAYKYRENSILWDKLLKGKTARIITTLDQPGWYYRLMYGRPSVNQLRKSILQFCGISPVKVTYIGIIRNSPEANRKKWLQQVKRMGLDLK", "VITAYFNSFGIFSAEEIASTVQLFESRLLNKGDFFVREHEKNSEVAFIQSGIFRSFYTSADGNDTTYCFRFPQDMLAAYSSFITGNPSTESMQAITPAKLLVIQKSTIDELEAQNPKWTMFLKLIAEQQYLELENRVFQLQKETALQRYTSLLNNHPEFVQEIPLQYLASYLGITQRHLSRIRKQISF", "MALTRCKTFFMVVALTIASPLFSQNNTKPLVFGNSEVFHSDILKEDRNINIYLPEEFNPADATKYPVIYILDGGVEEDFFHIAGIVRYNTQPWVERFPRSIVVGIENTNRRRDFTYAVSDLNFLEKEGFKKESFPQYGGSEKYISFLKNELQPYIEKKYKANNNRTIIGESLAGLMSTEILLKEPEMFNQYIIISPSMWWGGEKLLKEADSLLKARLKTTKNVYIGAPNKEEDVRMYNEAETLYKILKSNKNIKVSFDYMPDELHSTAIHQGVYNGFKKLYPKSAYSK", "MSITNENELTGIQQVSEAVAYTLKKMQAYTRVGMSTKELDNYGANILESFGAKSAPLLTYNFPGYTCISVGHEFCHGIPSENRILQEGDLINIDVSAELNGYWADNGASFVIGIDLHQHQKLVNASKDILNKAISRIKGGVKISDIGYLMETEAKKRGYRVIKNLAGHGVGKSLHEQPDEILNYRNKNDQRRFRKNTVVAIETFISTTSTYAEEQNDGWTMIGNKGGYMAQHEHTILITDTKPVVLTRNNGIPY", "MILPIIAYGHSILKQKCSPVAENPDLHQLIKDMWETMQNANGSGLAAPQIGQTKQLFIVDSASVYQLMDAEDREHYFESEDHGIKETFINARITDYSDELWDDYEGCLSIPGLSQKVRRPWSINIEYLNADLQLQQRSFSGATARTIQHEYDHTQGILYLDHLKPLTKKLMETKLRKIKNGQTEINYPVKYLK", "MGFLKEYYEQIVELQESEWDFIASHFERKVVTKNEVITQQGETENYLSFIESGIVRFYIPEEEEANELTFNFSFDKELTCAYDSFLTRTPSQYEVQALTKTVIWQISYHNLQRVYHETKVGNYIGRLASEKLFLTKSKRELSLLKYNIKERYLNLFKEEPDVLKYIPLKYIASYIGTTPQGLSRIRRQIV", "MKDFMLNNGLSIPEIGFGTWQIPEGDVAKNAVMTALEDGYRHIDTAKIYENEKSVGNAIKASGISREEIFLTTKVWNSDRGYHETMAAFEKSLELLQTDYVDLYLIHWPAIPSQFDNWKSLNAETWRALEDLYTSGRAKAIGVCNFLVHHLEALKETAKINPMVNQIEYHPGYLQSDVVNYCKNNEILVEAWSPIGSGRLLDNELLKDIAAKYQVSVAQLCLKFCLQNDVLPLPKSVTPANIKSNLDVDHFSISEEDLKAIASMEESGFSGLNPDHVPF", "MNTQKAKSVQELSVNGKNYHYSSLKNLSEKGVDHLPFSIRILLENVLRNYDGFSITDEHVDTLLQWTPAPVDKDIPFKPARILMQDFTGVPAVVDMASLRAEFVRQGKDGQKINPAIPVDLVIDHSVQVDYFGTDYSYDKNVTLEFDRNKERYELLKWAQKGLNNFTVVPPGMGICHQVNLEYLAKGVIDRDGWLFPDTLVGTDSHTPMVNGIGVIAWGVGGIEAEAAMLGQPIFFTCPEVVGLKLTGKIPPHCTATDMVLSITRILRDKGVVGKFVEVFGDGLDNLTVTDRATISNMSPEFGCTVTYFPIDDRTLEYMHATNRSPEQIKIVEEYCKENLLWRTGNENILYSSVVELDLNTLEPTVSGPKRPQDKILVKDLSHKFTEILKDEHHRDYEPISKRTEYAWLSDGGSGTEFTFGKVPIEGPSHSEVIQDTLHTVRIKQNNSEFVLSDGSIVIAAITSCTNTSNPAVMVGAGLLARNAIEKGLRTKPWVKTSLAPGSKVVTKYLERSGLNTDLEALRFHTVGYGCTSCIGNSGPLPPAIATAVDKGELVVASVLSGNRNFEARVHPQVKMNFLMSPMLVVAYALTGHVDIDLTTEPLQYDPNGEPVYLKDIWPTREEIQKTINECLKQGDFEEVYDVIFDGSEDWQNLEVNLDQNFEWDQNSTYIKEAPFFENISADPDPVTDIKDAQVLLYLGDSVTTDHISPAGSFKEDSAAGAYLKNNNVNKEDFNSYGSRRGNHEVMMRGTFANVRIKNKIAGKEGGFSRYFPTNEVKTVFDTAMSYEKDHTPLIILAGKEYGSGSSRDWAAKGTFLLGVRAVIAESFERIHRSNLVGMGVAPLVFTDGQNAESLGLDGTETYSISGLAENLTPHKILEVKAVHPSGKETNFKVKARLDSAIEIEYYRHQGILQYVLREYLKNN", "MSANCETAELSNRAIVKLYKQINKFTITRIYKLANSPIDILIDHCKIVKPRNRVTVKLFMQFYDLTIAQINTLTYSHIITLPSK", "MTYKDFFRVLIKIVGLYFFIQTLFSFLPSQISIAFLNSDSLETAGAILYTTLIIIICFGILYFLIKNPDKIIDLFKLDKNFDNNSINIQNLSSKNLITTGLFIIGGYLVISNITRFIASAYYKIKLDHSSIPLPDMNNSFTIISSALNVILGFILIIYRKNITAYFEK", "MAKKAILGIIALLMSLPFFSQVHENTVLKKTLESIISGKRATVGISIMGPDTKEVTRINGDQMFPMLSTVKFPLALTVLHEVEKGKLSMDQKLFIKKEELLEDTWSPFKEKYPQGNITITLEEALKWTVSYSDNNLTDILLRLIGGPETVQEFMDSSSFIIKNDEEGMHKDWDSQFINKITPNSAALLLQEFSNGKILNKAHTQWLYNAMLNNASGKKRLKGNLPAGVKIAHRTGTSFTNKEGMTGAINDYGIIELPGKKKIYIAVFVHDTYESFDDSEKIISDIGRAAYDYYTKK", "MELPKEYILPDFNIRTLHIYDLLKHTVNADFIAVKEFQDIYPVALELNAGVFTKSSSLSDYPRVAVSQVGANLVTSCSCNSTEDKLCIHQAEIIYCLLEERDYRIFFDAYLRHKTLLPYAKSYGLEEEGNLDIYFRLDYLNGRLQVSPKIKELLQVDEHILKRDLLPRRTSIIKDLATQDTNKKQILVIGKHRYYNQLNFQLMEADTTQTGKLKNPVNNIDVMKLLWKAEQASEIKFYTAITSFNNQYGETDPAETEALKHIVQNPLNLEVYFHDRAIAESISAKSLVPVHLNTLQAEIQLHVFRKEPFYEITGDLLFRDTTLPFSQVVIRNEYFVYYRNTYYLITDPDMLRVIRFFKSNNEILLVHSSRYEVFMQSILTQLEQRIQINYSYIRQATPMEIADKDYTTEKLIYLHQEGNYISITPVMKYGNVEIPVYSRKQLLDTDQNGNEFKIERDHNAEIRLTRVVMQQHPDFREQIEEQEYFYLHKDKFLDDEWFLNAFETWRNEDINILGFNNIKNNKLNAHRAKITIEVTSGIDWFNAQLKVSFGHKKATLKQVHKAIRNKSKFVQLDDGTLGILPEEWIHKIARYFQAGDIDEELLKIPKISYTEIQDLFEKEVLSEEVQAEIASYTHNFSVNAEIPEVAVPAALNAELRTYQQEGLNWLNFLDSHNFGGCLADDMGLGKTIQIIAFILSQREKHGHTTNLVVLPTSLLFNWQEELAKFAPSVKVLTHYGADRQKNTADFQKYEVVLTTYGMLLSDITFLKKFRFNYIFLDESQTIKNPNSERYKAARLLQSRNRIALTGTPVENNTFDLYGQLSFACPGLLGSKQYFKDIYAIPIDKFEYSKRAIELQKKIKPFILRRTKKQVATELPEKTEMLIYCEMNTEQRRIYDLYEKEVRDFISATDEDEIHNKSMHVLTGLTRLRQICNSPVLLKDGHSGDHAVKIEILTEQIENKSREHKILVFSQFVEMLDLIKAKLDEKNICYEYLTGQTRNRGAKVQHFQNNEEVRVFLISLKAGGTGLNLTEADYVYLVDPWWNPAVENQAIDRSYRIGQTKNVVAVRMICSGTIEEKMMNLQKKKKKLAQDLITTETSFFSSLSKDDLLSIL", "MMFSPIKSALLLLFSISLCSVQGQEKRPVNSSDGPYVTYKGDSILVQQIEAGNQKKEHYLQKNKKAIKLRISFSDAPEKNFEVKLKQNISNEPSVTAQPKKMLVLSDIEGEFDALRELLLANKVINKKYEWTFGDGHLVICGDLFDRGTEVPATMWLLYKLEEEAKLKGGYVHTILGNHDIMNLAGNFKYVDQKYFLNAEKLNLSYADLYSEKTELGRWLRSKNLIEKIGDNLCMHGGISPDVNSLGLTIEKLNEIARPYIGWKNLKNTVTDATILKIFNSTDGIFWYRGYFKEPVVDEKVVDQTLSLFQVKRIIVGHTIVKTNVGFYYNKKVLGVDVNQHKGDHQAALYENNKWYKVGITGDKILL", "MKFVDESGKPVTPNFNELTKQKQFTIRKNNNPIELSDAIKYPGN", "MKLKLIKICLTFITVGFLPAQSFRAFYDMKYKTDSTSAEFVTKNMVLDYNIDNSVFYSYKLYKSDSTIVTNEAHNIITKTVSRDYAFFVKKDLKTGSVKKYNNILLDLFEISEKLPKFNWKLSKDSKVIGQMKCQKATTSYKGRD", "MMMNKYFILYQDCQIVKGAKNILLCDLYTKNSININEVYSYFEDDLSILYGDKSKEIIDFLIEEKFGYISVEKSTHRKSLQWGSSKLINEIIIEHSRNEGFSIENVYKKLEFIGAEFIQIRFIDYSFRKLKKVFSLLSSSNIRTIEILVPYMDEDKNSALISYVEKNPRVQILYFYNSPFNKSINKPFYFNVIYYERNLTDEKLCGIINTDYFLIDIKNFSKSKNVNNCLKDKLFISRNGTIKNCPSLHHEIGHINNILIEDLYTVIEADPVRNITKDSIEICKDCEYRYICTDCRAYLEKPSNPLSKPLKCGYDPYKGIWNDWTKNPLKQTTIKYYEIEIN", "MNLMKNRINLIYFTLTPKLTEHLKKISLNVPNSKKYHIFSKRYNTNSLKVKLYDKVSTPFIDIKLFDDDE", "MILIISRDSEPTTDLVIDWLIKWKLSFIRINDELYNDVEVDFQTNLFKIKGFDINEFKVVWFRKYSPNIDEITRNYLTKKISKSFNQFYSYEASAFCQFFFNELRKIKKINWLTSPFFSTENKLLQMKIAKEFGLLIPDSYVITSKSDLIEIIKLHNGKDLITKPFENCRHLKVNGESIQMRTKVVNEHIDNIPDFFPPALIQKRVEKKFELRIFYLTGRFFTTRIIDENKNEVDHRVNALHFDCRYEVYYLPTSVEIKLQKMLQHLDLNCASIDMIIDNNDNYVFLEINATGQFTYHSVYNNTYLDKEIALSLKTLYESYEKQN", "MKKDIIKNILKTCKQMSLVFFLLFVYSCTSYSKYAFYNSIPKETVLYQGDQYFKYEGSNFNGNYYKYTNDSLRMTMLIFGAYVHAHDKNKYKKILHSKEVTGVVSFHTSKKLLYAFTTYHDNPMQFMAFIDLSVGRKKTYPKGYYTKTLQCDKKNDVTLTISDKISKNGAQFLMDNFKCIE", "MSLIFFLLFAVSCTSYSKYASYNSIPEKSEFYSNGNYYRYTNEYYKITMLMFGDFVYAQNMQQYKKMMADKSITNKIPFKSRNKLLYAFTTYHNNATVFEHFFDISVGQKKYLDSDFIKTIKCNNKSKITLVISENASRSDAKFLIDNFKCIE", "MKKEKSIENLRKKLQLGGFTKTFGSKIIGGNRSLTIKEVVITVPRPAALESEYDDDGSEDGDKPTDPSGPGKNTGPWY", "MELNYKLEEQDYLQLYMYAASKNQVIKQQRQRVLWLLIIIYIVCSLLMFSMSMISLFVFIAAAITVTLIYIYYYERKRYEKFYLKNIRTNLKNRIGVNYKTVFGPHDIILTEPNAEAKFKYPNIELVEEINTYYFFKMKTGERFIVPKSAIQNSQEFNQIISKLSKDYNIQLYQELNWKWR", "MELNYKVSEQDYLQVYMYAATKNPIIKKQRKNGLIRMIVFSIIGLCLMYNTSIISLLVYFLFVVFTFCFYFLYYERKYYEKFYLKSIRTNLKNKIGLNSKVVFGLHDIILTEPITESKFNYSNIELIEEVKDYYYLKMITGERLIIPKVVILNSEEFSEIINRLKNNYNIQLEQELNWKWR", "MSETNIQTASASSKHKKNLLIVLSFSGLYLIAEVIGGIITNSLALLADAAHMLTDVVGLLLAYIAIRIGERKATSSKTFGYYRTEILAAVINAVVLLAISIYVLYEAYQRFLNPPEVQSKAMLIVAGIGLLVNIAGMMILRKSSGDSLNMKGAYFEVLSDMLTSVGVMIAGVVMLTTGWYYADPLISAGIGLLIFPRTWRLLMEAIHVLLEGTPKDVDIDELRSTMEKVPGVKSLHDLHVWSLTSGINAMSAHVVSDQSIPHNQMLRILTDQATSNFKISHTTFQIEDEGYVESEMHD", "MLLKKKISIWYFINLIKSQLLLISMFAVAIGILDLLPAFQKISLPLTIPALVGTAVSLLLAFRISQSYERWWEARMIWGAIVNDSRTFIRQITQALPLGSETIIQEFAQRQIIWNYALGESLRKLPFSDRVQDYLIDHRIEAANIPNALLDEHSLQLKQLADKGLISEFRLIQLNETLARLCDSMGKCERIKNTVFPRSYSILVHSLIYVFAAILPFGFDDSQLSLLIIEIGITILIPTLFIAIEKTAIIMQDPFENTPVDTPMTSLAQTIEINLREMTGEQNVPQKKRNPLYYEM", "MKLKQTIIYLITASLIFTSCGKKEAAPESKTEQTAKAKDHEEAAPTIASLTEDQIQSVGVTTGPIEMKELTATVKANGLLRVPNNNKATVAALFSGVVKTLNILEGDYVRKGQVIATITNPEYIRVQEQYLTTISRIAFAEQEFKRQNELYKNDAGTKKNLQSSSSELRTLSTQKASLARQLQMMGINPASVTNASMRTGLAITAPISGTISNIRAQIGSYVDVSAPVAEIIDNTSLHLDLQVFEKDLPRMRIGQIVHFKLTNNPETEYDAKVYSIGSSFENESKTIAVHCTVIGNKTGLIDGMNITGVVSLDHSTTPAIPTEAIVEADGKFYVFIQTDKKPEAHEEAESKDKKETAPAEKSHARTVNFEKVEVIKGTSDMGYTAITPVGQLAPDAKIVVKGAFFVNAKLTNVGEHEH", "MTLLLVIWGVWSATKLPIDAVPDITNNQVQIITVSPTLAGQEVEQLVTFPIEQSIANVPGIHETRSISRFGLSVITVVFNENVDIYFARQLIGERLKTASEEIPKGVGTPELAPVSTGLGEIYQYILHPKKGSEKKYSAKDLRTMQDWIVRRQLNGTPGVAEINSFGGELKQYEVAIDPNRLRAMGISVTDIFNALEKNNQNTGGAYIDKKPNAYFIRGIGLVTSLDDVKNIAVKDETGSVPIFIKDVADVRFGSAVRYGALTYNGKVDAVGGIVMMLKGANSNEVVNNVKAKIPTIQKSLPDDVVIEPFLDRTDLVERAIGTVEKNLIEGALIVIFVLVVFLGNLRAGLIVASAIPLSLLFALGMMNVFGVSANLMSLGAIDFGLIVDGAVIIVEATLHHLGLRKSVNRLTQKEMDEEVFLSASKIRSSAAFGEIIILIVYIPILTLAGVEGKMFTPMAKTVGFAILGALILSLTYIPMMSALFLSKKISHKETFSDKMMNKLQSIYQPLLLKAIKIKYWLVAGTAFIFFGTLIIFKNMGGEFIPQLQEGDFAYHCILPQGSSLSQSIETSMQASRIIKSFDEVKMVVGKTGAAEVPTDPMPPEATDMIVVLKPQSEWKTKKSYDELADEISEKLETIPGVFFEKNQPIQMRFNELMTGIRQDVAVKIFGENLDSLAVYADKVGKVIQTVPGATAPQIERVSGLPQINVQYDRTRMANYGLSIQDVNDVLSTAFAGKAAGQVYENERRFDLVVRLDSLRRTNIDDVNNLMISTKSGLQIPLSQVANIDYKLGAAQISREDGKRRIVIGFNVSGRDVASVVKDIQQKLDKEIKLPSGYYFTYGGQFENLKEASDRLMIAVPISLLLIFMLLYFTFHSFKQAALIFTAIPMSAIGGVFALLVRGMPFSISAGIGFIALFGVAVLNGIVLIGTFNQLKKEGETDVLKRVIEGTKTRLRPVLMTATVASIGFLPMAISTGAGAEVQKPLATVVIGGLITATFLTLFVLPMLYIIFSEKVKGRILKMKTKTTVVVLFIMLSGLAGQGLKAQTRTIDIQQATQMAVENNLLMKSKDLNIMTSKALRPTAKELPQLSVSAQLGQYNSPKFDNSFAISQTVPFPTIFKARKELIESNIKSREIEKEVSINELLRQVRSYYYQIEYLQFNKTKLESLDNLYQDFIRIATVRYNAGDIKKIEISTAETQKGEINLLLKQNQVYLDNAYKNLKTLLNTSESIEVAPKEEYLPLKIDYVLDSSVIANNPSVRAFYQEMEIAEKNKKIEKAQGLPEFTLGVTSQSLTGMHPTNNGGEKYYNGWNRFNSVNVGVSIPLTFGATKARIQSLEYQKQAAENNAKLQQQQLTTQFDTAMRQYRQDVDQYNYYVQQAIPNAEKIAKAAQLGYRTGDISYVEYLFALQTSTNIQLKYLESIQQVNQSVVIINSIINQ", "VKHLGLILAVFFTFLLTVSCSDATVYTGNTQTIVQKDSHSQDDHHSDLCSPFCTCSCCGMHITATNFAALSISTVSPVYYDKIVSSPVMSAFDITYGIWQPPKI", "MKTALLICLTFSGIIFSQTQKAQKIQAVFEKAAANGLFNGNVLVVDHHQVVYKEAIGYTDAHKSTPLTTDYRFHIGSIAKEFNAVGIMLLQDRGKLKLTDNVSQYLPELPAWSKSITIRDLLGYTSGIPDVQWKSVKSDQENMANLIKTEKLDFEPGTQYAYNNNNVFLQRRIIERITGLSFNDFVYKELLIPNKITHAVIDPTEKEPLVARAFDDKGQQDPMDLPISGWTAVNIDDFYQWSQSIVNFKIISPESTRFLLIPYSPSKQSGLGSKGQMAGNKIIHYEHDGTARNYQALLVCSPEQGRVIILMTNNKQNNLFDFNLAIQNILDGKPYKQIKKQVMPLLQNDIDQLHGKEIITRYLQLKKQYQDSYAFDSEDSLNTLGYYLLNKKRTDDAIEVLQYNTRLFPKSGNVFDSLGEAYLTKGDQHNALKNYKRSVALDPANENAKNIINKIESK", "MIKFGYTIFYVKNVTKSIEFYEKSFGFKRKFITPENDYGELNTGETSIAFASLELANTNLADGFTPGNLNDKPFATEIGLITDKPENTVQQSLQYGATLVQKPLQKPWGQTVAYIRDLDGFLIEICSPML", "MKNYNIDLHCDLLYYLLRPGTRIDDREIGCSLPFLKEGNVMLQVMALYTATAKGSRGEGLKQSEIFAELTRQEGFYLFDKEALNNPENQKGVGVIASLENASNFCEEDMDLDEGFENLEKIIENTKGIFYIGITHHHENRFGGGNFAEAGLKEDGKILIDYLSDKKIAIDLAHTSDQLAHDIFNYTAQRNYKIPILASHSNFRSVYPNNRNLPDELVKELIARKGLIGINFIKDYIHKTNPEVIYDHIAYGIDLGTENNLAYGGDFFYDKDHPDKSRYPFFFKGMDDATAYNTINERLMKVYEPEIVNKISHQNALRFIRELWE", "MKSRLLYALALSFFSVLNYAQTENINIGIKIPLKSEVLKEGRSVWVSLPEGYQKDQKTAYPVIYVLDAENNFNYLSSVYHYLSKEPFGILPQGILVAVANTNRTRDLTPTKSSKEMDLGGKKQSMFTESGGNAAFMEFIKGELFPLIEKNYRTNGYKVFVGHSFGGLTAVNNLLTEPLFNAYIANDPSLWWDQELMVKKAEASTRDFKNIKFFLAQANNAEARNGKEDEHETAIGKFKNLLEYGKLKNLQWKYGFYEKDDHGTVPLPGNNDGLRFIFKSYKWNFRDALKNPALINEHYQKVSEEMGYTFKPTETFFRKIIDIAKERATPAVVKEFEALQKQYYPDSK", "MVGNDRKFTLRILFLNLLFFVVGIVTVKSQDRSVHIRLEITDAESVAIGGAKLVLSSDADRYEVRTDAKGLAVLDVIPGKYKIHIIKLSYQDYSTVLTFTNDARFSFRMAEKISNIEEVVITAKEGKGLTSSTIIDQRAMQHLQPSSFTDLLELLPGGRSGDPALNQMNRIRLREAGSAGNDYNTSSMGTVFFVNGAPLNSNANLQYTYDFLDKTRNGLKSRLNLTSGVDMRSIATDQIEKVEVLRGIPSVIYGNLTSGIVKITNKSGYTRWKSRFKADGYSKLFALSKGFEDKDNGLKINTGINYLDAKSDPRDRLESYKRITANLAVAKEYTSNKATTRWQTNINYTGSLDGSKTDPYTDLSNLNSYEVNNHLVSFSNQFTYTRKQPSIYRETDVQITANQRFDKIKQTKFVQLENAMAFPLSRVEGEYDGYYPEAKYIADYTVDGKPLDVFVKMVNNFQFNYKAYKSELNAGFDWQLSKNWGRGQEYDILRPIDPKSTFRPRAYRDVPAYVTSALFLESVNSVDVGQHKLTLALGIRGNAMMNLPSHFNMHGKIYADPRANFQWELPAFELLNKKTKIDFTLGYGKQSLFPDLNLLYPELYYRDVQQLNYFHNNPAYRRVNYKTIIYNPQNPEIEPAVNEKFEARADLAFGLHQLSVTVFKEKMNNGFRSMNQYAIYQYKKYDTSVLDHNAIMAPPDISTLPYQTVNENLIYATQRNGSGMDKEGVEFQYSSNRVPVINTRFTLSGAWFRTKYGNSLPVFRTNDYIIGGKPYPYLGLYEGMEPRSVNEVLNTNLMLDTYIPRLDLIFSSSFQFSWYSAGKLLPMNGVPSHYVDGEGNIFPFDPEAAKGTLLERLIIVQNDNRFNTSRRPMEMNLNLKVTKSFRNKSIVVSMFANRLFSYYATYWNNGIRINRKGANDPYFGMEVNFNL", "MLKHLLRTLLLLFAVAGFTACSSDRDFSEQQTTLKLELKFPENIKVKEYKQITVSFKELNSGFSTSKELKNTNTLQVVLPAGTYNVTVEGIITYTDDSGVAETKIGGVQSGLVVNGNELSKSIPIAPKSTSNDLILEEIFFTGSKTPEGQFYFGDQYFKITNNTDQVLYADGMLLIQSSFMTNEKQDYTPNIMGNALTARAIIKIPGTGNTYPVQPGESIIIAEDAINHKEFNPLSIDLSKANFQIFKGENDVDNPKVTKMINVDGEMVIHTQGYYAYALARMPKGMTDEALISQNTYTYKYDFAFGGDVFPMDDTGVKIPNEWVTDVVNLSLKDSFQWIVTSPALDMGWTSVAAFDGDQNRYGKSVRRKILGKSANGKNIYKDTNNSTVDFDHGVKPSLFN", "MKYSLSLLLLSVFLVGTKLSAQSNDTIVQKVYEEYSPERLLKNTVNINPANYMGARKYSITTFSLSIENANTPYELQQKGKGKSIWGAETHTTQLLDHKTLVWGTASYNNGKYKKMLWNENADYDVIYPYVAADSVGGDMQFENYAFSGGYAKKLGTYTIGVTGSYRASMSYRDVDPRPKNTTANIVLAMGGNRLIMDKFRIGAYLEGEKYTQKHYLSFVNSQGFPMIYNMSGLGNYNELLSGKLRQTYYEGWSYGGGIQIYDGNSRNWYLNLSIRKFKLDKYLTEYTDLNASKVDEQQLGFSLGKFFTAGKLFWGIIAEGNTKERKGTENLFLNDNSRNYIQIGSAERYNHKISNLLFKGLLQTGHGDTKSSLIPFFGIIQEKEKYNNPLSAVNLNKMVYGTDYQWWKTFNSQLTLSANLGFFVTEVYKKDALFSNVAKPAIYQMLQDNYNFQSANFWQAKLDINFHFSLPVIQNAFVGGKIIYNGFKNGNNMLFAATIGSVF", "MRKFSDLKLVLLLAGLAFFFMQYTIQRFSIDYGEVVEQYKQPSKYWPRPIVDPSVQWKELEAIEQDSNYYETQELPEVVLGKKLFFDPKLSASSQISCSSCHNPELGWADRQEVALGNDHLQGKRNTQSLFNIAERESFFWDGRAKTLEEQLVGPISAHNEMNMKPEKLAAKLAKYTEYRKLFREVYQSDPITFDKIAKALATFQRTIRSQPSKLDKFIKGDYKALSDKEIYGMHVFRTKAGCMNCHYGKYMTDESFHNIGLTYYKREYEDLGRYNITKDPDDTGKFKTPSLRDLAYTAPWMHNGLMDDLYGIVNLYNSGMQMINPTQEEKKADPKFPVTDHLIKPLKLNEKEIDALVAFLESISGSYYKMPRPEIPRK", "MKKKIFTTVLLFCCVIVCMAAVVIANLNGKWSGVINTPDGNSLEAVYNFNVDGEKLTGVVSSPMGEITLENGKVKDNNFSFSVNVSGTDYPHTGKAYTDSCVVDIDFGSGQSSHVVLKRVK", "MKEENEIKKSFQNKKEYIPPKLEVAIIRMGHGITSGPVRIVNETNVSYQKSMKNVS", "MTKDTDKDEAYVAGENILRLAITDEERAKAYAKMGKAMYDKNSYAEAIQLLEKGDHYAQISGSTYERFFINFYLSDAYAQVGFSGKAQRSWQIASKEAGKLKKYMPAGFMIDQRCIANLEEDEDYCKAIQQRLKLIEHISKADIDISTQRINNAANYSGLAYDYIKCGDMMKAKQALLEAKYIYENYYDAEHLLSLPRYYLSKAIIAVKEGSKAEGKHWFDMAFETAVKKKLTNDEELIVRERLLSNIDTNKELQHQNIDYYTKFYKDKVKQARRAAKNEIDKKETKVVNQNKKINILLVIVTVLVLSFLYVYKRKVRLRSKLLNTLSRLRQTKEPVTVAHTEKRKKVTEGPTVIKDPNTEAVLLRRLDKFEKTEQYITKGITLPKMAVLLKTNTTYLSYIIKTHRDSDFSQYINRNRINYIVGKLKNHPEYLNYKIGYLAEECGFPTHSQFGSVFKNETGMSPSQFINSLKQEKSKERSRN", "MKFKTQTTSFLVLTLLLANTACRSTDNTLDTNTTDNRQVSVKMNLSGSEGDIETSEKLATAKNNLASTGIQTQTIPYDNTYSITATLVPVKASLSGTAALNPMAAATELKSGIRYKVLVYDSNSKLVDQKEFVYKQNETDGFMLDGGKTYTFVAFSVNSTNAADTPTVTNPDNLSTAMLSNISKDLMYYKIVKTVTGNTPNTLDVVLKHQFTRVTTNIDASQVESTGIITRVNSPVFSGGNASAQLQFNNDAQLSYTGSNTTSFNFPNINQSKITSDPAIIIGNTPNGASLNIGNLIIDGTSKNNVLFSNLKITPRSQYNLNLKFTPCTKFNMNPTPFDQDASVTSKYFGFNGIPDRFIVDFTYVDNSFSFLVNGTRITADEIQFDGQSGTVNIQFPNGNKWGQSGGIPAIYNIAANSPTAPVIRVVVDRNGNTTFYGKRQSGDLVLEPLTYTGTGGIKKITWTSGYNEVYISQVASGPTRIKGTGYGKQNTTCP", "MMKEKESVKTDCRETYTSPTLSFSFIEMENGIAAGSAKVIPPDNGGKVQEEWQSGTDDNRTIDW", "VSTELSRIQKTIAYIEENFAREITSGEIEDIACYSYRNFQRVFMKLFGETLSGFQKRLRLENAYKKLLYTTEKISSIALEVGYYNLQSFSKAFHKQYGIPPAEARKNKTEIFSSFFEKDDLSLDYEIVYKKSTEVYVKGIKSRNYNTEEINILWEEIEKETDFESAYGIVTDQPLITSEEYCRYDAAIEEQPQAEGYQKKTIFGRKYVRFAHKGNFDNLLDAYGMFYRFWLADNPFPLDNSPVIEEYLLSESGEYTTYIYFPLHI", "MRKLLTIFVFLSFQFCMTQTYHFPEESAPHEGTWLQWPHHHQHGITYRNRVEKTWIDMTKALQANEKVHIIAYDEPEKERIIGILQKSGVSLKNVDFKIYPTDDVWVRDNGPLFVKDKNNNILIEDWGFNAWGEKFDFENCDAVPSQIGRDLGIKVINLNDEMVNEGGSVETDGRGVLMACKSSVISQKKGSIRNKDITQQGAEDYFKKYYGVSKVIWLEGVTGLDITDMHIDGFMKFINSSTMLTMERDDLLDMGLSEKDVNTLYAATNAKGEQYKKVYVPATKNNVKTAYGKQLDYKGSYVNYYVANGVVLVPNYGDVNDEVANKIIQKQYPDRKVIGIDVRNLYENGGMVHCVTQQQPAGNTVKQKVKAATTKSTDEEYEDE", "MKIKTFLLRCITILIVFLICNALSYHNGMAYDGDDTIGFPFSFYEKSVGFSLITHTMQESESFYPWYLFFNFLFAVVLAIALEKLFYFIIKKKTGKTTK", "MKIRAIKESDHEVISKLLGQLGYPDTEKFIQNKIRALLLNPNEYLVIIEDDEQQVFGFISIHIIPQIALEGDFARISYFSVDENYRSMGAGKMLEEYCVQVATERNCDRIELHCHSRREKAHLFYYRQGYEESPKYLMKKL", "MGLKKFLLRSIISILIFVTGTTLSYRNDMSKDGYETMGFPLSFYRNNPDPVGMAAKADNLYPWYLVFDFLFAIGLAIGLEKIFRLILRKE", "MIIICILAEHNQITLKIMKKAIKSQLLEFLPTVRFQVPCIDNKISLSLLLNN", "MTRKLLILSGCLLLALNSCKSDMETTPASSVDHTTTQLNGTTIHKLLINGAYTYVNEVNGEYFYADDITITAEQFNQLKRMANPDISTVERSTIVSSFIKTWPNATVYYTLPSQGSLSTQAYNTFLTNINKAFDMISSKTNVKFIQRTNQTEYITFTYSTGNSSPLGWVKNRVNGIKIYNTTYPAIIAHEIMHSMGIMHEQCRPDRDQYIIVDTNRAQEGSRHNFNLYNDYAGHGEFDFGSVMMYKSTDFAIDPNLPVMTKLDGSTFGKQRDGLSAGDYAGINHLYGPVNSTSATNGTYTLTTSLAGDKNVDITGSSTADGTDVILYSATTGNNQKFIFRKSDHGYFTIKSILDSTKVLTVRNNGTANGTAVELRTNADTDSQKWLLFNLGNEGFGFAPKNAPSLRLEVKDGLTTNLTPIVIGSTDQILQPYTKQRFKLTKVN", "MKKIILGAALLTSAFTSMVMAQSKLLAKTTWKIENIAADGNIVLKKTKHINLLAEEPKFNYLQFTDSKKYKTGNSCFQTDASYSLYEESNQLELYSGISGSSSDCREPKNIEGRYAYKITGDRMDLQRIQSENMNNEDAQAAEGAETADSGEAIDAASEAAIAAAEAAAKEGNNAKRKKTTKKARK", "MQTLANKLPVTVLSGFLGAGKTTLLNHILHNKEGLKVAVIVNDMSEINVDARLVENENTLSRTEEKLVEMSNGCICCTLREDLMIEVEKLAKEKRFDYLIIESTGISEPVPVAQTFSFTDEETGTDLSRFSYIDTMVTVVDCYNFFRDFGTHELLTDRELTDIEGDYRTIVNLLTDQIEFANVIILNKTDMVDADTVGLLKATIHRLNPGARIITSEFGRVKPEEILNTGLFDFEEAQNSAGWQKELESDGHTPETEEYGIGSFVFRNQKPFHPERLWNYLNTDYPQNIIRAKGLFWLASRRNDALNFSQAGGSSRLEKAGVWWCSMPYADRIRYASFVHNKDIIEARWSKQWGDRQNELVFIGQNMDIGKITAKLNACLLQDNELEFYDHNRFADPFPRNI", "MKLFSKIALSLLVMTSVMACIRSKQTQQETLTRIKDNEQYYKGKDLSELLKQVPDMRSVSIFKDFPQKGITSLRIAFLKDKDFNQEVNLNKSPSHIVVYTEQNPNKPVEISDDKGSEDLNMKEAASKYGNLKITAVHTVTP", "MQRKLKQTFKVIALLTIISCNYNSQDATRKLKKKDTLLYAIEYSDDISKSIMSFTVFTDSSYVFTNIVERPNYNKTEEFKGLLKIKNNHLNLSPFELDYNKSQNAELKNNYIDFEGGEFPFRMKIEKTKIQSPNYINYSNFPDIAVFKFIEKENSGHYKNYEINNGDLYKAENILKQCFNDNKGKLNKYSDYVKQINAVKNMQNEIILFVHCYCKLDDFIKKEFRLTPIEMQDGGKCNVYIEINLSKNKYTHFHTAGF", "MENQSNDISKCPFHNGTLEKQNVSSNGTQNKDWWPKQLTLDILRQHSSLTDPMDEGFNYAEEFKKLDLAAVKKDLTDLMTDSQDWWPADFGHYGPLFIRMAWHSAGTYRVQDGRGGGGRGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGKKISWADLLILTGNVALESMGLQTKGFGGGRTDVWEADKDVYWGDEKTWLGTDKRYTGDRDLEDPLAATTMGLIYVNPEGPEGKPDPLAAAKDIRDTFGRMGMNDEETVALIAGGHTFGKTHGAGDAALVGAEPEGAGIEAQGLGWASKFGTGSGADAITSGLEVTWTKTPTKWSNDFFEHLFSYEWELTKSPAGAHQWIAKDAGDIIPNAFDAEKKGPAYMLTTDLSLRFDPIYEKISRRFYENPEELAEAFAKAWFKLVHRDMGPKSRYLGPEVPAEDFIWQDPIPAVDHQLIDAQDEAQLKNKILESGLSVSELVSTAWASASTFRGSDKRGGANGARIRLEPQRSWAVNNPEQLSKVLNVLEGIQKEFNAAQTGGKKVSLADLIVLAGNAGVEKAAQNAGITVNVPFTAGRMDASQDQTDVESFSYLEPYADGFRNYRRGKSTTSTEEFLVDRAQLLTLTAPELTVLIGGLRVLNTNYNGSNYGVFTDKEAALTNDFFINLLDMDTVWNATGDAQEVYEGRDRKTGNVKWSATRADLVFGSHSELRAIAEVYASQDAHEKFLKDFVSAWSKVMNLDRFDLK", "MNTGKAKPKKKHNRSLFYRISAWLHLWLGLASGIVIVIICLTGITWSFKDEITDWLHPELQSDYIPDKPMLNPSALYQKAKKLFPDQEPSYIWRPTGKAVMIGYGKRNPGYVLYLDPYTGTVLQRPSFKEEFDFFEWTLNGHRYLWLPSEIGRPIINYSTFIFFITLFTGLVLWWPRKWTKAMRKQSFLVKWDAKTKRLNYDLHNVFGFYAMVILLIIAITGMYYGLPWFNKFLYFTTSLGKTQKKERRELKNTAPFQPEKLSASVHTAWEDAAKKTKTKGYYLSIPQDSTETISLFLYPSHRQFYNLQNFSYDRNSGTALSGNSTHAQSFEKADFATKVRKLNYDLHVGSALGGIWGRILYFFITIIGASLPVTGFLVWWFKKKKKTA", "MHKKKILLSALFFPAIIWAQSTAQITGKVVNSDKKPVPSLKVILNNGEAETITDENGIYRFNNIPAGNYTLKVDDPEVTKTYSFTLKENDQLTYNFVQTSSAYQIQTVNVVANRKTIPSSTLRLGENLLVTPQNIQVIDQRLLNDQQILTTAEGLSRNVSGVRTITHQEEGSVGIAVRGFSASNLRNGMDVSGSFGPLREDMSFVDRVEFVKGPAGFMMGNTQPGGFYNIVTKKPVGREKGNVQLTLGSFNLYRAAADIEKKLSKDGKFWGRLNLMGSKNGSFQQYVEHEQYVINPSFKYLISDNTNVTFEYILSQNNFQGGFAKYAYGIDGFKEIKRSFSFSDPIIDPTRSWEHNIYGTINHNFNDNWLITGQFGYIRSQMQGESLYANYNDITLADDPKTGRKKGDTNRSLSINDALNTSTIGQVFTRGKFDTGNINHNILAGIDMGKKFYVADWSVLPQNVGPVFNIYNPVYGNLKKSDLPVYDRSKSLRERGANYLNNYSYTSFHLQDEARFLENKLRIAAGFRYTSTVKTSAAEKGAEVKNSAVTPRFSVTGLLTPTLTVYALYDENFQEQTGKLVNGGSADPSYGRNKEIGLKKTWFGGQLMTNLTFYHLTKTNILTAAGIQFPGLSEQTGKATSKGIEFDLNGNIGKNWSILFNYAYTDAKVTEDNDAKKIGSMLYGTAKHITNAWIKYTIAEGDLQGLGFSFGYEYQAKRAAWPVVLGKPYLPDDYFTLDLGVSYKRDNYQLSFLINNLTDRYNYVGFFPGAWGYTHYGWRATNPINFRLNLAYNF", "LGETEQEDIIARQILDFFAEDNAGNRHDVFTNDIGHFESLTLYNGDEFLVKEYVSSYINDYTMKFRIEKAKYIELAFFLEGAEMIKDKVNGSPGTYKLLHHYIYFTPGYADIEIYFKKDICYKHLDIYVSRDYFHKLAQNNNALQRFIDKVDREVSASLFPNGLPITPQMMSILLEIKKCNLEGFYRDYYIKSRILSLLLLIFEFAKNQPEDDIGSNKYSISQSETQKLLEIKGFIENNLKSFYTIEQLSLKFGINEFKLKNGFKELFGDGVFHYASKLRMKEALYLLKNSELSIKEIAYHLGYGSPSSFSVAFKNEVGVGPSYYRRN", "MRTQLHHRDLPDLSIKKEFNTTGTTLSENISYLNHSFIHGSYREISFDGIHIGYGDLQLRQPALIRFEAETESVELHFALQGNSTASIKGESEAISFSPYHHNIMYANQMKGHFLWDKGNIQIFEINFLPSFFKKYLPDDSTLFERFRTMMDKGNMQLMLPQHFMITPEMHHTIREILNCKRTGIYKKMFLEARTIELLLLQLEQINAPNDQISLSKSDCEKMYAIRDFIEQHIAETHSLIDLAHRFGTNEYALKKGFKEVFNTTVFNYWSSLKMQNAKKLILEESLHINEIALTVGYKNPRHFSTAFKKHFGHTPTELKNFMKR", "MKRQEFKTIREQLRVSRKEYITPHYIRVFLTGDNINAFTNTTIGVNNKILIPPKGLQEIHFPEYDYEAMKWKPQPDNIRPSIRTYTHRGIDTENQEIWIDFVAHGDEGPASEWAAKAEKGDVLGVLMHDGKTELYAEADWYLLAGDATAIPVLSTILEDLPKTAKGVCIIEVHGKEDEHNINTRADIEFIWIYNKNPQKGSILPEIVKQQDFQEGKHFGYVAAEFSSVKEIRRYLRKERQWKQQQLYAYSYWKSGVAEDKSAIERHQEKDSIQ", "MNQQKSIILHSKLPSVMWQMSWPAVIAMVLYGLNNFLDGIFVGHLISNTALAAVGIAYPLSQFAQGFGTLIGTGIGSAISIWIGAGDQDRLNKSLGTVNFLAILFSVLVTIPCYIFAKDLVYMMGGRGEIGILATEYFKATILGTFFWIYGLALNMIIRAEGRMKTAAWMIAVGLVVDVILKPVFIDTLGWGVAGAAWATNISMVIYSVLGIWYYAGKRASFSSKFWSWTPDKAIIKETMSLGMPGFIMMVMIVVQNIVIFNVFAKYGTDEDITFFTAVNRFYILLNTPLWGLMRALQPVAGMNYGAGKYKRTISSYRLFSLTGLCILIPFWIFIMFFPADVLSVMLPSVKLTTIQLTDFRIYMSVLLALPFTFMALVWFPSIENAKPATQISLLRQVVFYIPVLLIVPRFFGVRSIYVACAGIDWLVFFIILFAVYKSSKRLKMNLRAA", "MIKRITHLSVLAAPLFFYAQHTKTDTTSVGTIQEVSLQGTKSFRTKKSETVARLPLENLENPTVYSVVPKELISETTAIDFNTAVATVPGVVVNNGVNDSGNDIFLRGFTSNASFRNGLAINPRTQTEISNIERIEVVKGPSGTLFGGTMAKYGGVVNIITKKPQEKFGGLITYTTGSWGMNRVTADVNTPLNKEKTALARFNFATFSQDSFQDAGFSKGTFFAGSVVYKVSDRLKISFDADYYAPYKTMNAFVRNSGVLTIKSLKDLTSIHDRSFTSNDIGSRRNVFNTMAEVEYKINNQWTSRTSFQRGESVENESIFLVLTYLDNNTVRRGIRPFDMYKITTNNIQQNFIGDFKIGKLRNRMVVGVDYYQQDSKNQYPMFKNSVFAVYDQVKLDDITPWQGISRSAVNNLPRTATNNQTDTFSTISGYVSDVLDVTPSLHVMASLRWDHYNSDPSLENGVKNTKAEFSKSAFSPKFGVVYEVVKDKISAFANYVNGFTYNAPSLNQNGVMEKWAPEQGNQFEFGAKFDVLNKKLLATISYYDLRVTNRLIATPDGIGSYQDGKTMSRGIDAEVIVNPVAGLNIIAGYGYNDNKLQDRSANDGKRLIWTPKHVGNLWASYKFQSGAIEGLGLGIGANFVDKTKLDYISGYLVPSYVNMGATIFYDRSRYRVGLKLNNATNTTYWNFYGQPQKPREILANFSFKF", "MDKDLHNLQKKHHKKRGKTLVKKITGWLHLWLGLISGLIVFVVVLSGTLFVFCDEIIDFVAGSDKYIEYREGQQKIDADVLIAQFNARHKEVGRKAFYIDEYKDPERSFRIASGIKRGGFSYTYVNPYTGNEIGSTMSYRFFYVVAHIHSQLLLGGFGKTVVGISSIIFFIQLLGGLILWWPQKWTKATRTSSFKFKRGVKWKRRNYDLHNVLGFYVVIPAIFVTITGLIMAYEVLESFTQKTFGGVPAVEARKLSKKYEPPFVEGKDFITMQDAIDITRIRHPENNQVRVSFFGGDDSTIYSILVGKFIGLKSAIDGHDITLNRYTGNEIEMPEILENHEKIEHTNFDLHVGYWGGYIGKTITFIVGIICSSLPITGVLIWWGRRNKKKPVAVVK", "MDRKINRITVFCGSSFGTEAVYEKQAYELGVTLAKQNIGLVYGGANVGLMGAVANGVIENGGEAIGVLPYFLKGKEIAHENLTELILVDTMHERKAKMNELSDGVITLPGGFGTLEELFEMITWAQLGLHQKPIGVLNINGFYTELLAFVQTMVSKGFLKEINKEMLLTSDSTDELLNMMKNYKAPEVNKWIHKEEI", "MKSDIRPIGNSYSEPLIDLILTIQQKEFNIPVSIEDQPDLIEIENFYQASGGNFWGAFIDGELVGSIALVKFDKSAGAVRKMFVKKEFRGREYNIAQTLLETLISYCKDNEINDIYLGTVSVLKAAQRFYERNYFAKTLKEKLPESFPLMSADDVFYHLKIN", "MNVINDAGILAISTRLHRLSEQLRKDGAMIYQTFGIDFELKWFPVIFTIYKKEVAGVVEIANEIGYTHPSTINLLKELEKKKLIQWEKDKQDERKRLFMLTSEGKELIEKMQPVWELMSKILGDIADNENNLLKAIDEAEEKIANQSFYQRALQLKNSK", "MSTHKNVLSPEQQEILLKNLKARFEKNISRHKDVDWNKVQEKLEANPAKLWSLNEMERTEGEPDVVGYDVKTGEYIFYDCSAESPKGRRSLCYDREAWEARKQYKPENTAIDIAQEMGVELLTEEEYRSLQKLGKFDLKTSSWIKTPADIRELGGAVFCDRRYNTVFLYHNGADSYYAARGFRGVLKV", "MDYKITKASLEDLNETAELFNLYRVFYRQESDVEKGKAFLKERFLNSESDIFLAVVDGKAVGFVQLYKLFHYTKLQKQWLLSDLFVHPDYRGKGLSVALIDRSKLWCEETGACGLMLETEKTNDIGNTLYPRCDFEYDGLHNYYHWWK", "MQNHIINNLQNTLQRIETACILSNRSPDEVRLLLATKTVPVNRIKQALAAGCKLIAENKVQELKEKYDDLKEIPHTNHFIGHLQTNKIKDILKYDVSCIQSLDRIDLAEKLQQRIEAEDRTIDVLIQINTSGEESKFGIHPEKALELVKQVSELSALKIKGLMTIGLFSTETEKVRTCFRLLKELQQQIISHNIPGVEMNELSMGMSGDLETAVEEGATIVRVGTSIFGQRIYPDSYYWNENKA", "MKFMIYNADAKHLYTEFVNEYENRPVSPELTLKAVELFINTILKE", "MMKLVKYQDIKHLLPEDTHYKNERYYDPQEAYVLHYQGDLVLEKPLDLDNSYYYFFDGVEPEDLCYFIFVEGNVKAGNIYNNETDGSTGLVVMGNLIADNIVVGGQEIFVGGDFTVNELFWGDYNHGDLQVKGSIQAKVFINTDYGIDYKRFEERRNVFIDHLLWDDVEDDYEDDEHIRQLLRPEYMLPVEDLIEEEIYSWKDWLFVSGLMKAMEQNQPVLQDNIKPYKRPEEDFTFFFADNIVSEQNLKRFLDSDILVGKAPVEGSSFALEYWDGPVFRRVYTVIGSPETTAVYFQYEEKFACMVYFTEHQNMLGKLTGRKEYRVEQAYKIFPEDKWLVLDNNAPQEFQDFMNTQWNVFLWQYSEMVHLKNLFRETVTREKIERILSLPLVQEKSKQYYTDDASLDLGSLHLQFRQSNFEEDYCSRISIIRQEYSEGDEEIFDFWHFDLVETVDGRIAPVLFSQEGNDYESRLYEVSATAVDKYKNAIRYWNRLERNIDSLNEAYLRGELSLTYE", "MQKLRQHIEQIVALTDTEFEFILSHFTIKKLKKHQYLVQEGEYVHSDFWVIKGLLKAYQNDSDGKQHIMQFASEDWWITDYQAYFRKTKATLNVDCLEDTEVLCLSLENRDKVCAELHKAEHFFRKKSNAGYIALQQRILSLLNSNAKERYEKFLWQYPKLLQRIPKTLIASYLGVSRETLSRVSGLQE", "MKKILLLLVKTMIAASALLFVSMIPGQNKKIKKMNKKILFVLTSHDKKGDTGESTGYYLGEVSHPWEVLHNAGYEIDFVSPKGGNPPVDGFDLTDPVNKKFWEDKKYKSKIANTMKPSQIIPEEYVAIFYAGGHGAMWDFADNKELAEIARKIYESNGIIGAVCHGPAGLVNIKLNNGKYLVDGKKINAFTNEEEVAVKLENVVPFMLETKLTEHGAIFEKSGLWQPHVTVDQRVITGQNPQSAKLVGEAMLKEIRK", "MPRNKEFDYHDKLEKVRNLFWEKGYNATSMHDIVDTMGLNRSSIYNTYGNKHQLFVECLTHYAKMKTEQYQVASQYKGSAYGALCFTVHDVMDQTIKDKKACLIIRTIFELGDTDPLVKNLIVSNATILENIFKKLVEQAKADGDIKQNLAPEIASRYILSGFSGFYKHYILSGSKKEVDEMIDFMLLSMKA", "MEKQTQQVVEQYYDNLASGNYEANVQLFADQVEWRIPGDAERAVWIKERNTKEEVRAFFNELYENIEGVSFDITGKFYNENRAVVTGHLVSRVLSTGKLFDSHFTVQFTVEKGLITRYLMLEDSYGLVEALSQNSIK", "MKNKIKTIAFDADDTLWINEPYFQEAEKQFCDMLQDYLPHHTVSQELFKTEMKNLQLYGYGVKGFMLCMIETIGRISGNTAPISLVNKTIELGQELLQKPIQLLEGVQHTLESLNGKYRLVVATKGDLLDQERKLKNSGLQDYFHHIEIMSDKQISDYTKLLKHLDCQPENFLMLGNSIKSDILPVLDLGGYAAHIPYHITWAHEQHQSILQHKNFMELERIDQVLEYLN", "MLRTHQSFLAYMAQLYEEQQRKEDIIVKTFKKGSRLLEQGSSITKVMLIKEGITKCFVKEENDKDFILEFLGKGEIIGEIELLQHIPCLCNIEAMTDVTVYALSVPYFRSLLTKDFGFNNLLLEVFSKRIVNTSSRASYQQLYTTEHSLSRLLTLQDQLGTPMSKEDMAAYLGITIRSLNRALKQLEDHKK", "MKLHYKKFNLIKDLLISKLGERVNFSEEYDNKTLEIEDSEFWLTVNKTELIVGYGINHSHYSEDYDNLDSGIEEVFNLLTYKIRTTREIRGSYMTKITVDIELPDGTFNPLSSSRPLLYPFWKKPTTEITFIEKIIDREEIEEEVFDEICKSENTYMI", "MPVPANKEELLKAIETNYCKLKKEMETISVEETAVKVLDGHAKGTLMSIDNLLAYLIGWGELVLKWNKNRAENKPVDFPETGYKWNELGKVAQKFYADYQNDDFNTLIQKLDDTVKKITSLIESKNNDELYGVGWYEKWTLGRMIQFNTASPYNNARGRIRKWKKEKNS", "MEERKEFVMDKVYIFSGLGVDKRVFDQINFNGLDVEFIDWIKPLKKESLSEYAKRISFELTADNPTLIGLSFGGILAVEISKILKCRKIVLIASAKSKYEVPKIYRIIGKLKINRLIPGMLLKQYNLILAWFFGITSDAEKKLLKNILKDTDSEFLSWAINEIVNWKNKIYPKNYIHIHGNKDHILPLRNVKADFVIKNGGHFMTVNQPKEIEKIIRKICTED", "MSKENNEFVGWCGLKYNSSTDETDVGFRFFEHYWNKGFATESAGACIDYGFKNLNLNTVLGRAMQDNAASVKILEKLGMKYVRDFEFDGHKGVICKIESRDF", "MQIIAIILTAIVAIEHLYILWMEMFAWETAGKKAFGRALPHELFRPTKGLAANQGLYNGFLAAGLVWSFFIKNPEWSINIRIFFLGCVAVAGIFGAVTASKKIFFVQALPALLALAFVLLSR", "MKTLYTIGATATGGRNGHVKSDNGVLELEVRYPKGLGGANDDYANPEMLFAAGYSACFDSALNLVIKSAKIKTGETTVTAKVGIGQIENGGFGLEVELHANIPGVTIEEAQDLIEKAHQVCPYSNATRGNIEVKLTVSNN", "MKNLQQLKLDNQICFPVYALSREIVGRYRPLLDQLDITYPQYLVLLVLWEHQEQSVSQLGEKLYLDSGTLTPLLKRLEQKKLVTRSRSKEDERIVKIKLTSEGQSLQKKAASIPKQLFEDMKVPEEELKQLKTTIEKILTTLNQ", "MKLKVIITGATGMVGEGVLQESISNPNVEKILLINRKPSGYTHPKIEEILHSDFSDISALTSQVTGYNACFFCLGVSSIGMNEEQYTKVTYDLTLGFAKTLAELNPQMTFCYVSGASTDSTEKGKQMWARVKGKTENDLMKLPFKAVYNFRPGFMKPTKGAKNIKGFFKFINAVYPLLRAISSTYFLTLEEVGKAMINAVLRCYPKHVLEVGDIKKLSIG", "MRKIYLWSISLFTAFVGAQERYEAFGVNNKYGIVDIQNQKEYVAPDYSDINLLVTDYLALQNGNQVSFYSRETGEKTVLDRVKWQSVYLNDKKYEHFQDANNSYLIADRFKEKIVLPRKYSAVGNQFFKEGRKYFVGVHDHKLDIYKSSDITKPLIKDMKASEYFTDFYTKTGTSEVKQLHIFYGEGMVNVYNDHLKLIKSYKGNAAGLGDLNDIMEKDYKQVLQPPSVSNVFAGEFWWKGKSTGGKTKIWNRKNLSKSFVINGDYGIWDIKYNNQWIDLRNEDRTKLYKFRVDMENKKIILPQKYQDELSPVFSD", "MHTGKRYTPFEFAKWTKRDTLLMLLIATVPTILYVIGWKFIGLPWQPVAILGTAVAFIVGFKNNASYNRIWEARQIYGAIINDSRSFAYSVRDTLGGKESSVVKRIFYRHFAWLTALRFQLREPRSWENMNQRSNSAYRKSRYEIPELNSTLEEELKQYLSGEELEYILSKKNKATQLTALQSEEFGELKKVGDINDFQWTLLQQSIIKFTDDQGKAERIKNFPYPRNFASIATYLLFIFVILAPFGLVKEMDKLGEGTFLQGYTVWFNIPFSAIIAWAFHTLDTVGESSVNPFEGSANDIPITQISRTIEIDMRDMLDEKDLPQPITPKNNIVL", "MIENFVFYLGLVMVILLSIMLANKLKIAYPILLVVAGLAISFIPGIPKIKIDPELIFIIFLPPLLYEAAFSVSWKEIWRMRRIITSFAFIVVFLTALSVAFVANSYIPGFSLALGFVLGGIVSPPDAVSAGAILKFVKVPKTFSTVLEGESLFNDASSLIIFRFAMVAVATGQLIWQDAATSFGWMIIGGTGIGVLLAFIFLKIHKIFPSDVNMDTILSLVTPYVMYIAAEEVHSSGVLAVVSGGLYLSVRRHEFLRTSESRLRGLNVWESFAFLINGIVFLIIGLDLPEIQEGLQNEGVGLSEAVGYGLIITLTLIIVRFIAAFGAVIVTLIARNFINVADRNPGFKAPILFGWTGMRGVVSLAAALSIPVQMDNGVLFPHRNLILFITFIVILVTLILQGLTLPGLINVLNITEREGEYLSKDETEEFLRKGMRHAAFTYLNENYSHKKNDNAYFRKMMNQWEKEDKEESSHKISDETREMYLNALEEQRIWLREENRNNPKIDEEYIRHYLVKLDLEEERLKIG", "MSLIKQMGQFPDNERRMFFETLPNYKNGQFHNLIPTPALAEGEKMGKVLWTFLKTKYPDTRPKKAIPFVDTDLKNLAPEENVMVWFGHSSYFIQLDGKKFLVDPVFSGNASPVPGSVKAFEGSNHYQAEDMPVMDVLFISHDHWDHLDYKTVQALKSKVKTVICGLGVAQHFEYWGWDRNRIIEKNWYDSIDLGDGFNVTLTPARHFSGRLTKRNISLWTSFVLQTPAMRLFLGGDSGYGPHFKDIGEKFGPFDLAILECGQYGDKWPYIHTLMDEMMTEVKELKAKSFIPVHNSKFKLAQHPWYEPLEKVTSAAEAEGIPVATPRIGEKLNLNELNKKWDKWWQEIM", "MEKRINIAQTEPQLYKAMYGLEAATAKTELSKTLKELIKIRASQINNCGYCLDMHTKDAIKNGETQQRIFVLSAWREATYLFTEEEQAVLAMTEEITLISNNGLSEETYQKALKHFTKNQVAQIIMAIITINAWNRIAVSTHLHIGE", "MFQIFRAHVDKFIEIDDQEFEKISSFFRVKKFRKKEDLVTAGEICRYHFFVLKGCLRKFYITSKGSEQTTEFAIETWWLTDNRAYEHQLRTDFSIQAVENSEVLVIEHQDQERLLKEHPVMERYFRYVYQRSYAAAQMRVRYIYTFSKEEMYLHFLEQQPQFVQRIPQYLIASFLGLTPEYLSEIRAKKIS", "MKKSFKLAGLVMISAIVLQCKKQNETVSSTPDHTDTTSTVVEDPKQEKDSVEAPKKDTIVKVERPTVSIKSNSDYSAWPIKGNDSLRKVFLNTYKGEDLHNILALNRLDRKNMGQADTLIVPNKLESNFLAYSPFPEYVEAMASIPKIAFFSYPIQAYALYENGKLVKWGPTSMGSKAHQTTRGLHFTNWKGKEIISTVSDEWKLKWNFNIANHEGIGWHQYSMPGYPASHSCLRLLEEDAKWMYDWGEQWVLNKGGATVRAKGNPVIVYGDYPWGQRRPWKKLMDDPKANDISEEQLTEIVTPFLPEIKKQQENRIKVLEEVKKEKEQAKQQQDTAKPKTTI", "MSCVELSIDLTTKETKALQMVIPYTIFPNIKGQFKF", "MEEATLNSIRNRPRFKFSTKLSPVEYEQHLLEMIRANPLIHGKINREVASIWVNNNIDNYWKPYLAIRIEKSSEQEDHTEIRGIFGPSSAVWTFFMFLYFLFGIFFMVFISLYYVEIQIKTENYPWAIHASIACIVLILLTWMASQFGQKLAKKEMEILRKFAEETSDSIEVKKEESL", "MKRFVIILLIFIAAFSKGQNKMEFGKATKAVIPFQLINSLIFIPVQLNGVNLTFLLDSGVANTIIFSTQDKDLSLNDVSKMKFTGLGGSGEIEGVLSQKNILSFGKDLIDKEHTIYLILNEEFNFSSHIGIPVNGIIGYEFFKDYPIKIDYVKKKITVYSDQKEFGRAVRKAASFGITLEGDKPYIIADIQMTTTPKPSKLLIDLGNGDPVWIFPSLIKDFVYNRPNIDDYLGRGFNGDIFGKRSRIRKFSIGEFSFDKPLTAMPDEFSIQHLKLVPDRKGSIGGEILRRFTVFFDYPNSKIYLKKNRNYNDPFHFNMSGLDLRHDGMIWDKDLVSIPTIKSKESPREGVEVNLGDSFQYKFVLKPSYSIAGCREDSPAYKAGLRKNDKLVTVNGKPASSYTLEQLNELFKSEEFKVIKITVTRNSETFNFVFALEDPIPYQEN", "MKTSRLEAFSDGVIAIIITIMVLELKVPDTTSWPALYSLLPKFICYILSFIYVGIYWNNHHHMLHYCTNVNGRIMWSNLFFLFWLSLMPFATAWMGEHHFDKNTTITYGILLILVATGYTILSYQILNQEGKDSPYAKAIGSSYKEKASIILYILGIASSFYEPYIALFFYYVVAIIWIIPDRRLEKNINHKDL", "METKTQYVPYKVKDISLAEWGRKEIELAEAEMPGLMAIREEYGPQQPLKGARIAGCLHMTIQTAVLIETLVALGAEVTWSSCNIFSTQDHAAAAIAAAGIPVYAWKGMNEEEFDWCIEQTLFFGEDRQPLNMILDDGGDLTNMVFDKYPELTKDIKGLSEETTTGVHRLYERMQNGTLVMPAINVNDSVTKSKFDNKYGCRESAVDAIRRATDVMLAGKRVVVCGFGDVGKGTAASFRGAGSIVTVTEIDPICALQAAMEGYEVKQLDTVVDNADIIITTTGNFGIVRGEHFEKMKDKTIVCNIGHFDNEIDMAWLNKNHGATKVEIKPQVDKYNVNGNDIIILAEGRLVNLGCATGHPSFVMSNSFSNQTLAQIELWVHSDKYENKVYTLPKHLDEKVAALHLKKLGVELETLSEEQAKYIGVTVDGPFKPDYYRY", "MPLYQNFSDNKAVVWVWKYDESEELNPQELLEPENYEKVTHYHPKKIAEVLMVRKMLKQLLPEHKILYKENGEPYLMPADKEISISHSFPLAAIAISDKKVGIDLEMVKDKIVKIKHKFTLNESSFIIPEEEKEYLTAIWCVKESLYKLHHSKFWSLKKNYEVEAFQLHHLDNVRCKVYDDTFSDYFWAQLKRFDDFFFSIVVES", "MNRIAELKKFMTSQYIYYGLRMTFAVVVPCIIMAYYGVLAEYFAFPLGTMLMTNMDQPGPFVRRRNTFIIGIVCFFIVSLAIGLTYQYPVIVAAEIVLFGMFFSLIGIYGTRLSAMGSLTLVVFAILIDGHFGGGKVIQTSVTLTLGGLWAFLLFFILSKIQPYILVKQILGENFIELGNFIRIKSKFYRTKPDFDALFHEMMSSQIKLKEHHEDLREILFTTRTYVNESTTTSRIIMLMFLESIDLFEQILTSQQDYKTMHEKFDDKNILPSIHRYIEIISSELINIGIAVQANQKAFPIANLDKELMKCYQLYYDLRNTEMNHENFQDFMMLRQILMNLSEITKKVKTIYRASGYDEKLAKSLSFGLDFEKFAPKTEKINLKLLKFNLSLKSAHFRHALRITIALLIGYIVSLVTFVQIGHSYWILITILAIQKPAFSITKSRNLLRLGGTLAGATLSFAILYYISNTTVLFIILLVSMVLCYTFLKHKYMTAIFFMTIYVFMSFNFLSPGNFQVIFADRIIDTLIGGAISFLVSYFVFPVWERTQNKSYVIDAINGNKAYFKIVCEMITEKDVNITQDFKETRKDAIIALANLSDNFQRMLSDPKFQQHLRIKRIHQFVNTSHLLTAYIASLSMYAQKNDTFAEVDLKNWRKKIMLEFTKMQLLLNVEGITEDSLKEFEDYQEPSDKIETLLEKRRREIMEREVPFISNPEKISRLTELKSMNELLALINNVTEEQVKVIERFLRNQDSTTMEKKKSSNLFNWAQK", "MTKIKDTSTNFANKKALAEECQEVYAVNIIGGQWTLAICCYLINGKLRFSELKQCLPNITERMLALELKKLIEHKIIQKFVYAEVPARVEYEMTPIGYKLHSIIKELGKWGNEHQELTRSNKFS", "MKKEEKTLVILVHPDIEKSVINKRWVEELQKYPDQYNIHNLYKAYPDEKLDIEREQMLIEAFDKIVFQFPFYWFSAPPLLKKWLDDVLVYGWAYGSKSGYKFAEKKVALAISVGIDEEEYSEAGIYSYTLKELTTPFELTFKYIKADYKPLYAYYGIERNASPEWIEKSIPTYIEFLEKM", "MNTEQLYAIYKTCNKVVIDSRKIEKGDLFFAFSGESFDAATQAEEAINKGAIAVVIENPDFNNPENNIFCFPSTLQTLQDLAKEHRKNLNIPIIGLTGSNGKTTTKELIHAVLVQKYSVQYTQGNLNNHIGVPLTLLSIQRGHEMAVVEMGANHQKEIELLCSIAQPDYGYITNFGKAHLEGFGGFEGVIKGKSELYDYLRANDKTILVNQADAIQEEKTADYSKKITFGADVSDYQFQMFTENNRIGILFNNHKALSQLTGEYNFNNICAAISLGKYFDVEDTDIKAAIETYTPTNMRSQIVEREGKTLVLDTYNANPSSMEVSLKNFSQYKGSKTIIIGDMLELGDESRGEHERILALAENLNFDSIITVGPKFKEVNASGLAFESTALTCDYLKQNPVLTQNILLKGSRGIALEKLIELL", "MYKVFINERKLSFTNAIQQIDKNLEFVDVNTFSIAIDLLENTSAPSVNIYAENVEEVWNTFSASFRNIEAAGGVVLNTKDEVLFIYRMSKWDLPKGKMEKGESRDLTALREVEEECSITDLSLEKFLSSTYHMYTERDGSKILKITHWYKMRHHGNQQPLPQEIEGITKAEWKLQSDIKTEVFPNTFQNIRLILDEALDLE", "MNLEGRKVVVNKSVAELKEMLQKPEDYKALMPDSLKTFEVKEGGFNFELSGLPKIGLKIQEVTDQGVVLASASSSLDFALRGTMNPVSDNQTEVQLLFEGKFNPFLKMMVEKPLQNFINSLTDKIESL", "MKNYHCIGLMSGTSLDGLDICYVQFTKNPQWDFRILKSDTVPYSSEWKEKLRSAVNLSSEGLLALHSEYGFYLAECTQKFIREHKIEKLDVIASHGHTVFHQPQRHFTLQIGDARAIKYITSKTTVYDFRSQDVLMGGNGAPLVPIGDHLLFSKYSACLNLGGFTNISYDEAGKRIAFDISPLNVVLNTLCNKLGQEYDQNGDIARSTAIDEALLQKLNALSFYKQPAPKSLGIEWVNTEIIPLLQDISIETALATLTRHSAEQVAAILNQKQFKNILVTGGGVYNHFFIESLQALTSTQLIIPNQQTIEFKEALIFAFMGLLRILGENNVLASATGAAKDHSSGIIA", "MNILHKIFSKKLAGVFPLAIFFLLVSCEEDQSKAGGKKKTNFPSQVFYNAKIIQRDSGRISMRFNAPLIEKYEYLDTPYVETRKGLYIEFIDQKNPKTPGKLWAKYAKMIEKKDFYLAKGDVKIINPEGQTFKMQSIYWDKKNKKMYTKDTVFITDKEGNILIGSHGMKAKDDFSQYSLYSSFGEANSETMPDMKK", "MNKIFVFALVALGTTLSAQSIGNSPYAVFGLGDVKYNNDLNISAMGGVSAAYISDFTNSFNFGNPAANFNLELTSLRGQVTNENSFYKSDYNNYSKTKHSNYLSNISVAVPLSSKVKFGLGYQPYSSKTYNILTTKDIGTEGNQQANFFKGEGTISTVEAALSYQVIPGLGLGLRTNFYFGKVSDIEEVTFKNAELINGYQTTNKVKSFNFTLGAAYQHKTSTDHKITAGATYQFGNGGTMESTYTNSTYFYTGENRQNVNIIDQNVSKSKNLIPQMFTAGIGYGRDARWFASAQVDYTKGRTINFLGNPFEYKDGYKISAGGWFIPNANDFRSYFSRVIYRYGAFYEKGGLNINGKDINGYGLSLGANFPIKPSINSFSSIDFAVEFGKKGTVQNNLVQQGFINFKIGFNFADRWFIKNLYN", "MHWNEIVGQNAIKKMLQDSVTEGRISHAQLFVGKEGYGGLPLVLAYVKEILARENPSAGPKVENLNHIDLHFSFPSYTEGGKALSKNFLQEWRNMILENPYSSDDDWIMRLDSEKKQMIISVHEVEEIIDKFKLKSFEGGYKVLVMTKIEKMNDQAANKFLKFLEEPPEKTIILLLAESIDFVLPTILSRCQLVNIPKLPPGDLENALTEQFNISADNARALAFQAQGDWNLVMKLMSDNAANDEFEALFIQWVRNAFQAKTKPGVIKDLILWAREISSWSREKQKKFLDYCAEIFRLALLHNYAADDLVYKSLNKNGFKWEGFSRFIHGANIESILEELSEANLHLHRNGNAKIVWTDMGIKLTRYIHRSAN", "MPIKTLFHNHNCEWIDVEAPTEEDLDFLQNRYEINKLLLQDTVDPNHLPKYEEADNVKFFLTRENTELERINLNSISDVSTKLGIFIIGQTIITVHRLSTSSVIKTKNELDNSKTPDLITVERIALRLAHKVIKTFDAESENLLELLDKIESEIFLKKTSHTNQIKRLYKLKRKTGLNLRILNISSDWVQNFNKLPLEHVEVMDLVDKQKDAIADFEHLNQQVTNLIGMFLAISDQKNNEAMKILSMYSIYFLPITFIAGVYGMNFDVMPELHHKSGYYVTLGVMALVVIITFIYMRRKRM", "MKKSVIKGFGYYVPEKVVTNDDLAKLMTTNDEWITERTGIKQRHYRKNINDAEETTAYLGLQASKKAIESAGLTAKDIDYIVFATLSPDYYFPGCGVLLQEMLGCDTIGALDVRNQCSGFVYAMSVADAFIKVGQYKNILVVGAEIHSFGLDFTDRGRGVSVIFGDGAGAIVLSASEDDSVQGILATNMHSEGKYADELCTKFPGTKYGWSVRLKNEPAAIPDEEIYPHMNGNFVFKHAVTRFPETIHEALEKAGKKVEDLDLLIPHQANKRIAQYVQQQLGLPDEKVMVNIEKYGNTTAASIPIALSEAIEEGRMKRGDLVCLSAFGSGFTWGSVLFEY", "MTKTIIHFFLVILTLSGLSLQAQKRILYNLQVTYPEGLKKNSTVYLDINNDKADFYEARFITPGQKPSGLVQAIERKSGTSENTILVQDFKLNSFRIKTTDILDWKLTNDYKTVEKYKLQKATVSFGGRNWNVWFCNEIPLAEGPYKFNGLPGLVFEAEDTDGVFKYSLMKIENLSKSSAQPTLNVKNAVNITWEKYNKLLSDFYENPYQKERESAQKGNEIVYEDKEMKVQDFTKKTKDFQAMIRKNLPPYVEADKNFLLNKN", "MKSKTKKPIFILLTSLAIANFSAQEKLTQYIDPLIGTGGHGHTYPGASLPFSMVQLSPDNGRGDWDWVSGYHYTSDYIAGFSHMHLSGTGIGDWLDIAVMPLLKPVEQPVVDTRTFYSHKNEKAAAGLYQVKLDNGITAKLTSTERVGYHQYKFPAGTTEPTIRLDLHHAFNWDKPTDTKLTIVDNNTIIGQRFSKGWAQNQRVFFALKTAKPIKEILLNGKKSKDKEISSKVTGVNAQLVFNPSDAIEIKVALSTTSADKALEALNEIPNWNFDQVAANADKVWENEVSKIKIEAKSKSLKRIFYSALYHTALTPTLYSDKDGEYGNYKNEIKKMPNGEQRYTLYSLWDTFRAEHPLLTITQPEKYTSLINSMLAFYDEYGLLPVWDLSTNETNTMTGYHAIPVLADAILKDIPGIDKEKAYKAMLASAFQKIREVPAYNEYGYVPQDIGGGSVTKTLEYTFDDYAISLVAKKLGKTKDFETFSKRAKNYQKLFDPKTGFMRARYKDGKFVEPFDPFYSEHEFDKSQYIEGTAWQHSFFVPHDVRGLAKLFPAKNGLSKMLDALFVAPSVMHGDFTSPDASGFIGQYAHGNEPSHHIAYMYSYIGEAWKTQERIRQIIDTMYSDKPDGYAGNEDAGQMSAWAVWSIMGLYPANPVTGEYVFGSPSLDKAEIKMPNGKTFTIEAKNNSQTNIYIQSITLNGKPYDKVYITHDEMMKGGNLTFNMGATPNKNFGKDPKSWPKSMEN", "MRWNLEWLRTFKAIYETGTLSAAAQELFISQPGVSLHLNSLEAFTGNKLFDRLARKMVPTEKGKILYNYILDSMKKLEEGEQHFHKRTQNERPTISVGMCFETFQYTLEEHISELPFNLIIKFGEYPQMQHDLDSGMLDLIITPQKGSQPNLQYQPFSKERIVLIAGNETDTTDLEELFNEGKIKDAADLLKQQLWYSTAADMEHLKNFWLKHFGGHPDFSPNYIVPNISSIIRCLSDSKGFSVVPDFLCADVLNSGKIKMVWEGIHPVENTLYFGTRKKTMYQEEINQLEKLLKEKWEMIEEIL", "MKKSLLSLALGGLGIGITEFTIMGMLPDIARDLSISIPQAGYLITAYALGVVIGAPLLVVGLNKFSPTKTLILLMILFTVFNGLSIIAPDYEILMVSRFISGLPHGAFFGVGSVVASRLADKGKEAQAVATMFSGLTVANLLGVPLGTYIGHHFSWRYTFLLITIIGLFTILALKLWMPKTEARKSKQSPWKDFSIFRSSNVWFMVLIFSVAPGALFAWISYIAPLMSDVSGIAEKHLPYIMVLAGLGMFVGNLIGGKLTDAFSPSVIVIAVLVFQIVCMMTIYYTATNAWASLVMTFLTGVTTFALVPSLTLLLLNSVKSDAEMLVASLGPACFNIANALGAFLGGVPIEKGYGYTSPVLVGETMAATGIVISILYLRRNKKQKYAVEAC", "MNTNIFEEVTKGSLQLKNRIAMAPMTRARNEDGIPKNFNAEYYAQRTGAGLIITEGTAISSTSKGVLHIPGLYTAEQTEGWKLVTSAVHEKGSKIYTQLWHVGRVSHVSNQPDRQAPVAPSDIQAANSNAWGYDENGKEGFVISSKPRALETNEVKQIVQDFAAAAKNAIKAGFDGVELHGANGYLIEQFLNPFINNRTDEYGGSIENRSRFLLEAIDASIEAIGADKVAIRLTPYGGLHELPHYEEIEETYQYLATELSKRKVSYIHIMDQKSRGSFALPEGFMERFRNWYDGVIILAGGMTKEKSQELLDAGIIDIAAFGEDFIANPDLVERLKNDWPITPPKRELHYGLTMEGYLDWETYNKN", "MEFRKLGNTDLELSAITYGAFAIGGNMWGGNEKKDSIASVRASIDNGVTTLDTAPFYGFGLSEEMIGEAIKGYDRSKIQLLTKFGLVWDGSNQGKGEFFFDAEEAGKTIPVYKYASKTSVIKEVEDSLKRLQTDYIDLLQIHWPDATTPISETMEALEILLQQGKIRAAGVSNYSVEQVAEARQSLNIASNQIGYSMLNRGVENDLVPYALENDLGIIVYSPMERGLLTGKYFKDGKLKDNDHRNGYFQQFDLEKVKTFLKTITPIAEDKNATLSQLVLRWTSLQPAITVVLAGARNAEQAAANAKAMDINLTAEELAFINTELSKI", "MKKNIISKLLLSGMLISGASGIYAQDKTLIDSKDTSWYTSPYGKGDEIGAANLMTPELVLQSVKLVKKGKTLPLAVPVDKHLPAFRHRSFNLYNIQPGEQGGKTLGSNKFSFNDELVNAWTGVGTQLNGIGHIGIDNVYYNGNKATDFVTVEGVKKLGVEKVPPMVTRAVVLDMTAYYGKNIVPGGTEFTVSDIQNVLKKEGLSLKKGDVVLFNTGWLELIGKDNNQFLETEPGIGMEAAQWLADQGIIAFGGDTWASEVYPNPKSKEEFPINQFMLAKRGIYNLELIDTRPLVKEKVWEFLFVLGQPLYVGSTQVNVNPVAIY", "MLLILHILIVISGSWLTFYLNRYLKLGAVKSSSLLALIIGGIYQINESFLHYDLPRDIPFLVIGSTFIGMITSRKHYKNFNLFIAPIVFTIIYYNISKEFNGFGGALGTAACISLLISIYLRSLKTTKKVIKPFRHVKVEAMKRNRHRKLKEAFK", "LYKLIPRILLFLFILAQLYFVIAIVKEKNINTWFVVVFILMAVILFFAYRKPQRLHIEHEKELHYELFLFFLAGSFTTYFLQHNIGFNTVFSAGLVGFTGSLLPKIKKFRSSKNWPIAVYCGAFVGMSKLEFGYYYLFTATFFTAVFYAFTQHLFHGIGGKLGTLAFMGVMYSYIIFKFFM", "MRNQKDAPEAPDLYKKQMHQKVRYSEDLYRDIIGQTHQSEQKVVWLHKDSE", "MDRRDFIKNASLAGIGLLTPKTVLAADFFYDFPVVRTPQSSRKFTSPAIEKAIDAFGKKVKNKELAWMFNNCFPNTLDTTVFPYQENGQNLTYVITGDIDAMWLRDSSAQVWPYLKLMKSDKKLQELIQGLIRKQSKCINIDPYANAFYNDPTKKGEWFSDHTDMKPGIHERKWEIDSLCYPIRLSYHYWKISGDTTPFNDEWVQAQKNIYKTFTEQQRKNDLGPYKFMRTTPRGSDTLQVDGYGYPVKPVGLICSSFRPSDDSTIFSFLIPANLFAVVSLRHSAEILREVKKENDLAQKFLALADEVEQAVKEHGIVNHPKFGKVYAYEVDGFGSYLMMDDANVPSLLALPYLEAVNVNDEVYQRTRKYILSADNPFFFKGKAGEGIGGPHIGRDYIWPIAITMRGLTSNNDAEIRECIQMLVKTHGGTGFMHESFHKDDPTNFTRKWFAWANTLFGEFIWKTYNEKPHLLG", "MKKILLTTLAIGLLVSCRKDDENNNNNDAVSITGTWKIVKTEIVSGKDKQKVLETKIPNDCEKKSTFEYTADGKYNILQYDYFNGACNIDEQSSISYTYNSTTKKLAIKYSEAEVYEANVEQLTKNKLQALGEYDGDYDKDGTKDYERIYLER", "MMSTPPTLSFSLFTDYDIDLFRKGKHYRLYEKMGAHLVEVNGYKGVYFAVWAPNAKQVSVVGDFNNWKGVIHQLKYRWDNSGIWEGFIPNVTLGNVYKYEIKTHNDDVFLKADPFGNIAEVPPQTASVVSTTWYEWKDTMWMDEQHHKNSFQIPISIYEVHLPSWRKDKNGNVNFRDIAYDLAAYVKEMGFTHVEFMPVTSYPYEPSWGYQITGYYAVDSRLGSPQDLMFLIEELHRNDIGVIMDWVPAHFPSDAHGLYRFDGSFLYEHEDERQGYHPEWNTRIFNYGRNEVKSFLISNAFFWLERFHIDALRVDAVTSMLYLDYARKDGEWIANKDGGNINLEALEFLREFNTAVNKHYPNVKTIAEESTSYPKLTHAVKDGGVGFGMKWMMGWMHDTLRYFKQDFLVRHKSHHDITFSTTYAFDERYVLPLSHDEVVHGKSPMLYKMFGDEWQKHANLRALYLWMYTHPGAKLLFMGDEFAQTSEWDFSASLDWHLLDYEAHRKMKNFVARLNKSYREEKALYEMSYESAGMEWLHADDSKNSVYVYLRKGKNKEDQILVILNLSSVPYKNFRIALPEMSDWEPVINSDDKEYWGSGSTIKNFKTKNVPHYGKDYSADIDLPPLCGLIFRRKKQ", "MYDQLDIYHISMECYPVAKVGGLADVVGALPKYQNMMGAKAKVVMPWYNKAFVQQNKFEIIFENTVYLDGNPFYFEILQEESDTLGFELYLVKIHGLTDRDEVYGYRDESMQFIAFQRAVLQWLCWEQRRPDILHCHDYHAGLIPFFTEYCHEYSFLKGVKTIGTIHNGQYQGHMSWEMARFFPEFDQWKWGLLDWDKCINPLAVMIKNAHAFNAVSEGYLEELLAEANGLEELIRQERGKAYGIINGIDTEIWNPKSDPMMDNNYTLKTFAKKRELNKEKLCESYGLNPELPLYAFIGRFAKEKGADVLPDLISRCIWENEGKLNFIVLGSGDKGLEDRFRYLKNVFYVNLAVDLGYKEQLSHQIYSSADFLLMPSRVEPCGLNQMYSMRYGTMPIVRLTGGLKDTVKDIGDDGYGITFRNFNMEDMLHAVNRSLYLYYNLPSDMKDYQKKMMKIDFSWEKSAEKYLNLYMV", "MGKKVISIVLGGGRGSRLFPLTDQRSKPAVPIAGKYRLVDIPISNCVNSGFNQIMVLTQFNSASLNQHIKNTYNFDVFSRGFVDIIAAEQSVDNDKWFQGTADAVRQSMPHLRKYDYDYILILSGDQLYQMDFREMLNFHIENKGDITIATIPVNEKDAPGFGILKSDEQNNITAFIEKPSKDILPQWSSDVDEVSKAQGKNYLASMGIYIFTKSILAKIFDENKGDDFGKEVIPASIGNYNTLSYQYNGYWTDIGTIESFFEANMDLTQDLPQFNMFSSSPIFTRSRMLPPTKINGSYMEKVVVGDGAIIMGDRLEKCVIGNRARIGRGSVIKNTYMMGADFYQNDEINDSVPLFGVGENCYIENAIIDKNCMIGNNVRIIGGKHMPDADYESYSVRDGIIVIKKEAIIPNGTIIQ", "MKWVKLIITFLVLIFGIYAVSMIFVEKTKSFSVKKEINFPLEKVFPQFNNLYNFSQWNEFIQENDHLGFSYYTPYDGVGSSMHFVNKRDSAENGDVFIRYVIPNRGIKYQLFKNTDSNPYLIDVSFKKLGAEKTEVTWYVRTPARPFLKRSLNLIYEENFVSGIDKSIAALANHLGNKVDREAKLNTIKYDTIVEENHEEAILLGLNVSASNRKKGDFFNSVVMNYNKLYSFMTKDLGKKNDEFGEPQLVMRSNNVNDKEISYFYGATVSKKFDVTDNNFNFRDVKASKTLVTYYKGNYEGRKKTIANLVAEAKKREMSVGELTEVFVEPPIENQDVILKIALQIY", "MDRFSFLNAVHSQFIEDMYQQYLKYPDSLEPSWKAFFQGFDFALENYSDEEAVQEIKSFASQAENSNVNISEISEDIRREFKVINLIEAYRVRGHLFTKTNPVRERRHFEPTLDIENFGLTSADLNKKFNSATETGMPGPATLSEIIRHLQNIYCDSIGVEYMYIKNVEERDFIRQWLQVNENHAKLSPEEKKHVLQRLNQAVAFENYLHTKFVGQKRFSLEGLEALIPALDQVITKSSQLGVDEVVLGMAHRGRLNVLTNIFQKPYKQIFSEFEGKEFEEDVFSGDVKYHLGASKVIQTTAGETVKINLTPNPSHLETVASLVEGISRAKVDNSYNGDYNKILPIVIHGDAAIAGQGIVYEVAQMMTLDGYKTGGTIHIVTNNQVGFTTNYLDARSSTYCTDVAKVTDSPVMHINADDVEAVVHAMHFAADFRNRFGKDVYIDLLGYRKYGHNEGDEPKFTQPKLYNIIAKHPNPREIYKDKLIKEGIVSDEVMKQMEVEFKKLLDENFDESKEIKKNTMDIFMSDDWKKFPFGSRGSVLNPVDTKFPLDKLKDLAKQISTLPTDKKFIKKITRLFEQRLNMVENDSLDWAMGELLAYATLLSEEFGIRISGEDVERGTFSHRHAVVKTEDTEEEYVPLKSVTSKDNQFQIYNSLLSEYAVLGFDYGYAMVSPDTLTIWEAQFGDFANGAQIIIDQYLVAAEEKWKLQNGLVMLLPHGSEGQGAEHSSARLERFLTLCANQNIIVANATTPANYFHLLRRQMKADFRKPLVVMTPKSLLRHPRAVSKVEELANGTFQPVIDDATAKADKVERLVLCSGKLYYELLAKKEELNDEKVALVRLEQLYPLQMDQLDAVFEKYSNAKEFIWAQEEPENMGAWSYILRNLRDRNPQVISPVASGAPAPGSHKKFEINQNAIINQVFQCDGAPAKRPVTA", "MSILEMKVPSPGESITEVEIATWLVKDGDYVEKDQAIAEVDSDKATLELPAEASGIITLKAEEGDTVQVGQVVVLIDTAAAKPEGAAPAKEEAKAEAPKEEPKKEVVAEAPKAAPATYATNTPSPAAKKILDEKGIEPAQVNGTGVGGRITKEDAVQAKGAPALGGSNESGSRAVKITKLSMLRRKIAARLVSVKNETAMLTTFNEVDMSEIFRIRKQYKEEFAAKHGIGLGFMSFFTKAVVRALQMYPDVNASIDGDQKINYDFCDISIAVSGPKGLMVPVLRNAENMSFRNVEAGIKDLAVKVRDGKITVDEMTGGTFTITNGGTFGSMLSTPIINPPQSAILGMHNIIERPVAVNKQVEIRPMMYVAMSYDHRIIDGKESVGFLVAVKEAIDNPVEFLMGGDERRALEL", "MYSAITHSIQIIVEPFYDVKNSSPIQERYIFTYHITIKNNGSVPIKLLKRKWQIYDVGFGVREVSGDGVIGMTPEIYPGEEFNYFSNVSLRSGVGAMQGNYLLMNLDSKDTFEVEIPKFSLITEVVYN", "MKQSKATVIAAYIIVYFVWGSTFFFIHKALSDFSPFVLGSLRFLTASLLLLTYCKIKGYKLFNFRVVKQAAVVGFLLLFLDMGALIWAEQHVSSGIAAIMAAAAALWFIILDKKQWKNNFSNKNIVLGLIAGFIGVVMLFAEQINIAGDASQRLLNLVCMILLILGAIAWTAGSLYSKYANSKNIEQENGEDLHVMVKTSWQMITAGVMFTLVAIFNGEYSAFHPQEISTSGWISIGYLIFFGSIMAFGAYIWLIQSRPTTEVSTYAYVNPVVAVALSYFFTDDIITSLQIGGLVVILVSVLLMNWDLYKNAKFFRVAQIRWYYMWRRRQIAA", "MEYKLDEIDLKIVRLMQENARINNAELARILGMAPSAVLERVKKLEQKEVLISYHAKVNPSAVNQNLLSFIFIKANEIIGDEETGKLLAQIPEVLEVHDIAGDDGYIIKVRTSDTIALMNLMKRSLSSIPGIISTRTIIVLQTVKEDNQLIIT", "MDFIALDFETATHERNSACELGICIVENSKIQSTKTWLIKPPSFPYFNKHNIAVHGIHPKDVADSPTFEEIWHEVEELLYHNLIIAHNASFDAGVLRGCLDYYGIFKPKANYLCSIQLSKKAWPGLKSYGLKNLGNQHQLQFNHHRAGDDAAVCAQLSLLAFERLFLTENKELEQVFAKNIKVL", "MKIAIAILNWNGKSWLEKFLPNVLENSQSAEVYVIDNASTDDSVAYISTHFPSVKIVVNQQNHGFAGGYNEGLKQIHSDIYCLLNSDVEVTPGWLDPVAILFEKNPDIAAIQPKILDYNRKNFFEFAGAGGGLIDNLGYPYCRGRVFENVEEDKGQYNDETEIFWASGCCLFIRSDDFWNQNGFDARFFAHQEEIDLCWRLKNSGRKIYYTGKSAIYHVGGGTLQKQNPRKTYLNIRNNLSMMLKNLPSGKLYLIFIRLCLDGIAGIYFMFKQGFSHTWAVIKAHFGFYAQLPGTLKLRQQNQIKDYYQSKWLIFKHFLKGE", "LNKLLFQIILLFSRLPLWFLYGLSDILYYTNRYVVGYRRNVVTENLKNSFPEKSNKEIAQIRRQFFRNFFDYIFEMLKAFTISETELRVRMQHLNLDVFQECYDEKKDIIFLAGHVFNWEWINALATVIPQKSCHPVYRKVQSTFWEEKIKFVRNRFGNKALETKEVIRSILQDKDNGNSAYMFVADQTPHVSEIHYGLEFLNQKTPAFIGYDKLSTKKDLAFIYCDMKKVKRGFYQVNYYRIYPDGEKFVQYEVVNKFHKLLENTIRKRPDNWLWSHRRWKYKDALK", "MDKMIKTLGASLVLVSIVACGQKSKETKITEVKNTQTAVVDSAKIKEEAKKAAIAEKNALPKPYHPEENAEAKIAELTAQAKKENKNIMIQAGGNWCIWCLRFNNYVQTTPELKKLVDDNYIYYHLNYSPDNKNEKIFAKYGNPGDKYGYPVFIVLDKDGKQIHTQDSSVLEEGKGYSLDKVKKFFEDWKPKAM", "MKKTILFCGALLMGSLAFGQKTDATPVLGGDKDAHGCKASAGYTFSYIKNDCVRTFEQKVQLKEQNPKGSYTTNAAVIFSKDMKKAEVFVPESASGVILNKVGKKAVWKRGEYELSQNQKVYSLKKGNVVVYKQ", "MKPIILASVLSVMAGCQEKLHETAAPGKSVETEAPNTNYKPAFEGQTRIQGITTSTPLNVEEIAKGLSKPWGIIVLKDGRLLITEKTGTLRIIDRDNKLSPPITGLPEVNSNGQGGLLDIVADPEFDQNRLLYWVFSERKDGKNATVVAKGRLANDEKTVENAQVIYRAEPAYDGILHYGGRIIFDKDGNLFVSTGERSDMVTRPQAQQLNSALGKVLKITKDGKPAPGNPYIGQQNVHPEIYSYGHRNVQGLALHPDTGDLWEGEFGPRGGDEINLIKPAKNYGWPVITYGIEYDGKTIGDGITQKEGMEQPVYYWDPVVSPSGMIFYSGNVIPEWKNNLLIGCLSGQHIVRLDIKNNKVVGEERLLGDKNERFRDLAQGLNGEIYAVTDSGKVFRISKK", "MNTYKNPLEERYSSAEMLFNFSHDNKFQNWRKLWIALAEIEKNLGLEITDEQIAELKANETNIDYTKAAEYEKKFRHDVMAHVHAYGDVAPSAKGIIHLGATSAFVGDNTDLIQMRDGLIILRQKLVNVVKGLSDFAIKYKDVPTLGFTHFQPAQLTTVGKRATLWLQSLILDFEELEFFLETLRFRGVKGTTGTAASFLELFNGDYEKVKTLDKELSKRFGFDKVFGVSGQTYDRKIDAKVVSLLSNIAQSAHKFTNDLRLLQNLKEVEEPFEKDQIGSSAMAYKRNPMRSERIGALAKYVMSLSSSSAMVAATQWFERTLDDSANKRLTIPQAFLAVDAILLIWNNILNGMVVYENRINKHIMEELPFMATEYIIMEEVKAGGDRQEIHEIIRQHSMEASRKVKMEGKENDLIERIMNDDRLRLDKSKLAEVLDPKNFIGFAPIQTSEFIQNEAQPILDKYSDLIGLEAELKV", "MKYKIFLAFIFSIFSFAQEVKLNKKIKSDSDFLHLSGLVIPKNIDIFDRNTLITSSKNDSVISANYQDKNKENNLSIFLVPSTLDEFELLGAFKNENAREGFLLSETIVKPSQYKKGKFILQELSSDFDKNEQHININIIKAGRWILLTKLIIGKKENANNFVEFSKNFKDILRPENIVEKVPLSRLSNIHYSRASFRDSLMLQSTMSSATHKMKWIYENVNKYERAAGIPELYLDYHKAGLVGFIDYSLMKTPKTPKGTQLTYDYLNAIKKIKESGFLNEFLMSKYHNCLIGPKDYIFNFDGYEKWKSENKFDINMDDLYYVIVNSKKLIDLSKEEN", "MNYRIFVEKKGIFDVESPKIFDEIKEILPQITSVKVYNVYDIFGLEEKDLNKVANSTFVDPVTDILHLENPVQNIFLATEFLPGQYDQRADSAEQCISILTDAENATVRSGKIIEMEGVTEADLPKIKDLLINKVESREKDLSKLEIPAQAEPKAVPVYNGFISFDAEQLAIFYKEHGFAFGLDDLEFIQNYFKTEQRDPTETELKVLDTYWSDHCRHTTFETALTDIKFEDEFKETLENIFNDYLAKRRELNREHKPISLMDLGTVCAKYFKKTGKLENLVISDEINACTIEIEAEFDGKKEPWYLLFKNETHNHPTEIEPFGGASTCLGGAIRDPLSGRSFVYQAMRLSGAADVLEPVEKTLPGKLPQKTISKQAANGYSSYGNQIGLATTMVNEIYDEGYKAKRMEVGFVVGAVPTDWVRREKPQNGDFVIILGGATGRDGVGGATGSSKEQDETSIHTLSTEVQKGNAVEERKIQRLFRKPEVTKLIKKSNDFGAGGVSVAIGEIADSLEVNLDVLPLKYDGLNGTELAISESQERMAVVISPEDKDKFIGLCEAENIKAVHVATVTDSGRMQIFWKGDKIVDLSREFLDTNGCAKSQNIVVNHLRKVENKTQAFNEENFLVALKDKNVASQKGLLEMFDSSVGGTTVAMPLGGKHQLTPMEGSVQTLPIEEARDVETVSLASWGFDAETSVQNSLIGAAYAVVESVGKIVAMGGDYKNIRLSFQEYFEKLGDKADKWGKPFASLLGAYNAQINLGLAAIGGKDSMSGTYLDLNVPPTLISFACANGNKKDIISPEFKKAGNKLYFYEHKSLENGLPNYEELKNIYDFIYAEIKNGNIVSVKTIKDGGIAVALAKMSFGNHLGAEISADDKVLLEKNLASLVIESTGNIENILIKEIGVVNSSNILKINNLEYSIDNLLEAWTGTFKKLFPTEERKAEVVTFDESLNGTNKSSIEIISHKIAKPKVFIPLFPGTNCEYETLNAFRKEGADVNSIPLINLNNDKLNESLDAWVNEIDSAQILTFAGGFSAGDEPDGSAKFIVNVLKNEKIKSAVHRLLERDGLVLGICNGFQALVKSGLLPYGEIRDLDETSPTLTFNAIGRHISQMVNVKVLNDDSPWLKGMKGQTFTVPISHGEGRFYASEAELENLYKNGQIATQYVDLDGNIAYGMPHNPNASLFGIEGITSKSGKIYGRMGHTERFANGLMKNIPDANYHNIFKNGVDYFKN", "MRIKLFGVCTLMSLGVQCYGQEQDSIKKEEPAVKVQQVILKNGEVREYPRPKWHEPITNLPKDFMTTNRSFIENGNAWYLGGAVAATALLVPFDQQIVDGSRKLADNLGMSPENKYAKFGPIPKSVGAGLYMIGNGTTVLLLGAGFLTYGLMKNDYRAYATASGLLESLALSGIYVQVLKRSTGRESPFIARENGNSGGAWNPFPSFSAYGKNTPHYDAMPSGHLATIMAGFTVITTNYPDVKWLKPVGYTLIGGLCFQMMQSEVHWASDYPLALLIGYFSGRSIARRHFKKVKSGEDGKPQYSLDVIGGNAYGINTIGVKMSF", "MSQKKEMLYEGKAKQVFATENPAEVVVRYKDDATAFNNQKKGQVDKKGELNNEISTLIFEYLNDKGIPTHFISKLNDREQLVKKVDIIPLEVIVRNYVAGSMAQRLGLEEGMKSPVTIFDICYKKDELGDPLINDHHAVCLGAATYDELKEMYALTGQINELLGELFDKMNIILVDFKIELGKTMDGKIVLADEISPDTCRLWDKDTMKKLDKDRFRRDLGEVTEAYEEIYNRLKTALNK", "MKDLELQKQNYLSQFKKRAYQRNIWKKSDDSMLDEPTEECGIMGMYSYHDIDTFSLSQFGLFALQHRGQEACGISVLKDGKIHSYKDEGLVLDVFKSIENPETYMGNSVIGHTRYTTAGDKKKYNYQPFFAKNEYDQIILSIAHNGNLTNARILKKELEEEGVVFKATSDSEVILRLIQKNLDLGLRGAIKATMERIEGAYSVVGMTRNKFFAFRDFNGIRPLVLGAIDEQTYVAASETCALDAMGAQYVRDILPGEVVFTTENDGLQSYMVKDDCVNKICAFEYIYFARPDTTLENINVHEIREKSGEKIWEQAPVEADLVIGVPDSGVPAAIGFSKASGIPFRPVLIKNRYIGRSFIIPTQEMRERIVNLKLNPIISEIKGKRVVIIDDSIVRGTTSKRLVKILKDAGVKEIHFRSVSPPIVAPCFLGIDTPVKDDLISANMSRDELRDYLGVDSLEFLSMDNLKDILGSSDHCFGCFTEKYPVENTDGLQDYVDQ", "VKSNLVFMKVAPAFLLAGAMLNAQQKDSTKTKEIEQVVLIGYGKQKKSDLTGSVTALTAKDFNQGAIGSPEQLIQGKAAGVQIVTAGGAPGSGSTIRIRGGASLNASNDPLLVIDGVPVDNSTINGASNGLALIDPNDIESFSILKDASATAIYGSRASNGVIIITTKKGTSGKLKVSYNSNTSIYTKMGTIGVLNGDQFRSVVNQYATDEYKKLLGNSNTDWQKQIYQTALGFDNSVAISGGIKGLPYRLSLGYLKQDGIIKTSNFERSNVGINLSPKFFDKHLSVDINYKGIYTENRFADVGAIGAAAAFDPTQSVYNPANTALGGYWEWLNASTGLPNTNGTKNPLSMLNQKIDVSYVTRSLGNIQLDYKFHFLPELRVNVNAGIDYTDSKGNTRVLPTSASAFYNSGTYKRYTQSRKNKLFDAYLNYNKKVESLNSTFDVTAGYSYQDFYRSEPLAMTIKGDPALQPDIVNAFETQSTILSYFGRFNYNFGEKYFLTATVRNDRSSRFSKENRSGVFPAIGLAWRIDKENFMKNQNVVSSLKLRAGWGETGQQGVINNDYPFLARYVVSDNGTKYQIGDQFYNTLRAQGYDKNLKWETTITKNIGLDFGFLNERITGSVEVYEKKSKDLLSIVPVPAGANLTNLLLINVGDMKNKGIEANVNVKAIQKENFSWEFSVNATHYTSKVTNLSAQNNPDQKVLIGGIEGGTGTTVQVHQVGYTPFSFYVYQQVYGQDGKPLEGVYVDRNGDGVINEQDLYQYKSPIPDVLLGFSSRFTYKNWDLGFSLRASIGNYVYNNMASKAGSLQNISNNDYLSNISTSYLDTGFKRAQYLSDYYVENGSFLRMDNLNIGYNFPHFINDKYKLRVSLSAQNVFLITKYSGLDPELLTTELNGTTKSGIDNNAYQRPRIYSLGFNFQF", "MKFFNSINRKLLVAGVVMLLGVTSCEKDLDRLPITDINSASVYADFSNYKGVLAKCYAGLALTGQKSGDDTGGADIGGIDTGTSSYLRQYFQLQELPTDEAVVAWNDAFLPDLHNMNWGSTNSFITALYYRIFYQVALCNEFIRETTDEKLASRNITGAQAEEARLYRNEARFLRALSYYHAIDMFGNVPFVTEKDEVGVKPPKRITREELFAYVESELKDLEGLLKDPRTNEYGRADKAAVWMVMAKLYLNAEVYTGAKKYTEARTYAEKVINGGYSLKPKYADLFLADNNVANNEVIFSINYDGLNTKTYGGTTYLIHAAVGGSMKPSDFGINSGWGGLRTTKSLVQLFPDANGSRDKRGVFYTNGQNLEIDNVTTFTDGYPLVKFKNITSAGKQGSDASGDFVDTDFPMFRLADAYLMYAEAVLRGGGGSNAQALTYVNQLRTRAYGDNSGNVTSLSLDFILDERARELSWEATRRTDLIRFGKFTGSNYLWPWKGGVKDGRGVEDFRTLYPIPSSDLIANPNLKQNKGY", "MKNIFKIVFAAIVGFLLISCEKDEDRAVLGNSSSSALTASATTFVLLKDDAAKDAVKFSWQAPSFGNNIVINNVLQFAVKGTNFAKPKEVILGAGTTSVSFKVQDFNGILLGAGAPIGSQSQVEVRLKSTTESSAVAPVYSSAIPLTVTPYALISYVYAPGAYQGWNPATATALVSENSNGIYTGYINFPIDGLEFKITPNRSWDGAYGSDNGSTLSTSAGNIKAPGVGSYKLVVDLNKSIIAMTPFRFGIVGSATPNGWATPDTKMTLNNTTGIWEATLALTSGEMKFRANDAWDINYGGSGGNAVAGGDNIQISAAGIYNVTLDTNNMKYTLVKQ", "MKKTISVLFLILFSASNAQQMHRENYADVLDVKTVVSNPKNITTNLFSDMGAWHAYALPQNKEDYGSFIGPVIMDLDGQWLANTISKIRIKENGIAIDLQKSKVVQHYYPGLLKQIFTVDGLDIEQQLIFVSGREARIKTSIYNRSKAQKDLTVSFDGKFLPDVVLDKGNNEITVKLKDKENIFRLVFDQPVNLELNTDSYTALAGNIKIPAGGKADFTQSQYYFLQSKEDKIKKPISDFSAQLKLNEKRWNNYLQKYFSHTSRLDEKKQRLAVKAIVTLMTNWRTAAKDLLHDGVFPSVSYQGFYGFWSWDSWKQAVGLSYFNTSLAKDNIRSMFDYMDDYGMVADCVYTDKKENNWRDTKPPLAAWAVWKVYEQTKDAAFVKEMYPKLVKYHQWWYENRDHDKNRLCEYGSTDGTRIAAAWESGMDNAVRFDEAVLMKNNDKAWSLNQESVDLNAYLYAEKKYLSQLASVIGNKKEAQDWNNSVIGLADKINQRFYDDTKGYYYDKLLGKQEPIAVEGPEGWIPLWAGIATKEQAGTVTKVMMNVHKFNTKVPLPTLTADHPKFDPLKGYWRGPVWIDQFYFGVTGLKKYGYQQEADELINKFMNNGEGLLEDKPIHETYHPVTGKGLNAINFSWSSAHILMLLAK", "MNLKKVSLAVAFFGLTLGGLYGQTLKSPDGKFQMDFSLKNGTPYYQLKYNGKVVVEESKLGLRLFKDNNIQFASEINKTEGSENDLNQGFTKVSEKRDSKNETWQPVMGEKKSYVNNYNELTVNLHQDKQDRTIAIKFRLFNDGLGFRYEFPQQKNLNYFIIKEEDSEIDLPSDMKAWWIVGDYDTQEYQYQTSKISEIAARWAGAVEQNASQFPIKNTVQTPLILKKEGKEPLYVDLGEAALINYPASHLEVDSQNYKFKTHLTPDAQGAKGYIQTPFNTPWRTIIVSPTAAGILDSKMIFNLNEPTEYKDTSWIKPTKYMGVWWEMIIGKSQWAYSTEDNVHLGKTDFTKLTPNGKHAANNTKVREYIDFAAKNGFDGLLIEGWNVGWEDWFGHSKEDVFDFVTPYPDFDIAALNEYAHGKGIKLIMHHETSGSTVNYERWLDPAFKLMNKYGYDAVKTGYVGNIIPRGEHHYSQWTNNHYLHVAKKAADYKIMVNSHESVRPTGLNRTYPNWIAAEAARGTEYDAFGGNNADHTTILPFTRQMGGPMDYTPGIFQTKLDYYFPGDKRMVKTTLAKQLALYVTMYSPLQMAADLPENYAKHMDAFQFIKDVAVDWDDTRILDAEPGDYLHVARKAKGKDEWYVGGITDENKRDFTVDLSFLDKGKKYEAVIYEDGKDADYDTNPQSYHIYKKIVTSATKIPVKLARSGGYAISLKAVK", "MENVLENCNSCGTVVNGNYCTECGQKKFKRIDHTYVIDEVKSFAFYTEKGFFYSVKNIILNPGKTARKFLEGDRIHHYKPFALTMVLSGISILLSSFLNIKDIMENAMRASNQNVSDKFIDAYSTGFLSYFSFIMLALIPFFSLLTRIAFKKWGQNYYEHLVMNAYFQCFYTIALIIIFDPIFYFLQNDPLTIGKISTLSYLIVPILLFWFYKGFYSEKSTKSIIGRLIIFYLLQIAAAIIIFIGLFVVGFLIAKLNPELLKGMINK", "MKTVYHSADSRGFADHGWLKSAHSFSFAGYFNPERVNFGTLRVLNDDYVEGGMGFGKHPHNNMEIISIPLEGDLKHGDNMGSAGIIKKGDIQVMSAGTGVMHSEMNANADKAVKFLQIWIFPNKENVTPRYDQVDVSEGYKKNDFQQILSPNAYDEGVWIHQDAWFNLANFDKGFDKEYLIHREGNGAYVFVLNGQVKIGDQVLNTRDALGIWDTDKFNIEATENSELLIIDVPMNLPNFAH", "MKIVAFAGTNSPASINKVLVEYVTKQFDSNDVELLDLNDYEMPIYGVHREQQSGVPQEAKNFAEKIDSADLVIMSLAEHNSSYSVAFKNVFDWISRIPGRPHWGDKDVFLMATAPGPKGGANVLAAATARFPHSGANIVETFSLPKFKDNFDAEKGILDPEKAKELEHKIAKIKEEFAAKVNA", "MNTYKSAGVDKEEGYKTVDKIKKAVSETHNPNVLNNLGSFGAFYEIGGYKNPVLVSGTDGVGTKLKIALDTKQYGSIGIDCFAMCANDIVCHGAKPLFFLDYLACGKLDADVAAEIVLGMVEACKDNQCALIGGETAEMPGMYNPGDYDVAGFCVGIVEKDQVIDGSKIKAGDKIIALPSSGFHSNGFSLVRKVFPDFNEDFEGKPIHETLLVPTRLYYQSIQKLMNEMEIHGIAHITGGGLYENIPRIIPEGLCATVETKEIRIPSIMLELEKRGNIAREEMYGTFNMGVGMVVVLTEADAQKALALLPDAYLVGEITENAEKIQLI", "MKKIVILVSGSGTNLQRIIKAIADKEIQNTEISLVVADRDCYGLKRAEDAGIPFQLIKRGKDFCQKLDTVIPEDTSLIVLAGFLSVLTQEFCEKWEGKMINVHPSLLPKFGGKGMWGMHVHNAVKEAGEKESGATVHFVTTGVDEGEVILQGKITVEENDTPEDIAGKVHQIEYDIFPLAIEKVLNK", "MIKNIIFFATLIGVSLNGQIKLPEDIIFNKNAVDAENHWVIIKPKDTDTDKATLGFVYYDESGGGYSFRYGGELSYSNNELQVLPLDNKGSMMITRIGNFSPFLAILSDQRLKDLKIDVVPSWLKGYSLNLSENEAKLRRASSLNGANRPDLALEILQKLYDKGYRTKDVYFELMFSYNALKQYTNASRIGKEAIAKGFSNNELIVKEAAYTAVHTEDWKTAEELAKLAFDFKNQKNKNEILYNLVYMYFSKGKYDEASKWIEISKNKMGGDTEKTFRNLDAIQAEIIKKK", "MKKRALISVSDKSGLIDFARFLEKNNYELISTGGTFKHLKDAGLSPIQIDEVTNFPEMLDGRVKTLHPKVHGGILAIRSNEEHMKTVQEHGIGLIDMVIVNLYPFFENADKEISLEEKVEFIDIGGPSMLRSAAKGFFDVTVITDASDYAKIQEEIETSGNTQLETRKMLAGKVFNLTAAYDAAISKMLLEEEYPNYLNASFKKVANLRYGENPHQSAAYYVSTVEKGAMKDFEQLGGKELSFNNLRDMDLCWKVVNEFKEEMACCAVKHSTPCGVAVGTTPEETYRKTFECDPVSIFGGIVGMNFKVDAATATALNETFLEIVMAPDFDADALEILNKKKNLRVIKIKHPVSDKQVWVKIDGGMLVQNADDEFSEDISVVTEKQPTDEQRKALIFSQRVVKYVKSNAIVVSNGQQALGIGGGQVNRIWATQHAVERAKAKFGGELVLASDAFFPFRDVVDFCAAEGIKAIIQPGGSMRDEESIQAANEHEIPMLFTGMRHFFH", "MRVLVVGTGGREAAIGWKLKQDPKVKKIFFARGNASTEEYGENIYEDSIPELVEFATREKVDLTIVGPEAPLVDGIVDEFKAAGLKIFGPNAKAASLEGSKAFSKRFMQDHGIKTAKAQVFEVYQDALDYVKDHKFPLVIKASGLAGGKGVVICETLEEADAVIHDFMIRRIHGDAGIKLVIEEFLQGFEASIICFSNGEELFPCIPVKDYKKVGEGDEGMNTGGMGTVAPSPEFNGMHYADFERNIMLPTLKGLKQENLSFKGFIFFGLMVTAEGSYLLEYNMRLGDPETQVILPLMENSLVDVINDCMEGKPVELKFADKKAVCVVMVSGGYPRNIETGLEIKGTDKVDTLCLLAGARKGGNSYYTTGGRVVNVVGFGETYDDARKQAYDNIKKVSFDYGFYRHDIGLFEQKK", "MERGIIILDFGSQYNQLIGRRVREMGVYSEIIPYYTPLEEIKERNPFGIILSGGPSSVNAEDAHLVSKEIFELGIPVLGICYGMQLTAHLLGGKVAKGVKGEYGKAELEIVKACSLFDGVKERSIAWMSHFDEVETAPQGFDIVAKSGVIAGMANEDKKIFTVQFHPEVSHTEEGSKMLENFVLNICQSERSWKLTNYIERTVAEIKEKVGSQKVILGLSGGVDSSVAAVLIHKAIGDQLTCIFVDTGLLRKDEAKKVMENYGQHFHMNIKLVDAADRFLSKLAGVDDPEQKRKIIGNEFIAVFDEESHKIEGAKFLAQGTIYPDVIESQSVKGPSAVIKSHHNVGGLPEEMEFELLEPLRELFKDEVRKVGEELGIPHHLVYRHPFPGPGLGIRVLGAVDVEKVRILQEADDIFIEELYKNDLYEKVSQAFVVLLPVKSVGVMGDERTYEYTAVVRSANTIDFMTATWSKLPYEFLETVSNRIINEVRGINRVAYDISSKPPATIEWE", "MLDKQQRKLKRTSRLISVLAKYGFKDIVSRIGGQNDQKAAFSEESNTQNTVYERIRMALEELGPSFVKIGQAFSNREDMLPKEMIAELQNLQDRVEVQDLDLDAILEEQFSINISDTFQSLDRKPIASASIAQVYKAILKDGSPVVLKVKRQGIQEVIEDDLLLMKDLAKILNTYFEFAQHLDLNKAIATFEKSLLTELSLVQEKENIQKFAFNFKNNKNTYVPKVYEELSNNEVLCMEFIDGVKVTDLEGLKSYGLNPEVIADRGLQLFLAQILDYGFFHADPHAGNILVKSDGRVVFIDLGAAGTIFPQDKELLENFIINFISKRPKKLIEVIKKMAVEIDIKDERKLENDLQEVLDFVHSASLENIDAGFILNKLRAILMENKVNMPEYFYLLVRGISLIESVGRKINPNMDLVKSIEPYVKKIMLKRISPSYLYNKGIKRVEEIAEHIDVLPREISMVLTKANEGSLILNTEVRNLPKTNNIIQRGFHDLILALILCANMIATAILWVGKAEPLVGQVSVLGIIGLVISLFLLVVLCLRMLRKNK", "MITFEDFELPKNLFSALEQNGITVPTPIQLKSFKPILSGRDVMGIAQTGTGKTLAYLLPVLKMWKYSKADNPTVLVLVPTRELVVQVTEILENLTENMTARVIGVYGGKNINTQKLLFDNGCDILVGTPGRVLDLAIDNAISLKDVRHLVIDEFDEMLNLGFRPQLTHIFEIMRQKRQNILFSATMTEAVDTMLDEYFNGPEEISLAKSGTPLEKINQQGYKVENFNTKINLLKHLLESDESMSKVLVFANNKKHADYLFNTLDELYPDQFDVIHSNKSQNYRLRAMRKFEDAEVRGLITTDVMARGLDISDITHVFNFEIPEVPEQYIHRIGRTGRADKDGTAISFITKKEEALLLEIEVLMNKELQFIDFPEEVTINPKKIESEKEEVKMKNPTKAPDISQGGGAFHEKKDKNKKINLGGPTKRKPPKTKPANRNQQKQKAKRKKR", "MEVNKNISLVLSGGGARGLAHIGVIEELERQNFKINSIVGTSMGALIGGIYAMGKLQEFKEWIVKLNRYSFLRLIDFSFKNGGFIKGEKILNILSMAIPGENIEDLKIPFACLATDIQAHQSVYINSGNIYDAIRASIAIPSVFTPVIRDNMILVDGGVLNNIPIDYAIKTNPEDKILAVNVNARIPFEGKALPAPSSKLGMLRLTNRTISLMIETIGNFNLKEHAPDFMINISRYSCELYEFYKAQSQIEYGRKCAREQLGNYLTPGHNLV", "MNKNLFYLLPIFVLLFSCRNNDSEPRETLIREANLTFNPPKNASNSDLFYYYNFKYDTNNRLVERIGAPIMDPSGKIITFTYKISTTIEYGNKSASIKESSTELIVSKDIKENLIFNESNQIIERNIINETFPKLNKKTKYFYNGKLLVKTETTFPNNIFNPERPYDYIETIIEKFAYDPNENLQNIITTRLITNGMESTTQVYEKQFGNYDTSRNPFKKLNVLNDYLERSLSRNNFRYMAVIYYTSNSKQLISETEWNFNYDSNGNIISSNSITLE", "MKKLRMIFAFVALFSVTLQAQEKRMFWHDIQEFKKRDSLNGIPQNAILFIGSSTFTKWLDIAKYFPQKKIINRGFGGSRLSDLNYFADDLLKPYHPKQIVVYCGDNDFVSEDKPSVDTVVARFKIFYGKIRQYYPKINVSYISIKHSPSREDYWPQMQQANKQIKAFLKSQKNTSYIDITKAMNDKNGVIRKDIFLDDMLHMKPSGYAIWEKAIAPYLK", "MLNFKYKNPTKIIFGKGEIASLGKEIPSDAKILLLYGGGSIKKNGIYQQVKDTLVNHEVEEFGGIPANPEYEVLMEALQFIKEKNITYLLAVGGGSVIDGTKYLAAAAKYNGEPWDILRKSVRTMEGQALPFSTVLTLPATGSEMNSGYVISRRATQEKLSSGGPGLFPQVSVLDPEVIRSIPKNQIANGITDAYTHVMEQYMTYPIGATLQDRFAESILQSLQEIAPKVMQEEFDYTAAGDFMWSCTMALNGLIQQGVPSDWAVHAMGHELTAYYGIDHARTLAIIAPSHYRYNLESKKEKLAQYGERVWNITEGTTEEKALKAIEKMEQFFHSLGILTKLSEYTEAYNGTAEKVESAFISRNLNGIGEHKKLTPSDAKKIVEMSY", "MENNKTLDIKDFKIVFKYKYLVNAEYLKNILFENEILAVIDYDESTLLVDEINYNKSLSIISKENIDESKTIDQENFMEEYDEWNRYNTNPGHYLGGNIPFFYKTRSNHLKFTLVTLISLVIQISIMFIATNISLWNILFLIVTIITGINFLISWLNYKSEKRKV", "MQLYDTLQHKNRIQESGFTIIDQVYADEEVQSILRKIEQADNSKDTFRKSKDLFAIRQFLKEVPETYDLIFNERLNYIIHTIFGSNYFVVKSIYFDKPESSNWYVAYHQDLTISVDKKIELENFGPWTTKQNQYAVQPPLKILQNIFTIRIHLDETNSENGALKVIEKSHSKGIYRPETIDWKTEKESICSVNKGGIMIMKPLLLHSSGRTVNNRKRRVIHIEFSNIDLPAPLRWSEKLS", "MNTHSPHKTKLENSKIDVKIKLAALWASVTLCYLYGDYFELYIPGKVKGLIDGHNLLNDPRKLLGASLLLAIPAVMVGLSVLLKPKLNRLLNLIFGIFYTLIMLLIAFTSLDSWRMFYVFLALTESAITILIVLYAWKWPKQKLS", "MNILFRQENKDDYTAVSIVIQKAFEKEEMSDHSEQYLVERLRNSEAFIPELSIVAEINQKIAGHILLTKIKVVNNENKEFESLALAPVSVLPEYQGKGIGGKLIETAHKKAKELGFGSVILLGHENYYPRLGYEMAKKYGIKLPFDVPDENCMAIELIKGSLEGVEGTVVYPKAFFE", "MKTNEQVEKFRQIVKNKFQIYNSLFMSLPYDKMTNIGMLLPFLHEESKEGYENGKSPMEVMKHFFDSHTDLKTEEERIDLLFRIIQYVERQVVLFDSIEDSAFSTLNANTDPGTVRNLYEVASQQGKLHIIKEKMEHFGVKVVFTAHPTQFYSNSVQSILHDLNQAIKSDSVTNIDMLLQQLGMTSFINQEKPTPYDEAQSIIYYLRYVYYDTLGELYRDTKRVFDDAHINPHLFQLGFWPGGDRDGNPFVTSEITQRVSSELRLAILKCYYEHLKKLRKRITFPRVTEMLRLISERVYQNIFENKYDLTVGEFKKALQDIRHEVKENNNGLFIDKIDDLLGRIDLFGIYFASLDIRQNSKIHYKALEQIFEKEFGKDYHALGDDEKLNLLLNTSLHVNPEDYSDDIVQDTLKNIYQVKEIQKINGEKSIHRYIISDSSSIYDVLNVYALFKYCGYQGEDIKIDIVPLFETIEGFENAEATMKKLYNLSQYKEHLTRRSDRQFIMLGFSDGTKDAGYIKANWDIYTTKEVLTKVSDENDIKVIFFDGRGGPPARGGGKTHQFYASQGKSIANHQIELTIQGQTITSVFGTKDQATFNFEQLLTAGIENEIFPQDKINLKDWERDLLNELAGISYQKYKALKDHPLFVPYLEEVSTLKYYGRTNIGSRPSKRNDGQLVFEDLRAIPFVGSWSLLKQNVPGYFGVGTALQRLKEEGRLEDLKKLYRESMFFKTLIQNSMMSMSKTYFPLTYYLRNDKIFGEFWQILYNEYLLTHEMLLEIANYKSLMEEEPLSKSSIKMRENIVLPLLTIQQYALQKIKEENPHKETYEKIVTRALFGNINASRNSA", "MNKLIYILISILTALLSFKVYAGERTIQNRDMELAGVIVDAQSLAPIENVAILDKNNKKIGETNANGYFRVKFPVNKTGEVLFKIKLEKKGYQSFTQNDHWSNIANPSGSFCIGMKKNNTEGEAFSKILGRNLTYTYAKASVDRIKENRKADDIVNSAKKGNDHLILTINGEKYLVTNFNYFKLGSKDKYIMVNDAIQPIESLNNTLKRSNIKNLSRLGGKEAQFDLTTF", "MKKLITLAAALTGLVITAQETKTAKDTLQQSKEIQEVILKSQRKKQFVDKAVYTFGEDALKRARYANDLLKTLPELQFDPISNSVASIKGGKILLLINGVEATEMQVRTIKPEDVIKVEYYDNPPTRWATRADTVVNILTRNPETGYVFGLEASSALNTGFVNGSAYANYTKGRNNLGMDYTFNLRDYDNRRFRNTYDYMLNDSHYSSEENRKDHFGYTSQGITLRYNNILTGNYAFQAKLNLDILTSFSKANGFNAFTKDASTEEHGTLRNTSSDYNKPTIDLYFSKNLGKKDELSVNFVGSKYTTNSAELAKEWILSNNQSVFNNDMNLKAKQTSLVGEIAHTHDFSIGKLSSGYRISNNAISNDLQNLQGTSYYEVNYLEQYFYTEFSGKKNKLMYRVGLGLTNIHNQSAEITNNEWTITPKLILGYQLTKNQSLRFTSSYAPKSPSSSALSSNVVQVVPNIVRSGNPYLKTQRSWGNNLIYSMNNKYFDINANLFFWHRDRAINQMYVADPAFGGYTLTYENAQYSQQYGMQLTGSVKPFGNNLLVVKVVFGPATERFKTSSGAVIKNDYIGNYFVISSEYKSFNIQYQYTIPYYTLNGAFLNTNENANHIFVNYKHKNWSFSTGMYWWGMPSEYKSKTLPESLVSYTGHTQIFNNKSMFILGIGYDFAKGRKNEIQKKLNNDTAPPATF", "MFGNYFFLPVLMLKEYQKINDIVIFCKSANYAFIKRVEVFIFVHLLSISEHI", "MKKIQMVDLQGQYQKIKNEADAAVLKVMESAAFINGPEVKEFTTELQNYLDVKHVIPCANGTDALQIALMALDLQEGDEVITADFTFAATVEVIHLLKLKSVLVDVDYNTFTIDIDKLKAAITPKTKAIIPVHLFGQCANMEEILKVAKEHNLYVIEDNAQAIGADYTFSDGVKKKSGTMGTLGTTSFFPSKNLGCYGDGGAIFTNDDELEYKIRGIVNHGMYRRYYHDEVGVNSRLDSVQAAVLRIKLRLLDEYAKARNEAAAYYDNAFANHPDILVPERATDSTHVFHQYTLRILNGKRNELQEFLTSKEIPAMIYYPVALRKQKAYFQESNDADFVNTDKLLDQVISLPMHTELDEEQLKYITDAVLEFMK", "MAILVTGGLGYIGSHTVVELIQNNFDVVIIDDLSNTEKRVLDGIEKITGVRPTFYPFDLKRRELLTQVLEAHKIEGCIHFAAYKAVGESQEKPVDYYENNLFSLINILQEFKERNISNFIFSSSCTVYGQADEMPINEETVLKLPESSYGKTKQMGEEIIKDFAIAHHKKVSLLRYFNPIGAHPSALIGELPLGIPNNLIPYVSQTAAGVREYLSIFGKDYPTPDGTAVRDYIYVVDLAKAHVASLKKLIASETDTVVDIYNLGTGKGSSVLEVVKSFETANNVEVKYEFKPRRDGDITIAYANASKAEAELGWKADTSLTEALKTTWQWQKHLESRD", "MKEFSKDVYLKWYEDMTMWRRFEDKCRSLYLKQKIRGFLHLYNGQEAIPAGFTHAMDLTKDSMITAYRCHIHPMAMGVDPKRIMAELCGKVTGTSHGMGGSMHIFSKEHRFYGGHGIVGGQIPLGAGIAFGDKYFKTGGVNICFFGDGAARQGSLHETFNMAMNWKLPVVFVVENNQYAMGTSVKRTSNHEDIYKLGLGYEMPCMPVDAMDPEKVAEAAFEAIERARRGEGPTFLEARTYRYRGHSMSDAEPYRTKEEVGMMKNEDPIELVKSRILANNWATESELEAIDEKSKEFVEECVEFMENSAYPDVSQVYDFVYSEPDYPFIDKLEN", "MAEVITMPRLSDTMTEGKVSKWHKNVGDTVKEGDLLAEIETDKAVQDFESEINGTLLYQGVAEGGQAPVDTVLCIIGKEGEDISALIGGAPAKEEAPAQPVAEPAATESTASAEVPAGVEIITMPRLSDTMTEGKVAKWHKNVGDAVKEGDILAEIETDKAVQDFESEFNGTLLYQGVAESGAALVDTVLAIIGPAGTDVSGLTSGKPAAKSDAAPAASEKPVATQPKEEVVATSSTGDRVAISPLARKIASDKGIDISTVKGSGDGGRIVKKDIENYQPSAQAATSTATVAPAKAVVNFVAGEDTETPNSQVRNVIAKRLSESKFTAPHYYLMVEISMDKAIAARKEINSLPDTKVSFNDMIIKATAIALRKHPQVNSSWAGDKIIHRGNINIGVAVAIPDGLVVPVLKNTDHMSYSEISASVKDMAARAKNKGLKANEMEGSTFSISNLGMFGIETFTSIINQPNAAILSVGAIIEKPVVKDGQIVVGNTMKLSLACDHRVVDGATGAQFLQTLRTYLENPLSLLV", "MAIPKVIYQTYKDKIPWYTHFIIWRFRRKNKDFQYEFYNDEQVDSFIKNNFPSEIYHAYSRLQIGAAKADFFRYAILYKKGGVYLDIDSDILIDLNTFIQPDDKAILTYEKNNKVYAQWAMFYEKGHPFLKETIRFIVHNIQHNKYPHNVHATTGPSIYTAAVRRVILKDPDVSFRMTTKNYKGKLRFKYIMARILMNKLINPEYWKRKQLTTPVVRPE", "MKRKFWGIKPTAGVLFAFTSSTFLTNYVQAQQTVAAKTETVAQQQLKITNAKKINATTVEITFSNQQKALLDFYGDNIFRLFQDNSGKGMRDPEAKPEAKILVNNPRKAVTKLNIAQDNNQLSITTDKVQVVFDKNTSLFKLVNLQTKAVVVEEAEPLSFEKNKTSLTLKENPQEYFYGGGVQNGRFSHKGKAIAIENQNSWTDGGVASPTPFYWSSKGYGMMWYTFKKGKYDFGAKEKGKVSLSHEDNYLDLFLMVNDGPVALLNDFYQLTGNPVLLPKFGFYEGHLNAYNRDYWKEDEKGILFEDGKRYKESQKENGGTKESLNGEKNNYQFSARAVVDRYKKNDMPLGWVLPNDGYGAGYGQTETLDGNIKNLKEFGDYARKNGVEIGLWTQSDLHPKEGISALLQRDIIKEVRDAGVRVLKTDVAWVGDGYSFGLNGVADVGEIMPKYGNDARPFIISLDGWAGTQRYAGIWSGDQTGGVWEYIRFHIPTYIGSGLSGQPNITSDMDGIFGGKKPIINTRDFQWKAFTPMQLNMDGWGSNEKYPHALGETATSINRNYLKLKSELLPYSYSIAKEAVNGLPMIRAMFLEEQNTYTQGKMTQYQFMYGPAFLVAPIYQETKTDDKGNDIRNGIYLPKGQWIDYLTSEQYEGGQIINSFDSPIWKLPVFVKRGAIIPLVNPNNNVSEINKNLRIYEVYPLGKTSFTEYDDDGISEQYKAGKGASTIIESNLVKDKAVVTVFPAKGNFEGQIKEKATEFRISVTAKPKNIIAKVGNKKAKLKEVTTLDDFEAQENVFYYNEKPDFNRFSTKGTEFEKVHIIKNPQILVKTAKADITNQKVSLEIEGYKFEPQNHLKVTSGILSAPKNVQITDKNLEAYAIKPTWDKVPNADYYEIDFNGLKYSTIKDTELLFEGLTAETDYAFKVRAVNKDGVSDWATISARTKSNPLEFAIKGISGTTSVDAQEGFEVYKLFDEEEGNMWHTKYRAKAVPFDLVVDLKSINQLDKFQLLPRNDGRNGLIQKGKVSYSMDKQTWTDAGTFEWKDDFNPKEFAFTSHPAARYVKISVEKAVGDYGTGRELYVFKVPGTESYLPGDINNDKLIDRNDLTSYTNYTGLRKGDADFEGYVSNGDVNKNNLIDAYDISVVATQLDGGVDETKIEKVSGKLEITTPKQSYNKDEIIELTVKGANLKSVNALSFALPYNAQDYEFVGIQTLDTKKMENLTNDRLHSNREKVLYPTFVNLGKQEALNGSNNLFIIKFKAKKNLKFNLKPQQGLLVDKDLNSVNF", "MMIKAKNIYKSYGSLDVLKGVDIEIPDAEIVSIVGESGAGKSTLLQILGTLDSPSNTSVNDTEILLNGKSFLEMSDKELSKFRNRNIGFVFQFHQLLPEFTALENVLLPVRISDKPNPNALEKAHELFDELKIAHRIHHKPKELSGGEAQRVAVARALINDPKIIFADEPTGNLDSKNADSLHQLFFDLRDKYNQTFVIVTHNQGLAESTDRKLVMKDGQIVDGF", "MKVKSLAEDDRPREKFLLKGKEALSDAELLAIIIGSGNIGESVIELGRRILRSVNNNWHQLSLLTVKDLMKYKGIGEVKAITIAAALEIGRRKALQEVSKNPVISNSKDAFNILHPYLGDLHHEEFWCLFLNQSNMIIHKEKLTHGGIDQSIVDARILFSIALDHLATAIVVAHNHPSGNLKPSRQDIQITESLKNGGDLLNIKLLDHLIISQDSFFSFSDEGIL", "MKKLLSLFFITGFCYVSLYSQTFIKNVTIVDVVNKKLIPAQTVVINKDVITDVQKAGKKTFPSNAIIIDGTGKYLMPGMTDAHVHFFQSGGLYTRPDALDLRKYVPYNKEIEMGHQNMEALLKRYLMVGITSVVDVGATYNFLKLRDSLSGNKLLPSVYMTGPLLTTYEPQVFMGLKNDEPFRLVSTIEDAKKAINEQLQYRPDFIKIWYIVSLGKEGVEAAARKYEPLIKEIIQEAHKNKLKVAVHATERIAAQIAVQNGCDFLVHDIEDEVVSDSFVQLLKSGKVILSPTLTVMDNYYNTFGQKINYNTYDLKSSDPLAIGSIYDIKHLSNSVIPALKQRFDQPQMKIRISRTDSIRKVNLKKLADGGVTIAAGTDAGNIGTQHASSFQNELKAMQESGLSIWQVLQSATINPAKILDKEMISGNIAKGKVADMILLNADPTKSLENLTAINKIFKNGNVLDPESLVSRTPELLVQQQLNAYNARNIEAFLEPYSDDVEIYSFPNTLISKGKDAMRKSYTDMFAKMPNLHCELKGRIIQGNIVIDRESVSGMISNTKVEATAVYEIKNHKIIKVYFIR", "MNPKFKAHPWHGISVGEKAPEIVNVFVEIVPSDTIKYEVDKETGYLKVDRPQKFSNIIPALYGFVPQTYCEDAVKDLAVANGSVDVKEGDHDPLDICVLSSHNINGGGMILEAIPIGGFKMIDKGEADDKIIAVLVDDQVYGHMRDISDLPVAEVNRLKHYFLSYKNLPTEPAVVRIDDVYGAEHAKKVIEASIKDYNQHYGE", "MENFIVSARKYRPLEFDTVVGQSHITDTLEHAIDNNQLAQALLFCGPRGVGKTTCARILARKINEKSGASDDTGFAFNIYELDAASNNSVDDIRELIDQVRFAPQVGKYKVYIIDEVHMLSTAAFNAFLKTLEEPPAHAIFILATTEKHKIIPTILSRCQIYDFKRIQIEDIQNHLRKIADKEGIQYEDDALFLVAQKADGALRDALSIFDRLTTFTQRNITLAKAAETLNILDYDYYLQIADFAKSNDIPGILSKLNEIVNKGFDPHIFIGGLGSHFRDLMMAQNPNTINLIEVGEKTKAKYVEQSQKWTAQELIDALEICNQADINYKNSKNQRLTVEIALMQLASLSVSDATKKKSLES", "LQDDDPVKFSAIKVCKLQKKDENKILIKVPSEAAKSEFETVRKDFLSVFQRKVNNFHIKSKYVEDETLQKEIITKRKLFDKFAEKNPILKELDDLMKFDFS", "MNLNEVKSDWISELGSPLVIAGPCSAESESQMLEAARRIKESNANVSVFRAGIWKPRTKPNGFEGVGVIGLNWLKKVKEEYGFKTATEVANANHVFAALEADVDILWIGARSTVNPFTVQEIAQALRGTNKPVLVKNPVNPDLALWIGAMERLLGQDVKNLGVIHRGFSNYQKTKYRNVPNWTIALDFKKQFPNIPMVVDPSHICGNRTGLAAISQEALNCGYEGLMIETHPNPDEAWSDAAQQITPEVLAELLSNLKTRNQDISGYEDEMGKHRTLISDIDFQLISLLNQRMKVSEKIGTLKKENNIAIFQPDRWKVIAEYAAQKADETGMSREFIEKVFNAIHEESIDVQNNIMINK", "MRGIIIKSTGSWYQVLDQESGKIYEARIRGKFKLIKTRLTNPLAVGDFVEFSLEQDDIAWITKIEPRKNYLIRKAVNLSKEAHIIASNIDIGCILFTLKMPETSLGFLDRFLVCCEAYDIKPLILFNKADLLDQEELEYAEDIATVYQSIGYDSLFVSSVSELNMESLREILKDKTSVFFGHSGSGKSTLVNALNPEVNLKTGDISDIHLKGKHTTTFAQMHFWPFGGQVIDTPGVREFAMIDVEKEEIQHYFPEIFSISENCKFNNCLHINEPKCAVLDALEHEEILESRYATYIKLMEEAEEQNQ", "MLEIKNLNVSFKTQNVLNNLNLNIEEGIVIGILGKNGAGKTTLFESLYQNVKYTGTIKWHNEALKRESISYLETENYFYPYITGKEYLGYFSKDKESKYKLLTEKFGLPLEKFAQDYSSGMKKKLALIGMLLLDKPVNILDEPFNGVDFEGVHVLYDIIRDLKSENKAVLVSSHIIETLFHTCDKIAILQNGSIDNVIDKADYHQLHNFKF", "LGLAGLLLITSFSFFYPKAKISVTSKWNFIPNELFEWKCLLRKNTVTAILIYMVIIASSYHISTLIFCGLFVLDLFPRLYSDNENKEMLEMYFRKYTLEDKIRKNIKLFNLIFLPVYIGFLILNREDSLLLLCYILFMNLFLVLTLTRKYKVYHYKERTNYFDMGIFLSYFIYTITVIPALVIIIDNIKSAKENISQYVGN", "MANPLFYAKIILFGEYGMIEDSQGLVVPYSFYKGTLKFSEADSDSEFEINSNKHLQKYSDFLSELNLSDDFRLDIESFKKDIANGLFFDSNIPQGYGVGSSGALVAAIFERYSVNKHNPEIISKDNLKHLKAVFGEMESYFHGKSSGMDPLICYMNLPILIENKENLDRVALPEGEHGKGAVFLIDSGQIGETGPMIQIFFEKLKNEGFRKTLKDEFIRYNNACIDAFLKKDMNPFFRNLKKLSHWAYEHFRPMIPENIFNAWKRGLDTNAYYLKLCGSGGGGYILGFTKDYDKADKMLEGFQKEVIYRF", "VKNRLLSRLSVFIGFLLGARAFVTFLLIFALYVSTFFLFNQEESLKSFVFDYKVHGIIFCSFLSIMAGGIINQFYDREKDKITKPFRSKLQSFLGQKYYLYVYLTLNVLSLGIAAFISERVFIFFLIYQFLMWFYSHKLSKILIINNLTFVGLSLYPFFGMLIYYQTYSAHLMMMSAFLFLILLTIDIVKDLLTSNADRIFGYDTIANKFGVKATKIVAIVLLILNIIVSALIIRGNAVHYLMSYYFCVGMFIQVVVVYLLLNRTKFHSFSALNLLRLWVFIGILCMLSDGILTYLHY", "MSRERKNFSDRQKNGKQGERKTSFDKNSRSASDSKRGGGKTFDSRDKYEKGDRKFGGPKSFKRPFDRKAEHDEKIKGYILKRKFDKLASKPEKETIRLNKYIANSGICSRREADDLITQGLVEVNGKVVTEMGYQVQKTDRVVFDGQSITPEKPVYVLLNKPKGYLSTTKDEKARKTVMDLVANASPYRLFPVGRLDRQTTGVILLTNDGHMTKKLTHPSFGMKKIYHVVLDRKLSIEDLQAIREGIRLEEGVAEVDNISFIEGKPKTEVGIEIHIGWNRVVRRIFQRLGYEVESLDRVMFAGLTKKNVKRGHWRILTEQEVNNLKML", "MKKSISLSSLAVIMLLASCNRDSLSDNSLQNTSAENSAKGVVTNLTEQDLPNIQLTGSNAAPSQHLTYYFPADAVLQMNKVKITRSALTEYFSVINYSGGYAGLQQTPDKSFGTPNILIASLWDKNTAGGSYAGYSYLGYKTIASRFGGEGDGQKTINPYQWKLNNWYNIVLRSWKENGKIYIANFIQDLATGKWFLTSTIYRDASTGNLGTYIDTFLENWVGDNPKYDGRYVRKAYLKDAWSLDASNTWQKSTSRNFSANSGDQGRNGYFDRAFNSGYDATEDAYFMVHGGTTTPDTAFGTGRTLQLPTQTNQGAAPVIQNLERTSATAQYGNNKVTVSWAINDTKAPQLSYNIQVLNSNGAVLQTVQKTKPEQRVEAIAATLAKGNYTVKLTLTDIFNNNVAPVEIPITVN", "MKKFLLFIPLISASLLQAQKIEISAAYGTPSICGISSSLYDFVGNVITGNSEETSSSNGVLSLNVMMYNREMKWRYGLEFNLESFDEKGTGFKSQSLVSVQPRIDYFWSGTDRKLKLYSGVSAGVLFKNAKYIDKTSKTEEKDNLTTFGFNIMPIGLRYGRDFGVFIEPNIGTKGFVNAGVSYIF", "MKKALIIVDVQNDFCEGGSLAVPGASEIIPYINGLMDSDQYDQIVLTQDFHPANHKSFASNNSRNVGETIILNGIPQFMWPDHCVQGTPGAEFHPALNRDKATHIIQKGKNPEIDSYSAFQDNNHFMKTGLTDFLKYHDIELVEIAGLALDYCVRATCLDAVKEGFITCLHFNGTRAVNVKPDSGKDTIYELIENKVSVLA", "MDLEQKKYPIGRFQKPVEFDEGKIKGWITVIKEFPEKVKELTQNMSDEQLDTPYRENGWTVRQVVHHCADSHINSFTRFKLALTEDKPVIKPYMENLWAELEDTKHLAIDSSLSILEGLHHRWTVLLESMTTEDYHRIFVHPEHNNEITLYTALATYDWHCNHHLGHIQLTLQK", "MGIFDLFKNKEELRNSPWLTIESSEDLDKVVEESKHSKVAIFKHSTSCFISKMVLRNFEADLNKQTPDGYKFYYLDLLRFRPISNQIAEQFMVRHESPQIIVLENGKAIYKASHENINLELLP", "MVTENFSKYIQQLFGIQDQQVSVCENLIKLKKVPKGRVLVRAGEINKELYFVEQGLLRQYFTDKNGKEHVLYFAPENWLLGDRNSSYFSVPTDFTIEAVEDTVVVILEPEFFINLSKEYKDAIEKSEFLLHRHIRQLQKRVSQLLGATAEERYLDFITTYPNLMMRVPQWMIASYLGITPESLSRVRRELAKKNFLPG", "MKKITLLLVLCAGLLSAQKLTSNEISIIKQGDIHSALPVYNVSEPEHSKTLLGMSADIDPKDPNTAILVERMKLSLLSTGSGVGIAAPQVGINRNVIWVQRFDKDGKPLEYFLNPVILWKSQLQNLGPEGDLSITDFRDHFYRSKVIQLEYSDLKGKKHTEIVEGFTAVIFQHEIDHLSGILISDKKEKEKNNLYERVDAFIKIK", "MEIKHRREGSKGVFEAIEYDRVVGLMTYSVAGEDKIIIDHTEVDEAFGGRGIGKQLVNAGVDYARENNIKIIPLCPYANRVLHSSDEYKDILA", "MDFYYQEKAVKGFSLQLEVIELSWWFYLVTGLVSIGLAHNFLRKSQKEVTRLNYFFSLSYASAVSYIVILFTVILTGAVVKQISHEFSLPKYSAKVIDSSYRVGVREKNMGVSEYRPLVGFADKNNRIINIPLNMASEERFSEGSYVKVGYKEGMNYAYAFNPKRYIIYFGEMVFLAVFYSGLLFILGYGLRNQYLKDKAALFATIIFGYLIIPGMMIFMALLMTKALLGKLAGSSDLSWGGFLVCLFFVIILVFSIYGYFMFIFKSTPADLSGKVKGKKKPAKS", "MLTKEQIAQRIAREIKNNSYVNLGIGIPTLVANYIPEGVNVVLQSENGLLGMGPFPFEGEEDPDLINAGKQTITTLPGSAVFDSALSFGMIRAQKVDLTILGAMEVSENGDIANWKIPGKMVKGMGGAMDLVASAKNIIVAMQQVNKSGESKLLPECTLPLTGVKCIKKIVTELGVYEVLPEGGFKVLERAPGVSLEEIKAATAGKLYADENVPEMVF", "MKIAAKEADELEYWLLLCRESPHLINPSPDLFEELTSIISILTNIIATSKKS", "MRNDKENIIVAKSFSFALKIIDFSSKIETAKKYSLAS", "MINKTVKNVQEACDGIQDGMTIMLGGFGLCGIPENCIAELVKKEVKDLTCISNNAGVDDFGLGLLLHKRQIKKMVSSYVGENAEFERQLLSGELEVELIPQGTLATRCMAAGYGMPAVYTPAGVGTEVAEGKEVRNFNGKDYLLEYAFDADFAIVKAWKGDTAGNLIFRSTSRNFNPVMAMAGKITIAEVEELVEVGELNPDEIHTPGIYVHRIFQGDHYEKRIEQRTVRKK", "MKFNKTSIKFLENYLNTSSPTGFEHNGQKAWTEYIKPYVDKIEVDNYGTAYGIINPEAEFKVVIEAHADEISWYVNYITDDGFIYVIRNGGSDQVIAPSKVVDIHGEKGVVKGVFGWPAIHTRANQNEPTPKLDNIFIDCGATSKQEVEDLGIFVGCMITYPDTFFELNDRYFVCRALDNRIGGFMIAEVARLLQENKKKLPFGLYITNSVQEEVGLYGAEMIADTIKPNIAIVTDVTHDTTTPMIEKKKEGDQKCGNGPVVFFAPSVHHTIRELIIDTAKKKKIPFQRAAASRATGTDTDAFAHSNGGVPSALISLPLRYMHTTVEMVSKEDVGNVIQLIYETLLKIKPDMNLKYY", "MKKYRIFSLICFFLTILAYSQKVDTIATIGELKDEKNVKMQVDKDGRRYYWDDKLQAKIYTEDNGDKLIEMDEIKLLNKPHFNNQLDRNYFDFLNKKLFRVYPLFVNALEQYRSLQTDLEKVNEDDRRAYARKRQNELADRYEAKLRDLTTTEGQVFAKLMNRATGKTVFTIIRDLRGGWSAFWWNLKGKAADIELKKEYNPYLNRDDEFIESLLQSNWNYGYLTPYPGYKDFKVKKSVD", "MKRILTRLLFCAFALSSMQAFAWGLTGHRIIAEIAENHLNGKARRHLKHIFGKERLAYWANWPDFIKSDTTGVWKSTSTWHYVNIDPQPDFKAFKENLEAQAGPTMYTQIKTLSEQIKNKNTSDKDRKIALMFLIHIVGDMAQPMHTGRAGDLGGNKIDVTYFGKKTNLHSVWDSDLIDSQKYSYTEFAKLIDIKSKDEVKKVQSGTLADWIYETHKLANNIYANTPSGSNLSYGYGYKYDGLLEQQLVNGGLRLAKLLNDLF", "MEKIEKFNVRVYALCIEDQKILTLFESYAGEKLVKLPGGGLEFGEGIIDCLHREFMEELNVKIEVLEHFYTQEGFMQSRFRDNEQLLTVYYIVKIIDPENFRIIDTEIEKAEWLPISASNPFPLPIDHLVFEKLQTKFLK", "MLRSLKTTQDGSKTLHVSSLDETYHSHHGALQEAKHVFIKNGINRLKKYEINILELGFGTGLNVLVTLDEYLKTDICHKINYYSLEKYPLKLEEAISLEYDKFFENESVKNAFNKIHNTDWGKSENIEKEFFLQKIEEDFYNIKDTEMPPIDLVYFDCFGARVQPDLWEEPLVKMVAEKMAPGGLFTTYSSKGSLQRILKGLNFEVEKLEGPKGKREMINAWKK", "MIIQKTSASRIDTFDKDNFSFGTTFTDHMVVCEYENGKWGDVRVMPYGPFPFTPAMMGVNYGQACFEGMKAYKDKDGDVFLFRPEKNFERINKSAKRLAMPEVTEEMFLKGLEALMDIDRAWIPQGEGFSLYIRPLIFATEEALKARKSEKYMFAIIATPAKAYYTAPVSVLISDFYSRAANGGVGSAKAAGNYAGAFYPTDLANEQGYEQIIWTDDATHSYFEESGTMNVFVRVGDTIYTPPTSERILDGVTRDSFIQLAKKRGIEVVVDKVPVDFVINAHKEGTLKEIWGVGTAVVTSQFEAIGYQGEKLMLPKLSDEESYAASLKNELVGIQSNLLEDPFGWRVLVGEGVAEEA", "MKNQFQQLFLRIAISVTMLSAVADRFGLWGDNSSWGNWKNFEVYTQKLTYFLPEILSIFSAYIATFLEILFPVMLILGYKIRIASYGSGILLLVFAVSMAVALGAKAPLDYSVWIGSAGAFLLAVQQEYSYSIDFMFQKK", "MKNPPDDIFPLSVKEALIGFYKFNRLNLSNDFSSHCVRVYIGCILAPVPNIKARRKYLKFHDIHHIITEYGIDRIGESEVSAWELGSRSCRKPIVSVMNLFALSTGFILSPTKVTNAFYRGCRSRNLYYMAESVSEAEIDTIDFIDIKSGHLEIKQNVEYRFLRQIEFAGYLFISMIIHVFMLIAGKALLIAESIKKKIQKRNK", "LKIQELQQLYSKELKLLYSESENQKLFTYFAEEYLQYNSIQLKMYADQEIEEAQQLQFTQALEELKSGKPYQHILGKAYFYGEEFFVNENVLIPRPETEELIELILEKLPSDKELKILDIGTGSGCIAITIAKHLKNANVYALDYSGKALEIAKKNAVLHQTEIQFIQQDYLNSHPPEVFDVIISNPPYIGTEEEIDIENSVKDFEPMMALFAPENDVLAFYRKIANDTETSLSNKGFVFLEINQKLGPETLELYKHKLSEAYLLKDISGNDRMIWGRK", "MKILISPAKLMNIDNKTDMLKASTPKFIEEAAHIQKYLKEKDPKFIKDLMHISDKLANENWERNQNWTTKPTTKNSTSAMFAFAGEVYRGLDVKTLDKNAVDFLQKNLRMLSGLYGLLKPSDKIMLYRLEMGCGFSFENYKNLYDFWADKVTDEINHQLKAKDFVLNLASNEYSKVVNKKKLNAPVIEFDFYEERDGKNKNIVVYTKHARGLVTRFCALNNIEKLNDVKAFNLEGYLFNDKLSTEHHFIFTR", "MAKILRIYPENPQENLIQEVVKTLDNGGLIIYPSDTVYALGCNIFDIKAMEKLAQIKGVKLEKAHFSIICNDLSHLSEFTRPIDTATFRLLKHYLPGPFTFILEANKTLPLAYKGQKTIGIRVPDHPIPQLIVSKLGHPIASTSIKDDDDVIEYTTDPELIAEKYNHLVDIVIDSGYGDNKASTIVDLTQGIPEVVREGKGNFED", "MKLKFILSSVLVAGSVYTFAQKKPLDHSVYDGWQSIGSRKISNDGKWVGYSVDPQEGNSKLYLSSPKSKSSLEFPRGSKLSFTSDSKFALFSIKPFFKDIKAVKDKKLKKDKLAKDTLGIVNLFTQKVEKIPNVQSFKSPEKGGAWMAYLMENMKDKTATPDAKDDDADDKKDDDANTKPSDLILVNLLTGKKTTYENVVRYQFSENGKQLIFVTQKPEDKDKDKKDNKKPEKKDKSAPVKYALQTVNWVDVEKGNVNKLTEGEGNFSQLTFDELGNRLAFIGTLSAKNDLVKDYQLYYFAQNAKNTIVNNQHANLPKGWVVSENRAPIFSKNGKQLYFGIAPKPIAKDTTLIANDHAVVDVWNYKDDYIQTTQLKNMSRDLKKSFGAVFQTDKPDVFRRLGDNDTDTIRLINEGDAPYVLGYSNKGSRIQSQWEGSDRRTYYLINNLTGNTTEVVKELNGNAVASPLGRYVVYFDRDKGNWYSYNVATKVTTQLNKDLSVSFTDEEFDMPDKPYAYGIASWTDNDESVIIKDRYDLWEFFLNGKKAPRNITNSYGRTHKITFDTYNLDKDIKSLSRKKSMYISAFNNVTKADGIYETSIVSGKDPKEVYMGDIWGFKTLMKAKNAEEYIFTKESYVKSPNLFVTSDFKEQTQLSDTNPQQAQYNWGTDELVNWTTPKGYQSTGVLFKPENFDPNNKYPMIVYFYEKLSENLNRYVAPAPTPSRLNISYFVSNGYLVFTPDISYNEDGHPGRFAVEYINSGVEYLKKNPWVDGKHIGIQGQSWGGYQVTHLITQTDMYAAAWAGAPVANMTSAYGGIRWGSGMNRQFQYEKSQSRIGKSLWEARDLYIENSPLFHFDKVNTPVVVMANDKDGAVPWYQGIEMFTALRRLGKPVWMLNYNGDDHNLIKRQNRKDIQIREQQFFDYYLKGAKAPAWMTKGIPATMKGKDWGFDLTDDKP", "MHNTPTTFQFISEPTDVNFGGKVHGGSVMKWIDQVGYACASNWCGSYAVTVYVGGIRFLKPIKIGELIKINAKVIYTGSSSMHIMIDVFSKAVTSKKYEKKTHCIIVFVAVDENGNKIKVPQWKPETEHELQLEDYAKKLMALRTGIEDEMKAFL", "MKKVFYLKTCGTCKKIMAEFNLDGWELREIKSAPVTESELAEMYKLTNSYEALFSKKSTQIKAREIDVKTLKEEDFKGLILDHYSFLKRPVFITDKNIFAGSDKSNLEKLHQFFAS", "MKRTALFDKHVSLGAKIVPFAGFEMPVQYSGVTEEHFAVREKAGMFDVSHMGQFFIEGPGSKELLQKVTTNNVDALEDGKAQYSCLPNENGGIVDDLIVYKIADEKYFVVVNASNIDKDWNHISKYNTFGAKMTNASDDMSLIAIQGPKATEILQKLTDTQLADIPYYNFTIGAVAGVQDVIISNTGYTGSGGFEIYFKNENAVKLWDALTEAGEEFGMIPCGLASRDTLRLEKGFCLYGNDIDDTTSPIEAGLGWITKFDKDFISKEVFAKQKEEGITRKLVGFEMQEKAIPRHDYEVVDAEGNVIGKVTSGTMSPMKKIGVGLAYVAKPHFKLGSDIFIRIRNKDIPAKVVKLPFV", "MEEKVVLVNPNDDVLGVMEKMQAHQNGLLHRAFSVFLFDQEGKMLLQQRSSTKYHSPDKWTNACCSHPRENETYLDGAKRRIHEELGINCELEEKFHFIYKADVGQDLWEHELDHVFIGTYNGEYHLNPDEVSAIRFVTMEELDKEIANKPELFTEWFKIIWDEYRHHL", "MTIKKNTLIAASGLFLLTLNVSCGKGDPKAAAAQQQQKPQPYQFITVEQGPATVYEEFPARLQGKQNIEIRPKIDGFIEDIYVDEGAYVKQGQALFRIRNPQYEQQVRVAEAAIRSAQADVATAQMQVTKTKPLVDKDIISKYELQAAQLALQAKQANLAQAQANLTNARVNEGYTMISSPVTGYVGTLPYRHGSYVNSTTQEPLTTVSNIGSIYAYFSANEKEVLEFLKHAKGGSITEKLKNAPNVSLVLSDGSEYKEKGRIESMSGQVDPQTGSFMMRATFPNENGLIRSGYSATLKLPTYLEKVIIIPQKATYEMQGKTFAYIVGADNKVKSTEVKVVRLPDGVSYAVESGLKAGDKVVVEGVGILKDGTEIVPKQTSLTAITPKAN", "MFRKYIERPVLSTVISIIIVILGILGIVSLPVSQYPDIAPPTVVVNANYMGANADVVLKSVIIPLEEQINGVEGMTYMSSSATNEGTASVSVFFKQGVNPDIAAVNVQNRVSRANSLLPREVTQAGVTVVKRQSSNVLIYTLKSDNPQYDQVFLQNYININIIPRMKRVNGVGDVTVFGTKDYSIRIWLDPDKMAAYGLVPSDIGAVLNEQNIEAAPGKMGEQGKQSFEYTLKYKGRLTSTAEFENIVLKGNIGGQILRLKDVAKIELGAQDYSGNTFTDGQAAIGVAVAQTAGSNAQEVINGCVAVLDNASTSFPKGITYTTMVNANEFLDASIEKVLHTLFEAFILVFIVVFIFLQDWRSTLIPAIAVPVAIIGTFFFLNLFGFTINLLTLFALILAVGIVVDDAIVVVEAVHSKLEQGEKSPRRAAISAMNDISGAIISITLVMSAVFVPVSFISGSAGVFYKQFGLTLAISIVLSAVNALTLSPALCAIFLKPHADHGEEHKKNIMQRFFSNFNTAFTATTMKYTHGVSFLLRRKWLTLIALLAFAGLFGWLMVSTPKAFVPSEDMGGIFSDIALPLGASQERTEEVLSQIEKVVSKMPEVDHIMKISGRGMISGTGSNYGMVIVKLKPWAQRTGKGESQDAVLGKLYAQTAGIKDARIIFFGRPTLQGFGNAAGFEMQLQDQKGGTIDDLNKVTNDFIDALKKRPEIQNAYTSFNPNFPQYLIDVDVASIKNAGYSVSDVLSVIQGYFGGVYASNINLFGKQYRVIYQAPPNQRANVESFDVIKVRSSTGAMAPVSRFVTLKRVYGPQSISRFNLFTAVTVNGTPNPGYSSGDAIQAVQEVAAQVMPSGYGYEFSGLTREEMSAGSQTVIIFALCCIFVYFLLCAQYESYMLPFAVMLSLPFGLAGALFFTWITGTSNNIYTQISLIMLIGLLAKNAILIVEFAHAARLKGLSIGKAALRGAQQRLRPILMTSFAFVFGLIPLAIAAGAGAVGNNAIGIAAIGGMLFGTIFGVFFIPVLFVVFQFLHEKISIKNEESADVPKLTD", "MKRINNIWLFAGAASMLVVSCKVTDPYHKPEISNEKLNRLYGKEINAQDSLSTANVSWDKIFTDANLQNIIRKTVQNNLDLKIAISRIQQSDAYFKQSQMAFLPTINGGPTATISKNSPAAQVNPLFPVHHIENFQLGINTGWEIDVWGKLASAKRAAYATLLSSDASKRAVQTQLVAQAATLYYQLLAFDKQLEITNQTIDIRKKQLETIKALKEGAILTGADVAQSEANLYAAQVSVPDIELNIKTTENALSLLMGEPPLAIERSNLDGQVVYSDLKTGVPLQMVRNRPDVQMAEYNYQQAFEALNVAKADVFPALNITAAFGLSSLKIKDLFRDSFMYSASGALSQVILGKGTKRTQVKVSETQKEQAYLTYEKSVITAGTEVSNALYSYQMAVAKENTRKQQIETLAKAVDFNMELLKYTSKTNYTDVLTSEQNLLAAQLAGVNDKLQQLVASANFYRAIGGGQF", "MELEFKDHLSPMLKDGVINYLIDIDGTITDDVPNEEPERMKTCKPFPDALATINRWYDEGHQICFFTSRTEDLREITETWLRDNGFKFHSVLLGKPRGGNYHWIDNHLVKATRYKGKFTDMIEKKVKIKVFKD", "MKKILANDGLSGSGIKKLINYGFQVITDKVPQEELISYINTNQIDVLLVRSATKVRKDIIDNCPSLKIIGRGGVGMDNIDVEYAREKGIKVINTPAASSESVAELVFAHLYSGIRFLYDSNRKMPASGNTEFEKLKKAYAGGIELRGKTIGIIGMGRIGIEVARIALGLRMKVIAADTFVGKANIEVDFYNGQSINVDIITEPIEQILKEADIITLHVPAQKGFVIGKEQLDMMKDGVMLINCARGGVIDEEALIDALDSGKVRFAGLDVFENEPAPSDKILAHSKISLSPHIGAATLEAQDRIGEELADQINEILS", "MGFIKEFKEFAIKGNAFDLAVGVIIGGAFGKIVTSVIDDLVMPIVAAIAGKPDFSSIYFAMGKGSELIPAGATLAKAKELAPDAAIFAYGNFITVAINFLLLAFVVFLMVKSINKMKKKQADEPAAEPSSTDKLLMEIRDELKKN", "MNNILLRIYLFVFALFAQGLFSQNHTDGLSDGTLIVNKEKKIPVKIFATTSGRDFGSFAQKPQNSNILIILNSSINEYASTPVFEEYKIKGYKLLNKKFQLADTSNPKDYKYFYKPLNPQNDIEEGAKAELETPYKIWDPSVGFKLGPITLHFYSLMFVFAFGFGYILMKRIFKIDGVDQKYLDPLFTWTLIGTILGARLGHVIFYQPELFKQDFWSVFLPIQTKPEFKFTGFSGLASHGATIALILTTLYYSYKIIKKNPFWVYDRLGIVVALGGAFVRMGNFFNSEIIGKQVDPNSPFAILFPQQSSEYGITVPRYPSQLFEAIGYVLLFILLWFLYRKTDKKYQQGWLFGLFFIILWAIRFFVEFLKEPQGDEFIQFAGLNTGQVLSIPFMLAGFAIMLYSKKNKLEK", "MLNKILIFPFVMLIRFYQYAISPWLGKNCRYTPTCSQYTLEALKIHGLFKGGYLGAKRILSCHPWGGSGYDPVPGKHKC", "MSTNIPLAEKLRPKNLDEVIGQEHLTGPNGPIRKMVEHDTLNSIIFWGPPGTGKTTLSEIISENSGRKFFKLSAVSSGVKDVREVIDQAKQQNLFSGKSPILFIDEIHRFNKSQQDSLLHAVEKGWIVLIGATTENPSFEVVSALLSRSQVYVLKALDYEKLEGLADVAVERYNKDSGTKFSLKDKAAFIQYSGGDARKLINSVEIVLNQFLHSKKTEIDNEDVLSVLQESMALYDKNGEQHYDIISAFIKSIRGSDPNGAVYWLARMLVGGEDIKFIARRMLISASEDIGLANPNALVMANNCFQAINVIGNPEARILLSECAVYLAVSPKSNSAYMAINDAMSLVRQTGNLPVPLHLRNAPTKLMKEMDYGKEYKYAHSYEGSFVDQEFLPEEISGTKFYNPGNNSTEKKIDAEQEKKWKGKYK", "MKIAFLGPQASFTQLTATQIFPNEELIPQSSILDCFLAVKNDLVDKAVVPLENSIEGTVSMTLDYLYDTSNIVIEAEAVMPISHQLMIHPSHDNNEEIEKIYSHPQALAQSYHFLNKNYPEVVKQEFASTAAAAKKVSETPERKIAAVANSFAAKLYGLKIINSNIQDFEQNHTRFIVISKKKADWTTELPKVTEKTSLLVTLPEDHAGGLHQVLSVFAWRKLNLSKIESRTLKTGLGNYFFFINIDGPWKEVLIKNSFEELESIGANIKPLGHYSEYMLES", "MAVLHIVFEILSVLAIISVLIPFIKNDYWTFRIFDYPRFQKFVILVTLTIVWPFIFQEPNNFQKILLGLQILCILYLIYLIIPYTFLGKKMIDRTVPKPEEKPLNLLVSNVYQDNTNHTKLCQLIESKDPDIVFLLETDNLWKNGLKKATDKYTYKIEVPLDNTYGLLFYSKLKLLHSEVRYLVSKEIPSIITDVEYNNNVVRLYGIHPTPPVPQENEESTERDAEILLVGKQAKEYAKPAIVFGDLNDVAWSHTTKLFLKTSGLLDARRGRGMYNTFHAKYWFLRWPLDHFFVSPHFRLVNMKVEKSVDSDHFPISISLVIRSQDKSEKFDSDAEDHAEAQEKIEEGLEKGDACN", "MKKILFGAAILSVFIANAQQKTYANPVNVDYGYTPIPNFATQGKHRATADPVIVTFKGKYFMFSTNQWGYWWSDDMLNWKFVSRKFLLPQHKVYDELCAPAVFVMKDAMYVIGSTHNPDFPIWKSTDPTKDNWEIAVKEFKVGAWDPAFHYDEDTDKLYLYWGSSNAYPILGTEINTKTLQSEGYVKPLLGLEPSEHGWERFGEYNDNTFLPPFIEGAWMTKHNGKYYLQYGAPGTEFSGYGDGVYVSDKPLEGFTYQSHNPFSYKPGGFARGAGHGATFEDNYKNWWHISTIVISTKNNFERRMGIWPAGFDKDDVMYTNTAYGDYPTYLPQYAQGKDFSKGLFAGWMLLNYQKPVQASSTLGGFQPNLAVDEDIKTYWSAKTGNAGEWYQTDLGDISTVNAIQINYADQDAEFLGKTLNKMHQYKIYASNDGKSWKTIVDKSKNQKDVPHDYIELETPVKARFLKMENLKMPTGKFALSGFRVFGKGTGAKPSAVENFVALRAEPRKNADRRSVWFKWKQNDLADGYVIYFGKSPDKLYGSIMVYGKNEYYFTGADKSDAYYFQIEAFNANGISERTSVMKSE", "MSNIITGLFNNQRDYKKLETDLENSGFSNSDYIVYLNEDVNANYMASVVIKTDSDAEKAKQVFDQNNVLKVYWFENMTIDEAKSYENLKKEIDVRNKYQIHSFPDLKFKSSSEGMGSEVKS", "MSNNYKVHNLPDYFKQYKKSIKNPKKFWDKIADENFVWYQRWSKVLEYDMEEADIKWFKNAKLNITKNCLDRHLNERGDKTAIIWEPNDPKEEAQHITYKDLHERVCKMANVLTEMGVQKGDRVCIYLPMIPELAVTMLACAKMGAVHSVIFAGFSSSAIASRAQDCGAKVIVCSDGSYRGNKALDLKGIVDEALDNHKTNIEKVLVVKRTNTEVKMKEGRDFWLNDYYEKASSDFVTKVMDAEDPLFILYTSGSTGKPKGMLHTCAGYMVYTAYTFKNVFDYQENDIYWCTADIGWITGHSYILYGPLCNGATTIIFEGVPSYPEADRFWQVVEKHQVTQFYTAPTAIRSLAKENSEWVDKHDLSSLRVIGSVGEPINDEAWHWYNDHVGKKKCPIVDTWWQTETGGILISPIPFVTPTKPTYATLPLPGVQPVLMDDKRNEITGNQVTGNLCIRFPWPGIARTIWGDHQRYKETYFSAFPGKYFTGDGALRDEVGYYRITGRVDDVIIVSGHNLGTAPIEDSINLHPAVAESAIVGYPHDIKGNALYGYVILKETGENRDKDNLKKEINLLIADSIGPIAKLDKIQFVSALPKTRSGKIMRRILRKIAEGDFSNFGDISTLLNPEIVEEIKNERIE", "MLTITELSTEKIEKILEEAAEFANGKQAKIVGDCFCANMFFEDSTRTKTSFDMAERKLGLQVVPFEAMTSSVNKGESLYDTVKTMESIGVNLVVIRHKEDHYFDQLENIHIPIINGGDGKGNHPSQSMLDLLTIKQEFGSFEGLKVGIVGDVKHSRVANSNAQALRKLGAKVSFSGPSKWFDEGAIINGTYQPLDELIKNVDVLMLLRIQHERHDDKMSYTDAEYHKRYGLTLEREQTMKPDAIIMHPAPINRGVEIDDTLVECKRSRIFTQMRNGVFARMAILKSELEAKGYTFKTI" ]
[ "ATTATTTGTTTTAGAGATGTTTAATGATATTGATTTAATACTGTATTTTAACCGCAAAAGAAACAAAAGTTTTTTAGGAAAAAGTAGGTTTCAAAAAGCAGAAAAGCATCATCCTTATCCTTTTGTTTCTTTTGGGTTGTTATAAAATA", "GATGTCTCATTTATAAATTGTGATCGTGAATTAATTTTACTGGTAGTTCGT", "AATAACTCTCATTTTGTAGTACAAAGATATAATGACAGAAAAGCGAATGAATTGATGTAGGATAAGATGTGCAGGGTTTATATAGAGAAGAGGACGATAGCTATTTTCTATTAAATGGAAATCAATAGTATATTTGTCTC", "TAAGTATTAATAAATTTTCATTCCCTTTACAATATGAAGGGAATGAAAAATATAAGCATT", "AATATTTGGTGTTATTAAGGATATTGCTTTTTAAGAAGTTTCAAAAATTTAAATAAACCATTCTGAGTTTGTTTATTGCCATTATTCTTCAGGAAGTATATTTAAAACCTTAATGTTTC", "TTA", "GAAGATAATGTGTAATGCTTTAATAATGAGATAGGCCATTTTAGAATAATAAATTATTGGTTATAAATGATACAAACAC", "ATACTGCAAAGATAGAAAATACAGAGCAGTCCTGATAAATTAACAATTCTTTTATCTGTATTTTTTTCGGAATCGTTATATTTGTTTAGTTACACACGTAAAAACAAGTATTGG", "AATTTTAACATATTTTAGCATAGTATTTGTAATTGATAGCCTACAGTTATAAAATTAATATT", "GTAAAACAACTTACTATAAACAAAACCTTCGTTGAGAAACGAAGGTTTTTGCTTTATATCATAGCACACAGTGATGACGAAATATTAAGATATTTTAACTTTTAAAATATTTTTATATCTTCGTACGGTGAAATAAAATTTTAAACTATT", "ATTATATTTTAATATAGATTTAGTCCTCCGTTGAAAAGCGGAGGATTTTCTATATGATAAATATCACATACTAATATTATTAATTATTTTAATGTGTTTTAATATATTTGTATTAATCAAATTATATTAAAAAA", "TTTAAAATTAACCCTCCGCTGAAAAGTGGAGGGTTTTTGTTTGACTAAAGAAGGATTCTAAATTACAAAGTATCATAAAAGGATTAATTATATTTTATATCTTCGCGCGGTGAAATAATAATTTAAACATTG", "AATTAATACTATAAT", "GAAATATATTACAACAAATAGAAAAGCCTGCATTTGCAGGCTTTTT", "AATAATTATAAGGATGCAAAATTACAAAAATTAAAGCCTAATAGGAAGTAGATATTGGAATTGTAAATCATAAAAGATGCTAAATTATATGATGAAGGGATATCCAATATAAAACGGAGGTCTTATACAAGTAAGTGGGGTAAAAACGTGCAAACCAATGATAAAAAAACTAACCGGACTAGTACCTATCATAAAATCCGGATCCACATATTTAGATGAGAGTCCAGTACATTTGCCGACTTAAAAATTACTGTTATA", "GTTCTTTTTTATTACTTTTGCCGAATGGAATATATCGTACACAGAGTATTCCGTTTGATAAAATAAATTAGAGTAAAAAGGTCATTAATTCCGGTTATTAAGA", "AGAATGTGTAAAAAAATACAATAAAAAAGGAAACTAAATCATCATTTAGTTTCCTTTTTGTATAGAGAATCATTATCTTTGTCTGCGTTATAAAATTATTTT", "TTTTATTTTAATATAGACAAAAAGCTTCATCTTTATTTGATTGGATATAAAATATCGGATCAGATGCAGGATGAAGCTTTTTCTTTTATTAAATTTGCAGTTCAAAATTTTGTAATAAAAGCTGTATACAATATAGCAGCTAAATAATAAGAAAATAATAC", "GTATTCAGTTGTTAAAAAAATAGTATTTTTACAATCTGCAAAAGGATTATAATTATGAAATCTGTATCAATACAGTAGTCTTTCATAAGATTTAAGATTACAAGATTGAGCGCAGACTAACAGATAAAAATTTACAT", "AATAAAAAATCCGCTCGTGAGAGCGGATTTATTTTTTTAGATTTTACAA", "TTCTTTAATATTTGATAATTCAAAGATAGGGAAAATTTTTTCGGCTTTCCTCGT", "AATTTT", "AAATATTTT", "CAATAATA", "GTAATTTTAAATTTTCGTAAAGATAATAAACACTTAGGAATTATGGGTTATAAGTTGTAAGGTATTGATGTGTAAAGTTGTATTCTGTTTATCGTGTATATACGTGGTAATATCAGGTGTAAACTATCAACCAATAAAGCTAAACTAATAAGTATTTTCCCTATTTTTGCCAT", "AAATTAGAAGTTAGAAGGTAGAAGTTAGAATTGTGAAATAAACAATTCAGCGATTAACTGGTTTAACTTAAATTAGAAATACGAAGTAGGTATACTAAAAGAGCTTTTAACTTCGTATTTCTAATTTTTAACT", "AATTAAATTTTAAATTAAATAATATGAGTTGAACAGGAAAATAGACCTAAAAGAAAAAATTTAGGAGCATTTTTCTACTTCAAATCGCGAAAGTATTTACCGAATTTTCAGGGATCTGACTCATGTCGAAGGACATACACAGTTGCGCGACAGTTCGTGAATTGCACACGATTCACCTTTTTGAAAATTCGAATGCAAAAATAAGAATATTAAAATAAAAACGGAAGTATTTTACGAATATTAAATT", "TTGTAAAAAATTTCATTAAAAGTACTGAACTTTTAGCTTTTTTGCAAGAAAAAAATGGCTTTTCTGTAGAGAGAGTATTGCATAAAACTCCTGTGTAAAGGTATTTTAGAATATTCACTTTTTAAATAAAACGTTATATAAATAGATATAATTTATAGATTGGAATGCAAGTTAGGAAAAGATAAACAGGGATTATTTGCTTTGTGTTTTTCATTTTGAATATATTTAGGTTTTTAAACTAAGTTGAAAGCAACTTTAAATTTTGAATATTCA", "TCTGTATTTGACATAAAAAAAGAAACTCCTGATATCAGGAGTTTCTTATTACATAAACATCATAGGTTTTCGGAAATCTATGATGTTTTTTATTTAGAGTTTTTTACTGAAGATTTATTCTATAAGCCGCAAAAGAAATAAAAAACCAATGCGAGAATTTCAAAAAATAAAATGAGAATAGTTCTCTGTCTTTTAGAATGAATCTTTGCTGTCTTTTTGGGTTTAGTAAACTGAATTTAGAC", "CGTAGTTCAGATTTATGCCAAAAATAAAAGTTTCCCTTGGGAAACTTTTATTTTAGTTGTGAAAAGATAT", "CATCGTAAAATTTGGGATTATTTTAATTGTATTGGTATAGACAATTTGCGTACCAAAAATTATAATATTTCTTTTTTTACTAAGAGTTAATATTAAAAATTTATTCTGACTGAACCCCTGAATAAGGTTTTATATTTGTTTAAATCAGGATTTACGATTGAATATTTTTAATTAAACTGCACATATACAGTGGGTAATGGGTATTGTGTGAATTCAGTTTCATGTATTATAATATCTAAATGATATAATATAAAATAAATACTAAAAAATAATTATTATTAATTTTAATTTAAAAATAATGAAGAGGTATAAAATGAAAAGACCAGAATTAAATTCTGGTCTTTGCTATAATAATTAAC", "TTTGCAAAGGTACTCAATAAAATTGCAGCAATATGCTTAAAATAGGCTTAATATTATAAATAACACCGATAGATAAAGGCATAAAAAAACTGTTGCTTAATAAGAGTTAAACAACAGTTTTTATGTTTAATAATAAATAATCCTTA", "AAATCGGCTATAGGTA", "AAGATCAATTTGTGTATACAAAAATAAATAAACTCATTAGATTCTTTATTATGTTTTAGATAATAATTAAATTTGCTTCTTTAAAATATTGAAACAATAATT", "AGTTTTTATAAAAAAATCACACTATGAAAATAGTGTGATTGTATATATAATTGTATATAACTGATGTATA", "CAGTTTTTAATATATAAAAAGTAAATTATTAATAGTTGGCAAAGGTAAGAATTAAATATATATAATAGTGAAAACAAAATTATTATTAACTTTGCAGAGCTTATTTTTGACGT", "AAAATAAGCAGATCAGCTTTGTCTATTGGGGCGATAGGCTGATGAAATAAAATTGAAGTAAACATTAACAAATGTTAAATTAATTTGTTACTTTTGCTTCTAGGTTAGAATTATAAACTTCGAAA", "TATTCGTCTTTTAACGACTGCCTACATTAGTTCTAACACAAGCAGGTAAACTCAACGCAAACAATTTGCCGAGCGAATGTTTACTGAATGGCGTGCCGGATTTATCCGGATTACAGACAGTAAAAATCAGCTCAAATCGTGTAGATTCGGAGTTTACTCTAATCAATAGAGCTGATGTGGGCTTTTTTTATGGTGTAAAAAACAGAAACAAAAAGGAAATAAAAAAAGAAAATTAAACTATATAT", "GAGACTGAGTCTATTCTAAAATAATAAATATAAAGCACCGTTATTGCGGTGCTTTTTTGCGTTTAAATGACTATATTCGCTAAAAAGAGTATAT", "TTTTCAGATATAAATATATAATCAGTATAAAATCCAAATAAAG", "GTGCTGTAGGGGAGATTGATCATTGGAAAATTATTGTGAAAATAATCTGCTCTTGTTAATGTAGCTACAAAAGGATTATGGATCTGGTATAAAAAACCATGTAAAGGTTCCGAGCCTTGACATTATGTTTATTTATTAAACCTCATAGGTTTCCAAAACCTATGAGGTTTGTCTTAGAATAATTCATCATTATAAATATTCTTTAACTACGATAAGAATAAAAAAGATCAGCCAATATTTGTAAAAGTTCTGTAAAGGTTGGAACTCCTAACATTCGAGGAGTAATTTTGACGATATTATTCGGTTTTGTTTAAATTCATTATCTTTAGTCTGGAAAACTAAAATT", "TTGTATAATATATTAAACCCCGTCAAAAACAGGGTTTGTCTTTTATCTACAGAGCTAAAAGTACTTTT", "GTGGTAATTTTAAATGATTTTTATTTAAAAACAATGGCTAATTGGCAAAGGTTTTTATTCAGAACAAAATTAAAATTTACGTAG", "ATCTGCTGTTTTTACAAATGTATATATTTTTTCAGTATAGATATAGTATAGAGATACTTACGAATTAAATTTGTTGAATTCCAACTGCCAAAACTTTTCCTTAAATTTGTATTCCTAAAAATTGTAGACACGGA", "AGTAATTTAGAGATAAAAAATAGCCTGTCAATATCTTGACAGGCTATTT", "GATCAAATATTAACAGATTGAATAGTATCGGGTGGAAGCACAGGATATATACTTCGTAAAGAAACTTTACAAAGAAAAATAAATTTTCACATATAAGCTATATGTTCTGCAAGGATATTAAAGGCAGGTTAAACGCATTAAAATTTCATGAACATTATAAATGATTGATTAATAAACTACCTCGGGGCAGAGCCACCGAGGTATTAC", "AAAACAAGGTTACGAAAAAAATCGAAGCAGAGCTTCGGGGAATTAAACCCTAAGAGATTAAATTATTACAAATACAAAAAGTTTATACAAATCGCTAAATTCAAAAAAAATAATTTTATAACAATCAGATTACTAGCATCTTATTATTTTAATGCGTTTGACCTGTATTAAAGGGAATTGCTAAATGTCTTAGAGAAATAATTTGTATTTTTAAAAGATGTCATGTTGATTTCTATGACAAAGTGTAATACTGTTGGTTTTTAAGGCAGTTCCTGTATTAATTATA", "GATCATTAGTTTTTAGTAAAAATAGATTTTTTATAAGAAACATTAATGACGGAAGCTAAATAAAAAATCCTTGTCGGATTTTAAATTACGACAAGGATTATAAATATAAAAATGTGAATTTT", "GATACAAAAATAGTTCTTTTTAGTTTACAGTTGGCTGATTAGAGTTGTTAGTCATTAGTAATGGGTAATAAGTAATGACTCAT", "GAGATTGGTTAATTTTAAAATTAGTTATTT", "AACTCTAAGTACGATATTAGAAGTCAGAAGTTATAACATTATTAATAATATATAGACTTTGAATTGGGTACTTCATAATGAAAACAAATATGTTCTAAAAAAAATAAAGCCTTATCAGAATTAAATTCTGATAAGGCTTTTTAAATATTGATGTTGTAT", "GTGATTTTATATTTATGAAGTCTATGGTTATTTTGAATAAAGGTAAATAAAATATATATATGCGTGTTCAGATTTTAAAAAATAGGCAAGTATATCTCAGAAAATGATAAATATCCTGAAAAAAATAATGCTATTAAATAAAGCAATTTCTTAATTTTGTCTATTAAACTAATACGTA", "TTTTTTCCAAAACTTTTATCAAGAATTTGAAAATAAAAACGAATTCTGTTATATTTGTTAAATATTTCTTAAA", "GTAAATTGCGTTAAACTAAAAACGATAACGC", "AACTTTGCAGATTATAAA", "AAATCGAAAGGTCAGAAGAATTCTTCTGACCTTTCTTTCACCAAATAAATAAAACATTATATTATAAACTAACTGAAAAAAGCAGCTTATAGATATAGTATGTTG", "TTGCTTGATT", "TGATTTCAGTTTTAATTGCTTCTATTTAATAAAAAAATCCGCCGAAAATATCGGTGGATTGCTTATATATCTGTTTTTCTGACAACT", "TTTTATTTTGTTATAGATTTGTTTTCAGAATAATTTCTGATGCAAATATAAATATCTTTTTTTAATATCCTAGTAATTTGATAGAATTTATTATTTTAATTTTTATTCATATTTTTGAAACCAACAGAACAAAATTATTCAATGACATGCTTGAACAATTTTTAGAAGCTAATATAAATAAGCAATAGATGCCTTTTATTTTACATACTTAGTTAGAGTTTAAATTTTACAAACCAAGCGTTAAACTTTGTTAATCTGTTTTGGTTATTTATAAATCTAAATGTAAATTTGCAGCTTTAAA", "ACTTTCTTTTTCGATCGACTTTTGTTCTTTCCAAAAGAGCAGAAAGTATTTTATAATGTGTTTTGGTAATGAACACTTTATAATATTCTTTATTACCATTTTATTTCCGTTTAAAACATTAACGATTTATACAAGCTCTGAAAATT", "CAGCTCTAAAATGAAGTAGT", "ATTTAATAATTATTTATTTAAACCTTATAGGTTTGCAAGAACCCCGGTATTAATCGGGGTTTTGTTGTGTTTTAGATTGAAATTGATT", "AAATTAAAATTAAATTTTCCGAAATTATGAAATCACTGATTATTACCTGATTACGGGTGTGTTAAGTTTTCAATATATTTATATGAAATGCTTTTCATGGTAAAAAAGGGAGGAAAAAAAATGAAAAAACGTATCTTTGCGTTTTGAAACTT", "TAAAGAATCTAAATAAAAAAGTAAA", "AATAAAATTTGAAATTTGAGATTTGAAATCTCGAATTTCAAATCTCAAACTTCAAATTTTTAGA", "TCGTTGTCTATTTCCGTTTACATTACGATTTAAAAATATATAT", "TTTTTTCTATTAAATCTTATAATAAAAAAGCTGCCCAGGCAGCTTTT", "TTTATTATTATCAATTGTTTGTTGTAAAGGTTATTTATGGCTTGCAATC", "TTATA", "ACTACAAAAATAATATTTTTACGTTCATTTCAGACTTTATAGACATAAAAAAACTACCCAAAAAGGGTAGTTTTATTTCTTTATTGTTTTGTT", "TCTGTGTGTTATATATTAGT", "TATGATATAATCTTTAAAAGTTTGTGCAAATATCGGGAAAACGATTTTGTGTTTTCAGGGGTGAATATTAATTTTTGTTAACATAAAAAACACTGCCGTTTGGCAGTGTTTTAAATATTTAGAATAAAGTAAGTTAGA", "TAATTTCTTAAATATTTTGCAAAGATACGATTTTTAACTTAAACATTTTTTTATAAATACAAAAGCACATCATTTAACTAAGATGTGCTGAGCGAATTACCTTATTTTTAAGA", "GTCTCTTTAAATTGTTATAACAAAGCTATATAAAATATAGGAATTAAAGAACAACTATTTTATCCAATAATTAAGATATGAGCTTTTGGGTAATCTGATATTTAGTTACTTCCAATAGCTTTTCCTTCGGGGTTTATAAAGATTTCTTCTTAAAAATCAGATTTAAATTTGTCTTAAAAATGAATTAGAGCTAACTTTGCATGAATCTAAATTTATAGTAAAAT", "GAAAAAAAGAAATTGTATAATTATATAAAAGTTCCGGAAAATATTTTCCGGAACTTTTTTTGTTGAAAAATAATATGTATAAGTGTCTGGGAGGTTGATATTATTCTGAATTCAGGGTTGATGAATATGTGTTTTGAGAAAAAAGTTATTATTTGCTAAATACTTATTATATATTAATAATTTTTTGGAATAAATTTTGATTTGAATGATGTATATATGTTAATTTTTTTATTTAATCATCAAAACCACAAATCT", "ATTTTACCAGATAAAATTGCTATAATTATCCACAAAGAGTTTTTTTTGTGGATAATTTTTTTAAGGTATAAAGCCTTGTTTAACAAATATTTAACAAGATCACGTCTTTTGTGATAGGCATTGGCATTAAAAAAATTGTATTTTTGAATCTTAAATCTTGAAA", "GACTTTCGTTCCGTAAATACAAGCCACTAAAAGTGGTTAACGAAAAATAGACGA", "AATATTTGAATTAAATTATAATGAAACCTTACAGGTGATTTTATCTTAGGATAATTGCCCGTAAGGTTTCATCAGATCAATAAAAAAATAAAATTTATCTA", "CATTTACCCCGAAATTATAACTAATCATTTGATAAAATAAAGATTAATCAAATCAGAAACTG", "AAAGTT", "CTCAAAAAAAACATCTTCACACGAAGATGTTTTTTTTTGATTTCGGAATTTTTGGCACAATTTTTTATTACCTTTATATAAATAAACAATTT", "TCTACTTTAAAAATTAAAAAA", "TTAACCATTTTTAGTTATAATATAAAAAACCACTGCCCGGGCAGTGGTTTTTCTTTATGATTATTATTATCATTACTTTTGCATCAGTATATATTAA", "AACACCTCACAA", "TTTTAATATCTGAATCCAAGTCACCCGAAGGGGAATATTAACGTATATTATTATGTTTCTCCTTGCAATAGTGTATTAATGATAATGTAAAAACATAATAACAACGAGAGATCTAAA", "TATAGACATTCGGGTATAATAAATTGTGTAAATTTGTAGACTTAAATTAAACTGAAATAATAAGAATT", "AAAAAAGTATAACTGATAATGAACGCTTTCCCGATTGGGAGAGCGTTTTTTTTGAAAAATATTTGTTAGTATCGATTATTGTTGTATTTTTGCAACCACAATAATCGAAGAGCATACGTTTTTAAATATTGTCGAATTGATCCGGTAGTTCAGCTGGTTAGAATGCCGCCCTGTCACGGCGGAGGTCGCGGGTTCGAGTCCCGTCCGGATCGCAGAGGTTTTATCAATTTCCTTAAAAAATTGATCCGGTAGTTCAGTTGGTTAGAATGCCGCCCTGTCACGGCGGAGGTCGCGGGTTCGAGTCCCGTCCGGATCGCAAAGGTTTTATCAATTTCCTTAAAAAATTGATCCGGTAGTTCAGTTGGTTAGAATGCCGCCCTGTCACGGCGGAGGTCGCGGGTTCGAGTCCCGTCCGGATCGCAGAGGTTTTATCAATTTCCTTAAAAAATTGATCCGGTAGTTCAGTTGGTTAGAATGCCGCCCTGTCACGGCGGAGGTCGCGGGTTCGAGTCCCGTCCGGATCGCAAAAGGCTTACAGATATTCTGTAAGCCTTTTTTGTTTTGTATCAGTTTTAAAAAGGGTTAAAAAACACAATCTGAAGATGACCCTCGCTAGCGAGAGCATCTTGCTCGTTTTCAGAATTTCAGGGCTATTTTCTAAGAAATAGGCTCTAACACACAGGTAATAAACCCTTTACATCAGGAAAACAGAATGTAAATTAAAAATCTTTTGATCGTAGGCAGAGCTGTTATTATATAATAGCTCTGCCGAGGTTTTCTATTAAAATCTCATTATAAAATAGAACACTAAAAATTTAGTTGTATGCATTGTGTTTTTGCTTATATTTGATAACTAAATTTTTAGGTATATTGTGTTTTACAAAATTCCTGAAAAAAAGATGTTACAGAATCAAATTCAAAAAATTACCA", "GATAGATTTTTATTGAAAAAACAAGTCCATCAGGGTAGCAAAAGGTTTACAGATATTCTGTAAGCCTTTTTATTTGTATTAGGTTTATGTATTGTATTGATAAAAGTTACTATTCAATATGAGAAATTTTCTGATACTTTATAAAGCATTTTAGAAAGGTAGTAATGGTTTAGTATGAGTTTAAAAATACCAGCTTGTCCTTTTAATTTAACAATACTTATATTTTAAACAATTTAAATGTATAATTGAATATTTACTTTTATATTTTATGCATATATGATTATATTTTTGTTTTATTAATATTGTATATTTGAAAATATTCTTTTTTAATAAGAAAGAGGGCTTTTTACTGTCGATTTCATTATTTTTTTCTTTTAGGTTCAAAAATATTAGCTAGGTGATAAAAATGGGGAATTTTACTCCTTTTGAACAAAGTTTGGTGTTTTATTGTCTTTTTTCTGAATCCTTCCTATTCATGGGAATTTACGGCTGATGCTTTATTTCAAACTCAAAATTAAAGTTTTCAGAAAATCATAAATTATTATTCAATGAATAGTAATTTGATGAAAAAATAGATTAGAAGTTTGTATATCGTATACCTTATTGTAAATCAATAATTAGTGAGTTTTAATGTTAATGCCTCAGAGCTAAAAACTTATGTTTGTAATAGGTTTAAACTATTGTTAACATAGGATTGTTAATTTTTATTTCCTCATTTATAAAAGTTTTTATACTTTAGTTGCCACACCAAACACGATAC", "GTAATTAAAAAAATAAACAA", "GATATAAAAA", "AAACAAATATTGAAAA", "TTTGTGAAAAATTAAAAGACGAGTA", "TGAATTGGACAGTAGTAGAATTACTACAATTTGTAAATTTTCCCTAAAGGGGCAATTTACTCTGATAACAGAATATTATTTTTGATAATAACGAAAATTTTCTTTTAAAAGTATTGAAATT", "GAACAACACCAAACCGTTGAAATCATAATTTTCAATGGTTATATTTCACAAGATATGAACTTAAACTTTTGCAAATTATTAGCTTGCGTAACTTTACTT", "AATATAGCAAAACTCGATTAAATGTTATAATTTAATGGAGTTTTATGTTTATTAAGAATAGCA", "AAACTTACACACCACACAA", "AATATAAACTGACATAAAACGAGGTGATTT", "CTCAGATAAAAGTGTTACATTTACTATGTATATAAAAGAAAATTATTGTTTATT", "CAGCACCAA", "AATAATAAAGAGAGAATTACGTTCTTCCGTTTTAGAAAAACTTTTAATAAAAC", "TTTTTCATCAAATCAAATAATATAGTT", "AAAATAAAGATTATAATACTGAAGAGGGATTTCATAGTAAAACATGGGGTTCCTCTCTTTTTTCCACTTTAAGAAATATCTTATGTTTTTATTTCTTTCCGGAAATCGCACCACTTCAAATCAATAAAATATAT", "AAAAAGCGGAACCTTGGTTCCGCTGATTTTTGTTTTTCCTTAAGAAAATC", "AATTTCTAGTATTTAATAGTTAAAGATTGATATTTTGATTTCAGTGTTATACACAACTTTTATTTCTGATAAGTCAAAGATATAAGGCTTTTATCATTTGAAAATCAATAAATTCAGAAAACATTGAAATTGTAGTAATTCTACGATTTTATATGATTTTCAAAAAAAGTACATTTGTTTAGTACATTGGAGAAGTATATTTTGTAGATAACGAAATTGTATATTCTTCAATAGAAAAATAAAAATATAAACCCCTCAAATCCCAATATGT", "GA", "ATTTAAAATCATTATATTTTTATTGGGAATTCTCCCGCTTATTAACTGTCAGAAA", "TTGTAAATAAGCCTATTGTTTTTAA", "TAAATTTTATAGAACACTAAAAACACCAAAAT", "TATTAAGAAACTTGACAG", "CAATTATATGAAAATGTATAAATATTTAATTTTAATTATCAGTTTAATGAGCCTGCAAAGTTGTGGGCAAAACACCAAAACT", "ATGTATTTAATTCATTATTACAAAAACCCACAATATTGTGGGTTTTTGTAATAAATATGCTGTGCTTAATATGTTTTTAATTTCTAATTATGATTAATTAT", "ATTGTTAATCGATAAAAGAAACGGTTTATGAAGATAATCAGTTTCTTTTTGACTATTTACGGGCAATCACTTTTGACTGCCCGTTTTTATGATGATCATCTTTACTGAATAAACATTCAGATAAAAAGATTATTAC", "AG", "AATGCAAATATACTTACCTACTAGTAAGTAGGCAAATTTTTATTGAAATATTTAAAGTTTAATGACTTGTATTTCGGGAAGTTATTACTAACAACGGGAAAAAAATGAATATAAAAAGGGCACTAAATCCATATTTAGTACCCCTCTTAATAATATTTAAGAAATATTTAAAGTT", "AGCAGATATGTGTTAATACCAAATATATAGAATTTTATTA", "GGTAGACTGTTATTAGTTTTGTTTTTATGGAATATAAAGATAAAAAATAGAAGGAAGAGTGTATCGATAAAAGTATTCATTAATTTATGGTGATTTTTATACAATTTAAAAAGATTAAAATATTCTCAATAAATTATATTCACATATAAATACAATTTGTTATCATTGTAACTATAATTATCATAATATAATAGAGATTCAGGGA", "ATGGTTTTATTTACACCATCATTGTATCTTTATTCAGTTTTATAAATTCAGATTT", "AACATTTGATTAAGCTGAATTTTGCATTATCATAAATAAAATATCTTTATTAAGAATAGAAACATAATACAGATACAATTGGTGTAATGCTATTTTTTATGTGTTTATTATTTGTTTTTTCTTTATAATTGCTTTCGGATATTTTGAGTTAATAACTCTGATTATTCCGCGATACACACAATGATTT", "AGACACATTTTAAGAGATATTTTGCCAGATCAGATTGCTCTTCTTAATCTGAAATCATAGGATTATTTTGATCCCTGATGTAGAATTTCAGAAAATAACTACCCATTTTAATAAAACACACCTTGATTAAGTTGTTTTTTGCAATGTGTTTATTTTTAGCGTTTTGTATTTTTGTTTAGGTTCGTTTTTCTGAAAATGAACCTGTTTTTTTGTTGTTATTTCCTTTATTGTATGTTCCTTTTCTTTTAGGTTGATACATGAATTTTCATATTTGTGATAAATTTTTACGACAACAGTTCCTCATTCACATGTACAGGAATTTATTAATAGACAAATAAAAATTTTAAGACACAAACAAAG", "CCTTATTTTATCATTAGAACTTGCTTACAGAGCAGTAATGATAATTCCCATGCTCTAAAAATATTTCATCTAAAAAAACAAACAAAT", "TTATAAGCTGTATGACTTTGAAAACAAAGATATAGCTCTTCATAAGAACAATCTCATCATTTGTTTGTAAAACTGATATGTTTTACCGGTAGTTCCAAGAGCTGCCGGTTTTATTTATTTGCTTTTAGAAATATAA", "ATTACAAATATATCTGTATCCATTTAAATTTCAAAAATTGAGACTGTATTTATTTTTTTGAACCTCATAATTTTGTTTTCAATAAAAATAGCAAG", "AATTTCAGTTTTTTTTAGACTGGGGCTCTTTTGTCTGAT", "TGATTTT", "AAGTGTTTTTCCTTTTTTGCAGGCCAAATATACGGAATAAATGCTTAAA", "AGTTTTAATTTATATTATGTAAATATACATAATACTATGTTATGCAAGATAATGTATTTATAAAATTGTCTGAATAAATACCTGATTTATTATTCCAATACTATTTTCATTTAGATTATACTTAAAAGATT", "TATTTCATTCTCAATATTTTGTTTTTGAGTGGAATCAGAAATGTATTTGTACTCATAAATAAATTGTTGATTCTGACTGAAAAATATATTGCTAATAAGAAGTACTAAAAGTAAAATGCATTTGTTCATTTTAGTATTTCTATAGACCATATTAATTAAGACTTGAAAAGAATAGATAATGTATTGAACTAATACTTGTTTATTTGCATTTCGTTTAATAGATATTTGTGGTGATTTGAATTTCATTGTAAAATGGTTAAGTTTTTTTATTGATTTTTAGTTTTTAATAAAATTAAGGAAAAAATAAATTAAATGCTTTTGATAATATTCATTTTATGCTATCACCTTATTTGTAAAATAGTTTCAGAAATAATTTATAATATCCGGGTTTATATACCGATTTACAGTTGGTTTAATAATAAAAGCCGGTAAAAGAATATTTACCGGCTCTTTCTATTTATTATGAAAATGTGTTATGTTA", "AATTTCTTAGTGTTTACTTTTATGACCACAAATTTATAATACTACTGTGACAACCCTTTGTCAGCAGTAATTTAGTTCTGCAAGTAATAAAAAACGGACAGCCTGAAAGGCTGCCCGATAAAACTGTTGTATGAGACAGTTTTTACACATAAATGAATGATAATATTACGATGAAATAATATTCAGGTTAGATTTATAATACTATATCTATGCTTAATGTCA", "TTAAATTGTTTGTGTTTTGTTAGCTTAATTTACTGGT", "TTGTAATCTTCTTTATTTGTTTGTGTTTTATCTAAATCTCCAACATGTTGGAACTGTGATCCAATTGTATATATAAATTATAATAACCAGCATTCTGCACACTATACATGTGTGATGTGAGGTTTAATTTATTACGCTCTCAGTGATTTGGATTTCGGGTCTTATTTTGAGCAAAAAGCTTGTGCTGTGTAAAAAAAAATTACATCAACTTTTTATTCAAAAATCTAAATAGTACTTAGGTAAACAGAAAGGCTACCCCTAATTGTGATTAATAAGTTTCTCATCATTGTGTGTTGTTTGTTTGTGTTTTTGTATTCGTAAACATCCTATAAAAGAGGATGTTGTATTATTACGATGACAAATATAGAGTTTTTAATTTTATTAAGAGTACTGTATGCCAATCATTTATGTCAATTATGATAATTTTAACAATCTATTTAGTTTTTTTTAGCACTGGTTTTCTTAGTATTCATAGGGGTTGTGTCGTGTTTTATGTATGTGGTAAAAAGTGAAATATTTTTTTGCCTGTATTTTTTTCTGCCCCGGTTTTTGATGAAATTTAAAATTATTTGATCAATTTTGTCAGTGCATTTTTAACTTTAAATAGTATAAAGTTTTTCTTTTTTTATAAATCATTATGAAATTTAAATTAATTATTTTGACATTGTTGTTTTGTTGGCCGGCAATATTTTTCGCCCAGTCTAAAAAACACTATATCATCTTTTTACATAATAAGTTT", "TTTATAATT", "ATTACAGTATGATTTTAACGAAAAATAAAGCAGACTTAATAAAGCCTGCTTTACGAAGATACAGTAAATAATGTAATATTTTGAAAATCTTTTTATTATGGTTTG", "GATATCATTCTG", "TGTCTCT", "CCTCACTTTTTATAAAGGCAAAAGTATCGGTTAGAGGCTGAAACCCGATGAACAGGGCGTGAACTGTCTATTAAGGAACTGTAAATGGAGGAGGAGTAAAATGAATTTTTTGTTAAGTTTTTTAATCGGTTATCAGGGATAAAGAAGATCCGGGGAAATTAACAAGTATGAATTAAAGTGGCTGATAACCTGTCGCTTATTCGTGAAATAAGTTTTGTTTTATTTAATTTAAAAGCACTAAAGAGCTAGATAAGTTTTATAATAAAAAGCTCTACATTTATGTAGAGCTTTTTAAATTTATA", "AATAATAAGATTTGTTTATTCAAATGTAA", "TTTGCTAATATCTTAAA", "TGTCTTTGTGTTTTAGTTAGAGTTTATGCTATACAGGCAGACGAAAAATCTGCCTGTAAGTTTTAGAAAAGAGATAAG", "ATAAATTGTGTTTTAAATGTTACAAAGCAAAATTGAACCAAATACTTATAAAATTTATTGTTGTAAAGTTCAGTTTTATATTGTCAGAAAGCCCATGTGCTTAGCGTTTATAATACCTGCGTATGACTTTCATCAGATAATGAATAAAAACAGATTTTGTATTTGTACCTTTGACTGACAAAAGTTGGTAA", "TTTTTACAACAAAATGCTTTTAAATCTAAAGCATTGGATTTTAGTTTATAATTTATCGAAAAATA", "CGAATCATAAAATACAACACT", "TCTTAGTTCAGACGTTAATCTATAAAAAAACACCACTGATTATAAGTGGTGTTTTTTATTGCT", "GTATTTTTTATGTTTTTC", "TATAGATATCCGTATAGGAACTTACGTTTTAGAGATATATGCGTTATACGGTGGTGCTAATTTCTGCATATTTTCTAAATATGAAAAGCACTTTATTTGTAGATTATCTATACAGCATTTAGATAATTTTTATAATTATGACATTTGATATAACCTTATAGATTCAAAGAACCTATAAGGCATATGTATTTAAATATTTTAGGATAAGTACCAAC", "GTTCTTTTTTTATTACAAAGCTATTGCCTGTAATATTCTGATGACATGGACAAATTCGTCTTTATCGTGTA", "GTCAGAAGCAGATAATTGTTTTATGAATGATTGATCCCTAAAGTTATCAATTTTTTTTAATCCTGTGCGATAATTGCACACTACGGATGAACTTAAGAATATTAG", "AGTGTTTAATTTTTAATCTAGTCTTCTTTATGGGATGCAATGTACACAATATTAATTAATTATATAGTGTTTAATTTTTATCTGAGTGTTATTTTTATCAATGTTGTTTAACTATAATTTGTCTTTTTATACTACACTAACCTACAACGTTATATTTTTTTGCAAAAATAGGATGCAGCAGATTTAGTTGTATATTATTTAATGTTCATATTATAAGCGATTTATGTTTGATGATGTTCATATTGGCTTAACATTTCTTGATTCGATAATTATCTTAAT", "TATTTATTTTACTGATTTCTAAGGTTAAAAAAGAATTAAAGACATAATATTCTATTTCAATAACGCAACATAGTTGCGTTATTGAAATGGAATGTATAGCTTTGCCCCCATATTAAAATATGATTATAC", "CAGACAAAAATTTATATAACACTAATATGGCCTTTACCAAATTAAGTAAAGGCCATTGTATTTAGTATTTAGTATTTAGTATTTAGGACTTTAGGCTTTATCAGATAATGCCATTATCTAAAAATTTTCAATTTTAGCCGTCTCTGTGAGATTAGTTTTTAATTTTTATTCAATAAACAATGAAGATTATAAGACTTATTACTTTAATTATCAGATAATTTTATTAATTTTCTATATACTAATTAACTAATAAC", "ATCCCGATAAAGAAGAGTGTTAAATATTTTTA", "TTTTTTGAATATTTAATCTGCAATAGTATTGCAATTGTAAAGATAAGCTTTATTTTTCACAGATAAATGAACATGGAGCAGTACTTACTTCTGAATTATTTCAGAAGCCG", "CTGA", "GG", "ACAGACAATTTCAAATTGTTGACTCAAAGATGAGATCCAATTCTGTATAGATTCTGAATTTTTGGATTTTAGACTGTTTTTTAATGAGAATATCACAACAAAAAACCGGCTGTTTCCAACCGGTTCTTTATCAACATTTCATTTTTCTATAAGA", "TTTACATTTTTTTAAATTGATGTTGTAAAACTCAGTAGACATTCTGAAGAGATCCTGAATTTTTTTTGATAAGTAAAAA", "GCTGTCATTTTATTCAAAAATAAAATTAAAATCTGAAGGAAAACTGAATTTATAAAAATATTCGGTATAACGGGTCGGATTTGTAAGAAACCTTTGTTGTTGTCTTAGCAAACCGATTTTTCTGTTTCTTTTAATCACGTCTGCGATACTTACAGGATTTTCATTACTTTTAGGCTCTGAATTTTCATT", "AAAAACACAGGAATATA", "TACTTTTCTTATTTTCTGAAATCAGATAATAGGGAAGTATAGGCAATTGATTTACCGGATTACTTCCTATTTTTCTAATATGATTGTTCCTTATGCAAAGCTCCTAATTATAAGTTGAGGGCACTAATATTATATTCTTATTGAATTGTACAATTCGGACATTTCAATGAAATGGGATATGATATACATCATGGGGGATTTTTTTATTTTAGTAAGATTTTATTAAGTAGAAAAACAACTATTCTGAAAAATAGACACAATCAACAATTAAACAGTTCACTTTTGAAAAAATGATCAGATAAAGTGAATTCGTTAAAAAGCTATGGATGTGTATTTTTTTGAATGTACAATAATTGTTAGATTTGAATGTTGGATTTGCTATGTAGCCAATAGAGGCTAATGAAGAAAATAAAGTGTGAAAAGATTAAGAA", "GACAAATGTCCTTATTTTGGCGTGATTACCGGTGTAGATTTACACAAAAAAGAATATGTTACGTCAAATTGCTCCCGAAGTGTTTCACATTTCACTG", "AGATAATGGGAATGTATGCATTCATAGCTGTTTATTTATATCGATAAATATTGATCATAACTTAAGTCATCCCGCCGATCAATATTAAATTTATTTTAATTTTAAAATATACTGTTTAGTCCCATTTATAAGTTTCGTAAATATGTCTT", "AAAGTCAAAGACCATCCTCAGTTAGTTTTATAAGCAGATTTTTATCTGC", "AATATATTTTTTGGCGGGCGAAAATTACAAAATTAAATTCCTTGCACCGTGAAATAAATGTA", "TGTATATCGTTTTTAAGTTTACAGGACAAAGTTGTAGAATCTGTTACTGATAAAATAGAATAAAAACGACACTAAGAAA", "TATAATAATTGGGTGGTTTTATTTTCTGTTA", "ATTGTAATTTGGTTAGGATATTTTCTAAAGTTCAGAAATTTCCAGTTGATATACAATATAATAATATAAGGGTTTAGTCTTATGTGTAATTAATTGTTGAGT", "GGGTCGCTGTTAATAGTAAAATAAAGAGGCTTAGTTTAAAGTAATTTTTGTAGCGGACTAAATTTAGTAATAATCTTATAAAAACCATAAAAAAGCCTTCCGTAATGGGAAGGCTCATCAATAATCTGATATAAAAAATGAAGTAAAGATCCAAAATGTGT", "ATGTTTATGTTTTGTACAAAAATCTGGACTTTACTGCAGATTGTATTTTACATATGATAAAAAATTAGACAGTCGGAATATTCCGACTGCCTATTAAAACTGTTAACAAACCAAATTTATTGTTATTATGATAAATTACTCCGCTTAAAATAAATTAACAGTTTTGTAGCAGAATAGCTTTTTAGGTATAATGTACCTTTATTTTTATACTGTGTT", "TTTCTAATTAATTAACGGACTGCAATATAAGAGTTAAATTTAATTATTGCAATATTGTTGCGTTAATTAATTGTAAACGAATATTAATTTTTATGCTGAACTATTGCTGAAAAACTCATATAGGAATGCCGATGTTCCTTTTGAAATTGAGTAAGAAGAAATGTAAGGATATAAAAGAACCCGGCTCATTTACAAGCCGGGTTAAAAGATTACCGTTTTGGATTAACCATTACTATG", "TTTTGACAAAGTTTTTATAAGGTTGAATTTACTTTTGTTACACAAAGTAACTAATAATAATTCATTTTTGTATCTATTAAGTGAAAATAGTGGTAACTTTGCTGTAACCAACAATAGTAACAAAGAGGTAACTT", "TACCGGATAAAAGTTGATTTTTAATATGTTGTGGTGTTTATTGTCTTTAGCAAAGTATTAAGTCCAAATGATAAAAAAAATAATAACTTTACTTTTTT", "GGATTACTACCTCGTACGATCCTAGGCTTTTATGCAATAATTCAACTGTACAAAGCAGCTCAAGGTGATACGAGAGTATATTTGCTTGTGGAGATAATACAAATATGTTTAGATCTGTATCAGCTGCTGTAGCGAGTGCATCTATGGCAGGTGGCTATGGTTGTCAAAGAATTTACTGATGAATTATTTTAATAAACAAAACCGTAACAAACAAGTTACGGTTTTTATATTCCTACTAATTAGTAAAAGGT", "TTTTGAGTTGTTTTAAATTACCCTACAAAGATGTGGACTAAAAAAAACAACGGATTTACCATATGGTAAAAAGAATCAGAA", "TAGAATAAAGATACTACTTCTTAGTAGATGGTAAAGATTAGATACAAAAATAAATCACTCCAAATAAATCACAAAAGTGTTTTATTGGAGTGAAAGGATACTAGTATTAAAAAGGCTACTTTGTACATAGTATTTCTTTTAGATAGGCTAATAGATTATGTTTTGGATCACGAGATGATAATCTTGCTGCTATAAAACCATCAGGACGCATTACACTCTTGTCTAAAACAAGTGGACTAAATAAATATAAATTAAAGAAAGGTTTTAAGCAACTCTATGGTATGTCTATGACAAGTTACTTGCAAAACTTAAGA", "ACTAAATTATCTGCCATTTGAATGAAATCTTTATAATTAAAGAAT", "TATATATTGTTTTTATGTTTATAAA", "CTTGTTATTTTATTTGTCAAAAATATATCGGATATTTGATTGCTAAAAACGATTAATTATGATTTAATAAATCATAAAAAGTGATATATAAAA", "TACTTATTTTGAGGCATGATTTTAATTAATAGTCTCTAGTATTAAATGGAAACAATAGAGCAATTTTATAAAAACAAATATGTACTATATCCTTAG", "AACTTAAAAATGAAATGACAAAATTAGCTTTTTTGATTGTGCAAAAATTTACCCGGTTACTAAGAGGTAACTAATTACCGGGTATATATTTACTGCAGCC", "AATATTGTGGATGCACAAGATCGTGCTTAAAATGATTTAATTATTATTTCCGGAATAAAAAATTGTGCAAATAACGGGATTTCATATTATTTATGAAAATCTGTTCTCTGAATGCAAATAAAAAGCCATGATTTCTGGTGACATTATATTTGTGAACATGTTTAATTCACCAGAAACGTTTCTTTATTATTAACAACAGATAGTTACTTACTGGTAACTGGTTACATTGGGGTAACAGGTGTATATAAGCAACCTTTTGTCATGTAACTTTGCAGAGTAATAATGAGAATTTAGAATACAGCATCTCATTATTTATTGTTAGAAATAAAAAAAACAGAATT", "GTAAAAACAACATGTAAATAGAAAAGAGAAGGTTTATAACCTTCTCTTTTTTTATTCAAGAATAAAAATAAATAAAAAGGATGTCGGCTTAACTATAGATTTTAAGGGGAAATAGTTGAATCTCTATTTCTCTCTTATGTGATATATGTGTATATTTGCAAAAGCTTTATGAATGAAAAAAAATGATTTTATCGGGCCTTTATAATAGTCCGGATAAATTATTCTTATACAAATGATATCCTGCGAATTTTGAAGTTTTAAATAGTGCTATTTAATTCCGGAATCCTTTTGATAAGTCCAATTTCTTCCTAATCAAAGCAATGATTTATAAAATAAACAATTAAAATAT", "TGTCCCGATAGAATATTATTTATCTGAAAAGATATGTGGTTGTTAATTCCTTTTGGAGGAAATAATCGTTATATCTGACTTTTTATTTAAATATTGATGATAATTTTGAATGTAATTTTATTAATAAATATACATAAAAATAAGGTGATTTATAATTAAATTGCTGTATCATATTAAAATATAAAAGTAAAGTTTAAAAAA", "ATATAATTAGAAAAAGAGATTGTCACAAATGACAGTCTTTTTTTTGACCTTTTTATGGTATTGTTAAACGCAATTGTGCAGTTTTAATTGCTTTCTATATACGCAACCTGCTATTCAGCATTAATTTAAGTTACTTTAAACCTAAAAATTGCTCTTTTGGGTTAATTTTCTATAATCAAGTATTAAAAAAAACGAAATTTGAATCGATGAAATGAATACTATTTAGCAAAAAAATAGTGATAATGTGAACTTTTAAACTTATCTTATATAGGTTATTAATTTTTTTAACTTTCGCTTGTTCATATGTATCACTATCATTTTCGGAAGAGTCGGTAATTTATTATCGGCTCTTTTTTATTTTTAAATAAGAGGCTATAAAGCGATCTGAAAAATGGAAAAGCCCTTTGTTGATTCATGTGTAATAATAGTTAACTCATACATTATAAATTGTCACTGAGGTTGAATTTTTCCCATAGGCATTTAAAAATCCCGAGATTTGGATAAAGAAAATAAGTATTAATCCAAAACAGCTATTTAAATGAAGTAACAAAAAACACTAGATTTTATATATCATATTCCTAATTCATTTAATTTGCGGTAGTATTTAATTACTACCCTTTTCTTTCGCAAAGGCCAGTACAGAGTATTGGCCTTTGTAATGTTTTAAAATAAAGAAAATATCCCTAGTTAATACGGTACATAAATAACGCCCAGATATAATAGTCTGGGCGTTAATATTCCTCAATACAGTCTAACTT", "AACATAAGATTGTTTTAAAATTTGCATAATAGATTTC", "ATGATGGGATAAATGCTTAGTTGTATACATGCCTGTTGGGAGGTTGAAATTCGTGGAATGA", "ATACATATAGCTTTTAAGTATTGATTTTAGATCTCAAAGCCAAAAGTAGAGGTTAGCTATATGCTGGTATGTTATTTATAACTAAAGTGACAAAAGTAGTGTCTGAATAAACTGTTTTGATAAGTTGAAAAGTTTAATATGCTATGGAAAAACTACATTGTGGTGGAAAAGTAATGATTATTAGAAATATAAAATAGTAGCTTAAAGTCAATTAACACTAGAG", "TTTGTTTAGTGGTATTAAAACACTGCATGATAAATATTAATCACAAAATCCTTTATACAAAGCTGCTTAGTTACATAAATCCTATATTGATATGATCTTTAGTAAAAGGCCTGGCACAATGTGTTATATATAGAAAGAATAATTATCCTTAAAATCTATATCTAATATATCACTTATTATGTGAATATCATCAATATCTACACCGTGGCTATTGTCAATATGAGATAGGTCTTTTGGTGGAAGATTAAAGTCATTGTAAAAACTTGTATTCTTGGCTGATATAATTGCTTCTTTTTTTATCCTTTGCAATAGCTAGATGCTTACAATGAAATTCAGAAAACTGATTGTTTTCAGACTCAGGCCAGAACCTAATTAACCGGGGAATTAATTCTTTTATGTTTTTAGAGTACCAAAGTCAGCATCATGCTGTTCTGTATTTCTGTTCTTTGGCGTTGCACCTAGTAAAAGCATAAATAGTTTTGTTTGATTTTTGATCTTTTTAAAATGTTCTCCATCTGTAAATAAAATACTATACGATGGAGAACGTATATAAAAT", "ACTGCAAACCTATTAATTTGAGGTCTGTCCGCTGATTTTAAATACCTGGAGTGGCATAAATCCTTTACGAATAAACATTATGTTCTGTTGAATTGTATGATTAGAAATCAAAGCAGAATTAAGGACTTTTCTTATATTTTTCTGTAGTAAGTTAGTATCTTTAGCTCTCGTTATTTTACGGTTTCTGGTATAAAAGTATCTTCGAAATTAAGGTGGAAATATGCATGTGTATAAAGTTACAAATCTGTATAAGTTTATATTGACCGTAAGTGTTAATCTACTCTTTATATCTTCATTTTTACTTTTGACACCAAATTCGGAGTATGAATCTATTATTGATTATAAAAACCCAGGCCAGTTTGTCTCTGATATTATCTGGTTTATTGTTGTATCCTATTTTATAACCTCAATTGTACTTTTTATTTCTGGATATTTCGACAGA", "TTA", "AATGACGTATTGATTCTGCAAAATTAGGTGAAGGTTTAGGCGGGTTTTTATTTAAAAAGACTGAATTAACAAAAGATACTATTAGAATAGCATTTTTAAAGAGCAAAATAACATAACGCGTTAATAGATTGTTTTTTCTATTGCCTTAAAATTTGTACCAATATACTACAAAATTAACTTGAATTGCCCGGCCTGGATTTGAAAGCTTAAACAGGCCTTAACTGCACTACTGTAAATGATTTTTAATAATGCTTCGCAGATTTTATATTTATTATTTTGACTGTTATTTAGGGCAAAATAAGAGCTATTCTGCTTCCCTGTGAATAAGGGGGCTTTAAGATGATTTTTTTTATTAAGTTGTAATTTTGATGCCCAATATTTAACATGTTT", "AGAAAACAAACATTACAATT", "TTTCATTTTTTTTGGGAAATCTTCCAGTCTTGTAGGTCTTTTACGGGGGGATCCTTTATCATTTTTAAAAGGTGAGAATTAAGATCATAAATCTTTGATGGCCTTTTACTTTTTTGTGCTGAAGTCTTTTGGTCCTCCCCAAGAGAATCGTCGGGTAATCTGCATTGCATCATGAGTATAAGGCAGAAATATAAAATGATAAAAGAAAAGAACTTTTTCATATTTCAGATATAGATTATGGATAGAATCTTATTTTCTCAAATGTAATAATGAAACGAGAAAATAAAAAAACAGACATTGTCAGATTTTAAAAATTTTTACGTCCTTATTTGAAAATAAGGACAAGCTTTTTTTGTTGTAGTATATTCTTCTGGGTAAATTGATCACTTTGATAAACGATGAATTTTAAAATCAAATGGAGATGTAAATATGCCTGAGATTTACAGGGTAATAAATATATGATT", "AGGTTGTTTTCGTCTTAATTAAAACTTTGTAATTTTCTGAAAAAAGAT", "AAATAAAGAATAACCTGTTT", "AATAAATTCTGGTCATTTTTACTTCTACAAAGATAATATTAATTTGGCCGGAATCAGGTAAAATTTGACTATTTTGGATAAGGATAATTTCTGTAGAGAAAATAGCTTTGCAGTTAGATAATTCATAAGTTCTCA", "CAGC", "CCGGAAAATACCCTTTTTTAAAAGCTGGGCTCCCAATTGGTTTATAAAGCTCTTTCTTTTTTTT", "AACTTTTTTAGAATTATAGCGAATATTTCCAAAATGGTTACGAATATGAAAGAAATGTTATCAAATATTAATTCCCATAGTTGTGAAAGGGAATAATTCTTCAAATTTGAAATGTAAAAGAAATGTGAAATTTATATTCCTCATCTAGTTATATTCCTATTCACCAAATCCTTAATTTTAACTAGAAAAGCAGAGGGAGGCTATTAGTCTCCCTTTCTTTATATTATTTTTTGTGTAAATATTTACTACCAATTATATAAGGCCTTAAATTATCACAAGACTTACTTATCTTGGTCCAATTAAAATCAACAA", "AATTTTTGTTTCTTCAAATTGTTACTCTTTTACTTTTGAATGTACAGTTCTTTTTGAGAGGTGTATTAGCTAATGGTTTAGAAATAAATAATTTATAATGAGTGTTTTATGTTTGGGCTCTTAAGGGGCAATAAAATAAATTGGTAACTTTGAATAAGCAACAATCATAAAATACTAAAA", "AGATTTTACTATAAAACTAAAAAGCTCCTCAAAAAAAGAGGAGCTAATTTT", "AATGTGTGTTGTTTTTGGGAATTTCTTATACAAATATCGCATGTTTACATCTGGTTTACCTTGATATAGGATAAGAAATCTAATGACAAGCAACCAGAAATAAAAGGCTTAAAAAAAG", "ATTGACTGTTTTTATTGTCTGTTTATAATATAAAGTGCTGTACAAAGCCTTTTATAAAGGCAAAAGCCGTTGTTTTCTTTTTTAGAGTTATCACCTAAAATTAGGGAATTTTCCTGAAAGTTTCCTTTATATTTTATAAGTAAGTAGTTGTTAGGGAATGTAGGAAATTAGAATGTATATCCCTGTAAATAATGCAGACTTTTCCGTGTAAAAATAATCTAAATTTTACGTTAATAAAATCATAAAAACACTGTTTTAATTAAAGAAATAATAATAAATTGAAATGTATGGCATCGTATTTGTAATTTAATGGCTACTAAACACAATTTAATTAACATTTAAAAATACAAGTT", "ATTATTTTTAGTTTAATCACCACTTATACTGATAATACGCAAAGCCTTAGAATTCTAAGGCTTTGTTTTTATGAAAATCCAGATACTTCATGTATTTTTTTGAAAATATCATTAATTTTATTAGGGTCCATATCATTATAATCAAGTATTCTGGAAAGTATATCTTGTCTGCTAACTATTTTGTTAGTGGGTTGTAAATCAGAGGCTTTAAATCACAAAAATACATTTATGGGACATCACGGTGGTTACAACAAACATATTAGTTTTAATATTTCATCACAACATTATAGTAATAATGTCCAATGGCATAAAAAAGGATGTGCAAAGTTTTTTCTTATTTCCTTTATAGTTGGTGTAGGAGGATTATTATTGTACTTTATAAAATAGCCAGTTAAATATATAGATGGTTATTAAGCTGTATTTATGTTAGGGGCTTTGATGGATATTTAAAATTTTCATTTGCCTTTATCGGCAAGAAATCATACTTTTATATAGTTTAACTGTTTAGTTTTTTGCCGATAAGGATATGTGATATTATTCTGAATAATATCTTGATCTGAAATATTAATGAATTGAAAAGCTCTGAGGTTCATGACTATTATACCTCTTATCAAAATCTCCATATTTTTATCAAAAAAAGAAATTAAGGGCTGGTGAATATTATGGAATTGGATTCACATTGAATGAATAATTATGCATGTTTTTTAAGTATCTGATAATGGACCAAGACGAGCGTATCTTCAAACACTTTTATCGATGATTTGATTCGTTTAAACCAAATTAAGAAAGAATTACAAAATTTATTTTTAGAGGATAAGTTTCTTATTGGTTAAACTTTATGCAATATAATTTTCAGAATTTGAAAATCGAAGTTGACTTTTTTAGTCCTTATTTTACTTTGATTTTCTTCATCATCCAAAAATTAAAAGCTGAACTAATAATGAATTTTATTTGGATGAAATACAAAATTTGCAATCAAACCTAAATAATAAAATCTATTATTGAATTATAAAAAGCTCTATTTAATTCTGCTTACAACATTTAAATGTAAAATTGTTCAATACGGTAAACCGTAAATGATAAAACTAATAAAATCTTAATTTTATTATATTAAAATTACGCAATTTACTTAGGTTGTTGATGCTTTATCGTAATTAAAAAAAAATAAATTTATATTTATAAAAAAATAACC", "TTTCAGGAATTAGAAATGAATAGTATTGAGAAGATATTAGTGAAAAAT", "TTGTATGTGTAAAGTATCAATAGATCCGCTACAAAAATGACTTAAATTTATGGTATAAAGTTTAAAACCTTTATCTATGAATAAACAGCAACATCAAGTCAAGGCACGCAAAGATTGGCTTAAAATCTACTTAGAATCGAGATCTGCTACTAAAAACGATTTTATGCTGTGGAATTGCAAATCCTTTTGCAAAAACTAATTTTCTATATGAACT", "ATAAGATCTTGAAGCTTGATAATGTCAGCAATTTCGAAACGTAAACTTTTTTGCAAAAATAAACCTTCTACCATCTTTTAAACTATTGTCTATTGTTTTTTAGAGTATACATTTACAATACTACTGCTGAAACGCAAATTTAAGCTTTAAACTAATATAATTTGAAGTTAAAATGGATGTAAACCTAAAATAATTTATGCTTTA", "AGAGGATTTGGGTTTATGCTTCTAAAGTACTATTAAATACCGGTATGTTTTCGGAGTACCGAAATACTAAAGATAAAAATATCGATCAAACTATGTAAAAGAATATATAGACAAAGTAGAATAGCT", "ATAA", "GAAAATATTTACTGTTTAGTTCTATTATAAGATATAATTAAGTAGTCTTTAATTTATTATAAAA", "CAGCTTTA", "TGGTGGTTATTGAATCGTTAGTTTACCTTTTGGGTTATTTTATAAACAAAATGTATTTTGCTT", "AATGGTAAATTTTGGTATTTAAAATATTTTTCAACAACACATATATGTTGTTTGTATTATTTTTTTCCTTATATTTGTAGAATAAGTTATAATAAAAGTAACTTTGCGATACTTCAACGAATAAAATAGAAGCTATTGCTTTGAATCTCGTATCAGAAAACTGGTAATTTTTAGCACACGAGAAGATAGGCAGAAGGCTCACGACCTAGGCGTGGGCTCTCTTATCTGTGTGCATGGTATACCAGTACCTCTGATTCGGATATTGTAGAGTTCCATGCCGTTTTTCTTTTATGCTGTTTTTATTTCTCTTACAAACTTAAGCTGCTTCTGTAAACTATAGTATCAATGACTGGTACAATGGGTGTACAACCATTGCGGCTATTAAGCTTTCAAATAAGTATTTTTTTTCATAGTAATTTTTTTAAAGGATCAATCCGGGATGGAAGTATTTTTTCCATATCTACCTAAATCTTCCTCCCGGTGCGGTCTTATATATTAAAAACATCATTAAGAAACAGAAAGTACAATTCAATCAGAGACAATAAAAATGCCCTTCCTATCTATGATGCAATAAAGATAAGGAAGAGCAGGTATGTAACTTTTTTATTCAATTCAAAAAT", "AGGTAAGGAGATTTAAAATTTAAAAAAAGCTATT", "ATTAAAAGT", "TAAAAGGTACTAAAAAGGGTGGGATACTCCCACCCTCAATCATCTTAAGCTTATATGCTTAAGCCTTGCATATGG", "AATACGGTCTTTTTTTAATAAAAAAGATTACCTTAAAAACTTTTAATAACGGGTATTATGCATAAGAGAAAGAGTGAAGTTTATTATTAATTTTTCTATTTCTATTTTTCTCTGTAGGCTTAGTCCCGTTTTTGGGTTAATAAATATTTATAAATAAACGATGGGGTTTAAACAACTTTCGGTTGATTAATTCTAGGTTAAACTAAAAATAAGTGCTTTGTCATCCGTTTTTCCTGCTTTTGGCCAAAAAGCTCAGGTAAAGCTCAGTATATAAGTATGCATACATT", "ATCTAAAAATTATTTTAATTAATTGGAAATCAAATTTATATAGCTTTTAGGTGTGCAAAAAGAAGTAGTTGTCGCACTTTAAAAAACAGGACGTCCTGTTTTTTAAAAATTGACATGTTATTTATCTGATATTTTTAATGATTTTCCTTTAAATCATTCGGTACTACCGCTTTACTACCGAAGTATATTGTCATGCTTTTTCTTTTTTTGGGATAAGAACCCATTAAACAAAACATT", "TAGCCTTAAAAGTATATAAGTAGTCTAAAATTTAATATAATATCAAATACCTTAAAA", "CGTT", "ATTTTAAAACATATAATTACAATCTTGTGGATCATAGAGCCTGAATATTAGACAAACGTTTGAAACAAAGCTAAAGTAGCTAATAATAAAAACTACCGAAAATCGGTAGTTTTATTATTTTTTATTAAGGCTATTTA", "AAGTGATATTTTTTTCCGTGGCAAAAGTAGAAAGTGATGGAAGATGAAACTTGTCCCAAAAGGACAAGTTGACCTGGAGTAGAGGTGAGCTACCACTTTAATTGGGGAGAATCTAAGGGTATTTTGTTATCATTTTTAATAAAAAACCTCCATTATGATTCAGATCATACTATGACCATTTTATGCAGGCTAACTTTGGTACTGTTAATAATAAATATTATCACGGTGAAATTTTTATTACTTATAATCCTAATAACCTTAGGATTAATTCTTTTTCTACTATTTTAAGCATGCTGGATTTTCCACTTCCCTAAAATACCAATTTTAATAGGTAATACATCATTATCATTGATTACATCCACAGTTAAATAGGCATGAAATTTAAAAACAAGTAAATTATCAGAGGTTTTTATTATCTGGACACATAATTCTTTAGTATATAAATTG", "TTAATTGTCTTGATTTTTGAACTGTCGCTTTTATCTTAAATTTTGGAGTATTGAATTTTGGTGTTATTGAGGTAAATCTACTTTAATGGAAAGCCGCTTGCTCAAACATCAAATCATAATTCAGGGTAAATAGTTTAAATCTTGGTAAAGTAATTTTGCGTTTGTGATTTTATTTAATAAAAATGCATGAGGCAAATTATCCAGTAAATTCAATTAATAGGCATCTAAATGATCTTCATTTTCCACCTTACTAATAAAAGCATTATCCAACCCTATAGCTGCATCAACTTCACACAAATACTATCCCTTACTTTCTCTCAATTCTCTAATGTATTTTTCAAAAGCCATATTTGAATTACTTAGACTTGTCTACTTGATTCAAATTTAAGCAAAATAACGTAACAAATTATAAACAAGTAAGATAAATCAATTTTTATAAAAATGATTTTCCATTTTCTTAAATTAATGGAAAACCTTTTTCATGTCCTTTTCAACTTTTTCGTTGAATACCTAGTCGTAAATCTGTGTAGTGGCAATGTTTTTTACGCACCATCATTTTACTCAAACTTTCTAACGGAACACCCTTGCTTAAAAATAATGTAGTAAACGTATGGCGTGCCAAATGAAAGGTTACTTTCTTCATCAATAAGACTTGATTCTACACATAATCGGAACCAATCCGTTTTTCTTTAGGGTATTCTTTCTAAGGTAAAACAAAATTTTAAACATTGTTTTCAATAATCTGAATTGCAATACATTAAAGCGATTGTTTTTTGATCTTTAAAAGTAATGTTGTACTAACCTTATTTTTCTTAATCATTGCTGTTTTCTGCTTTTTTACTTCATTACCTAAAAATTATAAAACGGCTGTATAGCCTTTATTTAAAGCCATTTCACCTCATTTTATATTTAATGTTTTTTTATTAAAATTTACTTTATTCTGGCT", "TTTTTACTGAAAAGTATAAAGAGATATGGAGAGAAAATTATTCTGGTAGATCTTGGAATTAAATGGCTGAGTAAGACACCGATATAATAGTTATGTAAGATTATTCCCAATAGACAAGAGTCTGGAA", "AATAATCTGATTTTAGTTTACTGTTATATGTTAAGGGTAAAGTAACAGTTGATTTTGTAGAAGTCTCCTGTTTTTAATAGAGCCAAATATTGTCATTTAAGGCCAGATGCATCCCGTTTTTTCTCAATAGCAGCTCAAGGAGCTTTCTTTACTTTGAAAATCAATAAAATAGTAAACCTT", "AATTATAAGCATTTTTAAAGAGTACTTTAATTTGACGGGAGCTTATTGTAAGTTCCCGTTTTGTTTTTAATTGGGTATAGCTACTACTACTACTACTATTACTACCACTGAGAGCCAAAATCTTCCAAAGAAGTCCACTTTTATAATTTGTTAAAAAGCTATTTAGAATTTTATCGGGTAATCCTGCAGAGCGCAGGAATAAGCACTTAAAAATTCTTTAAC", "TTTTTAGTGTT", "GCTTTAAAAAACAACTATAAAAATATGACA", "TAATCATCAAATTTTTAGAA", "AATAAGTGAGCCTC", "TT", "TTTAATCCACCACAAATAAAATAAAT", "TAGTATAAACTTTAATGGTGTTATCCAAAATTTACTAAAATCAATTTTAAAACAATCGGTGAGAACATACGCTTTAAATGCAGAACGAATATTTCGAAATACAATACGAATATCAATGCTTTGTTTGTAAATGTTGATTCTAAGAGAGAAGGTTAATTCATATTACTCATTTTTGCAAAAAAAAAAATATAA", "TTAAGATAAATATTAGGGATTTAGTTTTTTTACAGAGATATGAGTTGATTGGAGCAATGATCCAAAAGACCTTATACGGAGTGAATAATTAAGTATATTTCTTTAATTAATTTTTGTAAAAAGGAAGAGGGCTTTTTAGCCCTCTTTATCTGTAGCGAATCATCTATAGAGAGTGAAATTATAAATTAAAAGATGATAAAGAAGATATTATGCTGCCTCAGTATCTGCCATATTAGTTTTGGTAAGTAGATTAAAGGTTACTTTAT", "TGTTATAATTTATAACAACAAATATAGTCGTTTTGTTTCAAAACGTAACTAATTTTGTCGA", "ATT", "TACAGATACAGTATTG", "ATTTTTTACTCAAGTGTGTCTGAAACATAATGCAAATACCGGACAAAACTAGTTTTATAAATGTTATAAAATGTTAATATTAAGTATTATAATAAAGGGAATAATGAAAAAA", "ATTTTGTTTTGGTAACAAAATACGTAAATATCTGTGGATAATTACGAGTGTGAAAATTAGTTTATCAGGTTTTATGTTAAAGATAAGTTTTTATTTTTTTTATATAAAATCCTATACTCGGACTTCTATTTTATATTAAATTTTGTTTTTGCTCTTTTATTTTGCGAATATGTAAGCGAAATGTAGTATATTTAACCGAAAATTAATTAAATTCTACCAAATC", "TACGAGATATTTATTTTTAAATAAAAGAAAACCTCCCAAAATGGGAGGTTTTATTCTTTAGAAAGATCTTTA", "AATTAATGTTTTTTTTTATTGATTTGACTATCAAAGTTATCATAAAATAAATCAACAAAAAATGATTGGTATTTAGTTTTAACTATGCACCATATTTAAAATATTCATATCATTTTATACTACCTTAACTTAACTCAGGCTTAGTATATGAGAAAT", "CT", "ATGCTGATGTTTTTTTGTGCCATCAAATATAATAAAAGCTAATGATTATTCATTTTTTGGAGAAATATTGTAACAGTCAACAAAAAAACCTTGTCCGTTTATATAACATGGACAAGGTTGATGTTTTATTTATAATGAAG", "CGGT", "AGTAGTTTATAATGTAATCTATTATGTTTGATCAGTCTTGGGGAAAACAGAATTGTTACAAAAAAAATGAAACTCAGGTGCAGTAAGGATAAAGGTAGGCTCTGGAAACTGCTTGTGGGTAAGAGTTATCAAGTATTTAATAAAAAACCTTACAAGCATTTAAAGCCTGTAAGGTTATCTGAATTAAAAATCTGTTGTATTGTCTTAAAAGATAAAGGCGAAATTGTAATTCGTTCCTATCATTTTTCTTTTTACAG", "CTTATTTGTTACTTATTGTTATATGTATAAAGTTAAGCAAAAAATGCTGATTATGAATAGTAAAAGCTCCATCATAATTAGAGAA", "TG", "TTTAGAGTATGTTTTTAATTAGTGATAAATATCTGCTATTTCAGATTGAAATATTATACTTAGAATTTAAGTAGATAGATTGATTGATGACTTGTAGTTGTATTACTTATGCAAGGCAAAATATTCTTACATCTTATGATGTAAGAAAGGCTTTGAACAAAATACAATTTGGGCTATACAGAGTGAGATTAGCCTATTTTAGGCGTAAGCCAGATAAAAAGAAATCCTTTAGAAATAGTGTATTCAGGATTAATGAAAGATGACTTGTTATAGTATATTGTTTTTTCAAAACTGAAGTTTTGAAAATCAGGAAGCAGGGTAGTAATACAGCATGTAAGGCATTTGCACTGTTCTGTACAATTTTGACGATTCTGCCTGGAATTACAGCCTTCACATCCACTGCAATATTCTTTTTCTGATAATTCAGTTTTATAACCAGACCCTGATATAGGAAGTATATTTTTACCACAGGCGGTAACCCACCCGGGAGTAAAGAGAAATCCTAACAGGATTATAAGTATGAATCGGTATTGCAACATATTAACAATAGCAAATTTAAAAATTTATTTTAATCCGATACTTTATTATTTTTTATTTATACAAAACAGAAGGATTTTCTATTTTGTAAGTGATTGTTTATTTATTTATTTATTTATTAGTTTTTTACTGGGT", "GTG", "GGCAGAAATAAATTTAATGGTATGTAAATATACTAATTATTTTTTAATTTAGAATATTATAGTACTTTATTGATTCGCATAAAGTATAAAAAGATACTAGGTCGGAATTAATGGATAACGGATAGAAGGAAGGGGGGATTCAGAAGCTGTCATAGAAATCAGGATGATAAAGTAAAATACTTTTTTGAATTTGAAAACTTATGGATATCAAAAAAGGAAAGCACTGCTTCCCTTTTTTGAATAATTGTTTAAATAAGTT", "ATTTTAATTAGTTTTCCCAAAAATAGGACTTTTTTATTTCTTAATCTTAGTGATGATTTGCTAATTTTAATACTATATAGTTTGTTGATTAGTAATTGTTTATGATTTATTTGTTTTTATTTTTTCATTATATTTGATTACTAATCTTTAAAATATTTAAT", "CTATATTTTAATGAAAATTATAGTAAATACTCTGAACGAGTATTTGTATAAAATGTCTGATGTAAAGAGGAGACTTTATTCACTGAGTTGAGTAGGTCTCTTTATTTATTGATATAATTTATCTTTATAAAGTATCATAGCATTTAGTAATTTAGTTTCAAAAGTATTTATTATAAACCA", "AGTTGTTTGAATTTATATCTGATAGTCAGGTATTTAATTTGTTCGGGTCAGTTGGTTCCGATTGATAAAACTGAGCATATACGTTGATGATGCACTCTACTTTTGTACCATAAAATTGGAGT", "AGTAAGAGTAATTTTATGTTCATTATAATCGAGGCTTACACAAATTTTACAATAAAAAAAATAGTGATATTGAGATCTTTTGATAAAGTTATTTGTACTTTTATTTATAAATTAAAAGTATTATAAACTTAAAAGAAATGTATTAGCAGGTAGGCATTTTAAACTTTAGTTACATTGTTTTTACAAAATCTTTTTATAGAAAATCGAATTAATCTAAA", "AAAATAAAAGCAGCTAAAAGAATTTCTTTTAGCTGTATTATA", "CAGTATTTCAGTTTGTTAAATGAATTATTAGTTTGGTTTCAAATATCTAAAATTATTTTATTATAAGCAATAGGCTTTTTGTGTAATAAGTTGATAATTTATTGCTTATATATGTTGTTATTTTTAATTATTTAAGTTGTGTATTTGTGATTTTGATAAAAATACAATATCTGAGTGTTATGTCTCAATGAAGAAATATGATATAAAAAAAGCCCTCGAAGAGGGCCTCTAATATTGTATTGATTTATTTT", "GTGATAATTCTGATTGAAATTAAATAGCCTTTATTTT", "TATAATATAAGATTAATTAACAGATTAATATATTGTATGAGCCGGAGATATTTGGTTAAATATACTTTCCGAATGACTGAAAAGAATGCTGCAGTCGTAATTTCATACAAAGATACAGTAAAAAAATGATGAGCTTATGTGTTTTTGCTAAAAATAATAAAGCTGCTCCGATATGGAACAGCTTTATTGAAAATAAATTTTTAAACCGTTAAAGATTAAAAATTCATTTTTTA", "ATATTGTTTTAGTTTATTTAGTTATGATCTGGGTTATG", "AATTATTGAATTATAGGTTAAAAAAAATACGGCAACTGAAAAAAAGCGAAAACTGAGAAAAAAATATAAATATTCTAAACTATTACAGAAATAGCATTGGCAAAAGCCCTGTTCATATTGTCATATGATTTTGCAAATATACGAAAAATATCTTAAATACAGCTATGTCTATTGGCTTAAAAAGTTGATTGAAAAGATTATAGCAAATATCTTATCAGATAATTATAAAACAAAGA", "TATTAATATATTTTGTTGTTTTAAAGATAATTAAAATGAACACTATATTTAGCTATCAACGAGTTAATTTCAATAGTTTAATGCAATAAATATACAATTAAATAAAAAACTCCTTCCCCGGATAGGGAAGAAGCTTCTATAATATATAAGTGAGTCTA", "ACATTGTTAAATTAGTGCTGTACGCCATCTACACAACGATAAAAAGAAAATAGAGCGAATGGCACCCAACTTAATAATCTAAATAGCAGCCCTATATAATCCAATAAAATCTAATTCCTGTTTTAGGATTGATTTGTCACAAAGTACGTTTAAAAAAAAAACTCTCGCAAGTATTTATGTTATAAATAGTAAAGCTTGTTTCTAAATAAGTGTTTAATATTTGAAACCCCTTGTTTTCTATAGGT", "AGTGGAGGTATTATCAGTTGAAATAATTGAATTG", "AATAAACATAATTTATACAGGATTAAATAAAAGCCCTGTCAAAGTTTTAAACCTTGACAGGGTTATACAACAATCTTTATAACTTGGAGTGCAAAACGTAGCAACGGGTATAGAGCCGTTGGTTTTAACAACAAAATTAAAATACCTTGATCCTACAACATAATAAAATGCCAAAGGTTTAGCAAGCGAGTGTCAAAAGCAAAAGTATTTTTGACCGCCGAGAAACCAAGACTTGATTTTTTGTAACTTTTGGATTAAGCCAAAAGTTGGAGAATAAAATCACTAAAAATTATAATGATTATTAGGTATCAACCAGATGTATTTAGAAAAGCCTTGTCAAGGTTTTAAACCTTGACAAGGCTGTATATGCT", "AAAATTGATTTGTACAAAGCTATGAACAGGACTTGCCTCAAAAACAGGACAAATGT", "TG", "GTAATGTTAATTAGGTTTGTTCGAAATTTAGTAGTTTCCCTTATAGAGGGGAAAAGCTTAACGAAGTTAGTCTTTTTATGGCGATTAACCGCAATGATTT", "AGAGGA", "TGTAAAAATTTTCACAAAGTTCTTACGACCTGCTTTCACAGGCAATGAACAAATGATAAGTAA", "AGTGTATTTGTGTTTTATATGTCCCTCCGGAAACTTTATGCAAAGATAGTCAATAAAAGAATGGTGAATAGTGAATGGTGAATGGTGAATGGTGAATGGTGAATATGGATGATAAGCACTAAATTATAAGCTATAAGCAGATAACTTAGTGCTCATTTATTTTAA", "TTTATAAAATTTAGTTTAATCATTTAAT", "AAAGATGCGATTTTATGTGAACACCTAATTTACCGCTAAAAAAGAAAAGACGGGTCAATATTATGATAGATTAACATGAATATTAACATTAAGATTGA", "CTAATAGCCCATTTAG", "ATGTTTAAAGTATGAAAATTAATGTAGCAATAGGTGAATAGGTGAATAGGTGAATTTGCTAATTTGTAAATTCGTGTAATCGTAAATTCGTTTATAGGATTAGACGATTACACGGTTAAACAGTTAAACAATTTTACAATTATACTATGTGAATGAGTGAATTCGTGCAATCGTAAATTCGTTAATTTGCTTTTACGATTAAACAGTTACACGATTCTGCGGTTAAATAATTTTACAGTTTTACGGTTCCACGATTAAACAATTCTGCGGTTTCACAATTAGCTGACAACCAACAACTCATTGC", "AAAAGTGTGTTATGTTAATTTAGTTTTTCGGAGCTAAAATAGTTATAAAAAACTCAAACCAGAAATATAATCACAGGAAAGTGATATAGGTGGGGGGATTCGTGTAACCGTTAAATCGTTAATTTGCTTATACAGTTTTACAGTTACACGATTGGACAAATAGTCAATGGGTGAATTCGTAAAATTGTGAATTTGTTTGTAAGACTAGTTAATTTCACGGTTACACGATTAAACAATTCCGCGGTTTCACAATTAACTAACAACTGACAACTAGAAACTAATCAT", "GCGCTGAATTAGTGAAGAACAAAAGTAAGGATTTTAGTGAAGCTTTACTTCTGTATAAGTACATCTGAATCTGAAAAAATAAGCGCTATTG", "GATATAGTATTAATCTGAACAAATATAAGGGATAATGGGGACTTGGGAATGGGGTATCGGTTGCTAGTTGTTAGTTAAGTACGAAGTTAGAAGTTAGAAGTTAGAAAAAAGAAATTAGAGATCTGCCTTAAGTGATTTCATCTGGCTAATCAAAAGCTCCCGACTATATCGGTTTTTTATAA", "TTTTACTTTACCGGTTTTGGCTTCTTTATAAGGGTCGTTATAATAGTCTGTATATACTTTATTTAGCTGATGAGTTGTTACAGGAATACTCTTAATTAGTTTTGGATTTTTTCCATATAAGTCTTCAGATCCTTTTAGTATTTTTACTAAACTGAATTCGTAATTATTTTTAGTGTCATTCAGAGCAACAATAAGTCCGGGAAGTCCATTGAAAATATAAGGACCTTCATGTACGGGGATATCAGTTGTAAACCAGGCTAT", "ACGTTGCCATTTTTAAAA", "ATATGTGTATATCCTTAACATCCCTTTTGTCATAAGAAAAC", "AAATAAAATATTTAAAATGATGTATCATTATTGACATTTTAAATTTGGTGATATTTATTTGTACAAAAAAAATATTAACATAGTTTAACTTTTGTAATGTGCTGATTTATAGTTTATTGAGTTTTTTTTATTTTAAGGATAATACTTTATGAATTCGATTTTTATTTCTAAGCTTCTTATTTGCAAATTTTCAAATGGCTGTCTTTTCAATTTGAAATAATTTTATCTTATTTTTGCCCGAATATTCATTAATACGAGGATTC", "GAGATTAGTTATTGGTTGTTAGTTGTTAGTTGTTAGTTGTTAAATCGTGTAATCGTTTAACTGTTTAATTGTGTAACCGTGAAATTTTATAATCAGATTAACGTATTTACAAGTTAGAAAATTCATTCATTTATATACTGCTGAATTTTATGACAGTATTAATGTATTTATAAATTAATATTTATATTTTTTCACTTATTTTTGAATTATGATAGATTACATTAACCAGAGAT", "GAGGTTGGTTATTGGTTGTTAGTTGTTAAATCGTGTAATTGTTTAATTGTGTAACCGTGAAATTTTATAATCAGATTAACAAATTTACAGTTATACGAATTTGCATATTTACAAATCTAGTATATTAAAAAAAACAAAACCTTTCAGTACTCCTGAAAGGTTCTTTGTATGGTTTAGGTTTTTAATT", "GGTATTGGGTA", "AGTGTATTTGTTATGGTTTGTGATGTATAAAATAAAAAGGTGTTTTTTGTTATAAAAATGTCAGCCCAGGTGTTTAATGGAAATGACGATAAATGACAATTAAAGCGTAATAATTAATAATAAACAATATAAATTAAACTTCTGAAACCATATTCAATTATAAAAATGATTCAAAGTATTACACTGGTTTACCCGGACTGACGTGTTTACATTAGTGTGTGTGTTTTTGGGTTAATGTAAATTTATT", "T", "AATACCGATAACAATTTTGTTATTGATACTAAATTTGATGATTTTATCTAACACGGATTATAATTTAATTTTTAATGATTTAACGTCCACAGTATCAGTTTCAAATCTGAGTATGAAAGTTGACTGATGGTACTGCAAAGATTCAACAGAATGACCGTGCAACACTATTGCAAAGTTTCTGTAGCAATTCCTGATGGCCACAAAATGGCCATTAAATGATTAAAAA", "GTTACAAACTTACATACTTTTTTTGAAATTTTGTAAAGTGTATATGTTAATAGTGTTATAAATCAGTTAAAC", "AAGGTAATTGCTGGTGTTAAAAGTA", "TATACTTTATACAGGTGTATTGTTAATGTCGTAAAGTTAAGTAAAATTCTTTTAGACCAGAGTATCTGACTGCAGATTATATAGTTGTGTCTGTATTAAATGTATAGACTGTATTTATACTGTATCTCGTATATTTGAGCATTACATATGTGAACTTTGTACGCTCTAAGACATATAATT", "TCCTGTAAACCGTATGGCGTCTATATCTGTATTAATATTCAGTATACATGTTTTCGGAAGAATAGAAATAATACGGTAAAAAAGAATGATTCTTTTCATTCCACGGGGGGCTGATTTGAAGTAAAACAGCTTTTTGATCTGTATCGATTTGTCATGTTTGGCATTCGAAATACATATATTTTTTATATTTTCAAGCTTAGTCCGGACAGAAAGATCCGGAGTAAAAAGATACTGCTTTTGTACTCAATTTAATACCAAAATATACAGCGTCATATCAGGATTTGGAGTCATGATATGACTTTTTTATTGTCAATTTTGGTTGTTTTGCAAGTTTTAAAATGAGAAATTACCGAAATTTTAATGTCTTTAGCAGAGGTTATTTTTAGTGAATTTGATATATTTTTCAGATTTATTTTATGACTTGTGTTTGTGTTTTAAATAAGTGTTTTTAGGCTTTTCAATTTTTTTGCAGCTATAAAAATAACTTTATTATAATGTATGTATATTATTGTAAATGAGTATTTAGAAATATTTTATTTATAATTAAGTTTAATATTGTTTTTTGCATTGTTACTTCTTCTATGGAACCATATTTTCAGGCATTATTTTTTAGGATATGATGACGTTTGATGCTATTTATATTATTTAGAATAAATAAAAACAATATATTTGCCGGAAAATAACTCATGCTATCAGTTGGCTTGTGTGGATCAGAGATTGTTGTTGCAAAAAAGCAAACCTGACGAATTTGAAGCATGAAAATCTGATAGTTTTTCCTAACCAAAAATTATATTGATTC", "GAGTGCATCTGTAAATTGATTCAGCAGCCGGATCAGAAGCTGATGTTCATTGGAAAACTAAGTGATGGTATTGATAATCATCTGCTTAGTTTCCTTTTTTTTTTACTTTCTGTGAGGTTTTATAAAGCCTGTTATAATTTATACTAAAATGATATTTGTCAATTATTTTAAATTAGTCTAAATAAAATTAGTGTAGCTTTATTCAACTTTTTACTTTTGCCGAAAGAATAATGCTAG", "ACCAATAATTAAACATAAAATT", "A", "ATTTAAAAGA", "TACGGTAATAACTATCGAAATACTAAATTAAACTATATCTATACTAAGGCTAAACCTCCTGTAACAGGAGGTTTAGTTTAATAATCAAACCGATTATTATGTGTAATAACCAAAAAAATCAGTATGTAAATTGTTCC", "ATCTATTTAATTTATTGAATTATGTTGCATTTAATTAAGACGGATGTACAGAAGACATTAGTCAATAGTATCTTAGAGCTTATTCAAATTTTATAGTTAATACTCTTTGATAGTTTAGTTCGTCAAGCTCACTATAGACTTAGCTCAGAGTGACATTGTTCATCCATAATATCTTTCTTTTAGAGGTGTCAGGTTGAGCCTGTCAAAACCTAATATTTTTTTGAATTAAAATTTAAACAGGTTCTTATTTAAAAAGCAGTTTTGTAAAATTCAGTTTTTTTGAAGAAATACAAATGAATGCAATGTGAATTTTTATTTAACATTCGGTTATAGGATCTTCTAAAATATAAAAAGTGCAGGTTGCAAACGAAATAAATGGAGGTATTTCTATCCGGTTAAACAATTTGAATAGTGAAATGAATGTGATAAAAATTGCTTATTATTTTCTCTTTCGGATACGTCTGATTTATTATTCGGATATCTGTATTTTAAATTCAGATACATGCAATTTTAAGATATTTCTTTCGTTATATGATATGAATATTTCCTAAATGGTATAATACAGGAGTGGCATTAGAGCTGGTTGTAGCTTTATATAGTTTAAACAGACCTGTCGCTATATTTTAAATATAAAATAAAAAGATATATGTTGTTGATATTTAAATGTTTGTTGGTGTGTTTTTTTATTCGGATTTAAAATCTCAATACCCAAACACTTTTTTTTCAGTCGTTAAGGGGCTAATTTTAGACCAGAGATTCAATGCCTGATTTGAGAAGATTCCAAAGCTCTGCTATAATCCGCTATAATAAGTGTTGATTGAGCAAACTTAGCACACACATAAACTTGATGATGATACTCAGTTTTTTTTAACTAAAACTAAATTACT", "ACCTGAAACTTAAATAAAATATACTTATTTAGATTTTTATGATCAGACCTCAGACATGGCAATCTGCAATAGTTATGGTGTATTAATAATTAACAAGGCTATTCTGTATAGTACATTTTTATTCATTTTATCAGGGCAGCCATCATGCTGCTTTGCCTTCATCAGGATTGAACAAATCAGAAATATAAACAGACAGATCTGTGTATCTCTGTCATGTAAAGAAAAAAACAATTTCTCATCATTTATTCATTTATTCATTTGTGAAATTTGTTATTTGTTTGTATTTGTAAAAGAACGGGCAATATATTTGTCCGTTCATTCTTAAAGTAAAAATATCTGATTTAATCCGATTATTCAATATGGTGATCTAAGATATAAAGAGATGAATATTTTATTTATTTAGTATTTGTAAATTAATATCAATTGATTTCTTTTTTGTGATTGTATTTAAAACCGGGTTTTTACTCTACATTTTACGTAAAATGGTATTCGAGTTTAATCCTTTATTTATTCCCTGCAGACTCAGACGGCTTTTTACTAGTACATGTTTCTGTATATCATTTTTTTCCTACACCCAGTTCACCGGTGAAGAACCCATACGCAAAGAAATTATGGATCTTTAC", "TAAAGAAATATTAGTGAAAGAAAAGGATATCAATAAAGCCCTGCAATTTTGCAGGGCTTCGGTTTGAAAACTAATAGTAGTTATAGCATGTATAACTGGTGTAATTTATGAATTTTTATGCTATTATAAATTATAAAAACGGAGGGTTTTAATAAATCCTCCGGAAAACTGTCAACAGTTTTTACAAACAAATGAATAATACTGTGTTTTTGAGACTTTACA", "ATCATTTATGTTTGTGTTTAAAAAATGTAGAT", "TTGTACGTGTTTTTAGTGTTTTTTAGATGTTGTAAAAATCAGTAAATCAGATATGAATGTGCAAAAAAATATATTCTACATATATACTACATCTTTATATTTTCTTTTATAGAAACTATTTTACAGATGCCATCTTTTTAGGAAAAAGGTAAAAATATGTTGAACAGGTCATAGTGTAGTATGTATGTGGAAGTGTTGAAAATATCAATAATATAATACAAATGAATAATAAGACGATTAGTTGTAAGAAATAGCTTTTATGATAAAGAAAAAGAGTCCTGAAAAACAAGACTCTAATTTGAAAACTAATAGTAGTTATAGCATGTATAACTAACTCTGCATATGAAATTTTAATGCCAATCTATAGGTTATTACTATGATTTATTACATAAACTATTATAAAAATTGATTTTCTCTATGATATAGAAAACAGACTAATCGCTATAATTGGAATTTTAATGTGGCGGATAATATTTAAAGGTTATATCTTTATTATATTAGTTTATATTTTTGTGTC", "AATAGCCATTTCTTTTGCTTCTATGGTATAATCAGGCTGAAAATATTCAGATTGTCTTTTTAGGACAACATTTATCGGTGGTGAAGATCTAATTTTGCATCAGGAAACACAAGATTATCCAA", "TATAAAGTACGAAGTTAGAAATTAGAAATTAGAAGTTTGAATACGGATATTAAGAGAATAAA", "TAGAGTATTAATAACGAT", "TTTAATACT", "TTTGTAGTTTTTATCATTATATTGTGATTTGGATGATAAAATTCTTCCAGTTTTGCCAAGATAAGAAAAATAAGAATACAAATTTCATTGTATGTTAGTTGTAGGCAAGCATTCCAGATATAAATAATAATTTAAATTCTTCATATTGTAATTTTTTTTGAAATATTTAGTGTTGTGTTTTTATGATGTAAATGAGTTTTATTGATTGTTATATAGTATGAAAAATATCTGTTTTAATCTATTTATTGTAATAAAATATTTATTTTATTATGTTAAATTGAAAT", "GATGGCTCCGGTATTTCGGCGGTCAATGTAATCCGTCTTTTTGTATACCAGATATTCTGCTAATATTTAGCTAATCATAATAGTCAATTTTATTCGGTAGTTTTTTATTTTCAGTTTAAACTCCGACTATATTGATTGGTTATGTGATAATATATTTTTATACATGGCGGTTACAATAAATACCTGGCTTTCAGAGCTTATGCATCATTATTACTGAATAGTATTTTGTATTTGTTCCGATAGCCCGGGTATTTACAACAACTTTTAAAAATTAAATAACACTAAACACTAAATTTTTTATT", "CTTAAACATTCTTTATATCAAACAGAAAGACCGGGAATTTATTTCCCGGTCTTTTGTTATTATCTGATACAAGAGTTATAAAAGTAAAAACCTT", "ATAGAGTTTATTGATCATAAATATGGACACAAAAGTACATTAAATCACTATATAGTGAACGGTAAATTTACCTTTTTATGATAAAAATTATTTACTGTAAATAATGCTATAAAATAAT", "AAGGTTTTGCTATAAATATTGATGCTGATGATTAAATTGACTATTGCAATTAAGTTGCAAATATAGCATATTTTATTTTAATGCAATTATGTTGCAATTAAAATATTTGTAAAATAAAACAGCTCCAGAAGGAGCTGCTATAATATTTATTCAACAAT", "ACCAATATTTTAAATGATGTATAGTATCTTCTGCAATTTTATTGCCAGTTGGGTATAATTTTATTACAGGCTTAAAAATGGATAGAGAAATCAGGATATTGGAATGGATCTGTAATTTTAA", "ATATATCTTATAGATTTGATGATAATTTATACATGATTTGATGAATATACAGTTTAGATAATTTATTTTACTATTATATCTTTAGTGCGCACGCAATATACTCCAAATTTCCTTTTATATATTGATGTTTTTCCATAGTTAGAGATTATTAAAATAAATCATAGTATCTTAATTTATAGTTTTTAGCGATCAATTATTTAATACTAATAGTTTTATTCTATTGAAACTATAAAAATTGATTATTCGTTTTTATGCTCTTGGTGCAAATGATCTGATTAGATTTGTAACAAGAATTCTCGCTCTTGTGTATTCAGGAGTTCAAAATATTTTGGTAACTTTATAGAAATCAGTAACGAATAACTTAAAGAATT", "TATTTGATAAGTATTTCTATAAGCACTACTTCGGATTAAATCTGAATTAGTCATTTATATAAACCCCATAGGCTTTTAAAGCCTATGGGGTTTTATTATATCATTGGAATTATCTGGAATGAAACGAATATAACTCCATATTTGTAACTCAACTTAAATCTCTTAATACCTAAATGGTAAATGATTTTCTTCTTTAACTTTTGGCATTTAATATTTTATTACGTAAATCTCATAGGTTTCGAAAACCTATGAGGTTTATTTTCCTAATTAAATGGTTAAATT", "TCAGA", "AAGTTGTTATTTAGAATTAGTTTAATTAAATTTACAGCGGCAAAATTAGTTGTTGATACTCTGAAAAACTTTTGAGTATAGGATAAATACTTTTCATT", "TTTATTTCTTAGGGAGGC", "ATTATCTGCAATTCTGGTGTAAAACTCCTTTTTGCGGAGTTTTTACTCCGTATTTGAAACATTCTGCAAAAAGGAAAACTTTAGTTTTGCAAAGTTATTTATAATTAATCTAAATAAAAACAGATTATAAAAGATTATTATCATAATCTCAGTTAGGGATAAGGAAGTTCTTCACATCAGATGGTCAGTCTGAGTGATTTTGGAGACAAAATAGTTTCAAAGATCGGGCTGAGAAGTAAAATTGACAATGTTTCGACCTTTTTTCTTACAGAAAAGCTCAACCTGACATCAATTTTATTATTCAAAATTTGGGAATAGCTGAAAAAGAAAACTCGTGTTTTCTGAAACAAAATCAATAAAAATAGAATATATAACTCCGATTTTTTAACACAATTAAAAAATGTACA", "GGTC", "CTACAGGCTTTATAACACTACAACTATTATTTTTTACAGAAACAGATATATT", "ATTTTACTTTTCCGAAGCCTGTTGATTGCCAATAGGTTTCGGTTTTTATTTCAGT", "TTGATCGGTTTTTCAGAATTAAATGCCATATTAAAACCACTTAATACAGTTCTGGCCGGGAGAAATTTCTCCCGGCTTTTTTGTAAATTGCAGTAAAGTAAAGTTCATTATCCAAATACATAGGGAACAACTTTATAGTAAATCAGTAAACAACAA", "ATTATAATAGATTTTAGTCAGCATATTCAATATTAAATCCAGGTTTTTATCTCAAATTATAGGGCATGATGATGTTAAAGAGTAATTTTTATTTGTATATATGTATTTAACTACGTAGTTTTGTACTTTAAAATAAATTACG", "AGT", "ACAATACGATATATGGCTTTAAATTGGAAGTTACCAGCAACTTTCATTAAAATGTATAATGTGGAGTATCTATAAAAGTATTTTGCATTGAAAAAATCAGTTTTTTTTTAGAGCCCTGTCAGAGTATAAAGCTATGGCGGGGTTTTTTAATAGCCTTAACAAGAATTGTCGAACTTTCTTAATACCTAAATGCTGGAAATAATTTTATTGTAAGATTAAATTTTTGTACTCTGAAAAACAGTATAGTACTAACATAACTTTTGTGGCTATAAGCTTTTCAAATAATTATATTTGTATTATTAACCATCACAAAATAAAACTTAT", "TTTTCAACGGATAAACAATTTGTGAATTTGCTGGATCAGATATTATATTAATTCTGGACCAGTTTGGTAGTCCTGTTAACTGATACTTTTGCATCAGAATAAAATATTTAACT", "AAAGTAAATGGCGGATCTTTAAAGTCCGCCATATTTTGTTTAAACCAAAAATACTTCATAAAAA", "TATATCAAAGCACTGTAATTAGACTGGTATACTGCACTAAGAATATTTATACAA", "ACTTATGCAGGCAGAAGAACTTCTTTAAGAATTCTCTGTCTTCTCTGACCGGACTCACAGTATCCGAATGTAGAGTTGCTATTATATTCATATTACAATAATGGAGAGGTAAATAGTCTTTACATGCTAAAATAATTTCGTAGTTTCGGGATTCTATATGTATATCAACTATAGTTTATAATTTAAGCA", "ACAATTTTAGGTAGTATTTGATAAGTATTAAAATATTTTATTAAACATCCAAAATAACTTATTACTAAATTATA", "TATAAGTCACACTAAAACCGTGACATATCTCCTATGTATATGTTTTTTTTATACTGAATTTTGTCCTGTATCAATAAAAAGGAAAT", "AAACCGGGAAGCCTTTACGTATATAAAACCAGACAGATTTACTTTGTCTGGTTTTTTGCTTTTATATGATATAATTATCCTTAATTGTATCTTTTCCAGAATCAAAAAAATAATATACAAATAATACTGGAACGATTGGTTTAATTTGTATATTTGCTTT", "TTTTTTTATTTCATTTTGAAATGATTGGTTCAAAATAAATTTATAATA", "GAATAAATACTTGGTATTCAGGTATAAAAAGGTATAATATATAACCAACCATTAAGCCATTAAGAGCTACTAAACTAGCTTAATTTTCTTAATTACTTAATGGTTTTATTCGCTTCTTGATATAGAATTATTTATTGCTTTATTTCAAATAAAGCAATTCAAAATTGATAATCAATCTTATTTTATTAATAAATAGAAAATACAAAAGCCATATAATATATTT", "TTTAATTCGTAATTTTCAGGTTCAAAATTCGGAAACAAAATCCATACAGAAAAGGACATTTGTCCTGACAAAAAT", "ACAATTAGTCTTTATTGATTATAATATCTTTGACTTTA", "TGTCCCACATCTAAAATTTATTATAC", "TGAAACCAATATTAGAAACAGAACGACTATTGCTCTGAGAGCTCAATTCTGATGATGCTGGAGATTTCTTTAACCTGAATGAAAATCCAAATGTTATAAAATATACCGGAGATAAAGCGTTTCAGAATATTGATGAGGCAAGAGAATTTCTGGAAAATTACCAGAATTATAGACTCAATGGATATGGGCGTTGGGCTGTA", "TACCGGAAGTTTTTGATGCATAACTATTTTCCACCTTACCCTGAATAATTCCTTTTGTGACTTTTGGATCAAACCAAAAAAGAACAAAATTATGTTACCATTTAGTTATTTAGAGCATTAAGTAAACAACATAAAGGGTTATTTAATAATTGAAAATACCATAAGAAAAGCCTTGTCAAAGTTCCAAACTTTGACAAGGCTAAATATTATAATGAACAATT", "TCTTCAGACT", "TTT", "TTTTTAATTTCTTATACAAATTTATCAAAATTAAATTGTACACAATTAAATTTTGATAAATTAATATTATTTTCCCGATAGATATTCTGTATATACTTTGTAAAGCATCACTAGCAAAGCGTTTATTTACCAGTAATAAGTTTCATAATTACCGTGATTGGTATAAATGATTTATGCTGTAGTTATTTTACTTTTGTTTTACATCGATAAAGCATAAAGTAATGAAAATGTGGATGTGAAAAATTGAGTGAGAATAATTAAATTTGATTAAATAACAAAAATACAAGT", "TTTTAAAGTATAGTTTACCAAATAAGT", "ATATGATGAGAAACAATTAATAGAAACAGAATAAAAACACTAACAAAAAACAAAAACACACG", "ACCAAATCATTTAATACTTTATAGATACCTGT", "AGTATTTTATAAGTTTAATCGTCTTACTATAATATTAGGCTTTTCACAGTCTTTATTAAAATATAGAATATAAATTTCAAAGGTTGCATTATGAGCAGCTTAAAAATAACAAA", "CCAATATCTCTATATATAATCACGAAGGCACAAGTATTGGACTTGTGCCTTCGTGATTTTTAATCTTATTAAACCTATTTTACAGAAGG", "TGTTTCCAAGTATTTTGATATTGATGTTACAAAGTTGAAAAATCTGCATCTGAAAAATCTTAAGCTGGT", "TTTTCCGGATATATTATAAATGAAAATTTCGATTAATGGAACTAAGATACTAAAAAGCAAAGATATCTGAATTTGATTCCAAATGATTTTTTGTCGGATTATAATATAAATTTTATAATTTTTATCACTTTTTTGGGAGTTAATGTTTTATTTTTTTGTAATTTTTATATCTTGGTATCATTATTGTTTTAACAAAACTTTAACAACTATCAGTTC", "CTAGAAAAACCGGAGACTACTCCGGTTTTTTTATATCCAATAGAATAGTTAGGGTCTGTTTAAATTTTATTGTTAATACTCTTCGACAGTCTCAGAGTGACATTACTCGTCCAATATATCTTCCTTTTAGGAGTGTCAGGCTGAGCCTGTCGAAGTCTAATATTTTTAA", "TTTCATATTTTGTACTTCGTACTTCTAACT", "AATT", "GTTATGTGAAGTTAATAAAAAAATGCTTTGCTTGTGCAAAACATTTTTCTGTTACT", "AATTCTGATTATAGTTTTATATATTATTATTCTTTTTTGAAACAGAAGAATAAAAGTAAAAAACTCCCGGAATATTCCGGGAGTTTTTTATAAGTTTTAGTATACTATATC", "TAATTTGAATTAATATATTTTTGCAAATTTACAATCTATAATCCTATTAGCAAAAT", "TTTGCAAATTTAGCAAAGCTGGTATAAGAATGAAAGCGATTATGACGGGGTAATATGATTTTTTTGTTAAATCATCG", "CACTTACATTTTTTATAGGACCTTACAATGGGTATACAATATAGTGAATTATGGGTTTTGTAAATACTTTTGTTCAATATAATTCCAGTTTTAAT", "GCTTGTACAAACAATACTTTTGCTTTGATTACCCAATATTTTATTTCTTTTTTTTAATTTTGAGGCC", "AAGAAGTTATAGATAATAAATAAGGGCAATGGATATCCATTGCCCTTATTTATTACTTATGG", "TTGTTAGGGTTTATAATTAAGTACAAAAATATAAAAATA", "ATATAAATTTTCTGTCAGAAAATACAGACCGGGAGAGTATTCTTCCGGTTTTTTATTATTTAATACTTAGCCTTGCCAAGGTTTTAAACCTTGGCAAGGCTATTTAATCCGGAAAAAGAAGGATGTCTCGGATTACAAGTCCAGAGTTAAAGTTTGGATTTAATAATAAATAAAGTA", "C", "GTACGATTTGCTTAACAAAGCGCAAATATCATAATAAATAATGAAAGCTAAAAATTATTTACCCCTTAAAATAAGTTAAATAATGTGAAAGAGCTAAAGATTTATGCCAGTTTCTATTTCAATTTATTGCTTTTACAATAGAAATTGATACTTCGTTTGTTGCTTTTGCTTTTCATTTAGGTTTGTGTTTTGTTATTACGGTGCTTATCTTTGTGCT", "CTTTTATATGAGGCTGATTAGCTTGTTTAAGTTTGAAATAGAAAACACTTGAGGTTATTAATCTAACATGTCCTCAGGTAAACTATTATAATGTCATACTGAGCGCAGGCAAAGTATTACCTAAAGTGTCAAAAATAAAGTTGAAAAAAATTAAATAGGCTCTTATTTTATTAATAAATACAGATGTA", "AAGACAAATGTAATATAAAATTTCTTTTGATGTACTACTATCATTGATTTTATGTATGAAATTTACCTCTGTAACGATCATATTCACAGATATTTAAAACTAAATATTACTATGTAAAGAATAAAGTGCTATTTTTGCCGAACTTTTTAAATGAATGTAGTTTTTAGGACCATAATGTTTGTTCCAAAATTGCATAAGACCCTATAAATAAAAAAAGAAAC", "GCTTACGGAAAATAAAATATATAAGAACTCTGTCATTTTTAATGGCAGAGTTTTTTATATTCTGAAAATCTGCTATTTTCGTTTGATAAACTAATAACAT", "TTAACACCGAAAAGCTTAAAAAGCTTATCATTTTTAGAGACATAGTTTTTTTTCATTTAAAAATCCAGTATTTTAGTCGTTCAAAATCTGAGA", "AAGACAACAACTTATATAATAAAGAATGCAGCTCCAAGAGGCTGCATTCTTTATTTACAGTGGACAGAAATTGATC", "AACTATAATTTTTATGATACAAATATACAATTTAAATTATTTGTATTATAAAATAATCTGATAGAAATTTGCACTATCAATTATTAAAATTATATAA", "TTATAAAATTATTAATTCATAAAATATTATTATC", "TCAAAATATAAAATAA", "GAAAGATGGACATAGCATATATTATAAAACCCAGTAAAGGAGAGGTATATGCTAGGTTCTTTTTATTAGAAACATAAAAGTATACAA", "CTCTATAAAGACAGTGAAAAACAAATCCCTCGCTTTTCAGTGAGGGACTTTTTATCTATGGGGGGACCAGTAATATTCA", "ATCTTTTTTTTTGCAAAATTACCACGCAAAAAACAAAAATAAAAATTTG", "GATGATAGTTCAGAAAGTATAGTTTGTCATAATGGATGAGAAGCTCCGTTAGGAGCTTTATCTCTATAGATTATAATTATAAATATTTCGAATCCTAAATAAATAGGAAAATCATAGCATAAAAAAACATCATAGGTTTCTAAAACCTATGATGTTTGTATATCTGGTATCTTATTTTTTGACAAAGAAATAAGAATTACCTGCTTTTGTAATATAA", "TTAGTATTTAGTTTTTTTATTCTGAATAACTCCAAATATACTGATTTTATTAAGAATAATTCTCTTTTAACATAATGCTGTTGTATTAATAGCGGGACAAAAAGAAAACTCCCTCAATAAGGGAGCTGTATTAGTTATTTCATTGTAATAGTTAATT", "AAAGTGAGTTTTGGCAAATATATCACTTTTTTGGGATTTTAAAATATTTTATTTAATTATTTCATATTTAACGAAATAAAATCTTTCGTTGTTATTATTTATTAAAATTGTATCTCATATCAAAGAAAGATTGTAAATTGGAAGAATAAAACACCGT", "CCATT", "TAAAAAATATACCTATTTTAAACACACTAAATAAATAAAATATTAACC", "GCAAATATGAGGAAGAGTATTATCTTTGTTGCTTAATTTCAGTGA", "ATTGACGGATATACAAAATCAATCGGTTATATTTTATCAAATCCTTGGGCAGATTGGCTATTATTTGTAATTTTGTTGAATTATATAAAATTTTAATAGATAGAAGGTAATA", "TAATAAACTAACTACAAAAGCAGTATAGAAGAACTGCACAACAAAAAAAATTATTCCT", "AATATTAAATAATTATTAAAAATATTCCCGCTTAATCAATTTAGGCGGGATTTTTGTTATATTAACTACAAGAAAAACCAAAAGTG", "ATAAAAACGGAGACTTTGGTCTCCGTTTTCTTTTTCTCATCATTTGTTATTTGGG", "AATTTTGCCTCTTTTT", "GAACTATTTTTTCGGCGTGCAAATATACGAATAGTCAGAATATAATTCAAGCAAGTATAATATTAAATGTTGTTAATTCTGAATTTATAAAATGATCAGAATTTTAATCGGTGAAATTTTTATT", "CTTTTAAAATATGAATTACGAAGTTAGAAATAAATAGGGTATTGATTGTCGGTTATTAGTTGCCAGTTGCCAACCATCAACCATCAACCATCAACCATCAACCATCATATATAG", "AAAAATAGAATTAAACAACAAATTTAAGCATTACCTTCGTTATGGAACGATTTTTCCTTTTAGGAATCTTCGGAAAAGTATAATTTTGTATAACAACAAATAAGGAAT", "TTTTAACGTTTTGAATAAAGTTTAGAAATAATAGTTTATTGAATGTGATTTTGGCACGATAATTATTATACAAGAAATACTAAAACTTTAAAAA", "TAAACAATACTGTTATTTTTAAGAACCGCCATTTGGCGGTTTTTTTATTTTGTATCTTTATGGAATCCAAACCTATATATTATT", "ACGCCTGTAGCTCTTTTAGATTGCGAAAGATATTCGTATTTTTGTTGCTCTAATTTAAAAGTAAAGA", "ATAAAATTGCGGCACTGTGCTGCAATTTTTTGTTTATAATCAGAAAT", "ATTTAATTACGTAAAGAGAAATA", "ATATTAAAAGTCCCCTGAAATAGTGGTAACTTTATTTCAGGGAGCTTTTTAAAACTATAAAAAGTTTTTGTTGCCGTAGAATTAT", "AAAATAAAGTTTAATAAGAAGTTAAAAATGGGAACCGTATTATCCGGATTCCTTAAAAAAGAAAAAAACT", "TTAAATATCTCTGATTGATCAGTAAATGATCTGCTAAAA", "GAAATCTTAATCAAGATAATTTAAAGCACCTCTTTTGAGGTGCTTTTTTTATGAAATATTACGAAATCTATTTTTCGCAAACGTTTTCGGGAGCATAAGAGCTAATGAAACCAAGTATTATATAGTGAAATAATACGAAAAACTACACAATTTTCGTAAAATATATTATTGGAAATCATAGTGTTATATGCTTTTTGGTGTGTATGTTAAGTAAATGTTAAATTTATATTAAAAAATAATAATATTTTAAAAGGCTTAATTGAAGCGAAAGTAAAGTTGAAATTTAAAAACATAATTT", "AAACACAGCA", "TTTTTGAATTTGTATT", "CTTATTAGGATAAGTATAGTAAAAAAGTGTTGTTTTGCAGCAACACTTTTTTATTTAAGAATAAAAGATAAATTGAATAATAACC", "ATGATTGTGAGATCGTCTCACTTTTATAACTTTAGATATTACAAATAAAATAACAATT", "ATTAACCTGAGTTTTCGATAGGATTTTTGAAACAAAAAATCACTCAAACTGACGAGGTGAAATATATAAATTATTTTATTTCGGTCTCAGATTAAAATAAAAAGACCTATGATGTTTCAAACATCATAGGTCTTTGTTTTATTGAGTACTTATAAAATAATAGAACC", "TTCTTATTGAAATTTTATTAAATGAATTTGTAATCTATAACTCCAGTATGTTTTTAATTTCGTAGTAAAAAATGTAATAGGTAGAGGTTTTATCATGTTAATTCGATGTTTGTTGTTTATTTCTGATTTTTATCACGAATATATGAAATATATTGCTTCATTTATTTACTTATCTTACATCAATTCATTCACTCTGGTAGTAGCGTAAATTTGCATAAGAAAAATAAACATAAACAT", "TTTAAACTCAGTAAAGATAAGATATAAATGAGCCGGAAATTATATCGCTGTAAAAGGAATGATCATGGCTCGTTTTCAATAACAACTAAAAATAATATTAGAAATAA", "ATTCTAATAGGATTTTAAAGTAAATTTTATTAAATTTGCAAATCAAAATTCAGAAGTGAAGATCATAAAGCAATTTAAAGAAAAGCATCAGTCATCCCGGACAATAGGAAAAGACAACTCGGTGTGCCCTTTAAATTTGAGATAAATAATGGCCGACAGACTCCAAAAGTTTGTCGGCTTTTTTATTTACGTTTTTTGATAAAACAAATTGAGAATCAAAACTTCAGATCAATTTTAAATTGTAATTTTATTCACTAAATAATAAAAACTAAAA", "AAATAATTTACCAATATAATAATGTGACAATATACCAATAAAGGACATTGTTGCATTACTACATTGCTACATTTGAAA", "ATCTATTCAT", "ATAAAATCCGGAACTGAAAGACCCGGTAAATGCAGTTGTGAAATAGCTGTAAAAAGTAAAATGAATGGAGGCGTAGCCTCAAATTAGAAGTAAAAAAAGTGAAAACGGAACGTTTGTAACAATTATTTGGGAAAGGAAATAATTGTTGCAGGCTTTCCAAATGCTGATCCTTAAGTAAGATTAGCGCAAAAAGTAAAGAAAGAA", "TTCAATTAACAGGAATTAACTTTCTATTTTTTTGAAAAAAATAGTTTTTATGCTATCTTTGACACTTGCTACAAAGTAAAGCACAAATTTTTAAGATTTAAATAAAAAAACATATAGCTAAAAT", "AACAAACATAACCCCTGAGCGAAAGTTCAGGGGCTTTTAATAAATATCAACGAATTTATCAATGTATCAGTTTACCAATAGTTTATTGTTACATGATGTACATTGCTAAATTGTTACATTAATTTT", "TTTCCTGTACTGATTAATATATTAAAGCAGCCTTTTGGCTGTTTTTTTTGTGAAAGGTTTTCCTATATCTTTTGATAAATTATATTGAATATATTTGATTGACTAAGAGGAATAGTTTTTGATTAACTATAACAAAATTATTGTA", "AAATTCTCCTATCTTTGCAGG", "CAACAGATTAAATACAAGAGGCTGCCTCACTTTTGAGCAGCCTCTTCTCATTCATTATGATAAACTATATTTTCTGTACTATCCGAAATATAAGTAATCAGAGAAAAGCTCCGGTGGAGTCTGATTTGTATAAAAACAAATGTGTCAGGATGTAATGATTCCGGAGGAACACAATCTTATAATAGAAGTATTTTCTCTATATTTCTCCCCAATCCGTAAAAAGCAGAATAGGTCATCCGAGAAATACCTGATTAATAAACGCAGATAATATT", "AACTTTGATTTTATAATGCTTCTGTTTATTGCATTAAAATA", "ATAGATTTTTCGCAAAAATATAAAGAAAAATGCTCCTGACAGGAGCATTTCATAA", "GTTTC", "AGTATTGATTTTAGCTAACAAATTTAGGGCTTTATTTTAATGCAGTAATCCAGATTATGTTGAATTTTGCGAATATTCAAACTTATAAAATCTATATTATGAAATTATACAAATAAAGCAAAAAGGAGAGGAGTTA", "ACTAGTAGCCAAAAGCATTGAAAATGAAAGA", "TTTCTTTATAGACTTATAAATACTATTATAAGCTAAAATAAGGAAAAAATACCTCTTCTCGT", "ACTAATCTTTTTAGTAAGACAGCTATTGGTTTGTTTGGTTACAGTTACATCATAAAAAGCCAGTGCAAAAACACTGGCAGTATCATAAAAAATAAGATATTTTA", "TTTGATTTTTTAAATTTTCATTTGCCAGTCCATTAAAAATTAACGGGCTAAATGCTTGTTAAGATATAATAATTAGAAATCTTCAGGCTGGTAAGCCTGAATAAACAGAAATTGCCATAACCCTGACTGAAATGTCAGAGGTTATGTATTATGCGGATATCTTCTTAGTGCCAGACATGCTACAAAGGTAATTATTTTCTTTGTGAAGAATTAAATGTTGCCTAAATTTGATAGGCTTAAATATACTGTCAGGATTTATGTAATTGTATTTTTTGGATAAATAAATTTGAAATAATAGAATTTAGCCAATATTTAAACTGTAAAATGCGATAAAAACCTTAACTTTTCACCAAAATTAATAACGTTA", "TTTTCAGTAGAACTATAGAAAAGATAAGCTTACTTCGGTAGGCTTATTTTTTATGTTCATTTTTTGTAAATACAAATAAATGAAAGCTCTTGATTAAATAAAGATACCCCTCATTGCGAGGGGCATCCTACTAAAGAATTAGTATGAATTGAATGTAAGT", "GTCAGAATATATTTAAATTAGTT", "ATCAGTTATTTACCTAATTTTTTAGGTATACAATTTTATCACTAAAATATTAGTTATGCAAATTTTCCAATTAAATTTTTTAAAATCTAAAATCTA", "GGAACAGGTAAATGTCTGTAAAGCTTTTCTGGTAATAGTTGTGATGAATGAATGATATAACTCATTTTTATAACACGTTCTGAAAATAAAATAATAAATTTGTACGATAATAGTCTTAC", "AAAACAAAACTATAACT", "TAATAAAACAATTTTAGAATCTGTTCACAGAAATATAATCAGACTTCATAATCTTATGCAGAAAATAAAGAAGTTTTTTAGTATTTTTGTGCTCTCGATTCAATAATCATAAAACCTTAATGGTTATTTGTGATTATTAAATAAATAACAATAGTTACAC", "TAACGATATTAATTTAGAATTTGAGTATAAAGTATTTTAATCTCAATTCTCAAATTTCAAATTTTTAAATAAAGAAAATT", "AAGTTCAACAAAATAATAGAAAAAAGCCTTCTTCTTCATTGAGGAGGGCTTTTTTCGTTTATAAAAGGTGTTAATGACTC", "CTTTATTTTAGTTTTTAATATAATAATACAAAAATAAATAAAAAGACTGCTTATTTAATAATATGAGAGTTATTGTTTAAAAAATATATCTTTTGACAAAGGAATATTAATATTATATATAATTAGAAGAGTTTTTTTTCGAGTAGTGTTCTGATAATTTATAATGTTATATTTCAGATTGTGGTGTCTTTAGTAATATTTTTATACATATAATGCCTTAAATACAATTATGCTTGTTAAATTTGTAAGATTCTTATTAACCAGGAAAATTTAAATATT", "ATAATTCAAGATAAATAACTAAATAAATGGCCGGAGCTTTTCCGGTCATTTTTATTTTTATAAGGTTTTAATGCTATAAAACAGAATATTGGTTTTTCAGAATAATACTAAGAGTCTTCTTAAATAAAAGTGATTAAAGGATTCTCTGTAATCTTTAAAATCATTTGAAAATTTATTTATAACTATAAAAATTCATACTTTATAAATCATAACTAATTAGTATTTTTGACGA", "GAATGAAGAAATCTATCTATCTCTTTGGGATTTATTGCCAATGCCGGGCATTAAGCATTGGTAAATCATAGTCAGGAGTAGCAATGCTAACAATAGAATAGAAGAGATAAGGAAATTTGCTTCAAAAAATGGCTACAATGAAGAATTGGCATTTTTGTAGATTTCTCCCTTACTTCCAATAAATACAGTTTTTTTGTCATTGATTTAAAATCCGGTAAAATACTGGGAAAAGGTATGGTAGCGCAAGGCTCGGGATCTTTATCTGGATAAAAATATTGATGATTGGATACAATATACAGTGGATTATCTGGAACAATAAAGCGATTATATATATTATTAATTATTTAATTTGTTATAATGTAAATTTTTAACTAACTTATTTAATTGTAATATTTAATTTCTTATCTTTAACAGCGACAGGATCTTAAGT", "ATACTAATTAATGATTTTTTATACATAGAAAAAGATTCCTGAAAATTGAGTTACTTCAACTAACTTTGTTTTCACACCAAATCAGTTATT", "GCTTGTAAAAGTGACTGCAAATAAAATTAATTTAAAAATCGAAAAATTAAAACCATTTATTTCAAATAATTTGTAATTTTGCACCCTGTTTTCATATTTGAAATTGTTGATTTCACATTGAGAGCATTTGTAAAACTTAAAATATAAACAATTAT", "TCTTAAGATAATAAAAAGATAAAAGCGCTTCTATTTACTTAGAGGCGCTTTTTTTGTTTAACAGTATAAAATAATATTAACAAAGGAAAAATTAGAATCAAAGAATCCTGAAAAACTTTTGATTTCGTCTAGCTTTTTTTTATTTTTGAGGT", "CGCCGCTTTTAAAAGCAGCCGCTAAAGCGAATCAGGAACAAACTCAGGTTATTCAGAATCAGAAACCGGTTTCTACGGAAACAGCGGCTAAACCTTCTTTATTAGATAATCCAAACAAGCCAAAAGAAGTAAAACCATTAGAAGATATTTCTTCTTTTAGCATCACTGGCTGGCTGAATAAAAAAGAGGAAGTAAAGACAGAAAAGGTAGAAGTAAAAGATAATTTACCTGCAAATCATTTTTCTGAAGTAGATTTATTGCATGAATGGAAGTTATTTCTAGATAAT", "ATTCTCAAATTAAAT", "TTATTGCTT", "TTCTTTTC", "TATATCGGATTTATAGTGTATATTCCCAAGAAGCAAAAACAAATAAATGAATGCCGATTCAAGGAAAATGATAAAGCGCCAGTTCTTTATAAAAGCTTTTTTTAGGAAGGGAATATCTTTTCTTCTGTAATGAAATGATGTGACTATCAAAAAGAAGAATAGAGGATATGATCTGTTATCAGGCAATCTGAGAGCAATTAAAATACCCGTAATAATTAAAAAAGGTAAAGCAATCTTGCCCTGAAAGCTGAAAATTCGAAAGCACTGACTGACTCTGAAAATAAAATGATGGAAAATTGCTTTCAAATTCTATGGTTATTGGGTGTATAGGTATAGTAACAATATTATTACAGCTCAAATTTATAAAAAATCACTTTATATTGAATAATTTTAATAAACTTTATAATTTATAGCCAATATTCCCTCTATATAATAATTTAGTGGCTTTAATCAGAATTAAAATCTGAGAATAAAATTATATTAAGTAGCGATATAATATTTCTGGCTAAGAATTTGCTTAATACTACAACGATTTATTCTGAGGTTACTATTAAATATATTTTTAATAAAAATAACATTCGGAAAATAGAAATTTTGTAATTTTGTAGTATATAAAATACAC", "TATTAACTTTTAAAATTTAATTACGT", "CTTTTATTTTTCGTAACTTTGCGGAAAATATAGAATATAGAA", "TCAATATTATAAGAGTTTCTTTGTGAAACTCTTTTTTTATATTATCAATTTTCTGATATTTTATTTTTAACTTTATCTGATTACCAAATCGTATAATTTTAAAAACTAATTTATT", "ATTATCAAAATGATGTGTAAAACCAATAAAAAACATCACAGGTTTCTGAAACCTGTGATGTTTGTATTTTTAAAGAGATAAGATACCAATACTTT", "AGTTTTTATATTGATTGAACCTGGCAAAGGTATATAAAAAAGGCAGCTTCCATTTTAAAAGAAACTGCCTTTATCATTAGATTTTTCTAATCTTTAAATATTTTTTTAG", "TTTGATGATTTAATTAACAAATTTATGGTAAATTTGATAGATAAACGAATTGC", "A", "AAGT", "TTAGAATGTACATTTAATATTTAATATCACAAAAA", "TAACATTTAAATTGATTATAAT", "ATTAA", "CTTTAATTTTTAT", "TTTTAATAATTTGAAAATTTGGCAATTTGAAAATTTGAAAATATGTGTTT", "TTTGTGGATGAAGTCAGGTTTGCTTTCAGCATTTTGAGCTTCTCTTATATTGGCTCCTATTGATGTTCCGCTCCTGAATAT", "TAGCCCATTTTCAAATTTTCAAATTATTATTA", "TATTAGATTTATTTTTAGGGTTGAT", "GTATTAAGGTATGATTTTTGTTATACGTCATTACTCGTAAAATT", "ACTTATATAAGCCTTTCATTTTTGAAAGGCTTTTCTTTTATATCTTTGCCAGCATAAATAATAATAGATAATTGT", "GAATATTTTTTATCAAAGATAATTAAATTTTGGCTATTTGAAAAAATTGTTATATTTGTAATAATAATCTTTAAAAAATTAAAA", "TAATAAATTACCATAAATGATACAAAAGTCTTCCGGAATCGGGAGACTTTTTTTATACATAATCTGAGAAGAGTGTGA", "AATCCTTATTTTCTTTATTTTATGATAAGGCAAAGTTCCGTTTTGCATTCTATGAAATTCTTAAACTGGTTTAAGAATAAATTTAGTTAGATTTTCAAATTACTTTGAATGTTTATTTTTATTAATTTTAGATTTATTGAAAAATAATATTTAAAATAAATGATCATCAGATATAAACACAAATACAATA", "ACGCTACTTATATCTAATAAAATAAACACCTTATGGATTTCAAAAAATCTATAAGGTGTAATTAT", "TGT", "TGTTTGTCT", "GTAACAAAGTTAAGCATTTTTATTTCTCAAAAAGATAGCTCTTTTATTAAGAATATGTATTATTTACTGTGTTTTAATCAATGATAGTGCCTGTTTTTATGAGGAATTTCCTAATTTTAGCACTTTGTTAAAACTAATAGTGTTATAAT", "TTAGAAATTAAATAATATTAAGAGTAGCCTCGAGTATATCGGGGCTTTTTTATTGTGACGTTTAAATCGTTCTAAACTATATGATACAAAAAAAGAGAACTCAATTGAGTTCTCTTTTTATTTAGTAGCGGGGACACGACTCGAACGTGCGACCTTCGGGTTATGAGCCCGACGAGCTACCTACTGCTCCACCCCGCGATATTGTACTGCAAATATACAACGGTTTTTTCGAACTGACAAGTATTAATCGATGTT", "GATTTAATAAGATTTATAAAGTAATAAAAATAGGTATATTTACGATGCTGTGTATATGTTGGATGATAGAAAGTTCAAATGCATTCGATTAATATTAAAACAAACCCAAATCACCAAATAAAACTAAACGTACAGTCCTTAAAATAAATAATTATTTGTAGACTCGGCTTTCATTTCTCTATTAAAGATGGTATTTTTGAATATTATATAAGAAATATACAA", "AGCACTATAGATAAATTTAAAATTGTTGTTATTTTAATAAAAGAATACCCGGAAATATCCGGGTATTATTATTTATGACCTTTTTAAGGTTATTTGTAATCTA", "TTTTAAATATTATTTCGATTTATAATTTTGTTGATTGTCTG", "TTAGGAGCAAAAATAGCTATAATCAATTGATTTTCCTAATCAAAATATTCGTTAAACTTATAATTTTTGGATGTTTTTTTAATAAATTTGTTTGCTTGAAAACTAATATTTATT", "TATTAATCATAAGGAGGAATCCTTATAATCTCATTTAAAATT", "AAC", "GCGAGATATGAACGTTAAACTAAAACT", "T", "ATACATTCAGTATAAAAATACAAAAGGTCGGAAGTTTTTTTTCGGTCTTTTTGTTTTAAAAATTAAAAACATACAAACCTTATAGATTTCCGAAACCTATAAGGTTTATTTAAAAGAAAGTTAGGATTTATTTGCTGTTTAAATTTTTTGAACTTTTGTATTAGTTTTATAGCCTTCACCATTAATAAAACCGCACACAAAAAAGGCCGGAATATATTCCGGCCTTTTTTTATCTGATTAGAAGAGTAA", "AGTGCTTTGTTTATTATTTGTACAACAAAAGTAGTGAAAAA", "TTATAGATAGTTTATTA", "TCTAGACTTTAATACTCACAAAAATAGGCGAAATTTCTGTAAATTTGGAAACTTAAAGCATTAAATATTATGCTTTCTATTCTGATTTC", "ATTGGAAGTTAGAATTACGAAGTTAGAAATAGTAGTATTGAGTTTCGTACTTCAAACTTCGTAACTCGTAATTTGTTCTTCGTACTTAAA", "AAGACAAATGTATGGATTTATCTGTTTTTTCAGAAAGTTTTAGGGTAATTTATTTATCTGTTTACTTTTATGTAAATCAAAATGTTTTTT", "TTT", "AAAACTAGTATATGCAATCAAAGATAAAGGAAATCAGAATAATGTAAAGTATTAAAAATGCTGATACATGAACTTTTGTTGTTAAATAGAATGTTCATAAACAAAAACCGCAGGAAAAATCCTGCGGAGTAGTTCATTAAGAGTAGTAAAAAAA", "GGCAAAGATTTTTTTAATCCTCTATAAAGTTATTAAAAAATATTATATAATACTAAGAAAATA", "GGATTATAGATTTTTGTTTTTAATCAATTCGAAGAAGAAAGTTAGTGATTTTTCAGTAATTCCAGATATAACTTTTCTGTTAATATGCCTTTTAAGCGGATAAATCTTTTATAACCAATATTTATGATAAAATTTAACCTTTTTTAAGGAGTATTATTTGTTTAGCATTAAATTTTTGTTGTATATTTGCACCCAATGGAAACATTGCACCCTACTATACAAGTACAAGACGTGAAATTTGATGGCTTACCAGCCATAAAGATATTTATTTTTTAAAACGAAGGCTTTTTGGTCTTCGTTTTTTTTATGTTTAAACTATAGATAAT", "TAAATAACAAAGGAGATAATTTAATATAAAATATAATGTACAGTAGAGAGTGTACATTATACAGTAAAATAAA" ]
[ false, false, true, false, false, false, false, true, true, true, true, true, true, false, true, true, true, true, true, false, false, false, false, false, true, false, false, true, false, false, false, false, false, true, true, false, false, true, true, true, true, true, true, true, true, false, false, true, false, false, false, false, false, true, true, false, true, true, true, true, true, false, false, false, false, true, true, true, true, false, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, false, true, false, false, false, false, true, true, true, true, true, false, true, false, false, false, false, false, false, true, false, false, true, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, true, true, true, false, false, false, false, false, false, false, false, true, false, true, true, true, false, false, false, false, false, false, false, false, true, true, false, false, true, false, false, true, false, false, true, true, true, false, false, false, false, false, false, true, false, true, false, true, true, false, true, true, true, true, true, true, false, false, true, true, true, true, false, false, false, false, false, false, false, false, true, true, true, false, false, true, true, true, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, false, true, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, false, true, true, false, false, true, true, false, false, false, true, true, false, false, false, false, true, false, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, false, false, false, true, true, true, true, true, false, false, true, false, false, false, false, false, true, false, false, true, true, false, true, false, false, false, true, false, true, true, true, false, true, true, true, true, false, false, false, false, false, true, true, true, true, true, true, true, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, false, false, true, true, true, true, false, false, true, true, true, true, true, true, false, false, false, false, false, true, true, false, false, true, false, true, false, false, false, true, false, true, false, false, true, true, true, true, true, false, false, false, true, false, false, false, false, false, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 81, 82, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 110, 112, 114, 116, 118, 120, 122, 124, 126, 127, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 147, 149, 151, 153, 155, 157, 159, 160, 162, 164, 166, 168, 170, 172, 174, 176, 178, 180, 182, 184, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221, 223, 225, 227, 229, 231, 232, 234, 235, 237, 239, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303, 305, 307, 309, 311, 312, 313, 314, 316, 318, 320, 322, 324, 326, 328, 330, 331, 333, 335, 337, 339, 341, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 361, 363, 365, 367, 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 391, 393, 395, 397, 399, 401, 403, 405, 407, 409, 411, 413, 415, 417, 419, 421, 423, 425, 427, 429, 431, 433, 435, 437, 439, 441, 443, 445, 447, 449, 451, 453, 454, 456, 458, 460, 462, 464, 466, 468, 470, 472, 474, 476, 478, 479, 480, 482, 484, 486, 488, 490, 492, 494, 496, 498, 500, 502, 504, 506, 508, 509, 511, 513, 515, 517, 519, 521, 523, 524, 526, 528, 530, 531, 533, 535, 537, 539, 540, 541, 543, 545, 547, 548, 550, 552, 554, 556, 557, 559, 561, 563, 565, 567, 569, 571, 573, 574, 576, 578, 580, 582, 584, 586, 588, 589, 591, 593, 595, 597, 599, 601, 603, 605, 607, 609, 611, 613, 614, 616, 618, 620, 622, 624, 626, 627, 629, 631, 633, 635, 637, 639, 641, 643, 645, 647, 649, 651, 653, 655, 657, 659, 661, 663, 665, 667, 669, 671, 673, 675, 677, 679, 681, 683, 685, 687, 689, 690, 691, 693, 695, 697, 699, 701, 702, 704, 706, 708, 709, 711, 713, 714, 716, 718, 720, 721, 723, 725, 727, 729, 731, 732, 734, 736, 738, 739, 741, 743, 745, 747, 749, 751, 753, 755, 757, 759, 761, 763, 765, 767, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 818, 820, 822, 824 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 111, 113, 115, 117, 119, 121, 123, 125, 129, 131, 133, 135, 137, 139, 141, 143, 145, 148, 150, 152, 154, 156, 158, 161, 163, 165, 167, 169, 171, 173, 175, 177, 179, 181, 183, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 233, 236, 238, 240, 242, 244, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302, 304, 306, 308, 310, 315, 317, 319, 321, 323, 325, 327, 329, 332, 334, 336, 338, 340, 343, 345, 347, 349, 351, 353, 355, 357, 359, 362, 364, 366, 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 394, 396, 398, 400, 402, 404, 406, 408, 410, 412, 414, 416, 418, 420, 422, 424, 426, 428, 430, 432, 434, 436, 438, 440, 442, 444, 446, 448, 450, 452, 455, 457, 459, 461, 463, 465, 467, 469, 471, 473, 475, 477, 481, 483, 485, 487, 489, 491, 493, 495, 497, 499, 501, 503, 505, 507, 510, 512, 514, 516, 518, 520, 522, 525, 527, 529, 532, 534, 536, 538, 542, 544, 546, 549, 551, 553, 555, 558, 560, 562, 564, 566, 568, 570, 572, 575, 577, 579, 581, 583, 585, 587, 590, 592, 594, 596, 598, 600, 602, 604, 606, 608, 610, 612, 615, 617, 619, 621, 623, 625, 628, 630, 632, 634, 636, 638, 640, 642, 644, 646, 648, 650, 652, 654, 656, 658, 660, 662, 664, 666, 668, 670, 672, 674, 676, 678, 680, 682, 684, 686, 688, 692, 694, 696, 698, 700, 703, 705, 707, 710, 712, 715, 717, 719, 722, 724, 726, 728, 730, 733, 735, 737, 740, 742, 744, 746, 748, 750, 752, 754, 756, 758, 760, 762, 764, 766, 768, 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 819, 821, 823 ]
[ "2841813427|Ga0349638_01|CDS|2841816157|+|2903758:2904840", "2841813427|Ga0349638_01|CDS|2841816158|+|2904852:2905214", "2841813427|Ga0349638_01|CDS|2841816159|+|2905260:2908442", "2841813427|Ga0349638_01|CDS|2841816160|-|2908522:2909043", "2841813427|Ga0349638_01|CDS|2841816161|+|2909199:2909807", "2841813427|Ga0349638_01|CDS|2841816162|+|2909850:2910395", "2841813427|Ga0349638_01|CDS|2841816163|+|2910429:2911322", "2841813427|Ga0349638_01|CDS|2841816164|+|2911391:2912323", "2841813427|Ga0349638_01|CDS|2841816165|-|2912337:2912489", "2841813427|Ga0349638_01|CDS|2841816166|+|2912696:2914177", "2841813427|Ga0349638_01|CDS|2841816167|+|2914514:2914735", "2841813427|Ga0349638_01|CDS|2841816168|+|2914812:2915444", "2841813427|Ga0349638_01|CDS|2841816169|+|2915561:2916076", "2841813427|Ga0349638_01|CDS|2841816170|+|2916100:2916927", "2841813427|Ga0349638_01|CDS|2841816171|+|2916998:2917864", "2841813427|Ga0349638_01|CDS|2841816172|+|2917918:2918484", "2841813427|Ga0349638_01|CDS|2841816173|+|2918678:2918935", "2841813427|Ga0349638_01|CDS|2841816174|+|2919070:2919876", "2841813427|Ga0349638_01|CDS|2841816175|+|2919885:2920589", "2841813427|Ga0349638_01|CDS|2841816176|+|2920625:2921083", "2841813427|Ga0349638_01|CDS|2841816177|+|2921144:2922349", "2841813427|Ga0349638_01|CDS|2841816178|+|2922365:2923210", "2841813427|Ga0349638_01|CDS|2841816179|+|2923245:2923538", "2841813427|Ga0349638_01|CDS|2841816180|+|2923601:2926066", "2841813427|Ga0349638_01|CDS|2841816181|+|2926129:2928336", "2841813427|Ga0349638_01|CDS|2841816182|+|2928341:2928970", "2841813427|Ga0349638_01|CDS|2841816183|-|2929050:2929616", "2841813427|Ga0349638_01|CDS|2841816184|-|2929925:2930911", "2841813427|Ga0349638_01|CDS|2841816185|+|2931019:2931129", "2841813427|Ga0349638_01|CDS|2841816186|+|2931209:2932162", "2841813427|Ga0349638_01|CDS|2841816187|+|2932377:2933927", "2841813427|Ga0349638_01|CDS|2841816188|+|2934002:2935324", "2841813427|Ga0349638_01|CDS|2841816189|+|2935402:2935632", "2841813427|Ga0349638_01|CDS|2841816190|+|2935797:2935970", "2841813427|Ga0349638_01|CDS|2841816191|+|2936834:2937019", "2841813427|Ga0349638_01|CDS|2841816192|+|2937038:2937502", "2841813427|Ga0349638_01|CDS|2841816193|+|2938110:2938340", "2841813427|Ga0349638_01|CDS|2841816194|-|2938370:2938525", "2841813427|Ga0349638_01|CDS|2841816196|+|2938956:2939480", "2841813427|Ga0349638_01|CDS|2841816197|+|2939529:2940341", "2841813427|Ga0349638_01|CDS|2841816198|+|2940353:2941561", "2841813427|Ga0349638_01|CDS|2841816199|+|2941548:2942474", "2841813427|Ga0349638_01|CDS|2841816200|+|2942475:2943248", "2841813427|Ga0349638_01|CDS|2841816201|+|2943245:2944348", "2841813427|Ga0349638_01|CDS|2841816202|+|2944373:2945314", "2841813427|Ga0349638_01|CDS|2841816203|-|2945358:2945537", "2841813427|Ga0349638_01|CDS|2841816204|-|2945754:2946074", "2841813427|Ga0349638_01|CDS|2841816205|-|2946195:2946923", "2841813427|Ga0349638_01|CDS|2841816206|-|2946941:2948122", "2841813427|Ga0349638_01|CDS|2841816207|-|2948202:2948654", "2841813427|Ga0349638_01|CDS|2841816208|-|2948707:2949387", "2841813427|Ga0349638_01|CDS|2841816209|-|2949403:2950185", "2841813427|Ga0349638_01|CDS|2841816210|-|2950262:2951251", "2841813427|Ga0349638_01|CDS|2841816211|-|2951258:2951827", "2841813427|Ga0349638_01|CDS|2841816212|-|2951847:2952209", "2841813427|Ga0349638_01|CDS|2841816213|-|2952222:2953649", "2841813427|Ga0349638_01|CDS|2841816214|-|2953905:2954315", "2841813427|Ga0349638_01|CDS|2841816215|-|2954299:2955978", "2841813427|Ga0349638_01|CDS|2841816216|+|2956486:2959455", "2841813427|Ga0349638_01|CDS|2841816217|+|2959511:2961286", "2841813427|Ga0349638_01|CDS|2841816219|-|2962984:2963157", "2841813427|Ga0349638_01|CDS|2841816220|+|2963262:2963501", "2841813427|Ga0349638_01|CDS|2841816221|+|2963920:2964348", "2841813427|Ga0349638_01|CDS|2841816222|-|2964464:2964676", "2841813427|Ga0349638_01|CDS|2841816223|+|2964895:2965305", "2841813427|Ga0349638_01|CDS|2841816224|+|2965343:2967280", "2841813427|Ga0349638_01|CDS|2841816225|+|2967273:2967875", "2841813427|Ga0349638_01|CDS|2841816226|+|2967872:2968939", "2841813427|Ga0349638_01|CDS|2841816227|+|2968946:2970211", "2841813427|Ga0349638_01|CDS|2841816228|-|2970400:2970975", "2841813427|Ga0349638_01|CDS|2841816229|-|2971376:2971732", "2841813427|Ga0349638_01|CDS|2841816230|-|2972403:2973386", "2841813427|Ga0349638_01|CDS|2841816231|+|2973631:2974527", "2841813427|Ga0349638_01|CDS|2841816232|+|2974788:2975537", "2841813427|Ga0349638_01|CDS|2841816233|+|2975539:2975781", "2841813427|Ga0349638_01|CDS|2841816234|+|2975787:2976203", "2841813427|Ga0349638_01|CDS|2841816235|+|2976255:2977130", "2841813427|Ga0349638_01|CDS|2841816236|+|2977127:2977477", "2841813427|Ga0349638_01|CDS|2841816237|+|2977498:2979891", "2841813427|Ga0349638_01|CDS|2841816238|+|2979912:2980397", "2841813427|Ga0349638_01|CDS|2841816239|+|2980427:2981338", "2841813427|Ga0349638_01|CDS|2841816240|+|2981647:2982054", "2841813427|Ga0349638_01|CDS|2841816241|-|2982065:2983108", "2841813427|Ga0349638_01|CDS|2841816242|-|2983110:2983724", "2841813427|Ga0349638_01|CDS|2841816243|-|2983702:2984181", "2841813427|Ga0349638_01|CDS|2841816244|-|2984193:2985212", "2841813427|Ga0349638_01|CDS|2841816245|-|2985254:2986465", "2841813427|Ga0349638_01|CDS|2841816246|-|2986468:2987454", "2841813427|Ga0349638_01|CDS|2841816247|+|2987667:2988548", "2841813427|Ga0349638_01|CDS|2841816248|+|2988631:2988822", "2841813427|Ga0349638_01|CDS|2841816249|-|2988864:2989733", "2841813427|Ga0349638_01|CDS|2841816250|-|2990095:2990592", "2841813427|Ga0349638_01|CDS|2841816251|-|2990692:2991672", "2841813427|Ga0349638_01|CDS|2841816252|-|2991704:2992390", "2841813427|Ga0349638_01|CDS|2841816253|-|2992563:2992919", "2841813427|Ga0349638_01|CDS|2841816254|-|2993151:2993468", "2841813427|Ga0349638_01|CDS|2841816255|+|2993701:2994042", "2841813427|Ga0349638_01|CDS|2841816256|-|2993999:2995093", "2841813427|Ga0349638_01|CDS|2841816257|+|2995253:2997094", "2841813427|Ga0349638_01|CDS|2841816258|+|2997774:2999579", "2841813427|Ga0349638_01|CDS|2841816259|+|2999917:3000357", "2841813427|Ga0349638_01|CDS|2841816260|+|3000474:3001850", "2841813427|Ga0349638_01|CDS|2841816261|+|3001876:3002238", "2841813427|Ga0349638_01|CDS|2841816262|+|3002284:3002505", "2841813427|Ga0349638_01|CDS|2841816263|+|3002562:3003920", "2841813427|Ga0349638_01|CDS|2841816264|+|3003993:3004727", "2841813427|Ga0349638_01|CDS|2841816265|-|3004767:3005432", "2841813427|Ga0349638_01|CDS|2841816266|+|3005632:3008526", "2841813427|Ga0349638_01|CDS|2841816267|+|3008624:3009835", "2841813427|Ga0349638_01|CDS|2841816268|+|3009896:3010321", "2841813427|Ga0349638_01|CDS|2841816269|+|3010325:3010879", "2841813427|Ga0349638_01|CDS|2841816270|-|3011775:3012248", "2841813427|Ga0349638_01|CDS|2841816271|+|3012281:3013276", "2841813427|Ga0349638_01|CDS|2841816272|-|3013345:3014895", "2841813427|Ga0349638_01|CDS|2841816273|-|3014908:3016047", "2841813427|Ga0349638_01|CDS|2841816274|-|3016473:3017525", "2841813427|Ga0349638_01|CDS|2841816275|-|3017541:3019364", "2841813427|Ga0349638_01|CDS|2841816277|-|3019577:3020062", "2841813427|Ga0349638_01|CDS|2841816278|+|3020396:3023371", "2841813427|Ga0349638_01|CDS|2841816279|+|3023378:3024859", "2841813427|Ga0349638_01|CDS|2841816280|-|3025049:3025270", "2841813427|Ga0349638_01|CDS|2841816281|+|3025191:3025274", "2841813427|Ga0349638_01|CDS|2841816282|+|3025446:3025811", "2841813427|Ga0349638_01|CDS|2841816283|+|3025811:3028060", "2841813427|Ga0349638_01|CDS|2841816284|+|3028101:3028922", "2841813427|Ga0349638_01|CDS|2841816285|+|3028924:3029772", "2841813427|Ga0349638_01|CDS|2841816286|+|3029889:3030662", "2841813427|Ga0349638_01|CDS|2841816287|+|3030674:3031558", "2841813427|Ga0349638_01|CDS|2841816288|-|3031625:3032890", "2841813427|Ga0349638_01|CDS|2841816289|-|3032899:3033897", "2841813427|Ga0349638_01|CDS|2841816290|-|3033902:3035383", "2841813427|Ga0349638_01|CDS|2841816291|-|3035400:3036305", "2841813427|Ga0349638_01|CDS|2841816292|-|3036401:3037279", "2841813427|Ga0349638_01|CDS|2841816293|+|3037456:3038850", "2841813427|Ga0349638_01|CDS|2841816294|+|3038864:3041035", "2841813427|Ga0349638_01|CDS|2841816295|+|3041231:3041719", "2841813427|Ga0349638_01|CDS|2841816296|+|3041732:3042532", "2841813427|Ga0349638_01|CDS|2841816297|+|3042545:3042808", "2841813427|Ga0349638_01|CDS|2841816298|-|3042858:3043511", "2841813427|Ga0349638_01|CDS|2841816299|+|3043640:3044338", "2841813427|Ga0349638_01|CDS|2841816300|-|3044490:3044843", "2841813427|Ga0349638_01|CDS|2841816301|+|3045023:3046477", "2841813427|Ga0349638_01|CDS|2841816302|+|3046545:3046994", "2841813427|Ga0349638_01|CDS|2841816303|+|3047006:3047704", "2841813427|Ga0349638_01|CDS|2841816304|+|3047755:3048879", "2841813427|Ga0349638_01|CDS|2841816305|+|3048891:3049463", "2841813427|Ga0349638_01|CDS|2841816306|+|3049789:3050169", "2841813427|Ga0349638_01|CDS|2841816307|-|3050211:3051809", "2841813427|Ga0349638_01|CDS|2841816308|-|3051868:3052575", "2841813427|Ga0349638_01|CDS|2841816309|+|3052704:3053534", "2841813427|Ga0349638_01|CDS|2841816310|+|3053540:3054106", "2841813427|Ga0349638_01|CDS|2841816311|+|3054140:3055054", "2841813427|Ga0349638_01|CDS|2841816312|+|3055056:3055823", "2841813427|Ga0349638_01|CDS|2841816313|-|3055958:3056683", "2841813427|Ga0349638_01|CDS|2841816314|+|3056919:3058811", "2841813427|Ga0349638_01|CDS|2841816315|+|3058817:3060754", "2841813427|Ga0349638_01|CDS|2841816316|-|3060838:3061806", "2841813427|Ga0349638_01|CDS|2841816317|-|3061822:3063045", "2841813427|Ga0349638_01|CDS|2841816318|-|3063212:3064315", "2841813427|Ga0349638_01|CDS|2841816319|-|3064627:3065394", "2841813427|Ga0349638_01|CDS|2841816320|+|3065487:3066386", "2841813427|Ga0349638_01|CDS|2841816321|+|3066389:3066676", "2841813427|Ga0349638_01|CDS|2841816322|+|3066676:3067494", "2841813427|Ga0349638_01|CDS|2841816323|+|3067495:3067908", "2841813427|Ga0349638_01|CDS|2841816324|+|3067905:3068612", "2841813427|Ga0349638_01|CDS|2841816325|-|3068724:3069497", "2841813427|Ga0349638_01|CDS|2841816326|-|3069524:3071569", "2841813427|Ga0349638_01|CDS|2841816327|-|3071780:3072742", "2841813427|Ga0349638_01|CDS|2841816328|-|3072875:3073942", "2841813427|Ga0349638_01|CDS|2841816329|-|3074010:3074450", "2841813427|Ga0349638_01|CDS|2841816330|-|3074512:3074805", "2841813427|Ga0349638_01|CDS|2841816331|-|3074882:3076117", "2841813427|Ga0349638_01|CDS|2841816332|-|3076318:3078093", "2841813427|Ga0349638_01|CDS|2841816333|-|3078080:3078184", "2841813427|Ga0349638_01|CDS|2841816334|-|3078200:3079378", "2841813427|Ga0349638_01|CDS|2841816335|-|3079428:3079778", "2841813427|Ga0349638_01|CDS|2841816336|-|3079856:3082258", "2841813427|Ga0349638_01|CDS|2841816337|-|3082273:3082749", "2841813427|Ga0349638_01|CDS|2841816338|-|3082892:3083824", "2841813427|Ga0349638_01|CDS|2841816339|-|3083814:3084794", "2841813427|Ga0349638_01|CDS|2841816340|-|3084803:3085369", "2841813427|Ga0349638_01|CDS|2841816341|+|3085463:3086506", "2841813427|Ga0349638_01|CDS|2841816342|+|3086569:3087150", "2841813427|Ga0349638_01|CDS|2841816343|-|3087163:3087477", "2841813427|Ga0349638_01|CDS|2841816344|+|3087649:3087846", "2841813427|Ga0349638_01|CDS|2841816345|+|3087912:3088751", "2841813427|Ga0349638_01|CDS|2841816346|+|3088814:3089434", "2841813427|Ga0349638_01|CDS|2841816347|+|3089437:3089787", "2841813427|Ga0349638_01|CDS|2841816348|+|3089791:3090966", "2841813427|Ga0349638_01|CDS|2841816349|+|3090956:3092110", "2841813427|Ga0349638_01|CDS|2841816350|+|3092230:3092499", "2841813427|Ga0349638_01|CDS|2841816351|+|3092577:3093965", "2841813427|Ga0349638_01|CDS|2841816352|+|3093974:3094678", "2841813427|Ga0349638_01|CDS|2841816353|+|3094880:3095626", "2841813427|Ga0349638_01|CDS|2841816354|+|3095678:3096625", "2841813427|Ga0349638_01|CDS|2841816355|+|3096701:3097306", "2841813427|Ga0349638_01|CDS|2841816356|+|3097336:3098712", "2841813427|Ga0349638_01|CDS|2841816357|+|3099006:3100172", "2841813427|Ga0349638_01|CDS|2841816358|+|3100352:3101146", "2841813427|Ga0349638_01|CDS|2841816359|+|3101354:3102358", "2841813427|Ga0349638_01|CDS|2841816360|+|3102366:3103193", "2841813427|Ga0349638_01|CDS|2841816361|+|3103316:3106102", "2841813427|Ga0349638_01|CDS|2841816362|+|3106114:3107460", "2841813427|Ga0349638_01|CDS|2841816363|+|3107733:3110183", "2841813427|Ga0349638_01|CDS|2841816364|+|3110263:3111189", "2841813427|Ga0349638_01|CDS|2841816365|+|3111208:3112497", "2841813427|Ga0349638_01|CDS|2841816366|+|3112642:3113040", "2841813427|Ga0349638_01|CDS|2841816367|+|3113061:3114239", "2841813427|Ga0349638_01|CDS|2841816368|+|3114264:3115721", "2841813427|Ga0349638_01|CDS|2841816369|+|3115990:3116409", "2841813427|Ga0349638_01|CDS|2841816370|+|3116571:3117035", "2841813427|Ga0349638_01|CDS|2841816371|-|3117120:3117770", "2841813427|Ga0349638_01|CDS|2841816372|-|3117876:3118742", "2841813427|Ga0349638_01|CDS|2841816373|+|3118906:3119835", "2841813427|Ga0349638_01|CDS|2841816374|+|3119942:3122767", "2841813427|Ga0349638_01|CDS|2841816375|+|3122776:3123270", "2841813427|Ga0349638_01|CDS|2841816376|+|3123367:3123693", "2841813427|Ga0349638_01|CDS|2841816377|+|3124158:3124634", "2841813427|Ga0349638_01|CDS|2841816378|-|3124664:3125125", "2841813427|Ga0349638_01|CDS|2841816379|+|3125188:3125874", "2841813427|Ga0349638_01|CDS|2841816380|+|3125976:3126980", "2841813427|Ga0349638_01|CDS|2841816381|-|3127297:3127545", "2841813427|Ga0349638_01|CDS|2841816382|-|3127549:3127860", "2841813427|Ga0349638_01|CDS|2841816383|-|3127955:3128590", "2841813427|Ga0349638_01|CDS|2841816384|-|3128737:3131679", "2841813427|Ga0349638_01|CDS|2841816385|-|3131683:3132873", "2841813427|Ga0349638_01|CDS|2841816386|+|3132963:3133592", "2841813427|Ga0349638_01|CDS|2841816387|-|3133636:3134130", "2841813427|Ga0349638_01|CDS|2841816388|+|3134338:3135015", "2841813427|Ga0349638_01|CDS|2841816389|+|3135079:3135387", "2841813427|Ga0349638_01|CDS|2841816390|+|3135513:3136604", "2841813427|Ga0349638_01|CDS|2841816391|+|3136758:3137834", "2841813427|Ga0349638_01|CDS|2841816392|-|3137889:3139049", "2841813427|Ga0349638_01|CDS|2841816393|+|3139149:3141047", "2841813427|Ga0349638_01|CDS|2841816394|+|3141173:3143749", "2841813427|Ga0349638_01|CDS|2841816395|+|3143872:3144303", "2841813427|Ga0349638_01|CDS|2841816396|+|3144296:3147031", "2841813427|Ga0349638_01|CDS|2841816397|+|3147058:3147693", "2841813427|Ga0349638_01|CDS|2841816398|-|3147790:3148167", "2841813427|Ga0349638_01|CDS|2841816399|-|3148264:3150633", "2841813427|Ga0349638_01|CDS|2841816400|-|3150881:3151609", "2841813427|Ga0349638_01|CDS|2841816401|-|3151614:3151904", "2841813427|Ga0349638_01|CDS|2841816402|-|3151908:3153086", "2841813427|Ga0349638_01|CDS|2841816403|-|3153140:3155395", "2841813427|Ga0349638_01|CDS|2841816404|-|3155513:3156409", "2841813427|Ga0349638_01|CDS|2841816405|+|3156604:3157983", "2841813427|Ga0349638_01|CDS|2841816406|+|3158005:3159183", "2841813427|Ga0349638_01|CDS|2841816407|+|3159376:3160908", "2841813427|Ga0349638_01|CDS|2841816408|+|3160923:3162257", "2841813427|Ga0349638_01|CDS|2841816409|+|3162235:3162819", "2841813427|Ga0349638_01|CDS|2841816410|+|3162816:3164630", "2841813427|Ga0349638_01|CDS|2841816411|+|3164869:3165225", "2841813427|Ga0349638_01|CDS|2841816412|+|3165379:3166080", "2841813427|Ga0349638_01|CDS|2841816413|+|3166093:3168567", "2841813427|Ga0349638_01|CDS|2841816414|+|3168579:3170027", "2841813427|Ga0349638_01|CDS|2841816415|+|3170031:3171377", "2841813427|Ga0349638_01|CDS|2841816416|+|3171467:3171859", "2841813427|Ga0349638_01|CDS|2841816417|-|3171887:3172426", "2841813427|Ga0349638_01|CDS|2841816418|-|3172470:3172970", "2841813427|Ga0349638_01|CDS|2841816419|-|3173022:3173648", "2841813427|Ga0349638_01|CDS|2841816420|+|3173844:3174467", "2841813427|Ga0349638_01|CDS|2841816421|+|3174484:3175140", "2841813427|Ga0349638_01|CDS|2841816422|+|3175306:3175713", "2841813427|Ga0349638_01|CDS|2841816423|+|3175882:3178104", "2841813427|Ga0349638_01|CDS|2841816424|+|3178107:3178949", "2841813427|Ga0349638_01|CDS|2841816425|+|3178930:3179205", "2841813427|Ga0349638_01|CDS|2841816426|+|3179218:3180753", "2841813427|Ga0349638_01|CDS|2841816427|+|3180778:3181494", "2841813427|Ga0349638_01|CDS|2841816428|+|3181514:3181858", "2841813427|Ga0349638_01|CDS|2841816429|+|3182054:3182218", "2841813427|Ga0349638_01|CDS|2841816430|+|3182597:3183694", "2841813427|Ga0349638_01|CDS|2841816431|+|3183702:3184463", "2841813427|Ga0349638_01|CDS|2841816432|-|3184536:3184955", "2841813427|Ga0349638_01|CDS|2841816433|-|3184952:3185779", "2841813427|Ga0349638_01|CDS|2841816434|-|3185858:3186442", "2841813427|Ga0349638_01|CDS|2841816435|+|3186785:3187912", "2841813427|Ga0349638_01|CDS|2841816436|+|3187949:3191101", "2841813427|Ga0349638_01|CDS|2841816437|+|3191101:3192522", "2841813427|Ga0349638_01|CDS|2841816438|+|3192763:3194010", "2841813427|Ga0349638_01|CDS|2841816439|+|3194460:3194831", "2841813427|Ga0349638_01|CDS|2841816440|+|3194936:3195646", "2841813427|Ga0349638_01|CDS|2841816441|+|3195895:3196443", "2841813427|Ga0349638_01|CDS|2841816442|+|3196443:3196565", "2841813427|Ga0349638_01|CDS|2841816443|+|3196477:3198165", "2841813427|Ga0349638_01|CDS|2841816444|-|3199497:3199736", "2841813427|Ga0349638_01|CDS|2841816445|+|3199904:3200320", "2841813427|Ga0349638_01|CDS|2841816446|+|3200415:3201530", "2841813427|Ga0349638_01|CDS|2841816447|+|3201520:3202245", "2841813427|Ga0349638_01|CDS|2841816448|-|3202539:3202922", "2841813427|Ga0349638_01|CDS|2841816449|-|3202959:3203528", "2841813427|Ga0349638_01|CDS|2841816450|+|3203594:3203989", "2841813427|Ga0349638_01|CDS|2841816451|+|3204110:3204952", "2841813427|Ga0349638_01|CDS|2841816452|+|3204949:3207153", "2841813427|Ga0349638_01|CDS|2841816453|+|3207179:3207757", "2841813427|Ga0349638_01|CDS|2841816454|+|3207815:3208360", "2841813427|Ga0349638_01|CDS|2841816455|+|3208862:3209737", "2841813427|Ga0349638_01|CDS|2841816456|+|3209775:3210131", "2841813427|Ga0349638_01|CDS|2841816457|+|3210559:3211398", "2841813427|Ga0349638_01|CDS|2841816458|+|3211466:3212668", "2841813427|Ga0349638_01|CDS|2841816459|-|3212800:3214734", "2841813427|Ga0349638_01|CDS|2841816460|+|3214920:3216263", "2841813427|Ga0349638_01|CDS|2841816461|+|3216260:3217264", "2841813427|Ga0349638_01|CDS|2841816462|+|3217593:3218267", "2841813427|Ga0349638_01|CDS|2841816463|+|3218874:3219089", "2841813427|Ga0349638_01|CDS|2841816464|+|3219106:3220776", "2841813427|Ga0349638_01|CDS|2841816465|+|3220846:3221232", "2841813427|Ga0349638_01|CDS|2841816466|-|3221449:3221754", "2841813427|Ga0349638_01|CDS|2841816467|-|3221790:3221879", "2841813427|Ga0349638_01|CDS|2841816468|-|3222232:3223227", "2841813427|Ga0349638_01|CDS|2841816469|-|3223227:3224423", "2841813427|Ga0349638_01|CDS|2841816470|-|3224554:3224847", "2841813427|Ga0349638_01|CDS|2841816471|-|3224980:3225981", "2841813427|Ga0349638_01|CDS|2841816472|-|3226130:3227035", "2841813427|Ga0349638_01|CDS|2841816473|-|3227549:3229252", "2841813427|Ga0349638_01|CDS|2841816474|-|3229387:3229842", "2841813427|Ga0349638_01|CDS|2841816475|-|3229931:3230341", "2841813427|Ga0349638_01|CDS|2841816476|-|3230509:3231003", "2841813427|Ga0349638_01|CDS|2841816477|-|3231018:3232883", "2841813427|Ga0349638_01|CDS|2841816478|-|3233131:3233508", "2841813427|Ga0349638_01|CDS|2841816479|-|3233583:3234305", "2841813427|Ga0349638_01|CDS|2841816480|-|3234390:3236762", "2841813427|Ga0349638_01|CDS|2841816481|+|3236894:3238204", "2841813427|Ga0349638_01|CDS|2841816482|+|3238194:3238874", "2841813427|Ga0349638_01|CDS|2841816483|+|3238970:3239449", "2841813427|Ga0349638_01|CDS|2841816484|+|3239534:3240094", "2841813427|Ga0349638_01|CDS|2841816485|-|3240169:3241401", "2841813427|Ga0349638_01|CDS|2841816486|-|3241499:3241939", "2841813427|Ga0349638_01|CDS|2841816487|+|3242105:3243514", "2841813427|Ga0349638_01|CDS|2841816488|+|3243632:3244051", "2841813427|Ga0349638_01|CDS|2841816489|+|3244052:3244366", "2841813427|Ga0349638_01|CDS|2841816490|+|3244581:3245048", "2841813427|Ga0349638_01|CDS|2841816491|-|3245111:3246697", "2841813427|Ga0349638_01|CDS|2841816492|+|3246953:3247426", "2841813427|Ga0349638_01|CDS|2841816493|-|3247477:3249882", "2841813427|Ga0349638_01|CDS|2841816494|-|3249968:3250462", "2841813427|Ga0349638_01|CDS|2841816495|-|3250508:3251383", "2841813427|Ga0349638_01|CDS|2841816496|-|3251543:3252265", "2841813427|Ga0349638_01|CDS|2841816497|-|3252314:3253123", "2841813427|Ga0349638_01|CDS|2841816498|-|3253211:3253639", "2841813427|Ga0349638_01|CDS|2841816499|-|3253682:3253939", "2841813427|Ga0349638_01|CDS|2841816500|-|3253950:3254441", "2841813427|Ga0349638_01|CDS|2841816501|-|3254450:3255973", "2841813427|Ga0349638_01|CDS|2841816502|+|3256109:3256432", "2841813427|Ga0349638_01|CDS|2841816503|+|3256560:3257414", "2841813427|Ga0349638_01|CDS|2841816504|+|3257437:3257616", "2841813427|Ga0349638_01|CDS|2841816505|+|3257672:3257842", "2841813427|Ga0349638_01|CDS|2841816506|+|3258284:3258712", "2841813427|Ga0349638_01|CDS|2841816507|-|3258817:3259377", "2841813427|Ga0349638_01|CDS|2841816508|+|3259510:3259848", "2841813427|Ga0349638_01|CDS|2841816509|-|3259920:3260954", "2841813427|Ga0349638_01|CDS|2841816510|-|3260961:3264341", "2841813427|Ga0349638_01|CDS|2841816511|+|3264512:3265165", "2841813427|Ga0349638_01|CDS|2841816512|-|3265357:3266724", "2841813427|Ga0349638_01|CDS|2841816513|-|3266743:3269316", "2841813427|Ga0349638_01|CDS|2841816514|+|3269477:3269794", "2841813427|Ga0349638_01|CDS|2841816515|-|3269927:3270961", "2841813427|Ga0349638_01|CDS|2841816516|+|3271015:3271365", "2841813427|Ga0349638_01|CDS|2841816517|+|3271441:3272499", "2841813427|Ga0349638_01|CDS|2841816518|+|3272568:3273521", "2841813427|Ga0349638_01|CDS|2841816519|+|3273528:3274766", "2841813427|Ga0349638_01|CDS|2841816520|+|3274826:3276181", "2841813427|Ga0349638_01|CDS|2841816521|+|3276181:3276546", "2841813427|Ga0349638_01|CDS|2841816522|-|3276522:3277316", "2841813427|Ga0349638_01|CDS|2841816523|-|3277422:3278810", "2841813427|Ga0349638_01|CDS|2841816524|-|3279019:3279849", "2841813427|Ga0349638_01|CDS|2841816525|-|3279865:3282303", "2841813427|Ga0349638_01|CDS|2841816526|+|3282425:3282724", "2841813427|Ga0349638_01|CDS|2841816527|+|3282776:3283318", "2841813427|Ga0349638_01|CDS|2841816528|-|3283311:3284075", "2841813427|Ga0349638_01|CDS|2841816529|+|3284162:3284812", "2841813427|Ga0349638_01|CDS|2841816530|-|3285122:3286099", "2841813427|Ga0349638_01|CDS|2841816531|-|3286152:3287027", "2841813427|Ga0349638_01|CDS|2841816532|-|3287017:3288060", "2841813427|Ga0349638_01|CDS|2841816533|-|3288157:3289206", "2841813427|Ga0349638_01|CDS|2841816534|-|3289617:3290828", "2841813427|Ga0349638_01|CDS|2841816535|-|3290821:3292782", "2841813427|Ga0349638_01|CDS|2841816536|-|3292786:3293811", "2841813427|Ga0349638_01|CDS|2841816537|-|3293813:3296434", "2841813427|Ga0349638_01|CDS|2841816538|-|3296455:3299157", "2841813427|Ga0349638_01|CDS|2841816539|-|3299157:3299363", "2841813427|Ga0349638_01|CDS|2841816540|-|3299581:3300639", "2841813427|Ga0349638_01|CDS|2841816541|-|3300854:3301288", "2841813427|Ga0349638_01|CDS|2841816542|+|3301830:3302324", "2841813427|Ga0349638_01|CDS|2841816543|+|3302835:3303218", "2841813427|Ga0349638_01|CDS|2841816544|+|3303319:3303615", "2841813427|Ga0349638_01|CDS|2841816545|+|3303612:3303893", "2841813427|Ga0349638_01|CDS|2841816546|-|3303985:3304452", "2841813427|Ga0349638_01|CDS|2841816547|-|3304548:3305714", "2841813427|Ga0349638_01|CDS|2841816548|-|3306154:3306645", "2841813427|Ga0349638_01|CDS|2841816549|-|3306629:3307120", "2841813427|Ga0349638_01|CDS|2841816550|-|3307234:3308427", "2841813427|Ga0349638_01|CDS|2841816551|-|3308503:3309969", "2841813427|Ga0349638_01|CDS|2841816552|-|3310118:3312559", "2841813427|Ga0349638_01|CDS|2841816553|-|3312652:3313821", "2841813427|Ga0349638_01|CDS|2841816554|+|3313948:3314991", "2841813427|Ga0349638_01|CDS|2841816555|+|3315191:3315778", "2841813427|Ga0349638_01|CDS|2841816556|+|3316613:3317245", "2841813427|Ga0349638_01|CDS|2841816557|+|3317380:3318003", "2841813427|Ga0349638_01|CDS|2841816558|+|3318096:3320129", "2841813427|Ga0349638_01|CDS|2841816559|+|3320182:3320847", "2841813427|Ga0349638_01|CDS|2841816560|-|3320867:3321568", "2841813427|Ga0349638_01|CDS|2841816561|-|3321669:3323324", "2841813427|Ga0349638_01|CDS|2841816562|-|3323362:3324495", "2841813427|Ga0349638_01|CDS|2841816563|-|3324552:3325862", "2841813427|Ga0349638_01|CDS|2841816564|-|3325980:3326810", "2841813427|Ga0349638_01|CDS|2841816565|-|3326934:3328664", "2841813427|Ga0349638_01|CDS|2841816566|+|3328936:3329364", "2841813427|Ga0349638_01|CDS|2841816567|-|3329418:3329822", "2841813427|Ga0349638_01|CDS|2841816568|-|3329850:3330989", "2841813427|Ga0349638_01|CDS|2841816569|+|3331111:3332877", "2841813427|Ga0349638_01|CDS|2841816570|+|3332934:3334505", "2841813427|Ga0349638_01|CDS|2841816571|+|3334540:3335220", "2841813427|Ga0349638_01|CDS|2841816572|+|3335655:3336008", "2841813427|Ga0349638_01|CDS|2841816573|+|3336018:3336677", "2841813427|Ga0349638_01|CDS|2841816574|+|3336692:3337264", "2841813427|Ga0349638_01|CDS|2841816575|+|3337333:3337749", "2841813427|Ga0349638_01|CDS|2841816576|+|3337952:3338422", "2841813427|Ga0349638_01|CDS|2841816577|-|3338552:3339001", "2841813427|Ga0349638_01|CDS|2841816578|-|3339181:3339816", "2841813427|Ga0349638_01|CDS|2841816579|-|3339818:3340921", "2841813427|Ga0349638_01|CDS|2841816580|+|3341065:3342249", "2841813427|Ga0349638_01|CDS|2841816581|+|3342253:3343614", "2841813427|Ga0349638_01|CDS|2841816582|+|3343814:3344653", "2841813427|Ga0349638_01|CDS|2841816583|+|3344660:3345007", "2841813427|Ga0349638_01|CDS|2841816584|+|3345054:3346571", "2841813427|Ga0349638_01|CDS|2841816585|-|3346662:3349760", "2841813427|Ga0349638_01|CDS|2841816586|-|3349786:3350871", "2841813427|Ga0349638_01|CDS|2841816587|-|3350875:3352122", "2841813427|Ga0349638_01|CDS|2841816588|-|3352201:3353571", "2841813427|Ga0349638_01|CDS|2841816589|-|3353568:3354248", "2841813427|Ga0349638_01|CDS|2841816590|+|3354410:3355123", "2841813427|Ga0349638_01|CDS|2841816591|+|3355589:3356899", "2841813427|Ga0349638_01|CDS|2841816592|-|3356952:3357089" ]
[ "2841813427|Ga0349638_01|IG|IG_002395|+|2904841:2904851", "2841813427|Ga0349638_01|IG|IG_002396|+|2905215:2905259", "2841813427|Ga0349638_01|IG|IG_002397|+|2908443:2908521", "2841813427|Ga0349638_01|IG|IG_002398|+|2909044:2909198", "2841813427|Ga0349638_01|IG|IG_002399|+|2909808:2909849", "2841813427|Ga0349638_01|IG|IG_002400|+|2910396:2910428", "2841813427|Ga0349638_01|IG|IG_002401|+|2911323:2911390", "2841813427|Ga0349638_01|IG|IG_002402|+|2912324:2912336", "2841813427|Ga0349638_01|IG|IG_002403|+|2912490:2912695", "2841813427|Ga0349638_01|IG|IG_002404|+|2914178:2914513", "2841813427|Ga0349638_01|IG|IG_002405|+|2914736:2914811", "2841813427|Ga0349638_01|IG|IG_002406|+|2915445:2915560", "2841813427|Ga0349638_01|IG|IG_002407|+|2916077:2916099", "2841813427|Ga0349638_01|IG|IG_002408|+|2916928:2916997", "2841813427|Ga0349638_01|IG|IG_002409|+|2917865:2917917", "2841813427|Ga0349638_01|IG|IG_002410|+|2918485:2918677", "2841813427|Ga0349638_01|IG|IG_002411|+|2918936:2919069", "2841813427|Ga0349638_01|IG|IG_002412|+|2919877:2919884", "2841813427|Ga0349638_01|IG|IG_002413|+|2920590:2920624", "2841813427|Ga0349638_01|IG|IG_002414|+|2921084:2921143", "2841813427|Ga0349638_01|IG|IG_002415|+|2922350:2922364", "2841813427|Ga0349638_01|IG|IG_002416|+|2923211:2923244", "2841813427|Ga0349638_01|IG|IG_002417|+|2923539:2923600", "2841813427|Ga0349638_01|IG|IG_002418|+|2926067:2926128", "2841813427|Ga0349638_01|IG|IG_002419|+|2928337:2928340", "2841813427|Ga0349638_01|IG|IG_002420|+|2928971:2929049", "2841813427|Ga0349638_01|IG|IG_002421|+|2929617:2929924", "2841813427|Ga0349638_01|IG|IG_002422|+|2930912:2931018", "2841813427|Ga0349638_01|IG|IG_002423|+|2931130:2931208", "2841813427|Ga0349638_01|IG|IG_002424|+|2932163:2932376", "2841813427|Ga0349638_01|IG|IG_002425|+|2933928:2934001", "2841813427|Ga0349638_01|IG|IG_002426|+|2935325:2935401", "2841813427|Ga0349638_01|IG|IG_002427|+|2935633:2935796", "2841813427|Ga0349638_01|IG|IG_002428|+|2935971:2936833", "2841813427|Ga0349638_01|IG|IG_002429|+|2937020:2937037", "2841813427|Ga0349638_01|IG|IG_002430|+|2937503:2938109", "2841813427|Ga0349638_01|IG|IG_002431|+|2938341:2938369", "2841813427|Ga0349638_01|IG|IG_002432|+|2938526:2938955", "2841813427|Ga0349638_01|IG|IG_002433|+|2939481:2939528", "2841813427|Ga0349638_01|IG|IG_002434|+|2940342:2940352", "2841813427|Ga0349638_01|IG|IG_002435|+|2944349:2944372", "2841813427|Ga0349638_01|IG|IG_002436|+|2945315:2945357", "2841813427|Ga0349638_01|IG|IG_002437|+|2945538:2945753", "2841813427|Ga0349638_01|IG|IG_002438|+|2946075:2946194", "2841813427|Ga0349638_01|IG|IG_002439|+|2946924:2946940", "2841813427|Ga0349638_01|IG|IG_002440|+|2948123:2948201", "2841813427|Ga0349638_01|IG|IG_002441|+|2948655:2948706", "2841813427|Ga0349638_01|IG|IG_002442|+|2949388:2949402", "2841813427|Ga0349638_01|IG|IG_002443|+|2950186:2950261", "2841813427|Ga0349638_01|IG|IG_002444|+|2951252:2951257", "2841813427|Ga0349638_01|IG|IG_002445|+|2951828:2951846", "2841813427|Ga0349638_01|IG|IG_002446|+|2952210:2952221", "2841813427|Ga0349638_01|IG|IG_002447|+|2953650:2953904", "2841813427|Ga0349638_01|IG|IG_002448|+|2955979:2956485", "2841813427|Ga0349638_01|IG|IG_002449|+|2959456:2959510", "2841813427|Ga0349638_01|IG|IG_002450|+|2961287:2962983", "2841813427|Ga0349638_01|IG|IG_002451|+|2963158:2963261", "2841813427|Ga0349638_01|IG|IG_002452|+|2963502:2963919", "2841813427|Ga0349638_01|IG|IG_002453|+|2964349:2964463", "2841813427|Ga0349638_01|IG|IG_002454|+|2964677:2964894", "2841813427|Ga0349638_01|IG|IG_002455|+|2965306:2965342", "2841813427|Ga0349638_01|IG|IG_002456|+|2968940:2968945", "2841813427|Ga0349638_01|IG|IG_002457|+|2970212:2970399", "2841813427|Ga0349638_01|IG|IG_002458|+|2970976:2971375", "2841813427|Ga0349638_01|IG|IG_002459|+|2971733:2972402", "2841813427|Ga0349638_01|IG|IG_002460|+|2973387:2973630", "2841813427|Ga0349638_01|IG|IG_002461|+|2974528:2974787", "2841813427|Ga0349638_01|IG|IG_002462|+|2975538:2975538", "2841813427|Ga0349638_01|IG|IG_002463|+|2975782:2975786", "2841813427|Ga0349638_01|IG|IG_002464|+|2976204:2976254", "2841813427|Ga0349638_01|IG|IG_002465|+|2977478:2977497", "2841813427|Ga0349638_01|IG|IG_002466|+|2979892:2979911", "2841813427|Ga0349638_01|IG|IG_002467|+|2980398:2980426", "2841813427|Ga0349638_01|IG|IG_002468|+|2981339:2981646", "2841813427|Ga0349638_01|IG|IG_002469|+|2982055:2982064", "2841813427|Ga0349638_01|IG|IG_002470|+|2983109:2983109", "2841813427|Ga0349638_01|IG|IG_002471|+|2984182:2984192", "2841813427|Ga0349638_01|IG|IG_002472|+|2985213:2985253", "2841813427|Ga0349638_01|IG|IG_002473|+|2986466:2986467", "2841813427|Ga0349638_01|IG|IG_002474|+|2987455:2987666", "2841813427|Ga0349638_01|IG|IG_002475|+|2988549:2988630", "2841813427|Ga0349638_01|IG|IG_002476|+|2988823:2988863", "2841813427|Ga0349638_01|IG|IG_002477|+|2989734:2990094", "2841813427|Ga0349638_01|IG|IG_002478|+|2990593:2990691", "2841813427|Ga0349638_01|IG|IG_002479|+|2991673:2991703", "2841813427|Ga0349638_01|IG|IG_002480|+|2992391:2992562", "2841813427|Ga0349638_01|IG|IG_002481|+|2992920:2993150", "2841813427|Ga0349638_01|IG|IG_002482|+|2993469:2993700", "2841813427|Ga0349638_01|IG|IG_002483|+|2995094:2995252", "2841813427|Ga0349638_01|IG|IG_002484|+|2997095:2997773", "2841813427|Ga0349638_01|IG|IG_002485|+|2999580:2999916", "2841813427|Ga0349638_01|IG|IG_002486|+|3000358:3000473", "2841813427|Ga0349638_01|IG|IG_002487|+|3001851:3001875", "2841813427|Ga0349638_01|IG|IG_002488|+|3002239:3002283", "2841813427|Ga0349638_01|IG|IG_002489|+|3002506:3002561", "2841813427|Ga0349638_01|IG|IG_002490|+|3003921:3003992", "2841813427|Ga0349638_01|IG|IG_002491|+|3004728:3004766", "2841813427|Ga0349638_01|IG|IG_002492|+|3005433:3005631", "2841813427|Ga0349638_01|IG|IG_002493|+|3008527:3008623", "2841813427|Ga0349638_01|IG|IG_002494|+|3009836:3009895", "2841813427|Ga0349638_01|IG|IG_002495|+|3010322:3010324", "2841813427|Ga0349638_01|IG|IG_002496|+|3010880:3011774", "2841813427|Ga0349638_01|IG|IG_002497|+|3012249:3012280", "2841813427|Ga0349638_01|IG|IG_002498|+|3013277:3013344", "2841813427|Ga0349638_01|IG|IG_002499|+|3014896:3014907", "2841813427|Ga0349638_01|IG|IG_002500|+|3016048:3016472", "2841813427|Ga0349638_01|IG|IG_002501|+|3017526:3017540", "2841813427|Ga0349638_01|IG|IG_002502|+|3019365:3019576", "2841813427|Ga0349638_01|IG|IG_002503|+|3020063:3020395", "2841813427|Ga0349638_01|IG|IG_002504|+|3023372:3023377", "2841813427|Ga0349638_01|IG|IG_002505|+|3024860:3025048", "2841813427|Ga0349638_01|IG|IG_002506|+|3025275:3025445", "2841813427|Ga0349638_01|IG|IG_002507|+|3028061:3028100", "2841813427|Ga0349638_01|IG|IG_002508|+|3028923:3028923", "2841813427|Ga0349638_01|IG|IG_002509|+|3029773:3029888", "2841813427|Ga0349638_01|IG|IG_002510|+|3030663:3030673", "2841813427|Ga0349638_01|IG|IG_002511|+|3031559:3031624", "2841813427|Ga0349638_01|IG|IG_002512|+|3032891:3032898", "2841813427|Ga0349638_01|IG|IG_002513|+|3033898:3033901", "2841813427|Ga0349638_01|IG|IG_002514|+|3035384:3035399", "2841813427|Ga0349638_01|IG|IG_002515|+|3036306:3036400", "2841813427|Ga0349638_01|IG|IG_002516|+|3037280:3037455", "2841813427|Ga0349638_01|IG|IG_002517|+|3038851:3038863", "2841813427|Ga0349638_01|IG|IG_002518|+|3041036:3041230", "2841813427|Ga0349638_01|IG|IG_002519|+|3041720:3041731", "2841813427|Ga0349638_01|IG|IG_002520|+|3042533:3042544", "2841813427|Ga0349638_01|IG|IG_002521|+|3042809:3042857", "2841813427|Ga0349638_01|IG|IG_002522|+|3043512:3043639", "2841813427|Ga0349638_01|IG|IG_002523|+|3044339:3044489", "2841813427|Ga0349638_01|IG|IG_002524|+|3044844:3045022", "2841813427|Ga0349638_01|IG|IG_002525|+|3046478:3046544", "2841813427|Ga0349638_01|IG|IG_002526|+|3046995:3047005", "2841813427|Ga0349638_01|IG|IG_002527|+|3047705:3047754", "2841813427|Ga0349638_01|IG|IG_002528|+|3048880:3048890", "2841813427|Ga0349638_01|IG|IG_002529|+|3049464:3049788", "2841813427|Ga0349638_01|IG|IG_002530|+|3050170:3050210", "2841813427|Ga0349638_01|IG|IG_002531|+|3051810:3051867", "2841813427|Ga0349638_01|IG|IG_002532|+|3052576:3052703", "2841813427|Ga0349638_01|IG|IG_002533|+|3053535:3053539", "2841813427|Ga0349638_01|IG|IG_002534|+|3054107:3054139", "2841813427|Ga0349638_01|IG|IG_002535|+|3055055:3055055", "2841813427|Ga0349638_01|IG|IG_002536|+|3055824:3055957", "2841813427|Ga0349638_01|IG|IG_002537|+|3056684:3056918", "2841813427|Ga0349638_01|IG|IG_002538|+|3058812:3058816", "2841813427|Ga0349638_01|IG|IG_002539|+|3060755:3060837", "2841813427|Ga0349638_01|IG|IG_002540|+|3061807:3061821", "2841813427|Ga0349638_01|IG|IG_002541|+|3063046:3063211", "2841813427|Ga0349638_01|IG|IG_002542|+|3064316:3064626", "2841813427|Ga0349638_01|IG|IG_002543|+|3065395:3065486", "2841813427|Ga0349638_01|IG|IG_002544|+|3066387:3066388", "2841813427|Ga0349638_01|IG|IG_002545|+|3068613:3068723", "2841813427|Ga0349638_01|IG|IG_002546|+|3069498:3069523", "2841813427|Ga0349638_01|IG|IG_002547|+|3071570:3071779", "2841813427|Ga0349638_01|IG|IG_002548|+|3072743:3072874", "2841813427|Ga0349638_01|IG|IG_002549|+|3073943:3074009", "2841813427|Ga0349638_01|IG|IG_002550|+|3074451:3074511", "2841813427|Ga0349638_01|IG|IG_002551|+|3074806:3074881", "2841813427|Ga0349638_01|IG|IG_002552|+|3076118:3076317", "2841813427|Ga0349638_01|IG|IG_002553|+|3078185:3078199", "2841813427|Ga0349638_01|IG|IG_002554|+|3079379:3079427", "2841813427|Ga0349638_01|IG|IG_002555|+|3079779:3079855", "2841813427|Ga0349638_01|IG|IG_002556|+|3082259:3082272", "2841813427|Ga0349638_01|IG|IG_002557|+|3082750:3082891", "2841813427|Ga0349638_01|IG|IG_002558|+|3084795:3084802", "2841813427|Ga0349638_01|IG|IG_002559|+|3085370:3085462", "2841813427|Ga0349638_01|IG|IG_002560|+|3086507:3086568", "2841813427|Ga0349638_01|IG|IG_002561|+|3087151:3087162", "2841813427|Ga0349638_01|IG|IG_002562|+|3087478:3087648", "2841813427|Ga0349638_01|IG|IG_002563|+|3087847:3087911", "2841813427|Ga0349638_01|IG|IG_002564|+|3088752:3088813", "2841813427|Ga0349638_01|IG|IG_002565|+|3089435:3089436", "2841813427|Ga0349638_01|IG|IG_002566|+|3089788:3089790", "2841813427|Ga0349638_01|IG|IG_002567|+|3092111:3092229", "2841813427|Ga0349638_01|IG|IG_002568|+|3092500:3092576", "2841813427|Ga0349638_01|IG|IG_002569|+|3093966:3093973", "2841813427|Ga0349638_01|IG|IG_002570|+|3094679:3094879", "2841813427|Ga0349638_01|IG|IG_002571|+|3095627:3095677", "2841813427|Ga0349638_01|IG|IG_002572|+|3096626:3096700", "2841813427|Ga0349638_01|IG|IG_002573|+|3097307:3097335", "2841813427|Ga0349638_01|IG|IG_002574|+|3098713:3099005", "2841813427|Ga0349638_01|IG|IG_002575|+|3100173:3100351", "2841813427|Ga0349638_01|IG|IG_002576|+|3101147:3101353", "2841813427|Ga0349638_01|IG|IG_002577|+|3102359:3102365", "2841813427|Ga0349638_01|IG|IG_002578|+|3103194:3103315", "2841813427|Ga0349638_01|IG|IG_002579|+|3106103:3106113", "2841813427|Ga0349638_01|IG|IG_002580|+|3107461:3107732", "2841813427|Ga0349638_01|IG|IG_002581|+|3110184:3110262", "2841813427|Ga0349638_01|IG|IG_002582|+|3111190:3111207", "2841813427|Ga0349638_01|IG|IG_002583|+|3112498:3112641", "2841813427|Ga0349638_01|IG|IG_002584|+|3113041:3113060", "2841813427|Ga0349638_01|IG|IG_002585|+|3114240:3114263", "2841813427|Ga0349638_01|IG|IG_002586|+|3115722:3115989", "2841813427|Ga0349638_01|IG|IG_002587|+|3116410:3116570", "2841813427|Ga0349638_01|IG|IG_002588|+|3117036:3117119", "2841813427|Ga0349638_01|IG|IG_002589|+|3117771:3117875", "2841813427|Ga0349638_01|IG|IG_002590|+|3118743:3118905", "2841813427|Ga0349638_01|IG|IG_002591|+|3119836:3119941", "2841813427|Ga0349638_01|IG|IG_002592|+|3122768:3122775", "2841813427|Ga0349638_01|IG|IG_002593|+|3123271:3123366", "2841813427|Ga0349638_01|IG|IG_002594|+|3123694:3124157", "2841813427|Ga0349638_01|IG|IG_002595|+|3124635:3124663", "2841813427|Ga0349638_01|IG|IG_002596|+|3125126:3125187", "2841813427|Ga0349638_01|IG|IG_002597|+|3125875:3125975", "2841813427|Ga0349638_01|IG|IG_002598|+|3126981:3127296", "2841813427|Ga0349638_01|IG|IG_002599|+|3127546:3127548", "2841813427|Ga0349638_01|IG|IG_002600|+|3127861:3127954", "2841813427|Ga0349638_01|IG|IG_002601|+|3128591:3128736", "2841813427|Ga0349638_01|IG|IG_002602|+|3131680:3131682", "2841813427|Ga0349638_01|IG|IG_002603|+|3132874:3132962", "2841813427|Ga0349638_01|IG|IG_002604|+|3133593:3133635", "2841813427|Ga0349638_01|IG|IG_002605|+|3134131:3134337", "2841813427|Ga0349638_01|IG|IG_002606|+|3135016:3135078", "2841813427|Ga0349638_01|IG|IG_002607|+|3135388:3135512", "2841813427|Ga0349638_01|IG|IG_002608|+|3136605:3136757", "2841813427|Ga0349638_01|IG|IG_002609|+|3137835:3137888", "2841813427|Ga0349638_01|IG|IG_002610|+|3139050:3139148", "2841813427|Ga0349638_01|IG|IG_002611|+|3141048:3141172", "2841813427|Ga0349638_01|IG|IG_002612|+|3143750:3143871", "2841813427|Ga0349638_01|IG|IG_002613|+|3147032:3147057", "2841813427|Ga0349638_01|IG|IG_002614|+|3147694:3147789", "2841813427|Ga0349638_01|IG|IG_002615|+|3148168:3148263", "2841813427|Ga0349638_01|IG|IG_002616|+|3150634:3150880", "2841813427|Ga0349638_01|IG|IG_002617|+|3151610:3151613", "2841813427|Ga0349638_01|IG|IG_002618|+|3151905:3151907", "2841813427|Ga0349638_01|IG|IG_002619|+|3153087:3153139", "2841813427|Ga0349638_01|IG|IG_002620|+|3155396:3155512", "2841813427|Ga0349638_01|IG|IG_002621|+|3156410:3156603", "2841813427|Ga0349638_01|IG|IG_002622|+|3157984:3158004", "2841813427|Ga0349638_01|IG|IG_002623|+|3159184:3159375", "2841813427|Ga0349638_01|IG|IG_002624|+|3160909:3160922", "2841813427|Ga0349638_01|IG|IG_002625|+|3164631:3164868", "2841813427|Ga0349638_01|IG|IG_002626|+|3165226:3165378", "2841813427|Ga0349638_01|IG|IG_002627|+|3166081:3166092", "2841813427|Ga0349638_01|IG|IG_002628|+|3168568:3168578", "2841813427|Ga0349638_01|IG|IG_002629|+|3170028:3170030", "2841813427|Ga0349638_01|IG|IG_002630|+|3171378:3171466", "2841813427|Ga0349638_01|IG|IG_002631|+|3171860:3171886", "2841813427|Ga0349638_01|IG|IG_002632|+|3172427:3172469", "2841813427|Ga0349638_01|IG|IG_002633|+|3172971:3173021", "2841813427|Ga0349638_01|IG|IG_002634|+|3173649:3173843", "2841813427|Ga0349638_01|IG|IG_002635|+|3174468:3174483", "2841813427|Ga0349638_01|IG|IG_002636|+|3175141:3175305", "2841813427|Ga0349638_01|IG|IG_002637|+|3175714:3175881", "2841813427|Ga0349638_01|IG|IG_002638|+|3178105:3178106", "2841813427|Ga0349638_01|IG|IG_002639|+|3179206:3179217", "2841813427|Ga0349638_01|IG|IG_002640|+|3180754:3180777", "2841813427|Ga0349638_01|IG|IG_002641|+|3181495:3181513", "2841813427|Ga0349638_01|IG|IG_002642|+|3181859:3182053", "2841813427|Ga0349638_01|IG|IG_002643|+|3182219:3182596", "2841813427|Ga0349638_01|IG|IG_002644|+|3183695:3183701", "2841813427|Ga0349638_01|IG|IG_002645|+|3184464:3184535", "2841813427|Ga0349638_01|IG|IG_002646|+|3185780:3185857", "2841813427|Ga0349638_01|IG|IG_002647|+|3186443:3186784", "2841813427|Ga0349638_01|IG|IG_002648|+|3187913:3187948", "2841813427|Ga0349638_01|IG|IG_002649|+|3192523:3192762", "2841813427|Ga0349638_01|IG|IG_002650|+|3194011:3194459", "2841813427|Ga0349638_01|IG|IG_002651|+|3194832:3194935", "2841813427|Ga0349638_01|IG|IG_002652|+|3195647:3195894", "2841813427|Ga0349638_01|IG|IG_002653|+|3198166:3199496", "2841813427|Ga0349638_01|IG|IG_002654|+|3199737:3199903", "2841813427|Ga0349638_01|IG|IG_002655|+|3200321:3200414", "2841813427|Ga0349638_01|IG|IG_002656|+|3202246:3202538", "2841813427|Ga0349638_01|IG|IG_002657|+|3202923:3202958", "2841813427|Ga0349638_01|IG|IG_002658|+|3203529:3203593", "2841813427|Ga0349638_01|IG|IG_002659|+|3203990:3204109", "2841813427|Ga0349638_01|IG|IG_002660|+|3207154:3207178", "2841813427|Ga0349638_01|IG|IG_002661|+|3207758:3207814", "2841813427|Ga0349638_01|IG|IG_002662|+|3208361:3208861", "2841813427|Ga0349638_01|IG|IG_002663|+|3209738:3209774", "2841813427|Ga0349638_01|IG|IG_002664|+|3210132:3210558", "2841813427|Ga0349638_01|IG|IG_002665|+|3211399:3211465", "2841813427|Ga0349638_01|IG|IG_002666|+|3212669:3212799", "2841813427|Ga0349638_01|IG|IG_002667|+|3214735:3214919", "2841813427|Ga0349638_01|IG|IG_002668|+|3217265:3217592", "2841813427|Ga0349638_01|IG|IG_002669|+|3218268:3218873", "2841813427|Ga0349638_01|IG|IG_002670|+|3219090:3219105", "2841813427|Ga0349638_01|IG|IG_002671|+|3220777:3220845", "2841813427|Ga0349638_01|IG|IG_002672|+|3221233:3221448", "2841813427|Ga0349638_01|IG|IG_002673|+|3221755:3221789", "2841813427|Ga0349638_01|IG|IG_002674|+|3221880:3222231", "2841813427|Ga0349638_01|IG|IG_002675|+|3224424:3224553", "2841813427|Ga0349638_01|IG|IG_002676|+|3224848:3224979", "2841813427|Ga0349638_01|IG|IG_002677|+|3225982:3226129", "2841813427|Ga0349638_01|IG|IG_002678|+|3227036:3227548", "2841813427|Ga0349638_01|IG|IG_002679|+|3229253:3229386", "2841813427|Ga0349638_01|IG|IG_002680|+|3229843:3229930", "2841813427|Ga0349638_01|IG|IG_002681|+|3230342:3230508", "2841813427|Ga0349638_01|IG|IG_002682|+|3231004:3231017", "2841813427|Ga0349638_01|IG|IG_002683|+|3232884:3233130", "2841813427|Ga0349638_01|IG|IG_002684|+|3233509:3233582", "2841813427|Ga0349638_01|IG|IG_002685|+|3234306:3234389", "2841813427|Ga0349638_01|IG|IG_002686|+|3236763:3236893", "2841813427|Ga0349638_01|IG|IG_002687|+|3238875:3238969", "2841813427|Ga0349638_01|IG|IG_002688|+|3239450:3239533", "2841813427|Ga0349638_01|IG|IG_002689|+|3240095:3240168", "2841813427|Ga0349638_01|IG|IG_002690|+|3241402:3241498", "2841813427|Ga0349638_01|IG|IG_002691|+|3241940:3242104", "2841813427|Ga0349638_01|IG|IG_002692|+|3243515:3243631", "2841813427|Ga0349638_01|IG|IG_002693|+|3244367:3244580", "2841813427|Ga0349638_01|IG|IG_002694|+|3245049:3245110", "2841813427|Ga0349638_01|IG|IG_002695|+|3246698:3246952", "2841813427|Ga0349638_01|IG|IG_002696|+|3247427:3247476", "2841813427|Ga0349638_01|IG|IG_002697|+|3249883:3249967", "2841813427|Ga0349638_01|IG|IG_002698|+|3250463:3250507", "2841813427|Ga0349638_01|IG|IG_002699|+|3251384:3251542", "2841813427|Ga0349638_01|IG|IG_002700|+|3252266:3252313", "2841813427|Ga0349638_01|IG|IG_002701|+|3253124:3253210", "2841813427|Ga0349638_01|IG|IG_002702|+|3253640:3253681", "2841813427|Ga0349638_01|IG|IG_002703|+|3253940:3253949", "2841813427|Ga0349638_01|IG|IG_002704|+|3254442:3254449", "2841813427|Ga0349638_01|IG|IG_002705|+|3255974:3256108", "2841813427|Ga0349638_01|IG|IG_002706|+|3256433:3256559", "2841813427|Ga0349638_01|IG|IG_002707|+|3257415:3257436", "2841813427|Ga0349638_01|IG|IG_002708|+|3257617:3257671", "2841813427|Ga0349638_01|IG|IG_002709|+|3257843:3258283", "2841813427|Ga0349638_01|IG|IG_002710|+|3258713:3258816", "2841813427|Ga0349638_01|IG|IG_002711|+|3259378:3259509", "2841813427|Ga0349638_01|IG|IG_002712|+|3259849:3259919", "2841813427|Ga0349638_01|IG|IG_002713|+|3260955:3260960", "2841813427|Ga0349638_01|IG|IG_002714|+|3264342:3264511", "2841813427|Ga0349638_01|IG|IG_002715|+|3265166:3265356", "2841813427|Ga0349638_01|IG|IG_002716|+|3266725:3266742", "2841813427|Ga0349638_01|IG|IG_002717|+|3269317:3269476", "2841813427|Ga0349638_01|IG|IG_002718|+|3269795:3269926", "2841813427|Ga0349638_01|IG|IG_002719|+|3270962:3271014", "2841813427|Ga0349638_01|IG|IG_002720|+|3271366:3271440", "2841813427|Ga0349638_01|IG|IG_002721|+|3272500:3272567", "2841813427|Ga0349638_01|IG|IG_002722|+|3273522:3273527", "2841813427|Ga0349638_01|IG|IG_002723|+|3274767:3274825", "2841813427|Ga0349638_01|IG|IG_002724|+|3277317:3277421", "2841813427|Ga0349638_01|IG|IG_002725|+|3278811:3279018", "2841813427|Ga0349638_01|IG|IG_002726|+|3279850:3279864", "2841813427|Ga0349638_01|IG|IG_002727|+|3282304:3282424", "2841813427|Ga0349638_01|IG|IG_002728|+|3282725:3282775", "2841813427|Ga0349638_01|IG|IG_002729|+|3284076:3284161", "2841813427|Ga0349638_01|IG|IG_002730|+|3284813:3285121", "2841813427|Ga0349638_01|IG|IG_002731|+|3286100:3286151", "2841813427|Ga0349638_01|IG|IG_002732|+|3288061:3288156", "2841813427|Ga0349638_01|IG|IG_002733|+|3289207:3289616", "2841813427|Ga0349638_01|IG|IG_002734|+|3292783:3292785", "2841813427|Ga0349638_01|IG|IG_002735|+|3293812:3293812", "2841813427|Ga0349638_01|IG|IG_002736|+|3296435:3296454", "2841813427|Ga0349638_01|IG|IG_002737|+|3299364:3299580", "2841813427|Ga0349638_01|IG|IG_002738|+|3300640:3300853", "2841813427|Ga0349638_01|IG|IG_002739|+|3301289:3301829", "2841813427|Ga0349638_01|IG|IG_002740|+|3302325:3302834", "2841813427|Ga0349638_01|IG|IG_002741|+|3303219:3303318", "2841813427|Ga0349638_01|IG|IG_002742|+|3303894:3303984", "2841813427|Ga0349638_01|IG|IG_002743|+|3304453:3304547", "2841813427|Ga0349638_01|IG|IG_002744|+|3305715:3306153", "2841813427|Ga0349638_01|IG|IG_002745|+|3307121:3307233", "2841813427|Ga0349638_01|IG|IG_002746|+|3308428:3308502", "2841813427|Ga0349638_01|IG|IG_002747|+|3309970:3310117", "2841813427|Ga0349638_01|IG|IG_002748|+|3312560:3312651", "2841813427|Ga0349638_01|IG|IG_002749|+|3313822:3313947", "2841813427|Ga0349638_01|IG|IG_002750|+|3314992:3315190", "2841813427|Ga0349638_01|IG|IG_002751|+|3315779:3316612", "2841813427|Ga0349638_01|IG|IG_002752|+|3317246:3317379", "2841813427|Ga0349638_01|IG|IG_002753|+|3318004:3318095", "2841813427|Ga0349638_01|IG|IG_002754|+|3320130:3320181", "2841813427|Ga0349638_01|IG|IG_002755|+|3320848:3320866", "2841813427|Ga0349638_01|IG|IG_002756|+|3321569:3321668", "2841813427|Ga0349638_01|IG|IG_002757|+|3323325:3323361", "2841813427|Ga0349638_01|IG|IG_002758|+|3324496:3324551", "2841813427|Ga0349638_01|IG|IG_002759|+|3325863:3325979", "2841813427|Ga0349638_01|IG|IG_002760|+|3326811:3326933", "2841813427|Ga0349638_01|IG|IG_002761|+|3328665:3328935", "2841813427|Ga0349638_01|IG|IG_002762|+|3329365:3329417", "2841813427|Ga0349638_01|IG|IG_002763|+|3329823:3329849", "2841813427|Ga0349638_01|IG|IG_002764|+|3330990:3331110", "2841813427|Ga0349638_01|IG|IG_002765|+|3332878:3332933", "2841813427|Ga0349638_01|IG|IG_002766|+|3334506:3334539", "2841813427|Ga0349638_01|IG|IG_002767|+|3335221:3335654", "2841813427|Ga0349638_01|IG|IG_002768|+|3336009:3336017", "2841813427|Ga0349638_01|IG|IG_002769|+|3336678:3336691", "2841813427|Ga0349638_01|IG|IG_002770|+|3337265:3337332", "2841813427|Ga0349638_01|IG|IG_002771|+|3337750:3337951", "2841813427|Ga0349638_01|IG|IG_002772|+|3338423:3338551", "2841813427|Ga0349638_01|IG|IG_002773|+|3339002:3339180", "2841813427|Ga0349638_01|IG|IG_002774|+|3339817:3339817", "2841813427|Ga0349638_01|IG|IG_002775|+|3340922:3341064", "2841813427|Ga0349638_01|IG|IG_002776|+|3342250:3342252", "2841813427|Ga0349638_01|IG|IG_002777|+|3343615:3343813", "2841813427|Ga0349638_01|IG|IG_002778|+|3344654:3344659", "2841813427|Ga0349638_01|IG|IG_002779|+|3345008:3345053", "2841813427|Ga0349638_01|IG|IG_002780|+|3346572:3346661", "2841813427|Ga0349638_01|IG|IG_002781|+|3349761:3349785", "2841813427|Ga0349638_01|IG|IG_002782|+|3350872:3350874", "2841813427|Ga0349638_01|IG|IG_002783|+|3352123:3352200", "2841813427|Ga0349638_01|IG|IG_002784|+|3354249:3354409", "2841813427|Ga0349638_01|IG|IG_002785|+|3355124:3355588", "2841813427|Ga0349638_01|IG|IG_002786|+|3356900:3356951" ]
[ "MKKKLILESGEVFHGVGFGANVDTEGEVVFNTGMSGYQELISDPSYCGQIVCMTYPLIGNYGINRDDYESIEPAMKGLIVKEVCDFPSNFRSQMDLDEFFKHKNLSGISGIDTRKLTRLIRSKGVVKGKIVDENADEQAIVETLKATTFPTNQIEAVSTKTNYASPGRGLKVVLVDFGAKLGILRELTQRDCDVTVVSQDVTAEEIMMINPDGIMLSNGPGDPEDVPHALEMIRGLLGKVPIFGICMGHQLISLACGAKTFKLKFGHRGGNHPVLDLKTNKVSITSQNHGYAVDQESLKNTDLEETHIALNDRTNEGVKHKIHPCFSVQYHPEASPGPEDANYLFDEFISMMYQFKNVSV", "MINFENNPLVEKTVKFSLDIIEFCQLLESKKKFVIAKQLLRSGTSIGANSFEAQNPYSKKDFVNKVKLAAKELEETKYWLYLCKHSEHYPFNENLETQIIEIGKIIYKILSTSLSKENGE", "MKRNDIKTILVIGSGPIIIGQAAEFDYAGTQACLALKEEGYRVILVNSNPATIMTDVEIADKVYIEPISLEFVSRIIRKERPDALLPTLGGQTGLNMAVELEKSGILEECKVEVLGTKLSAINQAEDRDLFRELMRELNEPVPESEIVTTIQGALEFAQNIGYPVIVRPAFTLGGTGGGIASNATELKEITANGLKYSPVTQCLIEKSIAGFKEIEYEVMRDANDNAIVVCNMENIDPVGIHTGDSIVVAPSQTLSDREYQLLRNASLKIIRALGIEGGCNVQLALDPHSFDYYIIEVNPRVSRSSALASKATGYPIAKLAAKIAVGLTLDEMMNPVTGKTYACFEPALDYVVTKIPRFPFDKFETADRKLSTQMKATGEVMAIGRNFEESIMKAIRSLETGLQHLGLKTKAAEALTPADIERRIRVADDERMFIIGDALRRGYSWEQIVEWSKIDKFFVWKFKKLIDFEKTIAENVKNAEVLKEAKKLGFSDVNIAHLWNVTQDEVYEFRKENGIIPVYKMVDTCAAEFESETPYFYGTYEEENESVVTDKEKIIVLGSGPIRIGQGVEFDYATVHSVWAIKEMGYEAIIINNNPETVSTDFSISDKLYFEPLTEEDVMSIIDLEKPKGVVVQFGGQTAINLADKLAKHGVQILGTSLEDLDRAENRDKFEQALQELGIPQPLGKTCFSKEDAVKIANEIGYPVLVRPSYVLGGRAMEIVYQQSELEHYMEFAVEASPEQPVLIDRYLTGKEVEVDAICDGETVVIPGIMEHIERAGVHSGDSIAVYPPQNICQENLNDLVDYTIRLAKGLKVVGLMNIQYVLSEGNVYVIEVNPRSSRTVPFLSKITEVPMANIATKAILGKSLKEQGYENGLVPNKEGIFVKVPVFSFSKLHKVDITLGPEMKSTGEVMGKDITLEKALYKGLIAAGRKVPLHGAVLFTVADKHKPEAFDLASRFANIGYKIYATNGTAKYFEENGVRAEVVNKVDDSAEEDLIDLIQKGGIQFVVNTMTKGKEIERDGFRIRRASVENGVPCLTSMDTVEALVKVIESMTFQMQEM", "MKAEKLQIEQLTTNRLILIPFTKEMCENILNNNYSDLDTLNIKRGINWPDTDFLETLPRILKNLSAVDGPTGFESWMIIRKDTKEVIGDAGFKGYNRTEQSADIGYGIKKEERRQGYAEEAVNALIQWAFSTGIIKEITARSYIDNYASALLLTKLNFVETEMDEEMFYWSLK", "MKKLAFSLALFASVLAYSQYTLPVASPRAATEQQFSVSKIKVDYGRPFLNGRKIFGGLEPYDKVWRLGANSATLISFGQDILFGDKYIKAGTYAFYAIPKAKEWVLILNKGVGNWGAYDYKENDDVARVTVPVKTLTSKTEVFTINLTPVNASQTDIEISWDDVQVKLPVKVANESAVNLIAEQLKSIKKIDSDARKTAEKK", "MTNQMTTVPIPEVLENDDVRLVLVNDSDFEKIYEIASDPKVWEQHPSPTRYQKEVFRTFFQGALKSHAAYLIYDKKSGELAGSTRFYDYNEEDNSIFIGYTFYATKFWGTGINPKVKHLMMDYIFQYVDKINFHVGKDNKRSRIAMERLGAKLKEIVRVAYFGEPDRINAWYVIDKPETGN", "VDFRLKIFCSAAEQKSFTKAAQLNFITQPAVTKNIKELEGELGVSLFERKNARVELTQAGKVYYEYAQQLLKVYEEAQYKVNELKGSFNGRLNIGASTTIGQYILPKVLAEFKRKHPQIIIQMLNANTEEIEKELQESKIDLGFIEGHSGKSSLKYKPVMDDEIVAVVHRNHTLFLQEEISINELKEQDFVLRENGSGSLDVIADIFNKNQISLKDLKVQAQLGSTESIKTFLEYSDCIGFLSIHSLRNELLSGTFKVLEIENFNIKRQFNAVYKHGNFDGISKLFLDFFFINNHKL", "MKQAKLLQKILFFVLLIFCVTPFVEPPLALILGFVVSFFIGHPYIKHNSVAAKYLLQFSVVGLGFGMNLTEAIKVGKEGLIFTVASIFFTLIVGLIIGRYLKINKSTSTLISGGTAICGGSAIAALAPVINAKDEDISVAMACIFILNALALLIFPVIGHQLNMSQDQFGLWSAIAIHDTSSVIGSAQKYGEEALKIATTVKLERALWIIPVSILLSVLNKGSVKKIKIPYFILGFIGAILLAYYFPQIRPFGEIMVFTAKKALNITLFLIASGLSISSIKKVGVKPLVQGVLLWIFISVGSLLVIMEVA", "MENLPQENQSKFKKWFKRVGWAGLIFFTVKGLVWLVVFYFGADALKGCFK", "MKTVILRSFFMLTSFIACAQEKKDNPTLISSKMKSISGVPFLTKQNDFVYIDKTNLKPVIEQKFKIASLFTATGFAIVGNEKNESAVIDEKGKIVLDFSEDEINVNMINGLTFYKKEIEYEKKMPVSKWDWNIMGSGIKKEQTYHKVEIGVLETKQILLSEDVPYLEDEYSLSFVSVDESHVFWNGSLYEIKKGRLNKIENNITELLEDKRFIKASNSNFSVYELNQKKVVHNSLKGTETLSIRFGNETITLKDVNKERFDPEVPKLLTDSKTNDVYAFPQYEKIFPKEITKATASQIDFIKKASLVYSITNSPYFLLGVFNYDHNVWAYDWLYIDTKGNVTDSIDTYNFKVLDQVGYLVWPDRKMILSDQLNDKNRKFGKISYYSGMNDLYLIRTEDGKQQKNMGLWNSRTKSWEIKPEYHSISVLDTEQQIYALQKEKDGLYTLYDNENKKSIGLISYKSVNSDGLVNIKTDSGQNIYYYIDIYSGREYKE", "MERALIIAALGLFFGAGFSYTLIAFIINSVRRKNKKTLYYVLSFLISGIIVVVLAALYFYNILIEHPEPRSGY", "MIFRKLLPVVAFIISISSFAQIRTGVYFSSDKKYKEIIEELGNPLEGNPVMIVTSFVPKHGSYVWYLNEKKVEKGTYFEGTPKDLYSGIFLEDHGGLIPQITFKDFAKDLGQPMYLINYCEVGDADKDGFPEFYLTYFGESDGLDAKPLKIIVYTKRGQKTLSKSKITGWIPYQDEDQYREERDANFNLLPKSIRLKAEKILKDAKKGIQ", "MILASFLILFGCEANAQKLNITTIYSEPNRVTREVPETGGKHTYTTRKEVTERLNPMIVMTSKNAETLKFRIQGNISSGGLNINKIRKIRLEEAAQNGNSMTLRYYVEVKKIPGKESSDVVGYNYTKDEIYKIPNDVKIIKIELYENQIKNTSGTTPKLIAEQTFDLFAKI", "MHAPKLINIDLNAVADFDKEAVFFDPATKSRISYWTDGTDVYWRTTKLKANPECFLVFNKLFAKDNKHCFMQDKRLKNADVNSFEALNYCFAKDRHFVWCLGGEFRPYDIETFEVCDDGFNKNLMHQTFYFSDGSSADGTTQISSGYAKDKHQVYCYDHTGKVKILKGADPKTFVSCNNGKFAKDSRYIYYYFHQIKKADPKTWKLLDLEEGYSCDAKHAFRFKTCLKNTDIATLSIYEFTDKEGYTTKFLKDKNGLFDLDGTRITEDKLKKDYA", "MSKSNNKIKLSEEEALKIIVDLDQIVVSLDKIKSHFAEDNNFQKHDKTLSDYIINEQVNQTLAQIRGLLSSKFSLSVGEDDMDDLERACSTNRYWTPENNEMDAVSVNPKNWHERNLPVLSSLIVNEFDFFHQLFSKKGQNMYAFALILDDDCLTAYSAVSTTESLKKIHKNKEWDAPEWCLCVSQGAVKEGVDTFTKLLLERYRKDIVPLFQQGFDYASERQKNLQLFTDALRIAKQELVKKYGNVVEEMAFYISIPGEPIVEKNTALAINNEGNTKVKELLDSLYI", "MNLDFEKLAIEIEAATRKSFQEIVANHAAENIYAFALYSDEGAMTVCPATNTMDFLVTRPQDDLTYYTFEPAEWCYEGSRPGDGFSAISHQLYEAIEAIEEDQEDEYDDDNDEEFEEFQQTLYQTCFEVLLKLKQENFFKNLVRKDIFLMFSVTDYEFDRNKLKEMIILLNDNPYQQEYLDWMKTWRK", "MNDTLNNFKVTDRQSFIKFLDLLRKDFLDNPENWENKTLHDFLEALSAYTEDVQGYYDNMKLGINADKPDWSTFADIFKGAKIYE", "MNITKQQQDFINTHFYEGIPQRELDESIFRALKTSEELHYLATHHSWDNGVKALQWIVESPICSEATALELFWLAQPQDFQQCKLDITLQDEYLNEVFTLLKTILKNYPDSFYQKTIIPFDPAPFYENELIIPDWIYQKTNGENSYVYYEEDDIEDWFDADWKNNIQRAESTIELFNIAWFMDEPEQAALILEHPLCDKGIAVLVFWRLYNECAVYTETNGKLKEIIHNILNNTYPEMLSYDPKTDEKVDYKKKKIVWEIPEIFRKEV", "MSTLEDLEKEFNFTYPELYKQLYTDKMLDWGSDGNGWYTNVFPTLKENPPLLLFGSDIEIWDPIVYRDGIREMVNHEVYDINPKYQMVPLAKNGAGDLYVFQFDMEINGEVPITFFGHDSDAEILAKNLQDFIFRQLLESLTEIDEYSMFYEDSEEKIKQNLHNQLKTHRKYLKAEQVEILEDIYQLDIFEYTYITPNGGEFEAKGLLTFDDLEKLIKQEISFEKLNIKFDYWK", "MKKNFAFLILSALLVVFYSCKESERKKTNFPNYLKNTNWIVNEGGLIAPDGGKTYYMSPRIDTAVIFNFHAVNFLDEEKFRSYDAWECGNDCFTEVHGRYYFTQANQIKMEVDSISKSDFCDMPTQIFNPPKEMVFDLVKEGKQLKLIRKDK", "MENKETWIEGDTLFYKDHGNIENANIQSLQYAYVQILGDVPFLFVFADHQHYISTELKGFEEVYRELSDRFGFDSEIFFAVCKTRKEDDKVKIWAKKVLRNYHILDEYPDDVDFGYEVYAEPRHILSYEQLEGSDFVEVYFTDFGARYLRFRYPVRVEGVLIDQLEVYADNISTNRPVQEFFVSLYEETNTDKSYQQLRELWVDDDIDISQYGYEREDQCYLQFVLTSGINASICYTYDKGYSYDDGSTSLHFYNKKEYKYFLENKEYEEVMEISGLIPFDNSLDMKVNYINNDGVKHIPLRIKEVLGEKSGIWVDNINHKIGFVGIDTALILDLDKIRHFTFQNVLPAKGAGYADLIVHLSTGNYLYVFIEDTYFFDQFAQQLEQMTKKVVEIPEAYYNC", "MYIGSTAKERPDHFVVIESGDSATEILALMKEKQIFNLILDGKTCDTAIFRLPEFREVFGNINIIYFSNFNIDKSEILYTFKNAVRLEIKKCAYKGKEPIDWTVFTRLEELFTPYSKRFVNLFTHPTLKTIFIEKFTEENYRFPENEILLTLSIEGSASCDWSTLTHFTKLEALYLSEIKSLTDISWLGDLNNLKDLDISLCKNIEAIIEVISKIKSLKYLHISQSGVIESLQSLASLTSLEELTIENKGKLMDKNISFLDKIPNLEYSIEIGSFGAGSDK", "MMDNFQVNFPLTYQLLGAWFSDIDYEDITYEKMIENYKKVTKRQDLDLLKLELPELKRELDKNTIDYKYISRLSNIYFENNDDVLKWLNEIFTYLEE", "MAHRIYLYNYDQKTNQSFDTYLGEWNYEIPVLLYPLIAEDIRVEGVEFFSNKEQGIVQLRYFFNLLADTYQLHYNKAYYEPVNKMFEFLEALPFDSFVLNATDVFNMSEEKHKTQAKEWLVEIQQKSKLYKKAVETQNLSLLDSLFSQYGYSSFLDILQTDWINYGLGYFEELAYKKIASSIFEEEGKFGLKDSKGTILAPAIYDDIFEADYYYGISVIQKDSLFGYLQSNGKELVPPVYEEAFDVFDFESEPLGEIKIKGKTGILKVHSNIWILPPDYDSTEVITYGFLCVETGGKYGVSNFAEMIIPVESDNPYEYDYFPELFFTKQKGTSKRRYYTKEGNYLGDFVEESIVKAGSCFWVKPNKFDKKGRLIDEKGNPVIEEADQLMLLERFDCLAVCKDKNWKIYNTLKHQFLLENEQITKVNRKPNIEYKHNVFTLETQNGLGLFDAENNIWLIAPHSDIKQIHYLEHGFLSVQKKDGYQLYDFENGLSDKSYDYISNPLNYRAEEGMLFLYLGEKMFRMNEDKSIHLVEIPEYGPIYLDRYSFRGKDLEYFISFYNRWKDLAGSNPEQFMDVETIKKMAFDAKEDQNYKEALRLFELSAQKNDLDSWVEIGLLLTDPEIEELFNPQKGIAYYEKAAQQNHPVAWNNIGALYHNGTGYSFNIKKAINAYEKGAELGDGMALTNLGDLYYFGVHVKQDYNKALNFYQKAEKKYYYNYDKISEIYYQLSDYENLLDYLKKDYDQSYSGIYYGILYEQGLGVKTNLKKAIKYFEQANAYSAYEYATQRLVYYYGESLEFKNEKKFQKWKYFAEQHDFEIN", "MYRLLFIFTLFICPFFAQGQEALGKLEKEYNNASDNRIEQLNIAPKFAKALFLQNYKDKSYQILKNNIHIAAKQTDGKYATILYAVQAMNYRLDNRQAESLKSLDMANMYSLKTSSNEAKGYLQYAKGWILARNNKTTDAVAAYLKAISYYENSPTTSTLYGRFATVVKELSAVYSDLNEYQLEEKYSKQFLLLASKQNDPNLIFDAYMRMGYVYEQKYAQNLSDLDLRNKAEHYYLLAITTFNKRKDAMLNKSNLSYAAINLANLYIDFDRDKAMQYAQLANKISLETGNAIHIASSFGILAELAIKNKDYDLAKSYFLKASMEIGKSPVRDHNIELSILESLSRISEEQGNYKEALVYYKSYVDKYKSVYDQEKLDITKRLESQFEKERQEQKYIKLQLESDKKAQQIKLINILRAQREQVYNNLKLTEENQRERLKFSELESEKRAQQLRLAKLETEQKNNDIKNYKELLAFKEKINTYYFVFIVIFIVLIILLLYAYKQRVKSMKQRDQLHALAMEKEKQNSKISTLTALLEGQEQERGRLARDLHDGLGGLLSGTKLQLSYLDPHQSETIEDGISKSIKQIDGAVEELRRVAHNLMPDLLVKYGLEVAIQEFASRISNSALDIHTEFINYRNSLSEEKQLIIYRIIQELVNNAIKHADASEIIIQISQEESVLNLTVEDNGKGFDQKVLNVKKTAGFHNIESRVQFLKGTMSIISELNIGTSIELQIPIH", "MIKVAITDDHPLLLEGLKNILGNSDTIDVVDCFRNVSEMNAGLAKQAIDILLLDINLVDTNSIELIKPLKKKYGNLQIIILSVHNELPVINSTLAEGALGYIQKNASVSEILEGISTVYAGKQFLCSQTNSVLEKKSLDGLNQVPKLTRREKEILAEAAKGLTTNQMAEKLFISPHTVESHRKNLIEKFQTSNLSSAIKLAIEYGLIIA", "MKKISLTILFSLLSLITFAQSLKVVIKQDGKVVQPVNNVYELKKSTFQFEITSTNLEGFLIGATTDESIYTTAVAPYNPEVAWFQNTGMAEELYNKDKEMFLMDQAPSYWYFTDSKDHRFDKTPKGNLKQWTATRTITRFYDIMVDQPISLKDFNGNAYVLMYEPVYNDEYDLIGKKNLFQGELKFKD", "MKTEVTQKAVTFADFKKIKGVDNVQNVPFQLFTKLDSVQFYVSPDKNAARLKKANNKLDNYYGFEEFDDFYSIHFSIDNNISNSIEAFVLKSEFKAAFELTLKGVNLYEIRSSTFKESDDFKNKSFNKYGTIDEVPEQEFKTASKKRIDEALVKNPHITLKDNNWIYTENGKQEIITQHKDISTETGPLANEYIGRSSALNMEVFKENSNEVTDPYYSFFNIKNAVMFDLATSGYPQILPVKNWVSFVSSNNDVGSNFVISKYFPQTKKQDNLLYVNFTNFKIGDEKKAFWAENDTFYAEVYPLNSASAKGKKQKAAYIKIRLKSNLF", "MYQSKILQPTKQPDMGNKWLKTGMFQERDFREFYKR", "MLVDLHKIAINEIEKISGGDNKMLTIRIEEKVEIEKLITLNEILDKENFLISVIIESENSLLDNKVSGIYVFPYLSNVTKLKIYVSDYDEKLENLNFLKSINNLSYLDISTNARKNLRFDELVKFPVIKHFSYLCEGLNNEQNFYINNFSELKYLAVYDLNLELLRGNASINELRVHRKLINPKLFTEKFPNIENLVLEKCSDLDFQKDIANHPNLVNISLRYMNSIYEVPKFKNPGKIKKVSLLGLRNLKALDNITEMNNLEVLEITNIQNIKIESFLILKELKKLKSLYIVFEKAAFNTEFEKFAIKNGLPIFIE", "MAKTNQSFKIEKSLQHLGISKDNKGASSGTKFFATGKSIDSYSPVDGKLIASVKTASEKDYEKIIKLAQQASSEFRLMPAPKRGEIIRQFGLKLREYKDDLGKLVSYEMGKSLQEGWGEVQEMIDICDFAVGLSRQLHGFTMHSERSQHRMYEQYHPLGIVGIISAFNFPVAVWSWNAALALICGNAIIWKPSEKTPLCAVACQNIMAEVLKENNLPEGISNLVISDHVIGQKMVESKDVQLISFTGSTAVGREVASKVAGRFGKSILELGGNNAIIITENADLEMSIIGAVFGAVGTAGQRCTSTRRLIIHESVYDKVKTKLVKAYGQLKIGNPLDVNNHVGPLIDEKAVKQYEASIAKCKKEGGKFIVEGGLLKGKEYTSGCYVKPCIAEVKNSYEIVQHETFAPILYIMKYKTLEEAIALQNDVPQGLSSAIMTQNLREAELFLSHAGSDCGIANVNIGTSGAEIGGAFGGEKETGGGRESGSDAWKYYMRRQTNTINYGKDLPLAQGIKFNI", "MNNIVDNNQVKETLGRHILADGLDMVMDFERSHGSVIVDNLSGKEYLDMFSMFASAAVGYNHPYILKHQDWLGKHATYKPTLSDVYLQEYADFLKVFERVVIPEELQYCFFIEGGALAVENALKTAFDWKTRKNWQKGSKTEASMVIHFQQAFHGRSGYTLSLTNTADPRKHQYFPKFDWPRVINPKLTFPVTEENLAHTIEQEGKALLHIQEAILANPNKVACIIIEPIQAEGGDNHFRPEFFQELRRICDENEILLIFDEVQTGIGITGKMFMFQHIGVVPDIISFGKKTQVCGILASKEKLDEVEHHVFKESSRINSTFGGNFIDMLRLKLMLEIIENENLLENVQKQGLFLIEGLIQLQNRYPDYLSNARGVGIMCAIDFPSKELRNKVQQQLFTEEDILILPCGERSLRFRPHLNVQQSDLSKVLSAINSIVSKI", "MDLNTKVSVFEGDKPQEVQLIKSKLEEAGIEAEIDNSYMSFLSTPTATNLKVKVYLRDEKKAFDVIDHYLKEFNNN", "MKNLKKLSRENLKEISGGYRMCPEDGNCGDGFCCAPGGCRSIAGAGPKTYLCYAPMY", "MAKKKSKINNISDRLGNLTKNSELQKAKDILEKAKAINRTVRHAPSTDNEFRREKDKKKDL", "MEFNKLIPVTTFIKLLEKKAYSEYKVLKESYKSFVELPLTLEMIVPSNNRGVLIKEPVFPSPEYGINLYAYETFLDDKDIFQKAKENLFFKFDDYETADDIIFFNDKQIRVSVKSDYFLFNGRTVRKIEDLTLVEGIEFILTPKALEIIYRNNT", "MNIKLLFFSIFVLSTTIACTTDRDEDSVNADASVSIKNISNKIKLKKTQPAKTAEGRPVLVNPSETIDPTKSDRPK", "MKKIQKSDLKKINGGQACYMAFCPQCNNNDGPYYACIPIGARPYEVCCPNG", "MIKKIFLLSIFSLFIISCSSSKVVTKRPTGVKNYALRNLNSNFSGSNSRKVDKLLRTAEDYMGTPYKFGGVTRSGMDCSGFITTVYDSQDVNLPRRSEDQSREGREISIKNVIPGDLLFFATSGGSRVSHVGIVHTIRDGEVNFIHASTSKGVMISSLNEKYWNKAYLSARRVL", "MSLQETIEKIWDNRDLLQDKENQEIIREVIMQLDLGELRVAEPTENGWKVNEWVKKAVVMYFPIQKMTTIEVGPFEFHDKIPLKKNYADKGVRVVPHAIARHGSFVAPGVIMMPSYVNIGAYVDSGTMVDTWATVGSCAQIGKNVHLSGGVGIGGVLEPLQAAPVIIEDDCFVGSRCIVVEGVHVEKEAVLGANVVLTASTKIIDVTGDEPVELKGRVPARSVVIPGSYTKKFPAGEFQVPCALIIGKRKESTDLKTSLNDALREHNVAV", "MIRNTVFRLWSKYGKFIEQPKYIFSIYILVAVFSAIAKYRGGPSKYNNYLIFKNVFRNTLLQQNLYLEYPLLHFDKNHYGIIFSALIAPFTILPDWLGMILWNIANVGLFIYAVKQLPFSEKMKSFFAWLCFQELITAMVSFQFNVALTGLIILSACFIYQRKESLSAIAILLGTFVKIYGIVGLSSFFFVRNKKRFIVTLIIGAAAFLAIPMLYSSVHFGLQSYTDWYVELVKKNNDNQVLGNMQDISLMGIVRRVMGDANISNLWFIAVGLPLFALPYLRIKQYKNQAFQLMILASTLLFTVLFSSGSESPTYIIAVAGVMIWYLIQKDKTAFVNSLMLFVLILTCFSMSDLFPKYVKQNYIIKYSLKALPCCIVWFRITYELLTKDFNKNYQLQQNEEN", "MKKINIVIPAHNEEKNVPIMRERIAAVFSSLKDYTYEIIFVNDGSRDTTQQVLEELAAQYPEVRYIELSRNFGHQAALKAGLDNADGNAVISMDGDLQHPPELIPQLIKEWENGHDIVYTIRRYNENISLSKKLTSDIYYKIISSMSDFTIEKGAGADFRLLDAKVIEEIRQNHESDLFIRGLVKWVGYKQKGIKFVAADRENGISQYTINKMFKLALTGVTSFSVKPLYFAAYLGFFFSALSLLYVPYVIYSFMNGSEISGWASLIMTIVFFGGLQLVMLGIIGIYLGKVFKQVKDRPLFIIRSKNF", "MILLSFDIEEFDMPLEYEGTIPFEEQLQVSRNGLQNILAILKKHNAKATFFSTVVFAENNKDLIEQLLSDGHELASHTWYHSEFSIEDLKKSRERLQEVFGTDIIGLRMPRMMEVEAAEVEKAGYTYNSSVNPTWLPGRYNNLKVSRRYFPQGNVLQIPASVSPWRIPLFWLSFHNFPVSVYRYLAKRAIKKDGYLNIYFHPWEFMDITKKAYALPSYTSVNTGDKMVQRFDEFVQWLKQNQYRFGTFKDFLETIKK", "MKIGYDAKRFFHNTSGLGNYSRDLVRTLAEYFPENEYLLFAKNTSERTKGLLERSNIIFRKISKGNLARQMKMGKDAQNEECEIFHGLSGELPLKWNNKKIKKVVTIHDLIFLKYPQFYSFFDRKIHTWKFKKATKDSDLIIAISEQTKKDIMEYFKTPESKIKVIYQTCHEAFKQSFSEEELTAVKIKFKLPERFILNVGTVEERKNLFSVVKAIKGTNIPLVVVGRETKYSQRIRRFIAQNEMEGQVYFLTNVNMTELAQIYQLADVFIYPSLYEGFGIPVIEALFSKTPVITSNVSCLPESGGPDSLYVNPTNTEDIKSKVLHLWENPDEGKRRAENSFQFVQKFNEKEIAEEVMNVYKELITV", "MEELLAIGSQSLRKAALNEDVKAYILQNESLFNVLKRAANRYIGGENLSETVNKVTKENSMGFKCSIEFMGESTRTVKEALEAKDEFVNICQTIHNQNLNSTVSLDLSHIGLAISEDLCFENISVICKAAGDKEVIISAEGTERTDAILELYKKASKDFSNLSITLQAYLHRTKDDFIDIIKEKGRIRMVKGAFETEKGLSLSRGSALDSQYLYYVEQLLSQQHLCSIATHHHEIQQEAKALINKYKPGKETYEFESLYGIQTEQLIKLKEEGYPTKLYFVYGKEWYLYVCNRLAEYPLNLFRALQDVMEEKA", "MKDQLRTINGGIMPGQCFYLDPATGKRIRGCLTGQGTWIDGVWYEYAPEVQNSCHSCLE", "MAQKIDNYKDFYSFYLTEHKDYTCRILHFTGTALVFTLLLYALFSEEYYLLWFVPVVGYGFAWVGHAFFERNKPATFTYPLWSLISDFRLFFELLIGKQKFKCYQN", "MKKLNIYFTAGVPQLNDTAQIMKTIQSAGADMIEVGIPYSDPVADGPVIQKSDELALQNGMTIAKLFEQLKTVKDEINIPVILMGYLNPVLKFGFEKFCQECQASGISGLILPDLPPIEFEKKYQKILEQYGINFTFLVTPETSDERIQYLDSLSSGFLYAVSSSSTTGTNQEIDNDAYFKRLKSLNLKNPILIGFSIKNKADFDKVTQHADGAIIGSAFVKILLENQEWESKAAEFIKSIK", "MKYKNPDQYGYYGDFGGAFIPEMLYPNVKELEDNYLDIINSDDFRKEYNALLKDYVGRATPLYFAKNLSDKYQTNIYLKREDLNHTGAHKINNALGQALLAKRLGKNRIIAETGAGQHGVATATACALLGLECIVYMGEIDIARQAPNVARMKMLGATVIPATSGSKTLKDAVNEALRDWINNASTTHYIIGSVVGPHPFPDMVARFQSIISEEIRFQLKEHIGRENPDYVIACVGGGSNAAGTFYHFVDEPGVGIIAAEAGGLGKDSGESAATTFLGTLGILHGSQSLVMQTEDGQVIEPYSISAGLDYPGIGPFHAHLFQEKRAEFFSINDDEALKSAFELTRLEGIIPALESAHALAVLDQKKFDKENVVVICLSGRGDKDMETYLKHLA", "MPQSLVKNFIHIVFSTKYREDFIDIGIENELYAYIGKICKDCESTALQIGGTDNHIHILCLLSRKIALMKLVQEVKAHSSRWIKTKGEKYKDFFWQDGYGAFSVNPKNIFAVRNYIKNQRIHHQEYGFKDEFLGYLQKYKIEYDEKFLWD", "MGNQNYQPKLKVCGLAHRSQIQELQKSGVDFLGFIFYPKSPRYVLNHLNLEQISEIKHLGKVGVFVNEDVAIVSDITKQAHLNYIQLHGDENIEYILNLKKLLPETQIIKVFRIGQEVNTEVLKSKISEFETYADLLLFDTDSKAYGGTGETFNWSVLDQLDLQKPYLLSGGISSENIASIESFKTKPFALDINSKFENSPGDKNLDKIQEFINLIRKQKNPKGML", "MNILDKIVAQKQLEVAASKKLFSTEELKEHAFFSRKTFSLKESIKNKSGIIAEFKRKSPSKGIINDIENPLSVVCSYEKYGASGISILTDTEFFGGSKEDILNVREHINIPILRKDFMIDAYQFYEAKAMGADVILLIAACLSPEQVSEFTELAHSLNLEVLLEIHTEEELKHFNSDIDLVGINNRNLKDFKVDLQHSVNLKNLLPTGTLSVAESGIYNTEDFLFLKEKGFDAFLMGEYFMKGENPGQNFKEFSSNVTMK", "MKQILQYLFDHHTLSRAEAKAILSEIAQNKYNENEVTAFVSVFLMRNITLAELEGFQEALLQLAVPANLGTNDLVDIVGTGGDGKNTFNISTLASFIVAGTGQKVAKHGNYGVSSTSGASNVLEELGYQFKNNAEDLEKDLEKANICFIHAPLFHPALKAVGPLRKNLGLRTFFNILGPLVNPAKPKYSMIGVYNPEIARIYQYLLQKQNEKFMLVHALDGYDEISLTQDTKIYSEKGEEIYSAEDLHFKTITADSIFGGSSKQEAAEIFLNILKGNGSYEQNAVVLANAAMALKNTGKYGDYDSCLALSRESLESGKAFRSLQLLVRS", "MKILVFDNYDSFTYNLVQIIEKIVGHSVDVIRNNQITLAEVDQYDKIILSPGPGIPSEAGILLDLIREYAPKKDIFGVCLGQQAIAEAFGGSLINLSEIYHGVATDVQVIKDNTLLFKDLPENFEAGRYHSWAVANENFPEELEITAVDDKGMIMALQHKKYNIHAVQFHPESILTPQGETILRNFLNA", "MKEDNIIKQKSFDFAVRVIKLYQYLSNDKKEFILSKQILRSRTSVGAMIRESEHAQSKSDFIHKLSIAQKEINETIYWLELFQATDYLSAQEFESINEDAVEIIKLITSIIKTTKNNINN", "MNFNQNINITTKHKNYLSDLYTPIGIYLRLRDRYRDTILLESAGNQNTDNNYSFICVNAISGIEIKSLTEIEVKFPNQAPEKHQLGSEKLTQVLQEFSNCFVPEKSEHPLANLAQGLYGYTSYDAVQFFDTVKFKQASPETDIPLMRYRLYQYVIAINHFNDEMTIFENKIKGLESDFSTLETFINQKNAPVFPFETKDEEKSNLTDEEMAELVEMAKKHAMRGDTFQMVLSRRFEQQFSGDEFNVYRALRNINPSPYLFYFDYGSYKIFGSSPESQLIIKDQKAIIHPIAGTFKRTGNIETDLASAEALRKDPKENAEHTMLVDLARNDLSIMGKNTTVSKLKEIHFFSHVIHMVSEVTADVAKGTNPFEMIATTFPQGTLSGAPKYMAMELIDKYEKTSRGYYGGCIGYVGFDGSCNQAIMIRTFLSKNNTLYYQAGAGVVAKSDTQSEVQEVKNKLNALKSALKKANKMVNY", "MSSWFNYRFHDEEGNVVFLRGKENIDEHIASGKYSQRLEIAYRFRGNDKTNLPDEAMLGKLDNLEHKFEKEFAKDGKAILALSFTGKNRRVWYVYTSDVHSAIVAINMAANVETELDIIHDTDENWEFYKNFYSKK", "MKQLFRRKNIIDLASKKDGESSSGLKRILSVRDLTFFGIAAIIGAGIFSTIGRASYDGGPAVSLLFLMVAVACIFTALCYAQFSSMIPVSGSAYTYAYASLGEIFAWVIGWALILEYAVSNMVVAISWSEYFTSMLQGFGIKWPDWLAIDAGSASRAYEQVLHAKVGEHLPSNIIAAAKTYETAPVIGNLHILFDLPAGLITVLITALVFVGIKESKNVSNGLVILKLGIIALVIVAGAFFVKPENWSPFAPNGVGGILKGVAAVFFAFIGFDSISTTAEECKNPQRDMPRAMIYSLVVCTVLYIIISLVLTGMVNYKELRVDDPLAFVFGKVGMNFIAGVISVSAVIAITSALLVYQIGQPRIWMTMSRDGLLGKKFGKIHPKYKTPSYSTIITGILVGLPAMFLDMQFVVDLTSVGTFFAFIMVCSGILYLDYKGYSKTAKFKIPYINGKYLIGILLIATGIIVYNKFGIAQIKESIAEKPLIIIFIAVWAGLAIAALKYKFSLIPILGILFNLYLMTELGLSNWTMFLIWLAIGLVIYFTYGYKNSKLNKKYEQLV", "MSPKNILIYAGLFGAVTPAFAQQSAFFKNREEYRTSLAEKLYQNKIYKAAQYEFARQYFYQNPEGARKEASLFYDNIIGVILNQNHSEEGLEAFTKKYPKSAYFALANGPLADYYLAKKDFPKALESLKKVNQYNLSKEENTQYILKLGYAKFMTGDTKGAIEALDEAYANADEDGKKDVAYMLGHLQYAEGNTEKAFQYFDTIKDNPKYAQTIRPYYVQLYFNQKNYDKAIEEGKSLLNENISKDYTAEVNKIIGESYFMKKDYAAAYPYLKTYLNSKSVPSESDLYEMGFVAAQMKRYDEAVSYYNQLISSQSALAQNAYYQLGNAYLEVGKKREALSAFRSASQMNYDARVQQLAYEQYAKLGYDIGNPFESNSQVIQNYLAKYPNAGNSQEMKGLLVKSYLYSGNYKETLSAIKKLGNQSAETAKIEQEAAFLLGTEEFNKGNFTEAETLFEYSLKYNYNKDFNARAQYWMGQSQYQMGDYGSATETLQKLYNSGVNFEEKQQLPYDLAYAYFKNKKFEDAQKYFKLYLQNPKTEFKNDAELRLADTHYANNELNDAIAIYDQNADGTDYTQFQKAMSLGFKGDSEAKIAALKKLISTYKNSEYTDDAQYEIGVAYASDERYAEANDYFNQVIKTSPDKDLVANASIYRAQNYADLGQSDKAIAEFKSLGNTYKGTAYADKVVAAAKTVYLKNGDTAGYQSFASSLGVKISSGELDEINLSTAQKLYANKDYKGAISYYEKYLTQRPTGEKLYQAQYELGESYYQTKNNTKAVVVLNEVANTQNEYQEDAQVRLAQLYIAQNNPAEAKKYLQNLVNSSNAGIKNYAVAEMMKIAVDAEDFSQAEKYADQVLANTKNSPSVKEQAQIIKARSSMKRGNDSEAKKAYTALEKSANPEVAAEALYAKAYYQNKGKAFKSSNETIFKLSNNYASEEYWGAKSLVLMAKNYLALGDKYQASYTVDQIIANYKDFPDVVTEAKQVKSQIKK", "MKKHIQYIAVIGVLGVAGVQTAHAQIKEEKLVLNRKREPEVKKIEKKKTSVPTEKNFPPKGKDSLKYQVTNIPLISDFKTSTIKGEDISPKFNTGYNRNYFQLGYGNYGKFLVDGNISGEIQPNLEVGADVHHISTSGLKKYYNWDSKQQNTEANVFLNSYGEVGKLNVDAGIELNNYNYYGNYQDVIQPANNADLKQSYTKFGVNAYYDFYANNYLNDIRVKTAFTKDHFNAKENFYDALVNFAKHDLTISSSDGITMNADLGVGIQGVNTQFDILNKNESTHFVANFTPEVTFFKGNHYLKIGSRFTSLTSKYQTATTDRTRNNKFYWFPTAEILIAPKDEVKFYAGVDGGIKLNTYTDLLHDNPFLVSDLQLRPTETKYHVYFGIKGDIEQNFKYDINAGYSKLNDMLFYKSNNLFSADPSAQRNAYDYLNTFNTVYDNGNLSEVTINAQYFPLENLNFTGELKYMSYSLKNFSNAFYKPVVQTTLGAKYSMLNKKLNLGFKGIFVTDRKANAFDVQSLGTNNQYTTTETNDRKVSGYVDLNLSAEYKLHKNISVFVMGNNLTGTSYQNYLGYKVMGAQVLGGIKLEF", "MQERREIERIPIGEAMELLRKGGIDVGQEEAELNMEFLYRLTMIVISECFDIERFNS", "MNIQKRTKNNKDKADTYRTAQPKAYGIMAGQKGGFAGLLCYPATLRDLFRFLLVFALVRLDCMAFFFSVFSFGNNFNRK", "MEEKNSKRNKGGRKPKLNPKMHRHVFRLTDEENAKFLTLFEASGVPNKAKFIVLLLFGKEIKPVKIDKGTIDFYMRLTSFYSQFRSIGVNYNQIVKMLYHHFSEKKAAAFLYKLEKQTAEMALLCQKIVQLTQEFEANYLKE", "MSAKKTFSKRQMTICRKGSSSGVPYPVILLHGKWLKETGFKIGHVIDVQYKKTKLIITIAEKQRFDLEDR", "MLMTHTDMPNKKIHQGRNIRRFREMLGIKQDALAYELGGDWNQKKISLLEQKETIETSILQQVSAVLKIPVEAIENFDEEQAVNIISNTFNDQSNGYNYYPTFAFNPLDKMVELYERMLKQQQDMIDRLEKLIQGK", "VTKFHFPNIKKIDIENFSLYKKVDEISINIDKDVFCLVGANGLGKSTFITIINYALTGIVKNPERNFSWYKSISGFYTKSKSFAESYFDGRIAEKDYELAQVTIEFDLGDKEYKITRGFFEPDEIRLFERKVKGENTIYLDDDTNSSDLDEQYKTHFIKDSGFSEFAQFVFLQSYVLTFDETHQLLFWDSDIMERVLYLFFGLDSTIAKKADKLRKDYNAYDSNFRNLQWQITQTNRDLKNILNANSSKPLAEEDIKIAEKHKELLENITLLQEELHQVAKEIQECDLNIADLSIKISSLRSEYNEAFNNSMAEETPVEKDEELLRLIKEIKSRIFSDEPVQELIEKLVDYLKEQKRKNNSIDPRKSFEDLTVIDKKLSVEIEKQEEFQNRKNRFSVKDEELYIKVQALNNEIKKIESENENIFNFPNNTDESIELIKKSFQDTIDRLKEQKEESRVKREAIKEELGKLENEMSKSFLEAEETFIPKFNFFANSFLGLEINIELSSNTKGANLILRVDDNKRSDAFQLSESQRYFIDIALRMALLGIGTEKANLLIDTPEGSLDIAYESRAGKMIADFSDGNFSTVMTANINSSQLLLELAKICGRDRMHIERMTNWTYLSEVQNDANEKIETAFNEIERRLDNE", "MNKLPEAIFDVLYLGKNIQDRLNDFNISEIQFLAYLSCLISLYDKNPISFWKYQFIKSENSSPYSLEIHSSINFLKQNGYIEETTSYYFKLSDKGLNQLSFFDTLNSFNVRKKYLDIVCKSLSIIPLNLIKESIKEEPIMKSAFHSAGKRFLLDKDTPAMKALYSDFSSLYSALGNEYSNNLLAPAIVWLQSLSKRNSSV", "MIDDERQINYFKEIAQNQFLLMSINEFGDDALNAVPFLTDNITEIYKHLDYNSFENVIICIGMNEDDVLCDYDSNIIKEINSINLFATQAGNKILIEVQRCGKYRIIIDADININLIAGKSIVYSYVKKTDEELFYIKDKISKLPAIPGADTYFSIQTFKKLEDALEQYAIKRVLYSECPFLKSAWLTDDKIFFKPKPEAILRDSLTDFLKITFRAEVRPEQIVDTSHPVDIKVTWSTVNRVALIEIKWLGKSLSAIGADNFSSNYTDARAREGAQQLSEYLDANKIQIPDKNTKGYLVVFDARRKGTNTNTNSIDAEKGHHYRNAEIIYNPKYDELRTDFAKPVRLFMEPKITY", "MIDTRVNYDFDLKDKSLSNSLNSVNLPRHRWYFYKEGFSPKLVEKAIKDNNLDRDGIVVDPFNGSGTTTLTSSLFGVNSVGIEVNPFTHFLSSVKQLDTNTQKINYFEDAFLESIEKKKISPLLEFSTFSEKSDRDKYLFNSDVLNAFEGGWHFTNSINSPKTSAVFQLALIISAMRNCNAKRDGKCLRYRESWKEQEYNSQSFLATIKDVLSEIIDDLDKTKLEVDSKLYNSDVRNTINKNSALDGFNLCITSPPYLNTFDYTDIYRPELFLGKFVNDNESLYNLRLKTLRSHIQAKWKHPVNNEFGIIFKKIFQELDGNRDKLMHKNIPLMVQGYFEDMYKVLASLYAKASNGGELWLVVSNSAYANIEIPVDLILADIGSSIGWRLKEIGVLRNVRKRKTKYSPDIEFLRESVVIFHK", "MKRILFLTILLAGLYIKAQTIPLSEYFYTTIMSGMYFKDMDNVLPLFKGKWTANYNDNTITLVLKKFKKHPVKPARFSNLNYGMDVLLMTYTIKDSNGKLLYSTIDRKITNPYSIISSAAVLSPETRVLFPYNGEGCPDTGGTIALTDIDSTHIEWSFLIMANVGPKVECKKTDNAKLFPPRAPELIFTKQ", "MKKLFKLTAVLGFTLFSYVLLAQTSSDEKTDDLLKFKSKNIVTNKVSQITTFTKDVSLDSPFISFNGADQVVYDLKNDKIEILNSKNFKVKKVSSVSKSMNSKKNIIIYYIKEDKLFM", "MKIDHFKPFDGQHCETTATGTLLQQIGIELSEPILFGLGEGLGFIYWNMKIMDFPFIGGRIKPDLLTKNITKNLNLELLVKETSSKQKAWEEVKEHLDSGQAVGLKMDCYYLDYFSNPVHFAGHYAAIYGYDDYSAFLVDTKQQGGQVQTSLESLEQARAAKGPMASKNLYYTIKSTGTNFDLKKAVSTAIRNNAIEYLNPPITNIAYKGILKTSTEIIKWFNTSKDIEKEFALSAMLMERAGTGGALFRNLYRDFLKESYELLKLDVLKTGYEAFTEIAEHWTSVSQLFEKVSQTKDIIYIYKASDILKTIADKEKEAMELLATVS", "MILNRNARIGLFEKNTHNEEGLFLFDFNTQKRIYLKAYSDWKPESFSSDGNKIAITNYPSTKTKYSKTDLIVMDLNSQNVLLDTHKYFVLDTAFDVAGSKLLVTAHKMKTFCLDILKNEIIAELPKELRLYKGDLDPEHNSFIMPCEKAKDTCYTFDFTTGKTGIQKLGIKERICRIRYSIDLAHLYAISEANILYCFDRNYKIKWSKNFNDTGRINSSYIYLTDNSMYIAVEAHDVKTNDWGTDFVIESNSGEIVNRIEGYQYRGRFATDYFENRVLLHTFKTIDLITGDISEEKII", "MGHWELLLFFLIIAFVYSSVGFGGGSSYLAVLAMYNLPYQEMRLTALICNVIVVTGGVYIYIKNNQVNWRKIIPITLVSVPMAYIGAVLKISQETFFLILGVTLIIAAVLLWMKTETKSEETISEESKSSVAGNSFLGGGIGFLSGLVGIGGGIFLSPLLNLMKWDTPRKIAATSSIFILVNSISGIVGQLTKLPAEIDFIRILSLCLAVFIGGQIGSRMSLKLNPMIIKRMTAILVLIAGINVLIKYW", "MKLKILAFGIAKDILGGTEKEIDLAEGTTVQHLKAKLEDEYAELKRLKSYFIAVDDEYAENDQVITCTNEIAIIPPVSGG", "MIDIKITENKLDITECLDLAKDLGSGGIATFIGTVRNRTKNKPVVRLEYECYQSMAIKEVRKIIDKAILLFSVRNVVVHHRTGVLFPGEEAVIIVVSDGHRDAVFDACRYIIDTIKQTVPIWKKEIFEDGEEWVSAHP", "MKANLLENNSVKKTEIIKVKDNHSFSYTDDIAVEEPLEIRVSYGPKQQKQSKNISVTMRTPGQDEELAAGFLFTEGIISGDQQISTVAHHQAECSRNQENIIIVELIDDFVPQLMKTDRNFYTTSSCGVCGKGSIESIRTVSPFNNTDKEDCRLSLETLYQLSDKLRSFQSNFSSTGGIHASGMFDLNGNLLALREDVGRHNALDKLIGHALLTKQLPLNDKILVLSGRASFELIQKAAMAGIPVVVAIGAPSSLAVDLAKEFDITLLGFLRDNRFNIYHQGKHIKIDNLL", "MKIRIKDNSVRFRLTQSEVQELGENGIVSSFTQFIDRPFIYMVKRTDDTELSADFVENRIVMKMPEAMVEELVQTDRVGFDGEAGIVKLLIEKDFVCIDNTMEDQSDNYPNPNIKC", "MEGIDKNKIEKEIHNEPNAENPFTLLDLKLTHVESKAAGVPAVLAAFSDLFEEKTPVRGMRALFKMNQMGGFDCPSCAWPDPDDERSALGEYCENGAKALAEEATTKTVTPEFFKQNSLYDLAKLDDYQIGKMGRLTDPMYLPKGGTHYEPISWDNAFKKIAEHLNALESPDEAAFYTSGRTSNEASFVYQLFAKEFGTNNMPDCSNMCHETSGSALRPTIGIGKGTVTLEDFHDSEVIVIIGQNPGTNAPRMMSALAKAKKNGAKIIAVNPLPEAGLMGFINPQSVKEIISGGVQLADLYLPVKINGDMALLKALELLLIEFEKNNPGKVFDNQFIKEKTTGYEEFLKQFDHYKLEELAELSGVSGEALRQAAEIIAFKKKIIISWGMGLTQQPNGVDMLREILNIILLKGSIGIQGGGLCPVRGHSNVQGNRTMMIDEKPTDEQLDRLENFFGFKMPRKHGYDVVRAIKAIHEEKVKVMFCMGGNFLSATPDTTYTANALRKLNLLVCVSTKLNRGHLVHGKEALILPTYGRSDKDIVNGEIQIVSTENSMGVVQDSKGMLDAVSNNLINETQIVCRMAMATLGEKAVVNWQRYHDSYDAVRDDIEQCIPGFEDYNIRVRQKGGFYLPNAARDEQYFSKELGGRAPFTLTDIPDNTLASDEYMMATTRTHDQFNTTIYGLDDRYRGIKNERRVIFMNQKDIDKAGFKAGDKVDLYNYDDGIERVAPLFIIVSYKIPEKNTVTYFPETNVLVSVNNVVKESNMPASKYVKIKIKKHDPEVYKKVDEMLYQGAIQRP", "MKKIQILIFLFVFSSAFSQSDFKLKVSGDVLHPLEFTLSDLSKLKHKNASLKDKDGNTHIYSGVALQDILLKAGVPSGKELHGENLSKYLLVKCTDGYQVLFSLAELDPSIADKNIIIADSVDGKPLPDAKGPLRIVAEGEKKPARSSYQVAALVIGKINK", "MIIRKKENWFRMLFIWRGSVLPALLPRLLILFTLSLLIAYFHGTVFSFKIPLNTTPLTLFGFVLALFLGFRNNVSYERFWEGRKLWGALLNISRSLVRQALTLGDTNKASVSEFVQLVSAFVYSLKHQLRGTDPKEDLQIRLTAEQFSIAEESKYKPAVLIKLMADWVKQARSENRIDSIQQSRFDENFDKLADVVGGCERIVSTPIPYSYQVLLHRTVYIYCFLLPFALVDSLGWFMPFIVVFIAYTFVAFEAIADEIEEPFGTDANDLALNSMCIVIDETIHELAGEHVDVLQKTKQTIID", "MNLVSVRIITENIDSLINFYEQVTGIQAIQYTPDFAEIKTSTATLAIGSTRTLQFFGGEEVARTAKNQTAIIEFFIDDVEVVYEHLKDYLSPYIVQEPTTMPWGNKSFLFRDPDGNLINFFTPVSKESIEKYGSV", "MEDNFERYQCQIALPGFGISSQQLLENARVLIIGMGGLGCPSAQYLVSSGIGTIGIADDDTVSLSNLHRQILYTPEDAGLSKVEVAARRLQQQNPSVNIVTHNLRVTSENVINLISEYDLIIEGTDNFETKYLLNDACVLAGKPLIYGAIYQYEGQVSIWNVLQNDGTYSPNYRDVFPDIEASRIPNCREGGVMPTLAGIVGCMQANEAIKYITGSEDLLAGKLWMFDVINGKTQIIKLKKTTVTQITDLVQTIPLITFEQLQERKNYFEIIDVRTEKEHQAYNIGGKNIPVEKLQDQLNNISSVLQPILLYCQSGKRSMEAAGKIKKAFPDKEVFSLKNGISNIPL", "MISEEQNRIPSINGLVLAGGKSTRMGTAKDLLNWHGKEQRYFAADLLTPFCDEVFISCRQDQLENFDTNYNALTDTFLNMGPFGGILSALRSQRDKAWLVVACDLPLLDKNSLSFLTASRNSEKVATTYESPFDGLPEPLITIWEPKSYPLLLNFLGIGNTCPRKVLINSDTLIIKPQNPDALMNVNTPDDAKKAQQVLNNSKD", "MTDFSHLNKKLEPSIVNVSSKAVTRRKAIAKATVGIPEHILQKLKEGDFKTPKGSVFQTAIIAGIMAAKKTGELIPLCHPIGLENCEINIDINDQNEVDIYCTAEVEAKTGIEMEALTGASVAALTIYDMCKALSHDICIKEIILIEKTGGKNDFRRTE", "MADLAYISEIRNILAQARMKAYQSVNSVMVEAYWLIGKRIVEEEQNGKKRAEYGEALLKNLSVALTKEFGKGFSSSNLRNFRQFYLTYSDPEICYTLCSKLTWSHNRLIMRIDSNAARNYYLKEASEQNWSVRILERHINTFYYERLLSTQNKEETVQYSTGQNNDLARDFIKDPYVFEFLNIPEPISASENDIEAALIGNLRQFLLELGKGFSFIGRQFRISTETSHFYIDLVFYNYILKCFVLFDLKIAKLTHQDAGQMDMYIRMFDDLKKQPEDNPTIGIILCTEKDETVVKYSILNEHKQLFATKYLPYLPTEEELTAEIKREKLFLKQKLGKTK", "MEMINVQQAEDIILSQYQDYGKESIAYQLALGRVLAEDILADRDLPPFDRPTVDGIAIDFTAYEEGLRSFNIKAIQSAGEASVAIDSEDQCIEIMTGAALDSTVDTVIRYEDITVSNGIATINIDIRKGQNIHLKGKDKKAAEILVKANQVITPAIIGIAASVGKTSLWVKKLPKIAVISTGDEMISPELAPTAFQLRRSNGITIQSVLEKYKIAADHLHLNDNYNEIKNELIRCIAQYDVLLMSGGVSMGKFDYLPQVCEEVGIEKLFHKIKQRPGKPFWFGKSRNQKLAFAFPGNPVSVFMCLHRYFIPWLEKSLGIPQNSPLYAILGNDIEFPFSLQYFAQVKLQINEQGQLIANIVDTNGSGDFSHLAETDAFVELPLEQNIFRKGEVYKIWKYSFLNL", "MLKDKFGRDINYLRLAVVDRCNLRCTYCMPENGLTWIKQQELMTDEDMIRICSVFTELGINKIRITGGEPFVRKNSIALIEQIAQLKGLHDVSITTNGLLTEQYIPRLKASGIKSVNLSLDTLDPERFFSITRRRSFDKVMKTLDSLLNHKITVKINAVVMEDKNIEDIIPLVQLTKELPVDVRFIEEMPFNGTNAAVSLKWNYAQIYEHIKASFPGIEKTEDSKSSTSYNYKIPGFAGSIGIIAAYTRSFCGDCNRIRITPTGELRTCLYEGEGINLKEALRSGKTDQELKDIIISSIQHKPKDGWEAEKSNFTSSQIHQSMATIGG", "MKGDKISYEKNTATNNSKFADLHNPESLNVIYQDSVTSSELNNFPDHSFTIIIIDECEDGECITEINNYALKSKQLFIHLPGKVYNWKLSPNTLGRRLIVSDIILETFSPTLKHTYSPLNRHEMISPDDEIYQKLSAEFSAIRKEINSEPVFSELIDARVRLLTLMINLWMEHIYGNSALVNTNNLAFRFHMLVDKYYKSQKNVAFYANELCITSNYLGVLCRKQYKKSPSAFIKERVLLEAKQLLHSSDKSIKEIAFELGFRNFSHFSYFFRTEAGTTPKNYRQTMSKANVS", "MKKLTRKELKNTTGNGGPKKPDFGIGYCPNDCSKEENFCLGGKSCRGYTIGTVDGLMDCAICE", "MEDFLIEPFLHSDDSQQYINTKEIDELLQIRPTELFQSHKTMFNIIHLFTEGKGKLTVDFNTFDIEERHILFISQHQISQFHNPVNYKSKILIFTEDFFGKNVFQTQFFGQTHLFNDPLLLPYFDLGDRYEEVISLFNFISTELNRPYNEVQTTILNNYLFNILLIVESLCERKDIKLVVNDEKLLVSKFKSIVNANLNWQYNLDYYTEKLNVGLRTLQNAFQLTEKQTPKQWLIDRMILEIKRNLIYKEVGISAIAYNLGFNEVTNFTKFFKSKTGLTPTQFRELSSW", "MALITMHKYNQDDFDVFRSLTDDDDVMKYVSGEGLSEEKAKAKFASILHVNSTQNSLGYFKIYNDQNIFIGDCKLEKYRHDNTLLEIGYILKKEFWGKGYGSLICKELITLAEKTKPGMNIIGNIDPENIASKRLLEKFGFSSYTTTTIDGMITESLMLTNKSGK", "MKQTIPGEKDPQVLSEIREFLNALNNSGGKPMETMTPQEARQVLIDAQKSVAVDTSGIAETEREITQDGISVNVHIVKPEHSKDQILPVFIFIHGGGWVLGDYPTHKRLVRDLVVNSGAAAVFVDYTPSPDAHYPVAINQIYAATKWVAENGSEIGVDGKNMAIAGNSVGGNMTAVTCLMAKDKGGPEIKFQLLLWPVADSDFSRESYEKYAEGRFLTTNMMKWMWDNYAPDTEKRNEYYASPLKASLEKLKGLPPALVQLAENDILHDEGLAYARKLDEAGVPVTIQTYNGFIHDYGLLNPLDHIEAVKFSSEQAAQGLRKALFS", "MKKLLLVVTNIGMYESGKLKTGLWLSELTHIYHSAKEQGWEITITSPLGGHTPIDPESLKPLVLDKISKQYYESEIFMTALEHTKSLSEISEETFDCIYLAGGHATMYDFPDNITLQQLIRDQYEQNKIVAAICHGVGGLLNVKLSNGEYLIKGKSLTGFDWFEETLARRKREVPFNLEAALKERGADLKKACIPMTSNVVVAGNLITGQNPFSSKEMAKVVIEQLGK", "MNSFKDQYFKIEDFLTLVKNKQERSEDYDPDFAYAVYSEQDIFEPGITVYIGAPADIDGDYDDVFPDVVYENGLLYMCSDEDIQDVVDLALRQQSTATDEQLIEALNHYLESDDFLDF", "MPELKMQDAQLLLKKIYANPKNYDLKSVDGVVSGGDDQVSFRLYKTKEKVVFEVIVDELIFKNSTGDWTNSLIMLENAIRKIEGEAENSKIEQAIDKLRKYLAEE", "MSIEYHNILPNESRAYRMIRLESLKEFPEAFSANYEESVKIEKLRLEEDIETQMSERFVTGVFIDNELSGICAFVNLGNNTGNILQMYVRKGFLKIQFTIISVQVQGALGEVE", "MKYRITLILSLLYALNIFGQENKKDPRYIQYAGGYGSNDGVYLFDDGTFILYGYATAVFGEYKFDKNVLLFYPEKRELFEVYATQNKSLGSDIRINYAGFERGGKTLIRFNNVNAVQKIFNDNPNCFSGPFVSEMQKNVKEFTLYSLADKEFSDMIRPYNAWKYKNDKGYNDFIFVYNQPRREYENFSGIMETNEKGTALKLSNFGGENGYQKYEEKQNQWKELLDMKNSYSKYKEKKEDFIIANKHYSVIPNPDMSVYNYDSGSNQYIGKNIEENAEYYNDNPFSDARYVRKFSKIQPESRSYSRILEKEILSSTVFFSKCNDASGKSYRYKGLPKSGLEAQYEKIPLTTTPPPPMPPVPVQK", "MKNRTTSLLLLSLSIGSITAQEKKNHEESKMQWFRDAKLGVFIHWGIYSVNGISESWSFFNNYINHDNYMKQLGGFNASRYNPDEWARLIKESGAKYSVITTRHHDGISLWDSKSDKAITSFKDAAAKEDLIAPFVADLKKAGLKTGLYYSLPDWSHPYYDINTRTKKRYDIAKDPARWQNFIKYYQGQLSELSIQFKPDLIWFDGDWEHTSAEWQAPQTLANLRKYNPNIIINSRLNNHGDYETPEQGIPVVAPQSKYWELCYTMNDSWGYQPFDRNYKSPNMIVRTLADVISMGGNLLIDIGPKADGSIPAEQVKILENLGRWTKKNSDAIYTTRQGLPFANYRGKSALSADGKKLFLYLEEAKDFTKIYGLTTAPVSAQVIGDSNAKVNYNQDQNGNLTLSFANTQFDKDVTVVQLNFSEPVKVTNKITDPAPALQSLLENADAKKSAYEIANQLHKGTNLLDNTGITQDGMDMKIKKTTKTNPEALNWISKNAEALYETGAGLPDGHYSGMSALSKDKQTLYLFVEGTPTGQIALKGLKNNISRIRIAGEGSIIPHHIYNKLYWSAVPGIVYIDLPKERLDKNLTVIAILLDKPVDLYREKIGAVESNL", "MQQIRNIAIIAHVDHGKTTLVDKIIHATHIFRENQESGELIMDNNDLERERGITILSKNISVTYKDVKINVIDTPGHADFGGEVERVLKMADGVVLLVDAFEGAMPQTRFVLQKALELGLKPMVVINKVDKPNCRPEEVHDQVFDLFFNLDATEEQLDFPTFYGSSKQGWFNSSLEQTDSILPLLDGILEYVPEPKVEEGNLQMQIVSLDYSSFLGRIAIGKVVRGSIKEGQWIGLAQEGDKIVKGKVKELYVFEGLGKKKVSEVQAGDICAVVGFDAFQIGDSFVDLENPEPLPRTSIDEPTLNMTFSINNSPFFGKDGKYVTSNHLRERLEKELEKNLALRVQQTGDANTFLVFGRGILHLSVLIETMRREGYEMTIGQPQVILREIDGVQCEPYESLVVDVPEEFASRVIDLATQRKGDLHIMETKGEMQHMEFEIPSRGLIGLRSQMLTATAGEAIMAHRFTEYKPFKGAIPGRNNGVLVSKTQGPATAYSIEKLQDRGKFFVDPGEEIYTGMIVGEQNKPGDLVVNIVEAKQLNNMRASGKDKDGGIAPKILFSLEECMEYIQHDECIEVTPNFIRMRKKILSEEERKRAERMAKD", "MKMIYLKKLLVTAGIVVVGMVAAQKQTITKAQLPSNAQDFLNKYISGKPFIYIKNAENPNDVDFTVKYNNGVEVEFHNDGEWEEVDGKGNAISTGFLPSSLTNYTDSNYKGDPIVWVSRERGKYDVKLKSGLKLEFGLNGTFSKIN", "MQKLKLWDATMIVMGSMIGSGIFIVSSDIMRQLGSGWWLLVVWLITGVITVSAALCYGELSSIFPKAGGQYTYLTEVFGKLTGFLYGWGLFTVIQTGTIAAVAVAFSKFTAYLIPQLNDAAPLFQQGSFKITWLQILGIGIILLLTYINTRGIKSGKLIQSVFTASKIIALLGLIVLGLLFIKDSHLTENLNIGTKAFQNLKGEGWMPISGKAILGGIAAAMVGSVFSSVAWESVTFVSGEIENPKRNVVRAMVIGTASVIILYFLCNVVYLSALSRDEIAFAANDRVAVAAAEKIMGNTGTIIMAVLVMISTFGCINGLVLSGARVFQTMAKDGLFFSSAIKNNKNNVPEKSLWLQGVWASLLCLSGQYGDILDMISFVIVLFYMLTVFAVIWLRFKKPFIPRSYKTFLYPVTPLVYLVIGTMFCVLLIIYKPNYTWPGFILLLLGLPVYFLIRKKE", "MCKYCFENEYTRFKNEELSNETDLRISSLLSTKVLEFVEEIKYSKEIFNNYEVYKCRHCNQKWTYSTANLYWRGFLLKNENIKDYITTIRKSDKRKKGIYLIIIFILIISITALFWVLKF", "MGEFIRVYQSNILFQVEIVKGKLAANGIESFVKNEFVNNFSVMPINQDYILYVAEENAAEAERIINETGDTEN", "MNSLVDKYNIPGPRYTSYPTVPYWDLESFSVEGWKKSVIRSFKESNAEEGISIYIHLPFCEALCTFCACHKRITKQHSVEEPYLETVLKEWQLYLNLFDEKPKLKELHLGGGTPTFFSPENLKKLLSGIFETVEIAEHQEFSFEGHPNNTTYEHLKTLYDLGFRRVSFGVQDYNEKVQKAINRIQPFENVKNVTEWAREIGYTGISHDLVFGLPFQNWKCMENTIRKTLELKPDRLAFYSYAHVPWIKGVGQRGFDENDLPSGDEKRRLYEEGKSLLEELGYFEIGMDHFALPHDDLYQSMKKGKLHRNFMGYTSSKTQLMVGLGMSGISDSWYAFAQNEKTVEGYEQMVNNGEFPVVKGHILNEEDLIIRKHILNLMCRLETSWDAETAFPEFENAMDKLQEMQKDGLVELSENGIKITEEGRAFTRNVTMTFDLRMLRKQPGTRIFSMTI", "MTNNCLNCNEELVGKYCNNCSQPASTHRFSLSHVFKHDFVHGIFHFDKGFFFTIKELFTRPGHSIREYVQGKRVKHFNYFATVLLLLTIIYFVKKWAKIESSDLFDTNVKGLLKVQKDYSKITVFLNIPIIAFISFLLFQRSKQNYTENLVLNMYLLCGLTVISLILPICMIFTDNKEFLFIVNYFVTALVFLYIIIFYYQYFSVFNYKKYDLIIRIILISILYMAIKQLINTILNNVGLKYFH", "MMPKKILLFFLIAFLAANCQEKEEKKLPHTPTAKKNDEEPLIRQKTDTKKLKQKAEEALLYNTQNKFNTEFCILIDMSIHSGIKRLFIWDFKQNKVIEEYLVGHGCGTNSWSSDESAGKAEFSNEDGSHLSSLGKYKIGTRGYSNWGINVKYLMHGLEETNSNAMKRVIVFHSWDKMSDEEVFPKGSPEGWGCPTVSNDAMKVIDTRLKSSKKPVLMWIYN", "MQGKGLITTIAIILGLICINELLPSFYANRIEKEAQALSGGNEVKYKKELEKLSKDTLNLGFTKLDYRSAKEKEMKLGLDLKGGINVLLEINQRDLVNDLTNYSTNPVLVEALNRTDAAQKFSTKTYIDNFFVQFDAVNKEKGTNVKLSNPEVFGTQKLSDQIKFNTPDDEVKKIISKKIDASVGSAFEVIRTRIDKLGVTQPNVQRVPGTGRILVEMPGIKDIDRVKKLLQTSARLQFWEVQTAGEVAPYFQQLTSVVMTKGDSIGVNKNMNLINTLDMQNGARQNGVGNVKLSDTATVNKLLNSAQAIKARPANLRFTKFMWAAKPESNTPDNLTLYAIRGTANNKAPLDGAVKDARVNYDQIGRIEIGMQMDSDGTKVWKTLTEKNIGRPIAVTLDDNVYTAPNVNTAIPNGQSVITGNFSQDEAKDLVDVLNSGKLPATAKIVQADVVGPSLGAESINAGVISFIIAFALIMVYIIFYYGMAGVYAVIAMIINLFYVFGIMDSIDATLTLPGIAGIVLSMAMAVDTNVIIYERTKEELFAGKGIREAYNDGFKHALSAIIDGHATTLLTAVVLYIFGTGPIQGFAVTLIIGILMTFFTSVLLSRVMIFSRLGKGKEISVWTSFSKNLFRNIWIDFIGKRKWSYIFSTILMVICIASIVTKGFKFGVDFKGGRSYVVRFDKPVVASDIQEELAPLFKTNDGKNEAVDVKTFGNSNQLRITTDYKIDDVNTTVDSEIEHKLYDGLKKHLPANETFEAFKSSDVGHAGIVSSTKVGPTVADDIQVHGTLAVLAALAGIFIYILLRFRKWQFSLGAVVALFHDAVVILGVFSLFYTVAPFNMEINQDFIAAVLTVLGYSINDTVIVFDRIREYLRERKSISLAGLFDDSISSTLGRTFNTSFTTILVILAIFIFGGDNMKGFMFALLIGIGFGTYSSIFIASAIAYDCLKGRKKDAPPVHEINK", "MENKKTKTAIGFIFITMLIDITGWGIIIPVIPKLIEELIHGDISEAAKYGGWLSFAYAFTQFIFAPLVGNLSDKYGRRPIILISLLGFAIDYVFLALSPNIIWLFIGRVIAGMTGASITTASAYIADISTEENRAKNFGLIGAAFGMGFIIGPVLGGLLGQFGSRVPFYAAAVLCLINFIYGYFILPESLDKDHRRAFEWKRANPIGSLFMLKKHPKISGLILVLILVYIGAHAVQSNWSYFTMYMFGWKEKEVGLSLGLIGLLVGLVQGVLIRWINPKIGNERSIYYGLGLYAIGMLLFAFATESWMMFAFLVPYCLGGICGPALQSVITGNVSKQEQGELQGALTSLMSATAIIGPPLMTNLFFYFTHDQAPFQFPGAPFFLAFIMLGMGTVIAYFNFKKK", "MELSIGEMLVVALVIVVLFGPDKIPSIARELGQGVRKMKGAMEDIKTEIMKEADNPISDIKKEIDKVKQTVTDINPLNDVQKQIEDMKNSVNPMDQHVADTSSPAAEKTTETIAHSETEQIASVEKKVDPLSDEHVGPVSR", "MEEIVHSDKELLLYLNGLGSSGFDSFWIYMTKPLVWIPLYLLLLFLVYKKYSLKNFLFILLFIALGITASDQIANIFKYGFQRLRPCHDPELINHMRLVTCGGKYGFYSAHASTTFFLATFLSFLIGKNYKFLPYLLFIWAIVVSYSRIYLGVHFPGDVAVGALMGFLLGGLFATLALRYVSKK", "MRISLICIGKTDDAEIKKLIAYYIPRLPKHFNFEFIEIPDVKNAKNLTDIQLKKEEAKLFLNYLDNTDTVVLLDEKGKQFTSREFASKIDNWMNMSTRHLAFLVGGAYGFSEEIYSRAQEKISLSKMTFTHQMIRLFFVEQIYRASTILQGKPYHND", "MPLKTPQLIIRFRDFLDSIHLPMLGISLLKMFEIYGEGIFKNPVIRQAAAISWAFFLSLFPFLLFLLSILPYLPHYDKLQFYIFDVMLANILPADIKVYVTEYLQDTLIPNLKGISNFVTIILALIFGTNGTHALIMGFNLNTDVKRTFFRNYGIALLITIAFVSITVLSLLGIYYAEVVMKLFNPVNSISWLVNNLTKIISFISFPLFYFILLALFYWVGCLKITRFREAIPGAIFSTLLFGFITYVFAFYVRDIARYNFLYGSIGSIILVMIWVNLNIILILFGNELNLAIKKVKMDKKIGDELAAQIEQQQFVQTSSAPNNNDHNIQL", "MRIYSLFSLALAVTSSVIITSCATDNLPDRSSVTNNMARVELPVINITSFGTKPSFLNIQKSASTKSLNLIAENSGDTETKEFESSESVVLNHLNRYVFPGSLLMGNSIQDLNYKPVFASLNPITVSLSIPAINQNTAITITNPSLSATRAAVYNYLKTADFTQNGQLSYSIQQFSSYDELKVAFGSNVNSRNLFGKNSSSTNVEEGMVARQSGFYVKFYQTSFTLDMDVPNGSLVKDNNFDSEGIEPVYVSSISYGRMGILAIETNEKAEDAKRIINETFNKLFYKKQTNFSQEEKSFIEGADFNLYLVGGDGSTASQSFKGYEAFVNHVSQGTFSKDQPGVPIFCSYSYLKDNSPVKTKFKFDIKRPPLYVKLVKENMKDINFNDPDGGIYDNKKEAILKIYFYKNRSLVPTLPNPYINFKIREKKKKWQSIAPVYYSSLDQVPFNISERILTKQNTLQNIFATIQTQDNTEFSLISRIIRGGGRQNPVPAGFRAIEINDYELVEDSNYIIIKD", "MKKLLFPIVALAATSLTIISCRQDSEVNPLQVQNSSKVLNPNVTLPANNLLYDEFFVSKESKLIEDSRNNKRKTSKIASLNPYASTKAVLTTTSSTLTSDQIVVTVPQKTFIGGVYNSTTLDNLDYTPISYPLDPITVSYSFPSDFIVDTIERPSLSSMRASVFKAMRAANFSGEQSLAFDYNIKQFSYYSELKIAFGSNVNIGKIFSIDISGSNNKIKRTTGVFAKFTQKNFTIDMDLPADGNIFKNNSDLALTNGKNPVYISSVTYGRLGIISIESNASYNEVNFALKAALTAGIVNGSLNIDSNSKKILEESDLSVYLVGGRGTDAVQVIKGFAGFSNFIVNGGQFTPEAPGVPIYFSASHASDNSVYYTTFTIDK", "MKATKLLQVFFGIALLLNVSCNNDRSDDILNPPAGAYSQGIIISNEGNFTTPNASVSFATNDFGTVNQDIYNKVNNEITGDVLNTIGFKGDFAYLVLNNSNQIKIVNRYTFKKVGEITKEIVSPRYIAFTDKYIYVTNDIYQGGKYVSIYNISDLSFVKKIDVSNVAERIATANGNIFVQNASFGFGNKISYVNGSTNNLQSEITIPNGQIQNIVPYNNNIYVLSSDASTTDSYIYTLSDTGAITKTTILKGVSQASKLRIDSGKFYYATGVKVYAMDMNATAIPTTPIITASASDQYSGLYGFDVIDGKIYTADSNKFTANSTVTVYSLTGNVLKTFEAGRGTNGFYKN", "MKKVFVSSCLISFTLCMAQEKIIDTVYVFDNQMNRVRNFHHVTKLTSSDLEKNATNLSEVLRFQSPVYIKENGRGAVSSPSFRGTTAQQTAFVWNGININSSFLGQGDINNTGLLGYDQLDIKPGGGSVVYGSGAIGGSIHLNNTLDFNKGLKGSLYSEAGSYETFNTILRTSYSNEKLSVKFSGNYAISKNDYEVEEKNYINRNGNYYNTTFNFGLSYKLNYNNKISWQSQLFDSSQHYPVFFETTTPTKYKTQNIRSLIAWEYNKKNINNNLRLAYTEENFQYYASITEPKSSGGSGKNYIIKNDFNYFLNDKLNINIISEFQQNKGEGYLSGIKDVSRNIFSLAGLIRYFPFKKLSFETGVKKDFIEDISSPLLFSFSGKWNALKFYNIKTSFSKNFRYPSFNDLYWQPGGNINLKPETAIQLDMNHEFKINDFSLVISPFYIKIKDMIRWLPTANGYWAPINTDNVESYGSEVQIDYRKKITENHNINAQLGYSFTKSVNSDTQKQLMYVPLHKFFSNIGYEYKFMKLYIQGMWNGKTYTTSDESNNEALKSYFVLNAGISGTLLKHYSVGFKINNITNTVYETTLYYPLPMRNYNLFVNINF", "MKQDLNIHFEVYKNISELDDTEKKLFETASEIRKTAYAPYSEFFVGCAILLENGEIIVGNNQENAAYPSGLCAERTAIYWLAANHPGQEIKKIFVVGGPKNEVADNNIPIPPCGSCRQSILEYESKQSSPIEIYFASTQGKTVKCYSVKDLLPFSFDKNYL", "MKRVLLSASVVLLPFLPYAQEVSKKDTLKQKEKSIEGVVITALGIGKKAKKIGYSTQEINTKQFETVTTPSVGNLFAGQVAGLNVSNPPGMQQKPTFTLRGNSNVIIVIDGVIVDDKTFQALDPSNIENINVLKGATASALYGSRGRYGAILVTTKSAKKTGFTVEFGQNTMFTAGFTNLPKTQTEYGNGSHGKYEFWDGADGGINDGDMIWGPKFVPGRKLAQWNSPILDKQTGQVIPWYGNVANTPYNDKSRYERVPTDWTYHDNLNTFLTPSFINNTNFAVNYKHNKDTYRLSGNFMNFDDRIPESYLRKYGANFSSNTYITDKLSFNTKLAYNRTTTPSMPNYNYDPSGHMYTILIWMGGDVDGRDLKNNLWVKGREGYQQANWNYAWYNNPWFGAKNFKNSIATDVYSAQTGLTYEASDEFTVKGKISYVENDTKNELKSPYSYFNYDAPRNGRYRVTNSKTINLNYDVLATYNKAITDNINLTVNAGGSGFYYKYKDDITSVDGLKVPGVYSFDNSIKPLTRDYNISEKLIYSAYGTVDLEFYKTLFVNVSGRNDWSSTLPKSNRSYFYPSASFSLLLNNIIPMPKAFDIVKLYGSWAQIAYDFDPYAIRNYYLNNGGNTFNGNPYYTYPSVLNKEGNIGPEKTRSYEAGLNIGMLNNRISLDVAYYNTLDYNNILRFPGSQTSGFATEVVNGNKYTTNGVDISLSLVPVKNRNFQWKSLLNWSKSVQKITEIYQGRDNYNNIKLNERTDSFYGYTWKKNTNGALILDANTGLPTRADAPSYLGHFNPDWTFGFTNTFKYKNLSLTVGIDGSFGGVMESVVVEKMWWGGKHPNSVQYRDAEYDQGKYTFVPNGVNYNPTTNTYTPHTKAISFQDWAQNYPYRAMVTESESKEYANVFDRSFIKLRSVVLEYDFTSILNPKGFVKGLTVNLSGYNLAMWKKSKNLYSDPDYKIGGTGIGSGRNTNSSNDIQDPSSRWFGVGFNLKF", "MKKYIISVLAVPFLMLSSCESDLTKINENPKDPANVDPSVLLTNVARQSFPVDNSANSALRMLVNTGEENDYQYLKWNNASFDAYKNILLNDVKMMEEAAKSNNKNYQAIGKFFRALHFYNLSMRVGDIPYSEALRGETDNIRLPKYDKQEDVFAGILNELKEANTLINTNDKIGGDIVYNGNIERWKKLINSFRLKILITLSKKTKVGNIDIKNEFASIVSTERLIESNSDNGQLTFFDLANSRYPTFNSSSYGSSLYMSDTFIQYFKDRKDPRIFTFAEQTTSAKEKNLALTDYNAYQGGNPISPYSDNAKLIDQKIISKVKTRFYLDPVNEPSNILSYSELNFILAEAAVRGWINQGDAKRYYETAVKANFDFYKANVKDSDKLFAGFDVNSYLNSTLVAYNTTESTDKQLERILTQKYMTMFHQSQWTGYFDALRTGYPKLPIISGRVFPKRFRYPQDEYNTNAQNLKAAISSQYGGKDDIFQTPWWLQ", "LKNTLFISGLLMILLTSGLALGFSKTIRMNMIIGGIIPVLLMLNVLYSVIKAIKVKAKAKYKAPDLSIKYKAL", "MVLENPKANPDVSKIISSPEINKVFFN", "MKNKSLTKAEEQIMQYMWQLEKAFLKDILDLFPEPKPHTNTVSTLIKILIEKGFVDYTLHGRQHEYFPLVSKEAYSGKSLKGLMKNYFDGSYRNVVSFLVEKNEMSVEDLEMLLQELKDKE", "METLLYFGKMLLCSAIMWGYYLLFLKDKTFHHYNRFYLLATIVISLLLPLLKVEYFTIETDNRLFLLLQNFSIQTVSQKTDGIDWKNIALFALLVVSLVLFVRLLIGIYKIYQMKNQYQGKELKGVRFYITDLHDAPFSFFKNLFWKKSIEIDSDLGKQILKHEMVHIEQKHSWDKIFIEFVQAVFWFNPIFYWIKKELFLIHEYLADKKAVKQNDTKAFAQMLLASKFSGTHLPATSPFLSSNLKKRLQMLTKPHYTKFSYARRVMALPVLFGISFTYLVTAKNKEIANTNREIAVAIKALQQDTIGSENNKNVHIQIKENNNRGSLLSKIEKASDNAIFKINGKAVTKSEFTEFYHQNKNARYAYNHSGNSASGTTIFDAYDLNSKDLSADDKRKIAESDRRFAESSKRFEKNNKRFQNNQRSIIYNGNYVRYEDMTAEQKKDFDKAMKEAQKARDYINSSEYKKILADAKKAGEEGRKAAEKARAYLNSDEYRKIMEDSRRAADIGRKEAEKARAYLNSAEYKQIMENARRESEKARNYLNSPEYKQIMENAKKAAEEGRKQAEKAREYLNSPEYKKMMEESRLAAQNWSSKFKDSFKNVDFSGTFMTKEKQNKVLEELKNSLKDKNMKDEDIQKILENVKKNFSNSSKFGTAINLSSKTDSPFVMAVAGNEPRSYSFTSTYSTTGDDAGKPSMKISAFGKDTEVYVDGVKIAYDDMKDINPKEIQSMSISKSTGKKTIIKIQKKS", "MKFTITFLLCISSLVYSQTNRYIYQLTFTDNMIKNTKRNVNMVLDINPKDVKFYEYGFLETDSLNQLPDAVKNYRGSETKQLLKRDINSSNNANFYRVSDYFVFPSEDPIKWTLSHETKQIDTYKVQKATTDFGGRKWTAWFAPDIQINEGPYKFRGLPGLIFEISDHEGHFHYKLVKNKKFSNTQSTDNFLETYYGQAPTKISMAMYNKLFLDHYNDPFAWARAAPEGRWTIKIGDKEYKTKADLKEATENSKKAMRDSYNPIEKNNAVTLK", "MKKILYLFLAGFGVLYAQNQKFVYEYKFVPDSTNTSDIKSEITHLEITPKGSVFYSYEVYKSDSLAKADLEKQLKATGAINVKSGMRKGLFRDKILKTYPDFKITQESSTTGKALKILDDRKLTWKILPDKQKTGNYETQKAEVDFAGRKWTAWFTTEVPIQDGPYKFHGLPGFIVKIEDATKSHIFELKGVSKLVTPYNEEMTLHQKAVEVPYSQYLKIYKMYRKDPLAEFRQRMASISAQGIRDASGNPIDMNKMMKDREQKELERLKKDNNVLELDLIK", "MKSEYMFLDILKTGSKYYSKKQFDSDSIQTVAIIKQMKMNPGSISINKSFGEGGIDYIIEKSYPDFRIEFSTSVGMGFGNTDYKVLDDRKLSWKILPDKQKIGEFEAQKAETLFAGRKWIAWFTSEIPFQDGPYKFHGLPGLIVKIEDAKHTHIMELKAIKKIPERQLTKDEIDALAYKEKMGKRLSVNQNQYKKLIEQYKNDPVQGYREMMNKPNTKVSINIDGRIISDNAEILKQMDKTAREQIKRDNNLIEQQL", "MKKLVFLLFIFCITFQSQIAAQRFTYEYKYAIDSTRKDSLNTEHMILDIGKSGSKFYSKAKYESDSLIKAEVEKQMKMGSSNIQMKSGYKGSINFSVEKNYPDFKTYLLTNVGAIGGVSKYKVLDDRKMEWKILKEQQKIGEFNTQKAETNFAGRKWIAWFTSDIPFQDGPYKFHGLPGLIVKIESADKTHSMELKGVKKITEEQLTINNTPELSILRNKPMDISQNQYTKLVDQYKKDPGQSMRELLNRPGMKMKFNVNGKEVTDPNDMIRQIEKTAKDKLKKENNPIELKLD", "MTQNKGKALVIGAGIAGLSSAYYLLKKGWEVEILEQNDLSNNCSYGNAGMIVPSHFTPLAAPGVVAQGFRWMFNSKSPFYVKPSLSSKLISWGLQFLRHSNQKHVDASASAIRDLNLASSKLYNELAKTEDFNFELNQNGILMLYKTEKVAEEETELAHIAKELGLDVDVLDWKGIQDLEPNINLDVIGGINYKCDGHLDPRKLMTQMISYLKNNGVTFYTQHRVSGFETSESKINAVIANGKKFTADQYIMTGGSFLPELAQKAGIKIQLMPGKGYSFIYTPENSAYKLEHAALLLEARVAVTPMSGQIRFGGTMELAKHQHKINMNRVEGIVASIPKYMPDFQVTLPKESEIWYGYRPCAPDGLPYLGASSKLKNLIIAGGGGMMGLSLGPVFGRTVSEIANGEKLSVDIDIFRPERFN", "MNKTFFCIDSHTCGCPVRLVAGGAPVLRGNTMMERRLHFMKEYDWIRKGLMFEPRGHDMMSGSILYPPIDEANDTGVLYIETSGCLPMCGHGTIGTVTIAIEEGLVVPKVPGKLRLETPAGLVLIDYVQEGKKVKSVKLTNVKSFLYAEDLEVDCPNLGLIKVDVAYGGNFYGIIDPQENFRDISDFTASQLIHYGKMIRKLLNEKYSFIHPEDENINGLSHIQWTGKPVDFNASGRNAVLVGENALDRSPCGTGTSARMAQWYAKGKLKEGEEFIHESYIGSQFIGRIEATTTIDGKPAIIPSVEGWARITGYNHIIIDDEDPYWLGFQVM", "MIEETSFQVIDQKVRQAADAYQFLKNTTIKGRSAFMNAVADKIEGLGEHLLTTAHTETSLPLARLTGEKARTIGQWRSYAKAVSTGIYTEARIDLPQASQSKGDLRKYNVGLGPVVVFGASNFPFAFSTAGGDTASAIGAGCPVIVKAHPAHPETSQIMADAITEVVKEFGWPEGVFIHIIGKSNDIGAYLIQHKEIKAAAFTGSFAGGKALFDLGSQRKEPIPVFAEMGSINPVFAFQNQLSVKAEQLAKEYAASLTLGVGQFCTNPGIFIAEAGDSFERFKVALRNEILNVIPVNMLHKGIFENFEKYKKLVCSQPDVSIISEVNSEIKEWEGRAIVIETTAANFIKNNILSEEVFGPFGIIVKCNSRDEMLQIAQQLQGQLTITIAATNEDVHLNIDIINVVKDKCGRLLFNGMPTGVEVVYAMHHGGPYPSTTDPRFTSVGSDAVKRFVRPITFQNWPDEFLPEELKNENTLQISRIVDGEIHSGSLKN", "MSTKLNWEGIYPAVLTPFTKDGEIDFDMFAKNTEAQIKAGVHGIILAGTLGEASALETEEKFELLKFAKAITDGRIPVILNLSENTTRSAVNYAKKAKELGADGLMLLPPMRYKADNREVVEYFKAVASATDLPILIYNNPVDYGIHVTLDMFEELINYPTIQAVKESTRDLANVTRMINRFGKRIKILGGVDTICLETLMLGADGLVAGLVDAFPNETMAMYNYVKAGEYDKAVAVYRWFMPLLELDIHPKLIQYIKLAASAEGIGNPYVRAPRLELHGEEADRINKIITDGIANRPVLG", "MKSLQFSVPANTNKSISIQEDIMTHFYPYFHRHDETQIMWIVKGHGTLAIEQNLLNFSDGDIFYLGANQAHVFKADFKKNEKHKVHAISIFFDPSKKISGVFDLPEFEELKDFISDSEVGFQVSQELKTDISSKIKQLQQLKGIEQIICFIKILSDLMQNKTLHIPLSAGKNMSNHISDNDKRIIDAQSYIRKHFTQQKLTLDDIAEQACLTPQAFCRSFKKRTGITYIQYLNELRVQRACKLLTSSGMNSISSVAFNSGFNSLTNFNRVFRTIMKYSPKEYLKRYKETIME", "MFSSQTYQDRRAVLKNNVANGILLFLGNIENPINFEHNPYYFRQDSTFLYYFGIQEPRIAAIIDIDENKTIIFGDELSIDDIVWMGRQETLKEKSLKAGVQETSPYAELYKYIQKTQSTGRKVHYLPPYQPSNKILLGDLLQTKITELQPSVEFVKAIVKQRSIKEAQEIVQIEDAINVSNEMHLLAMRIARPGVKEYEIANSIQHLAADKECQMSYPPIVTINGGILHNHYRLNTLKSGDLFLNDSGAETAMGYAGDLTRTFPVDNTFTTKQKEMYEVVLNAFNNAQQLLKPGTKFKDIHLRASQYLVEGLIDLGLMKGNPEEAVKNHAHTLFFQCGLGHMMGLDVHDMEDLGEQYIGYTEEEPKDTKTFGLKSLRLGKTLETGFVLTVEPGIYMIPELIDIWQSENKNAEFINYDKVNEYRNFGGVRVEDDFLITNDGYRLLGNGLIKTVDEIESYRTQHLA", "MKNIKKLINIALCSLFLSPLAAQKTQWTSDGNAYYSFTKNGIEVVDLVDPGKNQTFIGNSELIPSGKSAALNVQSFQVSPDGKSLLLFANTQKVWRDNTRGDYWIFDKNSKKLTQLGKGLPTASLMFAKFSPDGKKVAYVSKHNIYIEDLANNQLNKITSDGTDRMINGTFDWAYEEEFGTQDGFRWSPDGSKIAYWKLNANGTKNFLMINNTDSLYSFTIPVEYPKVGENPSGCTIWFYDLATKSSKKANIDGDEVQHYIPRMEWVLDSKSVILQQLNRKQNQSKIIVADANSGVSKTIHTETDPAWIDIKSRWNDNDPSGWDWVNNGKEFLWLSEKDGWRHIYKIDMNGKETLITKDAFDVIKPEFFDVSNKLIYFSASPTNATQEYLYKVSMNGGKAERITPEAYSGSNKYTISPNGKLAIFNNSSVNARSAGAIISLPEHKELVAAKRISKADPAKSKTEFFQITTQDGVTLDGWVVKPKNFDPNKKYPIVFMVYGEPGSQTVTDNFYTGWNGLYVGDMAADGYIYVSLENRGTPAPKGREWRKSVYRKIGQLNIRDQAMGAKALFAKWPYIDTSRVAVWGWSGGGSSTLNLLGQYPEIYQTGIAIAPVANQLFYDNIYQERYMGLPQENREDFVKGSPLAYAKNLKGNLLLVHGTGDDNVHYQNTEVYINELVKYNKQFQLMSYPNRTHSISEGEGTSLHLATMFTKYLKEHCPPGGR", "MSSLENEFLDRLEKHKGVIFKISKMYMDNTEDQSDLFQEITYQAWKSYTSFEGKSEFSTWLYRIALNTAIVFLRTEKKRSFIRNEEINHIRTTYEDYNHEQEEQLKKMYNAIHQLNSLDKALIFYYLEDYSGKEIAKQTGLSEVNIRVRLNRAKAKLKELLD", "MDLDNFKNIWKEEEIKTTPEVSLERQKRIHMPLDKIRKNMRIEFWYTIPMILIFIVSAFFINDLKLRTYIIIVLLSMTIVTFFYFSKFFMLYKNIGNNNLNTKDNLKDLLFQFELNRQYYNSYYVASIPFFVTITILSFEYLPLYKKIHDLELVFVAIISITSGLFTMYFFGKWWFYEFYGKYISQIVEVLKEIGNTELEYNFGKNIFKVNTKKNIYLRTENYLVNKIGNSGKIINILLWIFIPFICLLIFIFICGFIVGYLGLFN", "MKSIWKAIYGNSEIRIENTWFNGEKLYVNDVLQDEQINWFPNNIRLTGHLTQNGEKIPIKANLYSSLCLIECSLFINDEKVKTHKIQ", "MKFFIDTANLEQIREAQDLGILDGVTTNPSLMAKEGISGAEAIKQHYKTICEIVDGDISAEVLSTTYEEMIKEGEELAAIHPNIVVKIPMIKDGVKALKYFSDKGIKTNCTLIFSAGQALLAAKAGATYVSPFLGRLDDISTDGLNLIEEIRTIYNNYMYDTEILAASIRSPMHIINCAKIGADVITSPLSSILSLLKHPLTDLGLAQFVADAKKLG", "MNILLASTSTLFGGQYLEYIREEIIKLFNGADEIIFVPFARPGGISHDEYTYKARSFFSTINIKVKGLHEFDNPVKALNEAKGYFTGGGNTFLLVKTLHEQGLLSVLKQNVESGKPYMGASAGSNIGGLNMRTTNDMPIVYPPSFECMGLVPFNLNPHYLDPNPELHHNGETRETRILEFLTQNDTPVVGLREGNWIRRIGDKITTEGSELTRIFERGKEPYEIEAGSELKF", "MKYVYYGNYATYFEVARVELFRGIGMSYDEIEKRGIWLPVSEYNIKYLKPALYDQELRIEVKVKERPGVRIIFDYEIYNEEDEKLTEASTTLFFLDAEKNKVVKCPDWLMQLMDKHF", "MEQNITLIWDNCLSFMRDNLNSIEEKEGVNKLDDSFDLLFSKVKPVSLLDKNLTLQVPSDFYREYIEDNYLSLLSAALKKNIGKGVKLWYSVMENKPVGKVPPVTIQMKGQSIQTPRPQEVRNPAYAQNVVNPFVVPGIKKVNIDSQLNPSLSFDNFIEGESNKFASTVARTIAKRPGATSFNPLFLHGGVGVGKTHIAHAIGLEVKNLYPEKVVLYVSSEKFIQQFVSAAKAQNKTDFANFYQMIDVWIIDDVQFLSSKVATQDMFFHIFDHLHQNGKQIILTSDKAPADIQDIQERIVSRFKWGLSAEIKSPDYDTRKKIVVNKLHRDGIVLDNELIDYLATEVNSNVRELIGIINSVIAHSMIVKSELTIGLLKDTISKIAANQKKTINIAYIQDVVCKYFGLQKDRLLSKTRKREVALPRQLAMYFAKEYTNATFTKIGEEMGGKDHSTVMYACDTIRDVAKVDKEMKKFIKEIKDKIFE", "MKILMVCLGNICRSPLAEGILKPKLPNNYIVDSAGTIAMHEGEHPDKRSVKVAALHNIDISKQRSRPIQPKDLEYFDRIYCMDHNNLKDVSVMAKNEGQRQKISLILDVLHDNNNTEVPDPYWGNQQDFEDVFQLLNKACDIIKSQLPE", "MLFLLPAYLSENTPVEHFGSVIKEYILQTDYFFVENEKTVRKVIKFFAPEKKQSDLKLFLLDKYSETADLKEAQELMKKGQDFCLLSEAGLPCIADPGNIMVAWAHRNNIKVVPVNGPSSIILALISSGFNGQQFTFHGYLPIDKGEKRKQILHLESLVQKTGYTQIFMETPYRNNQLLEELTKTLNGKTLLSVAANINDPEGEFIKTQSINDWKKSKTDFHKIPAIFVLGK", "MKAFLSWPFYLKLASVLISIMILGYLAIQGQDLIIPMVMGLLFAILLVPVCNFLEKKLRFNRSISAIVVSVLGLALIGFILYLIGVQTTSFSEDWPAFQKQITAAFDSIQDWIAHKFGVQKHKQLTYINDLAQKSLSTGTVIVEKMLKSITYILMLIGLTFLFTLFILIYRRQLVRFIIMCFADKHKAVVMDVVNSIQYMVKKYLIGLIIQMVLVTILSFIAYTIIGIKYNFLLAIITGIFNVLPYLGILIATVLGVFVTFATSSAANVLWVLVGMVAVHAVDGNIIMPKIVGSQVKLNSLIVIIGLIVGESIWGVMGMFLTIPIMAIAKIIFDRVEDLKPWGYLMGDDDDAKEYGNEELLPIEEDETEMEIEK", "MNTKSALYLFFVFFAGIFYSQQKTVISQSVKGDLNKDGIPDLATVKATKNNDITTYILEIYFLDKEGNKKLEVNTASAIEASQDGTGDSLENIEIVKGTLHINYSFLRGQSKHIFRYQNNRFELIGFSYGASDGQGHITEVEFNLSTGRYIRKLSNYETGDTESEENKIVKIKPLPNLKNFEPYRSKYFY", "MSEHIIVTTGIYDVIKDQLRRKKVTPEQEIRLANELKTAKQVLRRDLPKEVVTVNRRVVIKDHTADTEKEYIFVPSTKAKPQKSKYSILSDIALATVGYKVGDIIDWPFVDGERKIEILKVEPFEN", "MSNLLQEIQKRKTFGIISHPDAGKTTLTEKLLLFGGAIQEAGAVKSNKIKKGATSDFMEIERQRGISVATSVLAFEYKDHKINILDTPGHKDFAEDTYRTLTAVDSVIVVIDVAKGVEEQTEKLVKVCRMRNIPMLVFINKLDREGKDAFDLLDEVEQKLGLHVTPLSWPIGMGAEFQGIYNIWENNIQLFLEDKKQKVGEAIKIEDVNDSTVDELVGEKAANALREEIELTTSVYPEFDREAYMKGDLQPVFFGSALNNFGVRELLDAFIEIAPMPQPKESDKRLVEPEEAKFSGFVFKIHANMDPKHRDRLAFVKIVSGTFKRNENYLLVRENKKMKFASPNAFFADKKEIVDESFPGDIVGLHDTGNFRIGDTLTAGEVMNFRGIPSFSPEHFRFINNDDPLKAKQLAKGIDQLMDEGVAQLFTMEMNGRKIIGTVGALQYEVIQYRLEHEYGAKCSYEPINIHKACWIEADEKSEEFKEFARLRQRFLARDKYNQLVFLADSSFTIAMNQEKFPNIKLHFISEFRHQD", "MKIDFPEMISGSYFPKSLRFLQGFMLGIVFIIIATVLLILILLAFTDYAPIIDDTYRFLFFVFFIILASLAGLLIYDTINNKKRRISWYKINNLGILFFNKENQLIQKILFNDLTKNPDIYSKDVYSESSGSGKYRSFKMNLCVFEKDANGQVRKRIVDFNSVFVKNKYSLIAHFLKGIKLFRPNLIINADIYKDFYLNENTLDFVPEEFKKDIYMKGVVFGIIALLFIIVSFII", "MDKDLHPGRNYQVGWKEGILLATTFLFMQFVITAWIQIQIIVFHSDPTSENFFTLFFYILVFLGCIFIFDQFIVKPTGSRISFNMRTSPFSTYLLVFPLMIGGMFIAEYTTTLLPTTGSFWGTWYKKFTEIFEKLSLDPTTMIISTSFFAPILEEILFRGIIQKGLINKGVSPAKAIIISAIVFGVVHGNPWQFMGAAILGSVMGLVYYKTKTLLLPILLHAFNNLISSLLVIYTKQESYSEFFGVPETYLLLIGIVLTAVFGYLFIYKNKIHYND", "MEILVATHNQHKKEEIQQILPDYNITSLTDYNLFEEVIEDGDSFEANAKIKAKYCFEKTGKPSLGDDSGLVVPALDGRPGIYSARYAGDHDFKANIIKVLGEMEDIKEREAYFITVLCLISEGKEEYFEGRVYGTLTYKPSGEKGFGYDPIFIPKDHSITFAEMPAEEKNKISHRANALKKFLDYLKA", "LGAYLTILGYNSAIPTVKSSPTAQFLEMDERCFLIDCGEGTQVQLRKAKAKFSKINHIFISHLHGDHCFGLPGLIASFRLLGRDQELHVYGPKGIKKMLETIFEITETHRGFQIVYHELEGDQSQKVYEDDKLEVWTIPLDHRIYCNGYLFREKPKDRRLNMAEISKYPEIEICDYHNLKRGKDFVLSDGYVLKNEVLTTDPEPPVSYAFCSDTRFKEDIIPIIENVDVLYHESTFLHDLKEMADYTGHTTAKEAAIIAQRAGVKKLILGHFSNRYADLTVFTDEAREYFPNTFLPIALEPVKV", "MTEKEIFAFLDEKADAFNHPEYINSDPLQIPHRYSVKQDIEISGFFAATLAWGNRKSIITNATKIMDFMGNSPYDFVMNAKKSDFKSIEDKAVHRTFNGEDLKQFVFNLQRLYQEQESLSYFFRPKDDEQNFYHALERFRTAFLNKNNHRSYKHVSSTYKNSAAKRLIMYLRWMVRKDKRGVDLGVWSDLDQKKLSCPLDVHSGNIARQLGILNRKQNDWKAVEELDIKLRTYNSEDPALYDFALFGLGVTKELE", "MEQNTYEPRTIQVVPEELKASQAKYMSKVYGWMSLALVVTGLIAYLVAGSETLITAIMANKLLFYGLIIAEFGLVIWLSARIAKMSTTTAIAAFMGYAVLNGLTLSLIFLIYTFSSIALTFFVTAGTFAVMSIYGYVTKTDLTKIGKILMMLLVGIIIASLVNLFLKSPMIYWITTYVGVAVFVGLIAYDTQKIKNYFLELNGDESLMGRMAIMGALTLYLDFINLFLFLLRLFGGGRSND", "MPKNYFIPFTILCISAFYGQEYAVGNIKDELKKKANAVIRKNQQDITIKSIDQMEIKYSKAITVLNQSGAELAYISIPYDKVSRPSQIKVTVLDENGKQIKSYSKSDFKDYSHGQNSVLYTDDRILFLSAEAPSYPYTVVAEYTMNTGNTIFFPNFIPFYSYKTSLEKAGISIKNTSGINLRTKIYPSFIATPTEQKSNDIISYTYTNIPAVENEVKSPDLDQMLPKVQFSLDQFSLEGKKGDFKDWNSFGKWYYNNLLQPASVITEDLKKEIVSLNLQGSTEDKVRTLYQYMQNKTRYIFVAIGIGGWQPMPADDVRKKSYGDCKALSNYMKTLLDAAGIKSYYAIINSDDSVINFDEDFPKMGGNHAILMIPTEKDNIWLENTSQKMAFNHLSYSTTARNVLAVDENGIKIIKTPVYDASKNKSIMKAEITINSEGGVNGKSNFSLTGWQYDMYLSLDGLSNKEASDALKSRFSELNYETANINNFKNNRNDALLSFDFDYQAKNYSKKIGQDLLFRAIPFNELTSINNIEERKLPFENAFAYADDYEIIYNIPAGYKISEIPQSQSISSTYGNYSLKAVTNDKNQIIIKRQITINRGSYPKEQFADYLAFRKKIVSADNSKMLITKN", "MNKLILLACSGICIMSYAQKDKFLNYPKVSENDMKKEKSAIDPNAGAEILYRSVHYFIDPSSNMLNQEVYSQVKIYDKNKAKDYLTVELNLYKSTKSSDAEILTSLKGTTYNFVNGKVETDKVEKEDKFKSKESKNYEVSKFTFPNVKNGSVLEFKYKRISPFFWYVPTTTIEKDIPVVYTEYVFDMPKYFGYNINYTGSLTPKNRHIAEEFLYGVEGRTYRFGFENLKPFEEEEYVLNSDNYKTKVSAELNSVAYRSGEVKNYAMSWEDIRKQLNEHDNFGRELKKKLPSGFIPADILAEKDEMEKAKKVLAFAQKSFKWDRVHDFTSDNGSNNLIKTKIGSVGDINLTLVKMLREAGITTNPLVLSTINNGFISYNPSMNSLNYVIACSEINGKLYIMDASNKQSLINVLPPKIYNYRGFIMKDKEVKEVNLENENSSKTFLTVNATLNPDGTIKGSFSDKDTSLYSMVNNERYEDDKEDYQKSYYKDRYKFPFTDIKTELLPNNDFETTFNFTADNMVDAVGNKFIINPLLFLNTEKNPFDQKGERTMPIELLTGYEKIKNVSLTIPEGYVVENLPKSKRIVTEDKEISYTYEISQDKNKINIKTSTIVASSNYPKEYYVAFKQIWDTMLKKEGELITVVKK", "MSTKIEIPWQDRPDNCTDVMWRYSNNPIIGRYHIPSSNSIFNSAVVPFEDGFAGVFRCDNKAVQMNIFAGFSKDGINWDINHDPIVMKAGNTQMIESDYKYDPRVTFIEDRYWITWCNGYHGPTIGIAYTFDFKEFFQCENAFLPFNRNGVLFPEKINGRYAMLSRPSDNGHTPFGDIYISFSPDMKYWGEHRNVMKVAPFQDSAWQCTKIGAGTVPFLTKEGWLMFYHGVINTCNGFRYSMGAAILDKDNPEKVLYRSKDYLLAPAAGYELAGDVPNVVFPCAALQDGEKVCVYYGAADTVVSVAFGYIDEIVESIKRSSL", "MGLPFVAISVASSIMYKSMGIPDKSIAFWTSLIMLPWTIKPLWSPVLEMFKTKKYFVVFTEIFTAVCFGLVCISLSLPHYFAYSIAIFSLMAFSGATHDIAGDGLYMDTLDPAKQSRYIGWQGAAYNVAKVFTSGLIIYLAGVLEKTLGVTPGWTVIMLIYGGIMLLIGLFNIRQLPSGKIREFTDITLKDRFKELLFIFKNFFTKKHIFYYICFIILYRFAEGFAVKIVPLFLKANRNEGGLGLSTEEIGLVYGTAGAIAFIAGSLISGLYVSKRGLKKSLFTLCCVFNFPYIVYVFLACWQPENIYLITLGIVVEYFGYGFGFVGIMLFIMQQIAPEKYKMANYAFGTGIMNLGVMLPGMLSGYISDMVGYKHFFIFVLIATIPALLITYFVPFTYDETSKNITN", "MKKIFNLLILIGILYSCQREEIQVYEITDPDFNLMPAKAEILNFPSGATVKKVGNDYIWMGDILLSPSQLEEVKTKGFISGTLQTREKKEPNTSVHPLTNMPLNPKLDPNASVGIGGYYPAQTWAMVRYVYSPNLTQERKEIIKEAIRHWEANTNVRFYNATNQPTRDPQYGFNYPYIEFVNGTVNSSSIGLIGGRQVINLAQFQYSRAAIHEIGHAIGLFHEQSAGNRDNYMNVNFSNIKPEMRYNFDKVRSNYYQIGLIDFNSIMMYGSYITDPAIVYNPNIPVLTKKDGSTWLAASVLSPTDKMWANTFYIPYIARSDIYRELADVVYKPDNTIMTPQERLAFQARLNNGNPYPPAGGRIPNTP", "LKVTAKKHLGQHFLTDENIASKIANGLSGDGYDAVLEVGPGMGVLTKYLLDKEQETFVAEIDQESVAYLKLHYPKLENHILNDFLKLDIPQQFEGQVAVIGNFPYNISSQILFKIIDNYECIPEMTGMFQKEVAERTAAVPRTKDYGILSVMVQAYYDVKYLFTVHENVFNPPPKVKSGVISLIRNPKEGLEGNEVLFKQIVKAGFNQRRKTLRNSWKVLGIPEALTDHEFMSKRAEELSVQDFIYITKLWKENK", "MAKSVDDFNKKRLRSSNITVVISIALVLFLIGLFGLILINAQKYSDYIKEQLVVNAYLDEHLDVKDSTKIAKLNQETFEAVQKLPFVKKATFITQDQAAKEAKKSLGIDSDALFEENIFPASVEVALKPEFVDPAKINGVVKQLSEVQGIKEVKNDSSLTIDVYNNLNRILTWILAFSIIFLIVAIVLINNSIRLKIFSKRFTIKTMQLVGAQRRFILMPFIKEAIILGLIGAVIGLTVLFAGWYYFTTEIGSVFITDQTKFVYLIILVLGVGVLITVLSTIFATWRFLRSRIDTLYYS", "MSKKNQNIASTDSTQENTFYFGKKNFKFMLIGLACIIVGFFLMMGPDANTTPDGKYDPNYWNDGINSIRRIRIAPFLVIAGFVIEIYAILIRKKD", "MDTIQAIILAIVEGLTEFLPISSTAHMGFAANLMGMEETEFLKMFQVSIQFGAILAVVVAYWKKFFDFKNLNFYIKLAIAVIPALILGKLFDDKIEAVLGNQIAISTVLVLGGVVLLFVDNWFKNPTVLDEKEVTIKKALTIGFWQCLAMMPGTSRSAASIIGGMTQGLSRKAAAEFSFFLAVPTMLAVTVYSIFVKTWGEGTAQATKGYEMLLSSHDNLILFLIGNVIAFVTALIAIKSFIALLNKYGFRFWGIYRIVIGIALLIYFYSVK", "MLFRYPKYSKEDKTGELIFTDNRYFVMAVVIAILVFMLIWQLEEKTNDENIRNASLTREMDYSVFDRIIGKGKDKSNRDIPYIVLSNKKQEYISSNLWDCIEKGDSISKKEGEQYYYIFRGNKVIKYDLYISYKKLE", "MKFTAEDIQAGQILLVDKPLDWTSFNAVNKIKWKLKREFKLKKVKVGHAGTLDPRATGLLVICTGKATKQIPQIQDASKEYWAEIKIGVQTESYDTEKPEILPQDISGITEANIHDALKKFLGEIDQKPPIFSALKVDGKRAYDLARAGQEVDIKIRKTTIHYIDRVEINLPYVSFYVGCSKGTYIRSLAHDIGQELGVGAYLTQLRRTKIGEYAIENATADYLENEYRFGDTEE", "MAIPNYPKNFSQKVILTPKDHFEADKDVFPDNRAPKAVIFCYEEYILEYVKSNYKVSSGRFWTAEIIILQDEYDGIAIVGNFGIGGPASTHLFEILIAQGIENYIMIGHAGGLQKNNPAGTVILCEKAVRDEGVSYHYLPEEMYAYASDKMTNAIRVSLDNMNIPYENGSTWTIDSMYRETIDEIKYYSGLGIDTVEMEAASMFAVAQFRKVSIGALFVISDIVALEEWDEHLHSDDTQQSIIQTFIIAIDTLRGMD", "MMQSLPPVSDTYKKEVRKSVLSIILFFTVYFILILVSLAILLAVISLAISFLKSFKIGFWTILIAGAMIGMGGIIFVFLIKFLFSVSKDNYEKIRITKEKEPALFQLIEETYQQVGAPAPKHVFLTTDVNAFVSYDSSFWSMFLPVKKNLTIGLGLINSTTVSELKSILAHEFGHFSQRSMKVGSYTNQAQKMLYDMLYNNEKFFKNISGFAGVHAIFYLFVMIAVYFIRGIQWVLAKLFDFLFSKHLSLSRQMEFNADAIAAHVVGSRVSAESLLRLSLSEMAFSKPLNFFYAHNKTYYTDNLYADQTLLMDFYAEEYSHKVVNQLPLVTLEESEKYNLSKLEIEDKWSSHPSIKDRVLAIEKENIPSVNVNNNLAKTLLINFDNYAEALTNKLYSINGMDKKAEKINAESFLELFQKEHQNYSFPKMFNGYYTNHNPIAININDVDTKINTSVEDLFSDKKVALVYEKMALEKDINTLKSIVDKSIKVKLFDYDGQKYERKEAKNFIPRLEGRLKEISESIKENDQSVYQYFYTKASEKNRQDQYTHSYKDLLTAEEQFEQYIKSLNEFLPFIHFMSQTLEVDVIKVHCSKLLEVQKTFKEEIRKLKEESVFNKYIEDKDLEALTKFNDNDQTYFEFNTYQSDHITQLNTAIDAYYNSLYDGYFKIKKSLLDLQGEIEA", "MKIFEKTGKMALGSRLRLLTARMADDADKIYEIYKNDFSAKWLPAFYTLVEEGPLTITEIAEYIGHSQPSATKTIKEMIKAGLCENLKTEDKRRNLVGLTNKGRSLSTQLQDQYTDIDAAVENMINEANYNLWEAVKEWEYLLEKRTLLQRVLDQKKAREAKDVQVIPYEKKYKTVFRALNEEWISNYFVMEEADYKALDNPQEYILDKGGQIFVALYKGEPVGVCALIKMFDDEYDYEMAKMAVSPKAQGKHIGLLLGKAIINAARKAGAKSLYLESNTILKPAITLYEKLGFKRIVGRPSPYERANIQMALDLEEISG", "MKKNILLQFTLGAVLLINTACSGDRAINNEEPQKPLRGPYDNGIIIENEGTWTDMNASVDFISNDFTKFTSNIYNLTNNEELGKVLISITFKDDLAYLVLNSSNVVKIVNRYTFKKVGEITTGIKSPRYVAVTDKYIYITNNTSFDPNNYVSIYNVSNFSLVKTVNIDNGAEKIAIANGNIFVDNSGSSGVQSKISHINGMTNTLQSVINLPNGRIQNLISENNDVYAIASKYKEADSYIYKVSGQGTLTKTIVLRGIASASDLRIYKGKFYFTSGAKIYSMDINADNPPTSPLFTTSLNNRDGFFTGFNIIDDKIFTAESDQYEDTSTVHVYTLTGAPVKTFTTGRGTSGLHKN", "MTKEEQLHRAIKIAVKAHKGQKDKYDAPYIDHVLRVSNLGKTLDEKIVGALHDVIEDSDFTLADLAYEGFPPHIVEAVRCITKTDDEEDYDILIQRIETSPLAIAVKINDLTDNMDLKRMPRLLAERDLKRFNKYIKYYRYLTDKY", "MKKVFITTAVLLTGLVFSQTKKKDSLLSKNKTSSAKESKGFYKNVDSVSASKYKILNSVPKEQNKYKILKKEEKPTYKFIPTPQTRLLPQPEKKENK", "MISKIRTVAVLSITITLQTFSAQEKQPQSPQKWEIYKNYYLTYAFRNNPSLVQELYKDSAVQTMLNDRNKRFDDGKNCSTTECLIDALKWKDSEISILNNKFQDLYVKNKNFLNFIENTVFRAGKYKKQESQNPKEMLQKALLQDLTAMNNVIDIYGAGKKPDYPEIDSISFNVKDKNYIELLRNVRFDVAADTEKNAFDQTLLSAIRLLEINERWDAAQLEPLTKTENKEAFEKIKKTDFSKYPYASLLILGAGPQIYGQKISPLGILRSRQALRAYQKGLVPFIIVSGGRVHPFKTQYIEAVEMKRYMIDVLGIPSSAIIIDPFARHTTTNVRNAGRMIMDYGFPKDKWSLVSSSKTHIDYVEKTMDKRSLKELGTIPYVVGKRISDLLLEYKPTPEVFIINPVEPLDP", "MSTIKGGEFLIKDIEAKDIFSIEELNEDQKMMRDSAKEFIDREVVPNRERFEKKDYAYTEEVMRKIGEMGFLGIAVPEAYGGLGMGFVTTVMACDYLSGVTGSLATAYGAHTGIGTLPVVLYGTEAQKQKYLPDLATGNHFGAYCLTEPDAGSDANSGKTKAKLSEDGKHYIINGQKMWISNAGFAETFTLFAKIDDDKNITGFVINKSELENPDSLTFGEEEHKLGIRASSTRQVFFNDMKVPVENLLGERNNGFKIALNALNVGRIKLAAACLDAQRRIMNHSVNYANERKQFGVSISTFGAIRKKIAEMATGTFVSEAGTYRAAKDIEDKIEELVAGGLDHQQAELKGVEEFAVECSILKTYVSDLAQHTADEGIQVYGGMGFSEDTPMEAAWRDSRISRIYEGTNEINRLLSVGMLIKRAMKGELDLLSPAMAVGKELMGIPSFETPDYSAYMSEEKAIIHNLKKVFLMVSGSALQKYMTEIEKQQHLLLNASEILNQIYMAESAILRAEKHFAEGSVEAAMARLNLYKAVEKINVAAKEGIISFAEGDEQRMMLSGLRRFTKYTNHPNVVKLTEEVAAHFVNKGSY", "MYYVQQHYKKLTNITVHGTFYNVLFTKKYKYEHN", "MKQAYIVAGYRTAVGKAPKGSLRFTRPDVMAATVIEKLVGDFPQLDKDRIDDLIVGNAMPEAEQGLNVARLISLMGLNTDKVPGVTVNRYCASGSEAIALASAKIQTGMADCIIAGGTESMSYIPMGGYKPVPESHMAKDHPDYYWGMGYTAEAVAKEYNISREEQDQFAFESHQKALKAIAEGRFANQIASIPVEYTFLDENQKTQTKKFDFSVDEGPRKDTSLEGLAKLKPVFANGGSVTAGNSSQMSDGAAFVLVVSEEFLKEFNLTPIARLASYAAAGVPPRIMGIGPIYAIPKALKQAGLSLNDIDLIELNEAFASQSVAIKKELGLNPDILNVNGGAIALGHPLGCTGTKLTVQLLDEMRKRGNKYGLVSMCVGTGQGAASVFELL", "MHNFRELEVWKKAMELTTIYYQFSQSYPKEEIFGLISQSRRSLVSIASNIAEGAGRNTSKQFVQFLNIALGSSFEFETQLLISFNLKYISDENYEFVYKNLKHIQNMLVRLIDNYK", "MKRRIKHVTVLGSGIMGSGIAAHFANIGVEVLLLDIVPNQLTDAETAKGLTLEDKAVRNRIASESLQKLPKASPALLYSPKFVSRITAGNFDDDLEKIKNTDWIIEVVVERLDIKKSVYEKIEKYRKPGTLVSSNTSGIPIHFLIEGRSDDFKKYFAGTHFFNPVRYLPLLEIIPTPETDPEIVNFYMEYGAKFLGKTTVLAKDTPAFIANRIGTFGIMNLFHSVKKLGLTVGEIDKLTGPVIGRPKSATFRTADVVGLDTLVHVANGIYGSGAESDTFKDQFVLPDFVQYMIDNKLLGSKTDAGFFKKVKNTDGKSEILGLNLETLQYEPQGKASFPTLELTKTIDRPIDRFKVLIGGKDKAGEFYRQMLGALFAYASNKVPEISDEIYKIDDALRAGFGWENGPFEIWDAVGVQKGIELAKEAGYEVSDWVKNMAEGTSFYKINEEGQKTFFNEKANQYANIPGQDAFIILDNIRKNKTLWSNSGSAIEDLGDGIINFEIRSKMNSLGGEVLDGLNRAIDLAEKEYDGLVIGNQAANFSVGANLAMILMMAVDQDWDDLNMAINYFQQSMMRVRYSSIPVVVAPHGMTLGGGCEMTMHADRVVAAAETYIGLVEFGVGVIPGGGGSKELTVRTMKEVIADDVKTNRLRDAFMNIAMAKVATSAYEAYDMGILQKHKDIVVVNKNRQIAEAKQVALQLAEQGYTQPIKEKVKVLGQDALGMFYVGTDQMLAGRYISEHDKKIADKLGFVMAGGNLSEATDVSEQYLLNLEREAFLSLCGERKTLERIQYMLQNGKPLRN", "LRRPEFNIDMDNNKGRVDNIDLILKQTWLAVSKMYSERAQEYDSTAVQALTLLKIDPKEGTRSTNLGPKMAIEPTSLTRIIKLLEDNGYIYKEKTTTDKREVIIKLTDKGLNSRNLSKEVVVNFNKRVIETINPEKLETFKEVMRDILNIANDLNNKK", "VQSEVILKLEHLDIGYQRALVKDICAELKLGEVCLLMGNNGQGKTTLIKSILGENKLLNGDILLAEKSINTLSALQIAKKISVVFSKATIPNGFTVKDLISLGKYIHYPYYFSLNKKDEEEVVDIINKIGLQEYTDMPLHQLSDGNLQKAFIGRALVQNTSVIILDEPTTHLDEKNKTIVLTTLRMLAKEYHKTILFSSHDWRLAKEFSDKIWYIKEGGLYPGIAEDILSTHPELTAPALFHFNEAFVTPHIEAPQLQKEMLFSVLQKNFKKDLSQFNFIFQDGVWDISSDTFQKKAESFEEIIQLIGNL", "MSVKFRNISIGLLALFFILAFINLNIGFTDLNFTDFFSSDESTSLIAQLRINRVIIIFLAGISIPTSGFLMQEYFQNPLAGPEVLGITSVASLAVASYIFLTKDFTLPEVLQSGLISMAAFAGSLLLMLILLAYSKAFTDKTYLIIFGFLISALASAIVSLMQVYAQSESLKSYVLWSFGANNQVTLSQIAIVGVLVTVGMFLCFRSIKPLMGNALGESYAKSFGVNLERLKYLVIICSSLLSASITAFLGPVLFIGIVVPHFCRMLWNPAQLWQQWILNILFGACLLELFSIISELAHWPINIISSLFGVPVILTMLVKMNRRAV", "MKKLFFGITILSAIVACKKTDSPVTGNKNNDRTEMVPNPEGEVKDSGKALQTLGENKVAELLKTKDNDTLYVTNFFATWCGPCMIEIPYFKTEMEKLKGEKVKFTFVSVDQPEDWNTKVKEFGNIQGLSSNIVLFDMGNASPEFAKNNTNTWDGGAIPFTRMSKGNKVFEKVGTLSEEEMQSKLNEFK", "LTKTSFSLTFEIPEHLKDIFRYESGQYSAIKLGNRQNDYSYTSAPYENELSFGIKYSSKESFAYQLYENLNPGDVVEISEPQGRFTIRSRPNEKRTILCFASGIGITPILSHMKNILHNEVNTRLFLFYGNRDKENIAFVDELSDLQAQYPDRFHSFFFFSREKAQNLMFQGRLDAKKVSLIINQILDQDEEDEESTIWDATDEVLICGPGEMIKSIANACYHHGIRKQNIHFELFDEFNEDIYEIEEELPVVKDIEVKFTLFGKEYKHHIPSNETRVLSSLLEAGFNIPYSCKSGLCGSCRCHLEEGEVYMVENEYLTEKETDSGLILPCVGVALSRKINLNFDNI", "MFLANIWVVALTEGRTFTKLSKIPAQKCALVLGTSPKTVGGRANPYFITRMDAVTALYNIGKVKRIIVSGEKSKNYDEPKAMRNYLIFNGGIPQSAIIEDPKGFNTQASIFRCKYIYQENNVIIVSQGFHNLRALFMARNEGMNAYAFDAQDVNSNESFYRNHAREFLARVKAVALYVFNISPEISGEKVKID", "MNIVGSRIKRIREEKGIKQEYMAYELDISQSNYGRLEKQDSRLTVPKIQKIARVLGVSVALLFGEETSNVVNEDYNGSNENAKHIESLKEEIDFLRKMLKEQGK", "MKTLKKLSRADLKCVTGGFLQELSPSGCYVCCWEGTNNCSTPVNHDHTPGTGSLTCVSGAELKKC", "MKNIFFIILICIYCTSDAQVKRFIYEYTTVPDATDTANKEINMMYLDITRKGSEFFDGHKYISDSTLVSMAKKNQFIMPPRDSKFIDYRITKNYPSYKLTFLVSTYNKRLSVTDLRKQIWHIEKVTDKHKGFNVQKAITDFAGRRWIAWFTVDIPIPDGPYKFHGLPGLILKLKDTTGSHKFNLTGIKNNIPDYNNYPEINTRSPQIDISQEKYTEIYKEYRRDPAKDYRIEVMKGNIFDSLDDNGNMKTPQQKLKELETLLKDKLKKDNNIIELDLLK", "MLVIGIAGGTGSGKTTVVNKILQQLNIEGVNVLSQDNYYLDNQHLNLAEREKLNYDHPKSIDFDLLLDHVKKLKNHEEIDQPVYSFVTHSRTGDHILIEPKNVLIVEGILVLTNKELLKEFDLKVFVHADSDERLIRRIKRDTQERGRDLEEVLHRYQTTLKPMHNEFIEPSKNEADLIVPNMRHNTVAIDFLTTVINNSLKKQSV", "MDNLIKDIKKPSSGFRFLRKYVLNKYVITITVFLVWMTFFDSTSFLVINELNGEIKKYEEQLEYYKKEYQKNDTFYKKLMFNKSEREKFARENYFMKKKNEEIFILVVDSANSVKK", "MSFAKTNLQDWEKLVAKQLKTEDIYKVLEKENIEGLEIKPFYTLENIVPVKLPRLEENMHLVAPYNDYLLEDAYAFLIKEEPLQLQDKAFFYEDSALNVIAAKDKNNNYFCLRDIFQGIEQGETFNPEAGKELLNTEAKRKLGIDISIFQNAGASIVQQLAVALLKIKELTELYGEEVFEQVIFRVAVGSQYFLEISKVRALKILINQLSKEYNKEAVPYIFAETSLRNKSLNDPENNLIRSTLELASAMIGGADAVYANDYKLSETDSVSEEISFKQQIVLAYESIINVFEDATSGSFFVEDATKEIAEKAWELFLELEKDGGYISNLESGKIQKLVYYQAIKEQNWLDEAKIKLLGVNLYPALEAKIALEELYNESVIKPVRLAERYGV", "MEFSHILRPEVQQFIKDNSRQDLTKLLLSGSPFSDVNIQEIAQQIKGRQAAEKKFPFLLQDGIIFPPQLNLEQASSEITAAFKASLFSGESLLDLTSGFGIDAYYLSANFNQTTLVEQNENLLDIVAHNWLILNKINTFYLNLDLSEFLEKNDQKFSLIYLDPARRDIHNRKKFLLEDLSPDITEIQNKLFEFTDKVVVKLSPLMDISMLVSQVSGISEIYIIAVKNEVKELLIVIEKDFNQSPTVKIHNLESNEPSLEVAFNTIQQSKPVFGEASEYLYIPNNAVLKSGAFNFISEHYNLEKLHPNTHLYTSTDFIEDFPGRVLKVVTVEAKKIEKGERFNIISKNYPLTPDEIKKKYKIKDGGNQYLIFTQSQKGKIILKSI", "MKKYILSAVAIVAIASCSAPQGGNKNTVKLTNDVERYSDHMEPSETEPNFAPSQAEKPAMTDSTKVAKTAEVKAVKDSATAKPAAEAKK", "MSETQNYIQENKQRFLDELCDLLKIASISADPAYSKDVLNCADAVAKHLKEAGADQVEVCETKGYPIVYGEKIIDPKLPTVLVYGHYDVQPPDPLELWESGPFEPVIKTTPLHPEGAIFARGSADDKGQFFMHVKAFEAMMKTNSLPCNVKFIIEGEEEVGSESLGDWISENKEKLKNDVILISDTHIYSNEQPTVTTGLRGLSYVEVEVEGPNRDLHSGLYGGAVPNPIHVLSRMVAQLIDEDGRITIDGFYDNVEEVSLEERAEMNKLKDDPEGFKKSIGLNGVEGEKGYTTLERTSIRPTLDANGIWGGYTGEGAKTVIPSKAFAKISMRLVPYQTPEEITEKFTKYFHKIAPDNVKVKVTPHHGGMPYVLPSDSEEFQAAKKAMEKAFGKEVLPYRSGGSIPITALFEQVLGSKSVLMGFGLDSDAIHSPNEHYGLFNFYKGIESIPYFFEYYTDLKK", "MLNNKVAYITGGTKGIGLGIASVLLNAGMRVAISGRNLEDAKAAAMQLSSDPSKVLAIQSNVRHFEDEDKALQEVKNHFGQVDLVVANAGLGHFAPVDELSVEAWQDMIDTNLTGVFYTLKASVEALKKSEGYFISIASLAGTNFFANGSGYNASKFGVVGFTQAAMLDLRKYNVKVSTIMPGSVKSHFNNHNPGDNDEWKIQPEDIGELIVDMMKMNPRTLPSKIEIRPTLPK", "MKILVCISSVPDTTAKINFTADKSAFDKNGIQWVINPLDEFALTKAIKLQESQGATVTVINVGDAGTEPVIRKALAIGANDAVRVNIEAKDSYSTAKEIAKIAQEGGYDLVIAGKESIDYNGGAVPGMVAQILNQPFVNACVGLEVNGGEATAVREIEGGKETISVKLPAVIAGQKGMVEEKDLIIPNMRGIMSARTKPLQVVEPSSTEVKVEAVSFDSVPPRAAVKLVSPDNLDELVRLLHEEAKVI", "MAIFVYAENINGVYKKAAFEAVSYAKAVADKAGDSVTVIAINPTDSSDVLYKYGADKVINVKDEGLKNFSAKAYAQAVGEVLDGNIIVFPHTTDASSVAPMLAISKGASLITNVIAAPESISPFQVKRKAFSGKGYMHAKADASNVVITVSQNAFGIKENPVSGSEEVKNLSVANEDTKVINHEQSSGKLDLKEAEIVVSAGRGMKGPENWGMIEDLANVLGAATASSKPVADIGWRPHAEHVGQTGKAISPNLYIAVGISGAIQHLAGVNGSKTIVVINNDPEAPFFKAADYGVVGDAFQIVPALTEKLKAFKG", "MDYKKLIIRGISYSQTQMGAYALILEQEETGIKLPVVIGNYEAQSISLGLEKDIQPPRPLTHDLFSKFITTVGYTLESIIIYQIIDGVFFSNLILKNDQNEKLILDARTSDAVAMAVRFDAPIYTTDEVLTEAGIMLELSDNDDKTEYKAEDEEETPVIKGYEVYTLEEIQEMLEKAVQEEDFDTALELQQEIKKRKKNID", "MNIKLRLTLLNFFQFFVWGAWLITIANYWFGTKQWDGTKFGAVFSTMGFASIFMPTLSGIIADRWINAERLYGILQILYAAVLFFLPQVTDPGTFFWVMLIAMCFYMPTIALNNSISYTVLKNEGKDVVKDFPPIRVWGTIGFIAAMWITNLTGSKSNEYQFYIAGASALLLGLYAFSLPKCPPKKLLDKNANIFQTLGLDAFKLFANYKMALFFFFSMFLGGALQLTNAYGDVFLDEFRHYPKFAESFVVKYSTIIMSISQVSETLFILAIPFFLKKYGIKKVMLISMLAWVLRFGLFGFGDPSTGLWMIILSCIVYGMAFDFFNISGSLFVETNTDAKMRSSAQGLFMMMTNGFGAVFGSLTSGWLIDKYFTQSYNKVSDLAQHLDTTADNSHFLSFLSKQKIDVLADGILSQPVMLRDWHDIWLTFAAYSLVIALLFAIFFKHKHNPEEIKNAGH", "MKKQQSIPLVMLAIILITLSCSRRDTDSTDKQADVQLIVELNSKQFPNASKDSIEKETYNNLQQLDGIEFFLKPENNYLDENTLQTNGKEKELTLQQLTRNNNAQLFYFKFSPASTNVPYMIYSAKEKNPIGIGSYKNTPNQYVLFNQASGKTSLFGFGWNLTLNTDRNKYFIESRDITGSSDIGIMSYVVDTQNKKLSIEKKNNTASQQFNIVPNDEFLIENIKISTKGGEITESKSIVLKRGTVKNDTSKEVKQNLVFSETLIGANSFIERMGGILITKTGNLEVETGLPKVIISNGVTSFGNNEITKLKYSTNSPLTTTIQLNIDNSISPKTTLNYKITVIQYQFRLKYTALCRGVKSGKIINISGVYNGTSYSNPSLEITQQNI", "MKTLYSKISTLLLGILLLTVTILSNCSDRDSNKTIEEFIPNMNLSSEVLDQTVWKGEVIDYYEGKESNRYQVNLFFRAGNRVSCYIIKGKDDEQMYDSEFNANGKLLYLDVNYIAGDWLLTDSTKDKIVLKNDPINGKRISVMTLTRIYKANNKLPPELLNQSIWKGSFVSYKNGGYTYTDDVNLFFRAGEVVFFLKGRNDEIESRGTRPYLVDKGMLSISWTWTSPQLSGDWLAFEVSKDKLILQKGYGNNNFNYIMTLTRTD", "MKKITLLFVCCLSLLSHAQKRYIVVIHGGAGTLLKKDMPPELEQQYKEKLKEALYKAYEKLQQGQTAIEAVEAAIVVMEDSPLFNAGKGAVFTSEGKNELDASVMYGKDKTAGAVAGVTTIKNPIKAAIAVMQKSEHVMLIGKGAEQFARVQGLEIVNPKYFWTQHRWDALQKVKKAELKANQPNAGNQQYPAYYLVDKKFGTVGCVALDKDGNLAAGTSTGGMTNKKYGRVGDSPIIGAGTYADKNIGISGTGWGEFYIRTSAARTVAAKYEYQNKDVKTATQEVMSEIENMGGDGGIIALDKSGNMAMTFNTEGMYRGAITSNGEIEVEIYK", "MKNTFILILFLVFGFVSAQIPKGKLLIIGGGDSPDFLIDRMVKEAGLKKGEYVAIFPQASSIPDSSFIYTSEDFEKRDLKTLNYYFNKGEKLSKARLDSLKKAKLIFISGGDQTKFMELINSYPEVKDIIKTSYFNGNMIAGTSAGAAVMSGVMITGNQLKHKEYNSTFNNIETNNVETQKGLGFITSAVIDQHFIIRSRYNRLLSLIIDHPKLKGIGIDESTAILIKNGEAEVVGKAQVIIFKNPGQYKTNLKDKPGARAITLDIYLNGERFKL", "MKVNYTVFTASLFFMGVCVYSQKKDTLLKENKIDEVIVVGYGKSTKSRMTDNVAKISAESIKEVPNANFQNALVGKAAGVQISQTNGKLEAGFNVNIRGSASISAGTGPLYVIDGIPMINRDESTNEAPVNPLVTLSASEIESVEILKDASSAAIYGSRGSNGVVLITTKTGKKGKPRLSFNISQGFSSPTNKVRFLNAKEYVELLLEAGRNVNDEDFVIRRFNRYSNNTDWRNGAIDTDWQKYIFRQGSVRDADFSVSGGDDNYNYMFTASNNDSKGIIRGNDLGRNTARLNISAKVTDKLKLGMNLGFSRTSIDRVANDNAFVTPMQAVAQAPISPAFIDGEPFAGTPYANFLLEDKYANYNTLIKRLTGKLSAEYKILKNLTFNSDLGYDYYNQKEKNYRGRKVPQMATDGYAYNSYVDTENLVFTNYLSYNLKFGSNNISAVAGTEYNKNRREFGSVTGIRFPSDDFQNINSAGEITEGKGEASEYTFFSYFARVNYDYKGKYLLKGSIRRDGSSRFGQANRYGVFPAFSAGWVISKENFLSESNTISLLKLRASWGKTGNAEIGDFAARDQWQATKYKQLPGIEPFQPANPDLTWEKSTQTDIGLDFGLFSNRISGEIDLYNKKTNGLLFQQNIPYTSGYASIYRNIGDMSNKGFEIVLNTQNFRKENFTWNTSFNIARNDNKITALPDNNADQIIGNTILRVGERSASFYLTEYAGVDPANGDALYYKNTLKPDGTLDKTTTNQYSQANRIVAGTFTPLWIGGLTNTLEYKNFDLSFTLYGEFGASMYNSGGKFMSTAGSWFDNQTADQMNRWQKSGDITNVPQARLGEENGTQESTRYLEKRDFVRLRNLSLGYTLNKDLMKSLGVSKLRIYVSAINLLTFTKYSGYDPEALADTGRGGGGATFYSAPPARTFTFGLNVNF", "MKIKYIILGLCGLLSVISCDKELEINPEQSITTEKAVSTPENINNILIGAYANTGRSDLLGGNLQMYADLLGDSGYVSWFGTYPDLRTIYSKNIVSDNFYVRDTWRTAYKVIFETNLILENLNIITTENDKKRTEGEAKFLRALNYFELVRYYGKTYINGADNTQAGVPLILSGKINYNGNLSVPRNTVEEIYTQVIKDLTDAIAVLPVNNSYYADVYSAKALLTRVYLQKGDYKKARDMAHDVITNSGKSLIPNYNDVFNTSQNTPEDLFAIQVTSQSGINDLITFYASEANGGRGGDIALKDDFLNLFETDDVRGSFYYINPYDDKLTSKYTDRYGNLHVIRLAEMYLIRAESNFRENTSLGAAPLDDINMIRNRAKATILSSLSLDDILMERRKELAFEGFLLHDIKRTAGNVGSLPWSSDKLVFPIPLREMQVNPKLVQNPGYN", "MKVLKFGGTSVGSTEAVKNLRLIVEREKENDEPLFVVCSAFSGITNSLLEATEEALHNHDYQSILEGIEQRHYEMIKEILPVSVQNPLLMLVKGNFNILEDLLSSVAHLGELSDRTKAKIVSLGEQLSCPIIAAYLNTSMPAEFKDARDLIHTNSNYLKAEVNFDITNQNIQQWAQNLENKVYVVTGFIATDKDKVTTTLGRGGSDYTAAILGAALNVQEVQIWTDVNGFMTADPRLVRNAYSLEYLSYQEAMELSYFGAKVIYPPSLVPVISKEIPIWIKNTFEPEHQGTMIHIEREAHDKALITGISSINNVALVNVVGTMIRLKGFSARLFGTLSRHDINIILITQASSEHSISFVVASEDVAKARLAIEEEFHSEITTEKLQHPEIDTNISIVAIVGERMKKTKGISGKLFSTLGKNSINIIAIAQGSSELNISTVISKDDLTKALNVIHDAFLLSPVKTYHVFCAGTGNIGQEFLGQICQEADNLIEKHKIEIKVLGIANTRKMLLANGSPVDIAGWKDQLEEKGLQADLKTFIQEVKKYELPNTVFIDNTSSKFVVEEYENLFRNNISVVTCNKISNSESYAQYLNLKHLAAKNGVSFLYETNVGAGLPIIKTLNDLVISGDEIIKIEAILSGTISYIFNNYVGERTFDEVVREAQELGYTEPDPRDDLNGLDFSRKMLILARENGLSLELSDVNISSFLPEACLNANSVEDFYKELKNSEPHFASYKEQAAKENKKLRLIGILENKEIKVEVMMVDSTHPFFNLSGSDNIISFTTARYQNTPLVVKGPGAGASVTAAGVFADLVRVTTL", "MKKIKCYAPATVANVVCGYDVLGFAIDNPGDEVIVSFNNNNKTVITKIEGDQGKLPLDANKNVVGHVVNLFLEKIGSNQGVDIELYKKMPLNSGLGSSAASSVGALVAVNELFDNPLSRHELLPLAMEGERLASGNAHADNVAPSLLGGLVLIRSYDPLDVVKLPTKTDFYVVSVHPHVDVPTGEARKIIRQQVPLKKAVEQWGNVAGLVAGFCTNDADLIGRSMKDVIIEPIRSMLIPYFAEMKQTALDHNAIGFGISGSGPSVFALCKNKDEAEKIAIELHKLLYAQNISCESLVTKINNEGAVIL", "MKYYSTRGKHSVNIQQAVLNGLADDGGLYMPAYIPQLPASFFENIENKSLPEIGFEVAKLFLEDSVPDAVLKQMIDEVLNFDIPVVPIHNNIYSLELFHGPTLAFKDVGARFMARLMSYFAEGKPMKVIAATSGDTGSAVAAGFYNVPGINVYILYPKGKVSPLQEKQLTTWGGNIKALEIEGTFDDCQALAKELLADEELQQHQVTSANSINIARLIPQSFYYFWAYAQLKKENKKIVFSVPSGNFGNLTAGLLAYKMGLPVNRFIASTNINNVVPQYLKTGTYEAKASLSTVSNAMDVGNPSNFERMKDLFQEDVTKFREIISGYYFIDEETKATVQEVYKESGYLLDPHGAVAYLGLVQYQKEQQQDFNGVLLETAHPAKFIETVEESILEKIEVPEKLSAFGKKEKVATLFPVDFQLIKAFIKQY", "MKNVPLVGCLLVFAGSFLPLVHIPLVGNWGYWKLDHTMAIMVWSVSSVALASIIFNKVKLTRILAIILLFLFVITLFAIKAKSLNFFSFIPFKGLQNTMAGIVKLSWGWLFEFPGALLMLLAKNNKTENQNL", "MKEVFIVSAVRTPMGSFMGSLSGVPATQLGAVAIKGALDKINLNPAEIQDVYMGNVLQAGEGQAPAKQAALGAGLPNTTPTTAVNKVCASGMKAVMMAAQAVKAGDVEAIVAGGMENMSQVPHYIDGRNGVKLGDIKLQDGLLKDGLTDVYSKQHMGNCAELCAKEYKITREEQDAFAIQSYERSAKAWSEGKFKEEVVPVSIPQRKGEPIIFAEDEEYKNVKFDRIPTLPTVFQKENGTVTAANASTLNDGASALVLMSKEKMESLGLKPLAKIVSYADAAQAPEWFTTAPAKALPIALAKANLTINDIDFFEFNEAFSVVGLANNKILGLDAAKVNVNGGAVALGHPLGSSGSRIIVTLINVLKQNNAKYGAAAICNGGGGASAIVIENI", "MITENTKNNKKVMKAWAFYDWANSVYSLVITSTIFPIYYAILTTAYEKNEYVTESHKWIKVPVRNTIKLFGNEYHPDAVYGYSLTISFLIVVLLSPILSALADTIGNKKSFLQFFCYLGATSCMGLALFTSMHTVFLGLLFSITASVGFWGSLVFYNSFLPDIATPDQQDALSAKGYIYGYIGSVILVVICLLLIMVVAQTQKQAMILTRISFLLTGAWWFGFSQYTFKHLPKFGKLTDKLPKDIVLLNIKNFFKTHKDNGGYAHVVKENILFYKEITKESFRELFRAGNKLFTTPNLKYFLISFFFYSVGMQTIFLMATLFGKSEINLSQEKLILTLIVIQIEAIIGAIFFSWLSRKIGNKNVISIAVILWMVACLSAYYLNKENPNVEYQFYGVAGIVGLVMGGLQAMSRSTFSKLLPQDSMENTTYFSFYDVLEKVAIILGTFIFATLIEKYNNMRYAALSMTIFFGIGLIFIRFLKLKTKK", "MSGQITFTMIKPDAVADGHIGAILGKITEAGFKIKAMKLTQLTVADAKKFYEVHAERPFYGELVDFMSSGPIVAAVLEKENAVEDFRKLIGATNPAEAAEGTIRKMFARSVGENAVHGSDSDENALIEASFHFAGREIF", "MKKVFLTLLSVFTLSTAFISCNDGGDVAIETQEVKSTDLPAKAQALIRTSYADVQVKEVRRADLGDNKFFYAVELADGSRLDFDTNGDWVTIDSRVKAVPATAVPANISSYVKTNYPSKDIMYINKNVKGYFVKLTTNIKLSFDANGNFVSNDW", "MKNKLIAPSVLSADFGNLRRDIEMINNSEADWFHVDVMDGRFVPNISFGFPVMKAIKKHAKKFIDVHLMIVEPEKYVEEFVKEGADLVTVHYEASTHLHRVIHQIKDLGAKAGVVLNPATPVSVLEDIIVDVDLVLLMSVNPGFGGQKFIENTYKKIHQTKALIEKYNSKALIEIDGGVNQHNAAKLFEAGADVLVAGNAVFSAEDPAAMIEELKK", "MRQLKITKQVTNRETASLDKYLQEIGKVELITADEEVDLAQKIRAGDRVALEKLIKANLRFVVSVSKQYQNQGLSLPDLINEGNLGLMKAAKRYDETRGFKFISYAVWWIRQSILQALAEQSRIVRLPLNKIGSINKINKAYAHLEQENERPPSPEELAEVLDMSEEDIKESMKNSGRHLSMDAPLVEGEDSNLYDVLRSGESPSPDKDLMLESLQIEIERALNTLTPREADLVRLYFGLNGKHPMTLEEIGETFDLTRERVRQIKEKAIKRLKHNSRSKILKSYLGK", "MKKTITIAVLAALPLFMTSCKKDATGSKNSTVEVKEDDANAVIDFNNKFLKQYKSRTSNIESIIKYANDAVKKSSGGDVLIMSLVSPSFESPMDKIDAVPAGFGKVKADIEKDFKIFSDTSAAIKAKYEELKSYMSAEDYKDDKGAKAKKLQTEIEASAKDFVDAAERILVKIKPAADAAEEITLKDHPLKKYILSSKAMLSSIDNSYETFNKQFTEGKYNEAESQKAYDEVNKLWEANKALKFETSDSQSKYKGTSYDNLNKNVGNYMDNLRKLMRDAKGAGKISESDMRTLDNYYDSIISSYNTFVN", "MENTDFIEVYGAREHNLKDINVKIPRNELVVITGLSGSGKSSLAFDTIFAEGQRRYIETFSAYARQFLGGLERPDVDKIEGLSPVIAIEQKTTSKNPRSTVGTVTELYDFLRLLFARVSDAYSADSGDKMIGYSEEQILEAIRESYSGQKILLLSPVVRSRKGHYRELFAQYSKKGYLQARVDGEIIDIEPDLKLDRYKTHDIEIVVDRLIIGESASEARIQKSLSTALHLGEGIVMIQKFGEDNFRYFSKNLMDAATGESIPLPEPNTFSFNSPKGSCPTCKGLGNIKKINTDFFVENPKLSINQGALLPLEDIKNNKWLLTQIKSILELYDLDLSTPFNKIPEEALELIYNGCHQDIVKDLKHAGISKKIKINFEGLIPFLEQVVEDKESYEATLIERHFTTDEVCPDCHGARLQPSSLQFKIDGKNISEVSALSLSELQEWLEEVKDKFSEKNKIIAHEILKEIQSRLGFLLDVGLDYLSLSRATRTLSGGESQRIRLATQIGSQLVNVLYILDEPSIGLHQRDNERLINSLKNLRDIGNSVIVVEHDKDMILEADHVLDIGPKAGKFGGEILWQGKPDELIKADTITADYITGKRKIEIPAERRKGNGKSIVLKGAKGNNLKNVNLEVPLGQLVVVTGISGSGKSSLINGTLYPILNRHFYRSVQEPLPYKSIEGIDNIDKIVDVDQSPIGRTPRSNPATYTGVFTDIRNLFSELPEAKIRGYKPGRFSFNVKGGRCETCQGAGLKLIEMHFLPDVYVHCETCNGKRFNRETLEVRYKGKSISDVLDMTINEAVEFFQPIPKIFAKVKTLQDVGLGYITLGQQSTTLSGGEAQRIKLATELAKKQTGNTLYILDEPTTGLHFEDVKVLLNAIEQLVDMGNSFIIIEHNMDVIKMADHIIDIGPEGGKHGGKIVAEGTPEQIIKNKNSLTAKFLKKEL", "MDNFEIRNMQETDGQLVLDIFKEGIDGNNATFEENVPTWEAWKINHYENCRLVITDENSTVIGWAALSPVSKRPCFSGVAEVSIYLANAVKGQGLGTLLLRRLVNESEENGFWTLQSGIFPENMASLNTHKKCGFKIVGTREKIGKMPDGTWRDIILVEKRKED", "MSEKQYTFIKSGKRLIKLNFDEISIIKGLGNYVEIITTGNKKLVYYKSLKDLIENLPEEFMRVHNSFIINLRNVDYFEDNHLIINDQKISVAKSYKECLQNSIENLLL", "MSSQSNSENNFFIADVLSIDSIIKASYEVVSGEKGAKRQWQRDKFLHHPKAVYSYTDRSGEKQEQVVMSIGEFQHETDEMVLETAFYEKEINREVRIFGNIAHVWSTYETQLEKNGPIVRRGINSVQLFFENNRWYIISWIFDKELDSNRIPKTFDRN", "MKNIKTLPERKTIALVAHDHKKDDLVRWVQKHAGKLTKHNLIATGTTGKLIEEHLGVEVKRVMSGPLGGDQQLGSMIAQRQIDIVIFFWDPMEAQPHDSDVKAFIRLCVVWNTPMACDSATADFILSSPFMETEYQAEIPDYDGYLKRNIPEA", "MKNFTKIILKFLCFSPLIVLAQAGNIGGNYSVQEKLFNINRPNLGDSEFIEAKGSPYLSKQFSTIIIDGLSNITEKIRYNIFKDEMEFMKDNQLYYMDKVLPLKIIFPELDITFELQNYNIDNKIQTGFLQPIGYSLNHKLTVYKKYNVGYTAAEPAPNSFYPDKSAEYFNKKPVYLLKYGDKFYNITKDQDILKVLPEQKTKISEIIKQNKLNFKTDQDYKKLIELL", "MNKQQQQIKARKDWLKIYLEWGSVTKTALRCGIARSTLHRWIKRYKEEGEHGLSDKSKRPKTLSNTKITPEIESLLLDLRKKKRWGAARISIHLLRKGISLSAMTVWRVLSKHKVNPIVKRRRKSDYKRYSKEVPGDRVQLDVTKIRSKAYQFTAIDDCTRMKVIRIYSNKKVESTIHFLSEILNTFYFPIQHIQTDWGTEFFNYSFQYELHEHFIKYRPIKPRSPHLNGKVERTQQTDKSEFWSLLDLSNPKLDLNALAIEWQDFYNKKRPHSSLNGKTPWERLQELERLIPIQPEVTTKFWASEEKIIPRNYAYLNYLKDKNVTLKSKTKKK", "MKLPIIRQLYQNCTEEQLDATLEVLEKFTEFRGVSDEDLDVAGELITNICGAQEVNAQVKAGASEKDALNGFAQKVMGSIDR", "MFLELTEDKLQEIINSNAKVMVQYGASWCGNCRIMKPKFKKLASENEDVPFYYVDAEKLPESRKLANVDNLPTFAAFEGGQLKNQVQTNKAEILNELFNEIKA", "MALVGKKFPNVTVDAISDMGDNLRINIFEEAVNKQSKVLLFWYPKDFTFVCPTELHAFQEATEEFAKRNTMIIGASCDTNEVHFAWLNTPKDNGGIEGVTYPILADTHRHLSSILGILDQDVDYDDETGEEVYSGGNVTYRATYLIDETGKIFHESVNDMPLGRNVQEYIRLIDAYTHVQKHGEVCPANWEEGKDAMNADRKGVAEYLSKH", "MNFFKKITIATTIAATFSNMYFAQNQQHDWKEATSAGYTYKYVTNDPTSARYYTLKNGLTVILSPTKKEPRIQAYIATKAGSKTDPADHTGLAHYLEHMLFKGTDKFGTKDWAKEKPLLDKIDALYDEYNKTTDVEKRKVIYKQIDQVSGEAAKFAIANEYDKMMGAMGGQGTNAFTSFEQTVYTEDIPANATDKFLALQSERFRAPVLRIFHTELEAVYEEKNRGLDDDRRKVFETMFAGLFPENNYGKQTTIGTIEHLKNPSLKAIREYYNTYYVPNNMGVIMSGDFNPDELIAKIDKAFSYMQAKQIPEYNPGKESPISTPIVKEVWGPNPDNIMIGFRFPGASTKDARLLSLVGKMLTNGQAGLIDLDLIKKQKLLGASAFAYPLKDYSVMLLQGNPVEGQTLDQVRELLLQEINKLKKGDFPNDLIESIVNNEKKNTIQSDESYTSRAGNLMEEFTSGLDHKVTLDYISEISSLTKQDIVDFANKYFKDNNYVAVYKRKGADNNIVKVEKPPITPVEVNRDAQSPFLVKVNNMPESPIKPVWLDYNKDIQKSKLGELNILSVKNTDNDLFRLHYYFGVGKWNNKLLPLAASYLEFLGTKNKSSEDISKDFYKLAASFNVSAGNEETYITLDGLNSNFAQTVSLFEDLLKNCQPDVDALEAFKARLKKGRQNAKQNKGAIMAGLRSYAQYGAQNPFNNVLTDAELDALKAEDLVAILHDLFNYKHQVLYYGPKAGGELIAALKPLHTTPVTFKNFTQAKTFKQATTDKNKVLFANYDMVQAEVAWSRNAELYDAKEVPTISLFNNYFGGGMGSIVFQTIRESKALAYSTSSYYSTPSKKDDRDVISAYVGTQADKFNEATAAMNELLTTLPQSDKLFATAKDGLKKTLASERTTQDGIIFNYIRAQKLGNNTDIRKNIYEQTQTMSFNDIKNFHTKQLSGKNYTYCIVASENKLKEEDMKKLGEFKKLTLAEIFGY", "MEKYSDRLNRLSYSQTFVMSNKVREMRAQGVNVIGLTLGEPDFDIPDNIKQAAFDAINENFSHYSPVPGFLELREAISRKLKRDNNLDYKANQIVVSNGAKQSIVNVLFAIINDGDEVILPTPYWVSYDEMVKVVGGTSVFIETSIDTEFKMTAAQLEAAITPKTKAILYSSPCNPSGSYYTREELEAIANVVAKYPHITIISDEIYEYLNYEGEHTSIAEFPQVYEQTAVINGMSKAFAMTGWRIGYCAAPTWLASACDKVQGQMTSGANTMAQRASIVALDAGKEHYQSMIDSFQKRRDLVYDLMKEIPGFKVNKPKSAFYIFPDISYYLGKTLNGKEIKDSDDFAMFLLDEAKVACVGGVSFGAPECIRFSYASSEADLIEAAKRMKETLSKY", "MSADLILKHFPDITEKQKEQFQKLENLYTEWNQKINVISRKDMDALYEKHILHSLGIAKVMPFAENTKVLDIGTGGGFPGIPLAILFPEAHFTLVDSIGKKITVVNAVAEGLGLQNVKTYHARAEQVNEKFHFVVSRAVTQMPVFLTWLRGKFEKESFNAKHNGVLYLKGGDLAEELAGIKAEIFNLKNYFEGEFFDTKKVVYISKGHV", "MKPESLNKAGNVFYVICRISIGLFFFITGLNKLFHPVFQGYMLNTMIKIGFSDPQLMAHFVAFNEALWGLLLLLGLLTRFSSFSLIIIMVVALTTKDIHSIPTELVPMDPKVGVRPMDNFTWLTYFFFLPQVLYIMLLGMFSFYGYKVFGLDYFLKKKKAYSSW", "MKKTVFVLTAGILAAGSLASCGSNLGGTMGVGALQNLLFNASSQGFNILGNPQEFMTNALIESAMPEELRKVNNTLESVGLGNLVKKEKQYIAEAAKLTVNTSKPIVTQAIREMTVTDAINIASGGKGAATAYLKNKTREKLIDAIQPQVDAKLNEYGIVKSVNTALSGSSISGILGTILGTDKKNNVNAASPITRLASEQMVNGLFYVIENYEVNNVANPNAWK", "MSKENNINKAPNPEEFYKKLQTQLEEHHNFPEDYTFKFIIENNPSLLTDIYKVFDETKNTFSTRESSNGKYISCTIVAFVLDAEHVIKLYKETAKIEGVIML", "MKCGIVGLPNVGKSTLFNCLSNAKAQSANYPFCTIEPNVGTVSVPDQRLFELEKLVKPERVLPAVVEIVDIAGLVKGASKGEGLGNQFLANIRECEAIIHVLRCFENDNITHVEGTVDPLRDKDIIDIELQLKDLEAVSKAVDKAKKLTKSGKRDDVLAYETLVKLSEFIESGRNAREFPMDDFQAGIISEIQLLTNKPVLYVCNVDENSVKNGNPWIEKIEAMAKAESAETIALAAQIEADINELETFEERQIFLEELGLEEPGVNRLIRAAYTLLNLQTYFTAGVKEVRAWTIGKGWTAPQAAGVIHTDFEKGFIRAEVIKYNDYIQYGSEAKIKEAGKLGVEGKEYIVQDGDIMHFRFNV", "MKKIYWLILPFIFSCSKNIEDRCFVNEKDNRDGDYKEEIPYTVQQILNEKPDYLEIENLKSYRSFKKDSTELHSEQISINNNEREAYLNQYSLLDKVFSNQFWHYQKQQVGNILYALGHNRQGYWLLKIENDKPSAYFLGLSFSHYYFNRVQKHPIIKGDYLQIEGSLVKIVKVTGLPGYDDYSAIEDGKLFKIKLKDLMQDSDQDGYNDIFEKSFGLNPNNKDTDGDGIADFNDMNPMYKSEKNKFSQLYEQIIQTNSGILNSTKQNYSFSIYDNDCDYFHQINPNFRVLFIPENKKRRTHYTRITDVTRGGISKIEKDEKNPNLFYITKFGGGADTYSAEYKNGKWKIILISQTIS", "MPLLLNSKLPEVQTTIFTRMSMLAQQENAVNLSQGFPDFYPDEKLLENIGKYAVKGFNQYAPMMGLEPLRIAISEKVKYCYSIDYSPESEVMVTAGATEALFCSIAALVNAGDEVIVFEPAYDSYIPVIRLFGGIPKTVKLHYPDYKIDWSAVKLMITDKTKMIIINNPNNPAGNILDEEDIAQLTALVENSNIIILSDDVYENIVFDGKKHLSLSQSLLKDRSIIVASFGKLYHITGWKLGYVLAPESIMQEVKKVHQYNVFSVNTPAQYAIAELLQNPDSYTGLSGFFQEKRDLLAKGLSEIGFDVLIPEATYFLSASYKKFSDSGDLEFAQWLTKEHKVATIPFSSFYEDGTDEGVIRFCFAKKNETIEQALENLQKLASRFL", "MSENTTVNYSEDNIRSLEWQEHVRLRPGMYIGKLGDGSSADDGIYILLKEIIDNSIDEFAMNSGKRIEIKLDEGKAIIRDYGRGIPLGKVVDAVSKMNTGGKYDSKAFKKSVGLNGVGTKAVNALSNFFKVKSVREGKAKVAEFSQGVIVQDFPEADTTERNGTEITFVPDDTIFTNYRFRKEYIEKMLKNYSYLNPGLKIVFNGQVFVSENGLKDLLQDEIDGEILYPIIHLKDNDIEVAITHSDKSQSETYFSFVNGQNTTQGGTHLNAFREAFVKTVREFYNKSYEAADVRKSIIAAISIKVEEPVFESQTKTKLGSNEMGPGQVTVRTFVNDFLKNKLDNFLHKEPETSEALLKKIIVSERERKELSGIQKLARERAKKVSLHNKKLRDCRQHYNDQKADRKSETMIFITEGDSASGSITKSRDVETQAVFSLKGKPLNCYGLTKKVVYENEEFNLLQAALNIEESLEDLRYNQVIIATDADVDGMHIRLLMITFFLQFFPDVIKNGHLYILQTPLFRVRNKKETRYCYTEAERVKALNELGKNPEITRFKGLGEISPDEFKHFIGKDIRLEPVVIGKDTTIDQLLEFYMGKNTPDRQNFILENLVVEDTDIERKELKEEEVITETEG", "MEENNLNHAEESLKKVSGLYQDWFLDYASYVILDRAIPSVYDGLKPVQRRIMHSMRELEDGRYNKVANIVGNTMKYHPHGDASITDAMVQIGQKELLIDTQGNWGNIFTGDSAAAARYIEARLTPFALEVVFNPKTTEWAKSYDGRNNEPIDLPVKFPLLLAQGVEGIGVGLSTKILPHNFNELINASVAYLKKKPFQVFPDFLTEGLLDVSEYNDGQRGGKVRARARITQKDKHTLIVTELPFSKTTGDLIDSIIKANEKGKIKIKKIEDNTSDKVEILIHLHPDVSPDKTIDALYAFTDCQVTISPNACVIVGDKPMFLNVSDILKMNTDHTVSLLKLELEIELGELEEKWHFASLEKIFIENEIYQEIKNRTSKEEVYSAIDLALNPFTKHLMREVTVEDIIRLTELPFMRISRYDQDKALENIASLEGKIEQVKHHLANLITYAIDYFLNIQKKYGKNKERRTELRIFDTIDATKVAVANEKFYANFDEGFIGTSLKKDQFLFECSDIDDIIIFRKDGTMLVTKVESKTFVGKNILHVGIWKKNDKRTVYNMIYREGKEGPYYMKRFFVTGVIRSNEYNLASDKKGSEVLYFSANANGEAEVVNVLLKPSARIRKNKLDIDFSELAIKGRDSKGNLVTKYPIKKIEMKEEGVSTLAPRKIWFDEAVRRLNADARGTFLGTFKGEDKILLVTPKGEARLVSFDLSNRFDDEYIILEKWKPEQSIGCIYYDGEKERYFVKRFLLESTNNPQLFFPSEHPKSFIEWVSTGKNASAELIFSKEKGKDKDPETILIDEFITVKGIKALGNQLSKDKIRTINITIPEPEEEEVIEEIPDSSEDFDDDGTIGNLFEEGDDN", "MFSKQEAAQLKTEFWTAFGKSFPRKWLLYDTKIKDFSFKFYADNKKAEVSLDIEMKDELFRNAYYEKIESLENLLREDYLPDAIIEEHYFLESGKEISRIWVQKENVSIFNKNSWQETFEFFVEKMTAFETFYQDFEDFIKDV", "MSETTQHSFLNKIVDSLLQQDKPLHAYTFILPGKRPAVFIKKILAEKQYEGILPKFQTIDELITEISGLQQISGIPLWLFAYKVYRKIDAKEDIQSFLKWFPTLLKDWDDMLKFSKSDTPVLEFMLSDERIKNWGELLGEDKPHRRNLNFWQKMNSFLPLLKKELLQENLATPGIIHEKVKETVSHFAETTKLQLVFCGFNAFTPVEEKLVRNLLQWDKALCFFQADEYYIKDRRQEAGKFLREHQHWKEFNEYRPFNWIENHFSENKNIQVFEVSGNIAQTKLLPHILDDLRKRNPELSNTAVVLLDENLLPPTLESLQHLVKSLNITMGFPLKNLSFSVAMKKLFYLQKQLDKNSSSYYYADLVPLLEELPYKKEDEPIIKGFLEQLEERNLVYISKKLFHELLGGISYINLLTKPDDPKVYLDTLIDFCVSCKFEKINDIDYENISSFEKAFISLKNQLQQYDFLVDIITLEVLVNQLVSTETIDFEGEPLSGLQLMGLLETRLLNFENVIMLSINEGKLPLGRTQNTYLPFDVRRNFGMNTFLENDSIYAYHFYRLIQEAKNVYMLFNGLTSGVNIGEKSRFITQIEMESQHRIQNYVVDSSSEPVNQEPIRIRKTPLVLEKLELWKQKVSPSHLNTYLYNPLDFYLNQILNTRLPDELEEEISVRNFGNLVHYTLDYLYQSLLNRKLTITDLKQAQNKVEEALDYIIVEKLKHSPDYYKRGMNYIHKSVAKRTLQNIIQKDLDDVEQGNSLEILALEHNIHADFYLDDQQQDKISFNGFADRIDRFNGTLRIIDYKSAKAGDLNIKSNPKKDEDTQLFDKKYKQEVQLSIYAHCALTSGAFSDQEVQCGIWSFVAPDEGPKMLNISGDSYISKSDLELPMKSVKSIILDILNPEKDFVEEDSAGWG", "MIPIHDLIFFIIAAFILVISPGPNMIYLISRTITQGRKAGLTSLAGVICGFLFHIVMVSFGLTAVLFSVPYAYVVLKTLGTVYLLYLAYQAIKPNSKNIFEVDQNISIDRPRKLFTVGFLTNVLNPKVAVFYLSFFPQFIKPQYGSIFTQSLELGIIQVFVSFSINFIIVLTAAQATRFFAKNPTWIKAQKWFMASVLGFLAIKMALSKAK", "MNCPCCSGKTYEECCAPFHRKEKHAPTAEALMRSRYSAYAIPNGEYLMETTHPGKRKFHNKTDMQEWGEMNQWTKLEIVRTPALNHVEFKAYFINSDGQEQIHHEFSYFQKMHDRWYYVSGDFME", "MKKNYILIGLITSVFSFAQSIQGKLSYENKKQIPDSEIILSKGAEKISGISDAEGVFNIKLKENGTYQIEIFRDGEKLLSENIAIEGNINRNFLIPLPKITENKVEGVTVTGKKKLIERKVDRLVFNVENSVASQGLDLVEALAKTPMVRTTDEAISIAGKSNVAVMVNDKLLNLSGQELINYLKTLRSDDIARIEVITTPPARYEAEGKSGLINIILKKNTNLGWNASLQTSGNYYFGRPTVSSRSGLGFNYQGKKLSVSTNLSTGDNYWLGNAYTYNSGNGNNNYWNTDEKTSSNYRYKSGNVKTEYKINDKNLIGVSYNYSFSNPLEQARNTTSIRDEKGDRSFASDSNNRNKRNVHNATAFYDLKLDSLGSKLSVSANMMINKSNANNYYNTITSTKTSTFVNPVSQYKIYSGQADLEKNFSKIKTESGIKFTKIKNDSEFNFYDILNGQNVFNTKRSNNFFYNEENYAAYISTSFKINDKWDAKAGLRYEYTHLKGYSPNENLTSTNKYGKFFPTAYVSYKPNDNHSFSVNYSRRISRPYFGNLNPFKYYTSDFEYSTGNPYLQPTFTDNLEFGYVLKNNLNITAYYNYTKANWDRVQMIEDGLKYSTTLNFYNQNSAGINISYNYNKLKWLESNIFVNGYYAKSKSYIPQVISGMSGYGANFNLDNNFFLKKDKSLTFVFGLWSNIPERSGNTYFNGNFSAYTGLKASFLEKNLLFNLTVNDIFNTNRSKGTEYYQDFNSEYYYKGITRNVNLSVTYKFGNNNVKGATKQVKFEEQNRAGGSN", "MNLKTLPLVAALLIVSCKKNEDAVSSETAKPDSSAIITSDSVKANHSKETSQTIKADFYAYEEIGDYLYLLIKENGKTESFVTQAVNKDLNRGDLVEIKWKKGQIEIAGDDNRKEDSKILVSVKKIKDGALSMFKQRYTKPVKYTFGQDLDLSNEERDDIYNDVQYYLANTRNKLLLLHLKKNEELTYSIEERNEQGKDYIVLGLGVEFENRFSVAQWLYIDQRNGDLYEYDLPNDKLVPFN", "LKDSDKDFIAFWEQKRQKGRTKYALYDGLRWSLFTVVFVILFQYFILETTDPQNLWLSIAINIVVLLAAGFVLYYYLMWMLYERKYLKLKSSTNED", "MSYYPIHTIPDYYLIDDLLTDEHKLIRQSVREWVDSFVMPKIDDAAQHHKDIPDLMKELGKIGALGPYIPEEYGGAGLDQISYGLIMQELERGDSAVRSAASVQSSLVMFPINEFGSEEQKKKYLPQLASGEMIGAFGLTEPNHGSDPGSMETHFKDMGDHYLLNGAKMWITNAPLCNIAVVWAKGEDGKVRGLIVERGMEGFTTPETINKWSLRASKTGELVFRDVKIPKENILPNVIGLKGPLSCLNSARYGISWGVIGAAIDCYCTAVQYSKERIQFGKPIASYQLQQKKLAEFLTEITKAQLLCWRLGTLKNEHRATPAQISLAKRNNVKMAIDIARESRQILGGMGIMGEFPMMRHAANLESVITYEGTHDVHLLITGNDITGINAF", "MKTLSEIEQLLADGDIEEAKLAVHQLYNENPNDTDILHLFIQTEWAWVMENQPEAAYIQNNILPYIQKLIQLENDNAMKSQLLSYLDFQNLVIPEEDVLRYLDDLKNDPAYEALATDLYIHYYDVNERHEELLQWIDYGLDNYKKWAPDSRDLQDAEMSKYLFHKFRYLKYHNAHAADILHLISRNMHNILLFNEFQYFELADFIYENSDYHLLGKILCKITELENTDEEVHNELALWERRIDKILRNGFEDEKLMYFVLLIQKNYGELNDISEADHLENCQFYIAEYPNSKWPFHFAGTIKFNEQRYEEALTYFNEALQLGGNSTALHRWIISYYFVNGVLPALAFSVNDIPNEWYNNGVCISEFLDEHKLSGNQEASKVLELFYLNAYKGLEDYFYENRYESHPYTTPHLWAMCCNNLAIAYIGTGELEKAEAAAVKGLELSEFYELHDTLATIYEKLDNFEGARDQYGILTYEYGPECFDEFRYLHYEAKRIKYATILGELENPMEVLKERLKNYEAYVDRHGITEENSVELYNLSNALDLGVSHLVQDHSNAEKIEFWNQFTVEFPQNSNPYYMLMQAYNEEGKYKEAVESGERFLELKNPDWLYETDKIKTYYQIGKNASFINDHQKACNYLSEILPVLRENEFMQDSEGTLLFYLVQSSQHVRSADETIKWAEEHEASYDKFGYDRDEDWAMIEVLKSQQLMNQKKRDEALENVQKVLSVFPDDERALILQKELNKKGGFFSKWF", "MTREFGMLNNLLREIIPLTSGDCFTIFTRVKSDFDFPLHSHEEIELNFIHNGKGAKRIIGDHISVIDDYELVMIGPNLPHMWETFEFEGQEMTEVTIQFHKDFLDDRFLNRNQMSCMRKMMELSSRGILFSKETVQKIMPRILDLNKKQGFDSVLELLSVLHDLSISKNMQTLSDNIFFNQESYNSRRIQRVMEYVNHNFHQNISLGEVAKLVNMTEMSFSRFFKRRTGITFMDSLLECRLGNACRMLIDTTQSVSEIAYHCGFNNISNFNRLFKKRKGCTPKEFRHDYNFYGNRKFI", "MNEKIRVKEKLAYGLGDAASSMFWKIFSMYLLFFYTDVFGITAATAGTMFLVTKVWDAFFDPVVGLISDRVSTRWGKFRPFLVWMVLPFAIMGIFTFFRPDFSENGRLVYAYITYSVMMMVYSLINVPYASLLGVISSDPKERTALASYRMVFAFIGSLIALWLIEPLVKIFGDETLTSAMGWVYAMVVFGIIASILFLLCFAGTKERITPVKENNSTLKNDLKDLFANKPWWILLGAGVATLLFNTIRDGVAIYYFKYYLNKTDTDMISFFGMQMSLTTAYLVLGQAANIIGVVAATPVANKIGKKKTFFFAMLFAAIFSILFYFLEKSSISEILVLQFIISICAGSIFPLLWSMYADTADYSEWKQGRRATGLVFSASSMSQKLGWAIGGWASGSLLAFFGFQANVLQTTFAQTGIKLMLSLLPATAAIISMLFILFYPLHEKQMKIIEQDLEQKRN", "MSLYFKARKIALIEAYKRLIYKKNTKQNLGNGIYDRYQNPVLTAEHTPVFWKYDLNEKTNPYLMERFGINAVFNAGAIKLNDKYLVIARVEGNDRKSFFAVAESNNGTEGFRFRDYPITIPETDIPDTNIYDMRIVQHEDGWIYGLFCTERRDPEANPGDQSAAIAQCGIVRTKDLKDWERLQDLKTKSPQQRNVVLHPEFINGQYAFYTRPQDSFIEAGTGGGIGLGLSKSMENAEVTEEVVIDQKKYHTVYEAKNGLGPAPIKTEKGWLHLAHGVRNTAAGLRYVLYMFMTSLDDITKVIHKPAGYFMAPEAEERIGDVSNVVFSNGWIVDQDGSVFIYYASSDTRLHVATSTIDKLMDYIINTPEDGFRSATSVETLNTIIRNNLSAKR", "METKLETIPYRKVLKGHSSEYMDIFHGTPENINYYQKTVQDTLEVITNFLQTRQTPLGDTSVQRNKSKMNLVDINNGETISVKECLSELCDIYINDATAFHHSSYVAHLNCPILIPTLAAEMIISSINSSMDTWDQSLGATTIELRLIEWMGKKMKYPEGFDGVFTSGGTQSNLMALLIARDAYIKKNYNIIPDQHGLPAEAKKFRIFCSEKSHFSLNKGASILGLGKDAIISVPVDQDYKMDIRKLEELLYEERGKGNLPIAIVGTAGTTDFGSIDPLPELSRIAQENGMWFHVDAAYGGGLLLSNQHRSKLAGLENADSCTIDLHKTFFQPISASMFLMRDHNNVSFIQYYADYLNPKDQETSGVPNLVKKSLQTTRRFDALKFWFTLRTVGEEKLGSYIDEIIDLTKEVYYLLNEYENFETLNSPEISALVFRYAPPGFPEDRLTELNQKIKQSVFDSGKAMVAGTKVNKQFYLKFTLLNPMTTIDEIQKIINLIQETGEEQSKNLI", "MTQEKIYDIIGIGIGPFNLSMACLANPVKDLNTLFFDRSDRFDWHPGMMMQDTTLQIPFMADLVTMADPTSEFTFLNYIKEKGRIYSFYIRENFYLLRNEYNQYCQWAIEKLDNLRFSHNVEDIMYDEEQEIYLVKVYNKTEDTVESYRTRKIVLGTGTTPYMPSFFHPIKDLAVHSSEYLYKKKELQSRKAITIIGSGQSAAEIYYDLLQEIDVYGYELNWITRSTHFFQMEYNKLTLELTSPEYVDYFYNLPAEKRRALLKNQNSLYKGINESLINDIFDLLYTKRLTADIKTNLLTNSECVGAKYTKQGIIDLEFYHSEQEESFHFETDGLVLCTGYKYRLPAFLDGINDRIDWKSTEKFNVQRNYSIDKNANEIFVQNAELETHGFVTPDLGMGCYRNSYILREITGRDIYPVEQQIAFQKFGVYENLTKAQQYETSTSK", "MKPVLQSKACFSKNIEGLGSFELFPLDLDEHVPIIHNWVNQKYAEYWQMLDTTTKQVYEAYEYLLAQKDYYICMGYFEGEPCFLLECYHPKHVLSKFYNVEDSDCGMHILVAPPDKKIKSFTWNIFQTIMEFLFSDHRIQRVVVEPDVRNEKVHKLNRKAGFTRYKKIQLLEKEAYLEFCTREQFKNALQNQII", "MKQIISPEKTIEHLTPESWAKANLYLIQKALAEFAHEMIINPQLIYEKDGWGYYQLPAQHTEAEIIYTFKAQKRILDHWSIDVHSIRKTHNGKEVPLDLIHLIVDFKEELEFSKEILPVYIEELISTLYARAQVMNRENNSCILADADYQTIEGSTTGHPTFIANNGRIGFDADDYYKYAPESQNELRLLWIAVKKDRCNFSTVESLSYDELMNQELSPETLIKFSQKLKDLDLDAEEFYYMPIHPWQWYNKMNPTFSSEIALQNIVLLGEAEDLYRAQQSIRTFFNTSNPDKFYVKTAMSVLNMGFMRGLSPYYMKATPAINQWVDNLVKNDAYLQEKGFIILKEIAAIGYRSEYYEKALQNNNTPYTKMLAALWRESPMPFVNENQRLMTMAALLHVDNNGYSLAAELIEKSDLTAEEWVSKYLDLYLMPLIHSFYEYDLVYMPHGENLILIIENYTPVKIIMKDIAEEIAVLNGDIKLPGETSRIGIKVPDDFKLTYIFTDVFDCFLRYLSALLHDQADFRDEDFWRLVADKIIAYQEERPHLKEKFEKYDIFEPQFKRCCLNRLQIKNNKQMVNLEDPINSLQFVGMLDNPIHIYKRELA", "LKVSRHYKNFLTAIFIAIYSFAVSPAEYLHNHLFHNFDTNGIQHTVKHGDANLKKATSSCDGKCPICHHKLIGEEGNIPKLIPDSYSGKFRDKISSFVPEFSFSKFYSLAVRGPPVLL", "MSVLQAIALSKQYNNVTALSNLNISVEKGEIFCLLGQNGAGKTTTINIFLGFLEATSGKALVNGTEVHLNDEWTKKYIAYIPEVVQLYPNLTGIENLDFFSKMAGFNYSKEQLTEFLKSTSLQESAHHKRLSAYSKGMRQKVAIAIAIAKDADVILMDEPTSGLDPKATAEFTRICKEFVAQDKTIVMATHDIFNAVNVGTRIGIMKQGSLVHTIDAKSVTASELQKIYLETI", "MKYLYIAATLVISSVKICAQENFSGEVTGQDGKKIGNVHIIIKNKEGEYKTTTNPEGNFIVTGILPGLYHIEANAKAYDSYNHQIKIDSTTPKIFIQLFRQTNEIQNVEILGRSSKKYYSDYSFTATKIAADNKDIPLSISTVSKELMADKQAYQLGDAVKMASSVTPVSYYNQFSIRGIAQNEEGTIINGMRTRQYYFMQPITSNLEKIEVIKGPASATMGSVDPGGSIVLITKKPLKTTRREVSISAGSFSTIRGTMDFTGPLDQDKTLLYRFNAAYSEAKSFRDLQAQKAILISPSFSYIPNDKTAINVEMIYNDSNGRIDRGQPIFGAKDGATDLRSTPISFNLGAVNDYFKSKELIIMSNFTHRFTDKISINTSYMKQTWKEDLQEHRTTNAFAVDKNNNPIPTFAAMQMVQRDQFWNTDNLNTYLTFDVKTGPLQHKILAGYDYLSTHKHKGGAQNTARGYLLTNGKTTSSYDPKNADSYQIITVDGITMPKPNVEHFNLVNPVYNIKNTSEYIFAKAALPPALITSGGLYMQDQIKWNKFNLFLSLRQEWFQDVTNYKENNEIVVKDSKLIPRIGLTYTVNDKINIYASYIEGFQPQSNTASLAPITIPSGRAFQPLISNSKEVGMKADFFNKKIHVDVAIYEIIQKNILLNANDPSNPDLLITRGKERSRGFEMDIIGYILPNWQIYATYGYNDAKIVEDTNPALVGARKQNTPFHSVNVWQKYSFPQNTFMKDFAIGLGIQYSGNKVPMYNRSFLVPDYTLLDAAIYYTPKQGHLEMALNVNNLFNKTYWLGAQNYLRLFPGAPRNVMLTATYKF", "MRKNIIRLISKQVWKQSLQNKGILFLLVLLSCLFVFAAYTGWRNYSVQEKIRTEHQQEVRQQWESKPDKHPHRMAHYGYLIFRPRYPLSFFDSGLERYTGNSVFLEAHKQNTANFSEAGFSSGMLRFGEISIAMVLQILVPLFIFFLGFSCISAERENNTLKILLSQGVKWKELLTGKILGLLSLVSLLYFPVIIVSVMLWLSLAGFKVSIDEVTRLLWIILSYFGYFFIISLVCVLVSATSKTSKSSLIKLISIWLLFIVIMPRAAQAFGSYTHPAPSKIDFDTQVESELIKAGDSHNPDDIHYKGIKDSLLKTYKVATVEELPFNYSGYIMAEGEKLSAGIYNKYWNEQLNIYEKQNNISTYLSFINPFLAIRNLSMALTGSDFNSYVSYQKQVEEYRYKLAQLMNKLQMENISNKKQGATDKPYTISKDHWKEMPDFSYRFIGQKDLFRNEISAILSLIIWGAGLLFLIHFMSKKIKIY", "MYLLLFKNFFRSRSAYLGLAFLLLSGMVSIYIGKQFIDKQESNIEHTAKYQQDHIQRYVKYFDKEAGLLLYYLKFGLVNNTDNLNALSVGQRDINPSVQSLTIRNLEGQKYDTDLNNPVSLLFGNLDLGFVIIFLFPLVIISFCYNLLSEEKEESTWVLVSVQSPKPIKILFQKLLIRFVSVISVFLILMILAFIILDLSFNTIFFGFVSVALFYLSFWFALSFWIVSMKKNSSINAASLLSFWIILIIVLPALLNNFIINRYPLPEALDTVIEQREGYHAKWDTDKKTTMEKFYKHYPQFSSFGYPKESFNWLWYYAMQQMGDDESKAQSDQLVHKLWEREKMSRSLSFFIPGLHTQFQFNDLTHSGLSNQLKFMQATGKFHEKMRLYFYPKIFANEPINNLNWDSFQPEHYQEDFQPNWWAMLGPLFIFSLLLGILTMQNFRKPIL", "MKIEHIALWVEDLETMKSFYQKYFGAVSNEKYINSVKQFQSYFLSFPGGDCRIELMQRPDIMMSRSDYEKQTMGIIHFAISLGSREKVDELTQQLEADGYVIAGFPRLTGDGYYESVVLDPEKNIIEITE", "MKAIIFNGALERKPLSTSGALSDYISGRLKESDVESKIFNLANSGIPLYDTTLKNVPHGVEVMNAMFLEADVHFWLAPLYHGSIPGVMKNCLDWLEVSAKNAEPYLTDRKVGLVCWADGVQAMQGINAMDAIAKSLRAWTLPFSVPIARSGLYEEGQSGVISPEYKKKLDLLIKIAIKK", "MTGNIFINKVEASGIIAFDLIDYKPDIETVEFDIKDYLYMEMIVKEKEFRNSMSQIDFTYFKGKAVAIVCSADAIIPPWVYMVLAEKFHDNAVSFDFKDIASIEEDLWKENLLKADISSFTGHKVVVRARPDIPPALYMLATSRLKPLVKALMYGEIGMPKVIFKN", "MSTFQLPELPYAYDALEPHFDSETMKIHHQRHHQAYVDNLNKALEGSDAEGKSLKEILPEISKYSPATRNNGGGHYNHILFWSILSPTPKTEPTGKLAEAIKLTFGSLDALKEEMKRVGLGQFGSGWSWLFVKYNGSLGITGTANQDNPLMDTQLVSRGFPILGVDVWEHAYYLKYQNKRADYLDAFWSVLNWEIVEENYEAALAEIK", "MKKNPTDKILMLLKMRGEATALVIAEELAITKEGARKHLLNLSADGLIEATAQSGGVGRPSARYSLTEKGMARFPDSHADITVQLLKSVKSLLGENALNLLIGDREAIVYQKYNKALTGAETLDQKLKILSQKRSEEGYMAEWKKEDDTYYLTENHCPICAAATECQGFCRSELNNFRQLLGPEYNIERTAYILDSGKRCTYKIEKI", "MIISELILQTHNLIETEKFYSKKLQLEIITKTQSSVSFRAGDSVLTFEQNECGKNFKYHFAFNIPANQLEEAIIWGYNKIELIRNPESHLITNFDNWKAQAIYFYDNNGNLVEFIGRADLDNNSDTEFSSKSILNISEIGIVTVNPLDLASQITQQTGIDYFIKASKTEDFVVLGNDNGLLIIVNPNRNWYPTENKAEKHKVKARIITGETELSLDFN", "MAANNSRAILKFNNGNDQKVLKLNYSVARSTDVSGRVASDPSNAIIKITVEATEKSDIIESLLNGKYKPTTGEVTFNKSHEEGTLIKLNWENGYVIQHEVDFDAIDSNNMLVSFVISAEKITYGGGAYDGIWPTN", "MFKEENSKKNIISSNSSKEKGMNPDSLKDGIGKEIVTKAGEQLSQVNSKVGKTLGKAANGISEAGNYAKMLGSKTSLLGEQTENLWGKQPTSKIHNVEAFPQSIIQGINRVVKLLIVINGEVIQSFKHFKLLQSASRHHTFSLMLPHDAVQEAESYQLEFVQKFLGKRLTVVFRYKDVEEGPERTFVGVITEVGFSQEKGSLGDIVLEGSSPTALLDAAPHTQSFGGSQPISLNSIADYVIKQGINPGLYDFRIAAKYGNLDYSAQYEETHYNYLSRMAEAHGEQFFYDGEVLHFGELPPQEKPITLKYGSNINDIKVKMRTQHVNPSFYGYNSSKNEKLTTGKSVIDHKSDIAKRAYNISEQTFTTPALRLAPIKASTSMDINASQKGTAGSKAVDVFITSGTTTVPFLYPGCIANIEMRKSGKTDTSYFTKLMITEVSHEVDARGYYSGYFEAIAADTGFIPRPEFKMPKSEAQVAKVISNTDPLNQGRVQVQFDWQEGSDTTNWIRVMTPDAGGSDKVSKNRGFMSIPEVGDQVMIGFQHQLPDRPFVMGSMFHGQVGGGGGQGNNVKSLSSKSGNKLELHDGEGSVFLTDKGGANMKFDGAGNATTNTNTNHTVNAGSNSVINAGAASAINVGGKEGGGANSMLSMNSAGEITLECDTTITIKTGSSSITLTTAGDITIEGLNIKVIGSDTTELGKSGANPGIKIDADIKSNAANIKSTSSGPTNITGADVEINKG", "MQINIENRGEYRTYAFIREERYQFQGLHNENYIEAQLKVTLVSYDNNIPVFRVEMPFYKQSNKDGMYKWVGDLYELRENIICTLNEDGQLGNIQNLEDIQEKWKEIKPKIILKHRDEKYRDFFIKGVEELLQDGERLAHALRFTMPYQLLFPGIHFKEFKKNQITTGYRELPNFIATKNVPIITEEKISELEKGKYQIDVKGNIDEKKFEQDKVTAMIRILKNRPRVPTLLELNYIERYLLEEWPWSEQSMCMSLAQIPGTLYREEKNILKAITHDNSAN", "MTIQLIDIVFQNDRYYLLFDDNNALEISTNTNEWYVFTDDEYLCNISECNVSEALKIPGKIILETKINLNKLENRFRKIKSVKITSDKINT", "MAKKYVPEGAFLACDKGTSPSTLRVSNNKNTTIYGVPMASELDFIPFFNIKPMGLCTNPLKWATGVSCLPTIVTGWQQPKDGVKINGSRMLLEDSFCDCIFGGKINIFFDRSAAVQFGVGEGKMPTDYIKEGFDWLAEQEKQNRKARDQFLPDWMKPVTGAVDWFNDLGSGLVEGAVNGVVGLGETIYQVGQDPIGTGEALWKMGSDAVDWASKGENWTNMANDSWNWASNGDNWGKAISDGAQWVQKNPRELGNAVGQFIPDAAAAVYSGGSSLGVSAAKVAGKEALEVGTKTALKEGVEVAAKKGAKEAVEEGTEKAAKEIAEEGGEAVAKKTGKELIQSIGDDAADIARVVTRTTDDIQDDIIRQIKNGDLELSSSARKGNFGEMAADRDMMNKGYDPLHERLTDIDAPTHQGIDGVFKDPGPPPKYVVVDAKYGQAGLNTLADGTRQMSDDWIQDRLANTVSRQEMRQMMTNGYERVVAKVDEAGNITYRKVNSDGYIIRGRAGNWP", "MRTKIKPKTYFENYLELELDDIQYFESSLQNGEVKEEMISSVKRKLFTESLHVLIAKYSSGVPIEELKSTFPQVIDYFKNGWKDKGNTPEDNIHFDNYILMLWMLSLSVLLDIENTEFEKIVDVLDHSNREDYFFDKIIAYKMPQRNISNHITYPDHFAFLKNLIDNRDVTELKDYLDKNWYTSMKLTYWYDSDKNKHETFFGYWCFESAAFIKILNLDDSIIEKQEYYPYDLVHWDK", "MSVDEIYLIIAQNIANSIQSEDWNKATLNIQGDDTYVDTTGEYLDSNDVAQSLDVHNFDADVDFAIMELHEITTEGGNNKWNKAIFTLTPDGGFDMEFIWDQELQNEIDRLANE", "MKIKTLREEMYKASPNKGAWYMAMFTVMNNGHFDSSFDYDNKPEFKYEPSKTNF", "MKRTNEVFFKNHWKRVLIILPAFVILYLIGYIMDPFSEYARTFFHRDISEILTDFGITLIFCTIVCEISILISNWLDHKLPWIEHSRKRFVIETLLNFVAVLGILFIIFSIYSYYVPEAGMHSVLTDSKYIQETREIMQQFLITLMVTFLIMVINTGNSILLKWKNTAVRAAESDRIAMEAELQSLKLQLDPHFVFNNLSVLSELILRDQQLGYEYAENFTKIYRYMLVNSKKNIIHLEEEIKFLNAYIFLLKQRVGEGLIFEIDIDRKQYLNSLPPLTLQLLVENAMKHNKTSKSDPLHLKIYTNCEHSIVIENNFNPLETNTPSSGIGLENIVRRYRLLGAAKPEIFQDDKIFKVTVPLIELK", "MNILIIEDERPNAERLKRLILGIKPQANILSVLESVSESVEWLDSHEKPDLIMMDIKLSDGLSFEIFDKTQLVDVPIIFTTAYDEYAIKAFKQYSIDYLLKPVDKDELAMAFEKYDQLDIMVNKATNPSIEKLLDEFRPKNYRTRFLLSYRDGFKTVMVSDVLYFYSEQKITKARLADGTDEIIPHTMDELEQQLDPKLFFRANRQFIICINAVQHVYNYFNNKLKVTMRKNTDVEIIVSRDKAPLLKNWMGY", "MTNQSIRKKTGLILLGFVLLYWITVPILSFMDISHKAWIISALVVIGEIIFVIAIALLGKEYWGNIKKQLADFFNQKNNLKIERNKAIFREHHLRPMLGKQIKQGNLFTVTWRVQGAAKNHNVCSKVLLNDKYITELVL", "MNRIIVATDYSAAGQNAMLYAAEAAAKHNIELVLFHLYNISIHALNARLSVESMDSIFQKAKDNFEDSAYALSQTFGIKVIRHFASGDIYTELSACAETWQAEMLVMGMSDKSLEQQLMGNTTTKVIHRLKIPVLAVPENLHYTPVKNILYACDFDKDISGEFPEKLRDFASVFGAQIEIFTVESALKKLQTDDAVEERKLNMDNGLEGISHYYKNVLSDNVVATIKDEIVNTEVDLLVMVPHKYGFWESVIHRSKTSIMASGSNIPLLSIPVDI", "MKKVLLAVAFTFGIGAISVSAQSIELIPKAGINVATQSIKSIGGEKSKVGFQASLGVNIPVGTDGFSIQPELNYIQKGVAFKPAGASKTNYNLNYLEIPVLLKYSFGPVYVNAGPSIGFRLGESNKVKEALGKTKSVDFGLQMGLGAAIPAGPGKLIVDGRYNLGLSNISDVKGENIKNRGFVFSLGYAIPIGK", "MITKMRKPNSLILAIAAAMFLSSCGQSSPGSAAGELPAPETDFVTLIQGTGDTQKEYPGNIEGIVNVDVKPQVTGYLQAVLVKEGQYVQKGQPLFRIMPDVYNEQVKNSDAGLKSALAAQATARLEVEKLRPLVDGKVVSDMQLKTAQANYNAATAQVEQAKAALGSSKINANFTLIKAPVSGYIGRIPNRTGTLVSPTDTTALTTLSDISTVQVYFSISEANYITYSKEGIFSGDSGNIQLILADGSVYNQKGRVEAGSGNIDKATGSITMKAIFPNPDKLLRSGGAGKIVIGRTIDNIVQLPITSVKDIQDKFFVFKLADSSKVAMVPIQIDGKTKDTYYVKSGVKAGDKIAINRIDMLQDGMKVQPKKVPAK", "MLKKIIDRPVFATVISVVIVVLGIIGLSRLAITRFPDIAPPTVMVRGSYPGGNGQTVIRSVVTPLEEQINGVENMEYMKSTASNDGSFSISIIFKQGVDPDQAAVNVQNRVQQATPILPQEVLRNGITTSKQQNSMIMVFNLYTDDNSKYDETFLQNYANINLLPQVKRIKGVGQAQIFGIKDYSMRIWLDPQKMAAQGLDPADVTTAIANQSLEAAPGKLGQESDASLEYVIRYKGKKNQPEQYENIIVKNEGTNIVRLKDISRVEFGSIDYSGNNTTNEKNAVTIAIMQTSGSNANDIEIGVNKSLEQLSKSFPPGIKYSKVISSKERLDEAISQVKSTLLEAFVLVFIIVFLFLQDLRSTIIPAIAVPVAIIGTFFFLLVLGFTINVLTLFALVLAIGIVVDDAIVVVEAVHSKMEGSTMSGKEATHSAMGEITSAVISITLVMAAVFIPIGFMTGSAGIFYKQFAYTLAIAIIISAVNALTLTPALCALFLKNHNTEVGHTHEKKGFVKKFTTAFNAGFENMTGKYVKGIKFLFKRKLIAGGLVVGVIALAGFFMTTTPKSFVPMEDDGLLIYSLTMPPGTGLTKTTEVANRINKMLKQNEAIKENTSITGYNLLSSSAGPAYGMGFIKLKPKKERGAVKDIESINAMISQQMAGIKEGTVMVFRMPPVEGYGVTNDAEIVLQDRTGRDPQVLKAKADELIGQLMQTPGVAFAYTTFRADYPQLELEVDEDKAKQMGVSVAGLLNTIQGYFSGDQSQNFSRFGKFYRVNIKADGVFRMDEEAFNNIFTKNTAGEMVPVNTLITLKKVYGPESVSRYNLYNSLTINVAPVPGISNGELMNCIEPVLDKLPSDYSYEWTGLSLEEKASGNQTVFILALCIFFVYLILSAQYESYLLPLSVILSIPTGVIGAFLGIRTVGLDNNIFVQVGLIMLVGLLAKNAILIVEFAVQRRKEGMSIMDSAITGARSRLRPIVMTSLAFIVGMIPLMISSGGMAAGNISISVSAAMGMLSGVVLGVFVIPVLYILFQYLQEKVSGKKHTDQTVKSES", "MNKNTITNLLGAIAIGALVSSCSVAQKYTRPELNMPVQYKSDISLTGDHVQLPWKTFFKDPQLIALIEKALHNNNDVAVALKTIDQLDLAMKQAKLSILPTAQATIGANRSYPSKNSMNGSMAEQFIGTKYIDDYTLNLGISWEADIWGKTKLRKDQSIADYFGQKENVVALKTRIIAQVAQAYYNLISLDQQLKIAYENVKLSEDILRMMRLQYNSGQVNSLAIEQAEAQKKTAELIIPLAKQNISIQESALSILCGEYPDSISRAESLKDVTPEEIYGSGVPAELLSRRPDLKAAEYAVISLNAKTGLAKTAMYPSISLSAQVGANAFKFNKWFDLPGSITKNLAANLTQPIFQKKELQTAYKTAVIEQEKAAIQFKQAVMTAVGEVSNAMANYKGSTERLNLVSKKGESLDKATKDATLLYKNGMATYLEVISAQSAKLQNELEQNTIELDRLNSMVELYRALGGATDSI", "MQQTWLKRFILIWIGQFISLISSSAVNFAIIIWLSLETRSAEILAYAVIAGMLPQAILGPIAGVYVDRWNKKLTMMLADSFVAVCTLIMSVCFYLGYESLTMIFIVLSLRSIGSAFHMPSMQAAIPLIAPKSELLRIAGVNQIIQSVSGIAGPALGALSISFFSIGKVLLFDIAGAAIAVVSLAFIKIPETNNYKKAKAGIRNVIQDMKTGFNAIRSNRGLFILFGVSVMSALFVIPTIPLLPLITINHFNGGKFEMGLVEILWSIGMLIGSGILSIWKPNINKIFIINIMNIITGILLVWSGLLPSNAFLIFIFLMGMCGAAYSVESATFTTIVQEKVNPEVLGRVFSMYFSLILLPSMIGLFSTGFLVEAIGINHAFIVLGVLTAVVGGLSFMIPALIALDKKDNKALETNNL", "MERIFKTPYSSMDDQHPVAEVVLGVSDTVPDQSLTVREILDKFANGTLGDIAVEKYYNDDDDGFDGADLRGLDIVELEALRHEAQMDIDEINAMIKFHEQTTGNQESNPSPTDTLTTETPDHI", "MWNRNNEYNTPLAQMQRLKEAGLNPNLMYGQGTTGNSSAPAKADGANPTNYKLNFLEAAQLHQQQKLNEQSIQLQKSQTELNHAQAQKVNAETANTHANTLNTQETMQFNRESRPKILEKYDLDNAGIKLSNENLNKINAKIEADINQIKANTQLTARQADVAKASLGKIAIEVENAVKQGRSIDLQQIEQRFKNDLWRKGINPSSTGTNGLIDWLRNNVLDKFKDAWKFYPKIPR", "MKRARKLQKSKIKYFLVGEYGAQTYRPHYHAIVFGVENIDEFLGEWKMGNVHAGTVTAKSIYYTLKYCTKSITEGPDKDPDDDRKREKALMSKGLGLSHLTESMIRYYKDDVSRSFSLLGGTTIALPRYYRDKVFTDIEKVHRMVSIIDYLETRYQRISDPLFPQRVRKMYDKVYESIKQTD", "MLRVLVTQEFIDEYSYYGLYHSFYTVFNINFFNSFYNEYI", "MNIPIMDYIIRFIQFLILISLILFIMSIFSKTSSKNPKSSTFNMSYDRKFSMNFGDLVPIHCQEIIPGDQISINPQHMTRLAPMIAPVMHEVNVFIHYFFVPNRIIWSNWQQFITGGESGLDQHLMPRVGNLPIAKGSLGDHLGLPLTTGRFAVGNAGVLYNLVNLLPFLAYQMIWDEFYRDENLIQPFFRDSNGNPVKIFNDGINDHNLPPYPKFTELFKMRKRAWHHDYFTSALPFAQKGNAVKIPIFPQGNVPLTYEMGSQTFIKDMAGNPAPNKDLRSDVNGNLQDVSGQPLSLDPSKNLKLNMASENVSTVNDLRRAFKLQEWLEKNARAGSRYAESILSFFGVKTSDGRLQRPEFLGGNKSPIMISEVLQQSATDSTTPQGNMAGHGIGIGKDGGFSRFFEEHGYVIGLMSVIPKTSYSQGIPRHFSKSDKFDYFWPQFEHIGEQPVYNKEIFAKNIDAYDSEAVFGYLPRYSEYKFSPSTVHGDFKDDLYFWHLGRIFDTDKPPVLNQSFIECDKNALSRIFAVEDDTDKFYCHLYQKITAKRKMSYFGDPSFRI", "MKNASRNKDKLLEIRKITVCRKVFSFHNYHPIITICGKWLKKSRFRAGDKLALKVYEKKIIVEIEMPREEFYKEKLIEY", "MPNKKIHQGRNIKRFREMLGIKQDALAYELGEDWNQKKISLLEQKESVEKDILEQVAKILKVPTEAIENFDEDSVINIISNTFNEGSIANATAENIQCTFNPIDKIVVLYDEKIALYERMLEQQKEMIEKLERLIERK", "MLRKFKVSNFKSFEKDFELDLTDVNAYEFNKESVKHGIINNALVYGHNGVGKSNLALAIFDIIEHLTDKQRNENAYRNYLNAYSNSDTACFYYEFSINSNIVIYEYKKTDYKTIVYEKLQIDGNEVVFFDRNSSFNATIHLQGAESLKTDIDNTELSILKYIKNNTDLEQNNINDSFLELFTFVEQMLYFRSLLDRTYIGLDVGGKHIFEDIIKKKNVKDFELFLNNAGIECKLSAIEDVGKSTIAFDFNGKQIPFNDVASTGTSALALFYFWYQNIKITSQVSFLFIDEFDAFYHHSLSALIIEKLKETGVQFILTTHNTSVITNDLLRPDCYFLMTKKQIKSLSKSTSKELREAHNIEKMYKAGSFDVE", "MSNKILFVFEGIKTEGLVINSLQNYFVNENTTVQCVFGAEIYQIYKEIKADDDLDTFNLIKERNIKDNELLDSYTRDDFAEIYMFFDYDGHSSLAEDEKLKELLDFFNEETDKGKLYVSYPMVESLKHIPDYESFKDLAVKCKENIGYKEIVSKEAMKHLINFNKYDIDVWKEIIHTHLKKMNFIHINSYTFPSNIISQLEIFSKQLEKYITPHSKVAVLSGFPIFLHDYYGNEEIQKRIK", "MSTKNTKNTEKKSETQSKDQLIEMRRLTVSAIYMNSEGYNKNDYASRIMLLGKWVRKCGFNEGDKLTISIYQNRIVVEKEDPNTLDTKLLARIQNESSRLLRKKIKAMVHPEVFEQLRFVNGQIKIK", "MKFLNYAFLGIKHPETEFIIPQTFPYFTTSLHNDIKNTDGNKYKPIQIRQITGNRIYSISTIKTHQIMCNVRFLTEEEENQIVLDTRYITVNRIPIKGHYNPHECCSKVQLQGRWIDKCGFKPNDKLTVSVYRNRLVIEKQKPNTINPKVLAREQKAHEKYVRERVLQMLGPEIVKQLSFKNGEIKWRR", "MPNKKIHQGRNIKRFREMLGIKQDALAYELGEDWNQKKISLLEQKESVEKDILEQVAKILKVPTEAIENFDEEQAVNIISNTFTSNDTSTLNAINIQPTFNPLDKMVELYERMLEQQKEMIEKLEKLIEGK", "MSRPFKSDLVINEHISESGLKAYHIGFDKNLFRIEPLVDIIRNVIPEFSLGYHCGTNIPLTEIVERLKEAAETVYLTEKYQTRGEFGELILHLLLRDFHNTIPLISKMYFKDAHNVPAHGFDGVQITINGDEKKLWLGESKLYKTGKSGVNDLINDIKKHVNEDYIRKEFNLISRKLPESVPEIEHWRTLMDKHQTLDKIFSSIVIPMVCTYNSNLFSTHKDNTKEYFEEFEKECRDLYDHFNKSKPACSVEIVLLLLPVADKDELNTKLDERLKAMQKI", "MSFTSYDQAVEFLSRKTNITVEDSFDLSKFCTYLLRNSEKEGRDLAIRVQDNWEFIPEQTYILWNDITESAGLYPYVNPNLLSKSSLLRYEYHKSPHLDDVYLHEEQQQLSIELQSKRSVVVSAPTSFGKSLLIEEIIASQLYKQIVVIQPTLALLDETRKKLLKYKNIYKIVVSTSQEPDTEKGNIFLFTGERVVEYDKFPDIEFFVIDEFYKLSMDRDDDRAIALNQAFARLLKFTNKFYLLGPMIKNIPVNFKNKFELTWFPTEFATVAVDEKSEVIKGKIKANEKKKVKKENLFNLLASLQEQTIIYCSAPNKATTLALEFLSFIKTNQIDVNNPFLSGNNSIIEWIEQNVNNNWSLNEALSFGIAFHHGALPRHLGSTIVDSFNDGAVRWLFCTSTLIEGVNTSAKNVILFDKEKGRKLIDFFDYKNIAGRSGRLRKHFIGNVIRFEEQPQQMELFVDIPIFNQEHAPLEILISMDEEDIEDNAKQRLDEFNNLPENLKDVIRLNSGISIEAQLKIISKIESNLHYYHQQLNWVSTPKTFDNLSTVIELCWDTLAGPGDKTYIDGIGRLSARWLANFTFSYINLKSTSAVIKTYIDDNFWVEKIPELQKRIDTATYSILHITRHWFDYKLPKWLSVISNIQEYVFKKYNLSYGNYSFIASSLENGFLPPNIAALHEYDIPHSAIKKLQKYLNKDRTPEMNIHNLNQLSDKELLDNGLLKYEIEKIRKSF", "MKLIILPKGLSEDLLNYDLSQIESKEFDDVIDELKQIDNEVNISSINLGKGADWVLILAILGSITSVISLGDKLEKGIEGWVKIGKRLTNLFKKSDRVYVDEDGAKILAITYISEKYNIKNITLLDNHTTFLADFSSWFKHRNPEDFTSKPFNIYNFTFDINNERTISLCIKSNGEIIELLNTDKESIDLSF", "MIEKQIELIKRQISKLDDDDFDINAWKSSTTVILGRIFGETYPGIKEINKIQYKSGGIATTNASSFWNNLESCIKQGKDILEACITELEIFGLPEKKQNEQSGININLTQNQNQSVNINLLVSALEDELTVSQFREVNDLMKTDEPKSEKKRKIIDKIKSFGNDVASNILANILTNPNIWG", "MTRPIVFGEIEGIEEGHFFEGRKEMMPSGFHRKWGAGIDGNAKDGVAAIVLSGGYEDDLDSGDEIIYTGAGGNDGKGKQIEDQRWEKSDNKGLLISMDRGLPVRVIRGYKHRSEFSPKTGYTYAGLYSVVDAWEETGKSGFIICRVRLEYSGQNPERNTPERIELRYSNKRTERKESTVLRIVRDTRIADDVKKLYNYECQVCGETIPTKSGGYAEGAHIKPLGRPHDGDDSSDNLICLCPNHHVMFDKGVFSITDDLKLVGAARGELMVHETHILNKLNLRYHRKSHGYD", "LLCRRKPEKSLGGYWEFPGGKIEVGETEEESLYRELQEELGIRVEIERYFMSVVHDYDAFTIELIAYICRSGQSICVLTDHDEYEWVDKSELLNWKLAPADVPIANELCFMEQKMREG", "LKTQAIYSIFQSRQKYIFIVCSILFIAANIILDYLFTVFQNSSFYFSESLLFSSYWIIYILLAPLFFKLVEQLSKITSKLLLTSSAIVLHLIIYPALVWVISEVFYNHTFPYWQTFNFTISVYFIKTVIIYGFLLVIITIYSQKLLPPAIAKRTIGEDKTKNFISSLIVSDSNSKKIVLAVDDIFYFSANSPYINIYHHSKKYLHTETLKSLESQLNDNQFVRIHKSCIVNINKIISIQSRQNGDYDVTLLDNTILRVSRSYAKNFKDKFSQQHRVTTK", "MKKWIIIKLISLLLLSVSCNGQQPNEKLSDKKNGIVGDSCDGCELMYIGMPEKIQSTNTSPGWNAKGQKLIVTGTVFQIDGKTPAPDVIIYYWQTDNDGYYSPKPELDNRAKRHGYIRGWVKTDEKGKYTIRTIRPSPYPNDILPAHIHLSVKEPDVAHEYYTDEINFDDDKLLIPHFKKYPQENRGGSGVVRILLKDNLQIAEHNIVLGLNIPNYPKKLTVVSKSGLNVGEDQPSFIPFHAYGPDRGTQTCPVCKYGRYHGIIYFVGNNTNWNEVKNWLQFLEQESKNRKKYLKVYFVYGSDKDYNKYQRLSQLEKLGSHLNIQSTALTFVPSFYDKKTEVYLNKINPEAENTFIIYKHRNIVDKYINLKPTKENFKILSNVLDKTQGDFFDLSEPDYE", "MSNKQYTASSIQSLEGIEHVRLRPSMYIGDVGVRGLHHLVYEVVDNSIDEALAGYCDTITVTIKEGNAIEVSDNGRGIPVDFHEKEQKSALEVVMTKIGAGGKFDKDSYKVSGGLHGVGVSCVNALSTDMITTVYRDGHVYQQKYQKGRAVSEVEKIGDSDKKGTRQFFQPDDSIFTELVYNYDTLASRLRELAYLNRGITITLTDERTKDENGNFKVETFHSEGGLKEFVEFIDGNRESIMEHVIFMEGERDDIPVEVAMRYNTSFNENLHSYVNNINTHEGGTHLAGFRRALTRTLKKYADDLGLPAKEKVEITGDDFREGLTAVISVKVMEPQFEGQTKTKLGNSEVSGAVDKIVGEMLTNFLEENPNEAKTIVQKVVLAAKARQAAKKAREMVQRKSPMGGSGLPGKLSDCSSKDPAESEIFLVEGDSAGGTAKQGRDRLFQAILPLRGKILNVEKSMLHKVYDNEEIKNIYTALGVSVGTEEDSKALNLTKLRYHKIVIMTDADVDGSHISTLILTFFFRYMKELIENGYVYIASPPLYLVKKGNKKVYAWNEKEREELTQELSRDGKGVEIQRYKGLGEMNPEQLWDTTLNPEHRTLKQVTIDNAVEADNVFSMLMGDEVPPRRDFIEKNAVYAKIDA", "MDDFIAARSQMAMSLGFHIIFSCIGMVMPFLMAYAHWKYLKTGNEIYKGLTKAWSKGVAILFATGAVSGTMLSFELGLLWPKFMEHAGPIFGMPFSLEGTAFFIEAIALGFFLYGWDKFNKWFHWFCGFLVGVSGLASGILVVAANAWMNSPAGFDYVNGQYINIDPIAAMFNDAWFPQAFHMTVAAFCATGFAVAGVHAYLITKKKNVTFHTKAFRIAVGFALIGAFGAPFSGDIAAKSVTARQPIKLAAMEAHFETEKGASFVLGGIPDVKNKTVKYAVKVPKVLSFLAKGDFNAEVKGLEAFPEDEWPPIAVTHFAFQIMIFFGVIMMFIGAIYLYSFFFKKEWLSKNWLLKTFFIATPFGYIALEAGWTVTEVGRQPWIIYGVMKTIDAVTPMPGIQYSFYFFTFVFISLSVVLMFLIGRQIKMVPKLYDPTDALFNDKKQKK", "MIYIVIGFLWLSICLYIILGGADFGAGIVELFTNKKARHKTGKIMYESIAPVWEANHMWLIIAIVVMFVGFPKIYALMSTYLHIPLILMLVGIIARGTAFTFRHYDAVEDKWQILYTQIFYYASLLTPFFLGLIAAATVSSSINPDATGFLDLYIFSWLNWFGVSVGLFTIALCAYLASLFSLRETRDKAELQLMIRKTKQMMLFVVITGALVFLTAYLSGIPLMMWVFSKPLGIIAITLATIALGLIFYCIREKKLVPVRVLAGFQIIMILVAATYQHNPNIILLGNGNHISLLEYAAPQKTLEALGWALMLGCVFILPFLFYLMYSFSKMKK", "MIKRTFAFLAGISFGLLAAQKSDVGNWLMLFGNEKINPKFNIHYEVQYRNYDFIGDLNQLLLRTGIGYNLSENNNNVLLGYAYVHSHNYDADQNISQLDEHRLFQQFITKQKFGRVQLNHRYRIEERFLQPKTEVRFRYQLGIIVPFNNTSLVKNTWYASVYDEIFINAKKEAFDRNRLYAAIGYVINDKMRIEGGLMNQMLSNTARYQFQIGFYLNDIFGLMR", "MMEQEEEKMNTVTLKREYIPPKLETIYIEMEEGIANGSKVQINPGGGGIKSENWIDGGSAGTGEPENEWWN", "MINIKAIKSLLFCGVLLFIISCRSDQQNNLTESVKGVSFSVSVDDFGNSDTKNQANIRAVSNNTNIISKQELTSGPFNIVSELSEDTNNKISTRSFLPGARKFRIVAYDSQTGDYTAQDVGSTSAPNQKLFENISLVGGRKYTFITYSLNSNTDPPIAPTTNLNQAKINLSGLNGEEAGTDLLYAINENVMISGGNTVINVTLKHQFSRIILSVDNLNATGTPGQPGYIKGSYLLDNNDTGGFTGTVQDYYTSGNLNMKDASITEGVNSGLSVSGITTTAKTLIINTGSEDIYKSKLIIPPGAIVIGNDSNSSPVTIAINGRNDNGLQPGASYTLKLKFNSDRYVDENGTTQSKNNARYAVIGGYRWDRFNIGVTSNTPSASNDPDVIRKEIHGAKYQWSAMTGQTNYYLSQQDDQTYTTVTWETLLHNNVRWNSGDVNNPFKTSSDPCDSGNRVPSYIEYEKLISNTFNSPVGSLSSGINNYSSGRRFYSKRSPNVQLTFPATGFRALGNSHGQIVSRGSVVYYWTTNQRFVGSAVPYTDVTSSGLGLPVRCIQE", "MPIKYNVIERGEPGVTGGGTKKWYAIVSSDGEVSIDDIVKQIEKFSALSEADIRGVIIALENVIQDNLINGKIVRLDKLGSLYPSLSSEAAEKEDQFSSSLIKAAKVNYRPGKRILDALKTATFQKVK", "MNTKKNSIILNGEEIIVDRYLEAFANEPNQTDFYNRIGISNYQEIGYLQSSVLSVCRQALETDEQIADGLDFSRMLELVQRLIPHAELELLTRMYTLSNTK", "MIKNKIFARGVWWRYAKAPQTLSFSVRTP", "MNRRELLKKGSIAGLFSLLPATNLLAEVAKPIVQTAKKSLAGYKKIQLGALELYILSDGFIRDRVDGFAPRADIKELKKLLQDNFRSEEYIDMAMNVPLIKTENRLILMDAGMGIFADENTGFLLESLSKAGFKPEDITDVFISHAHPDHIGGLIDKSGKLVFPNAGYFISKTEYDFWMQATMKDFGNSALKNKPDFLLQIIGGIRKILTAIKSKVKYYDFNMPIYQYFSFIQAPGHTPGLTLCLIQSQSEKILYMADLIHSDALLFPHPEWGFSGDTDLDLAVESRKKILNYLADNRLQGLGYHLIWPGAGYTQKLQNVFQWFPKAYFTP", "MLNKQLETKHQFIATILAFAVIPMSGLATDIYLPSMPSMAEDLHLPEARIQLTLTFYLISYGISQFFSGALVDAFGRYKISIMALVLFVVSFWITGHTQNIYVIYLMRIVQGILSGLVVVSKRAFFVDVYDGEERKHYLSIMTIVWSLGPIIAPFIGGYLQKSFGWQSNFTVLAAYSLIILILELIFSGETIKNKNPFHIGFLWNEFKTMLGTLDFFFGMLMCGVSYALIMFYNLCGPFIIEHKLGYSSVTTGYLSLLMGLAWMSGGFLGKALISKPFLPKLRYSNFIQLLFIVLMFASSFYIENLFTLVVFAFIIHATAGFMFNNYFAYCLGRFPKSAGISGGITGGVVYIITSVVSYGIAAVVKPVQQTGIAEGYFAIGVLGFIILSFIKRKKAHI", "MFIISLNYIKAIGEIEAHIDAHNDFLNKYYADKKFLMSGRKNPRTGGIIIAQNATIEEIKEIIKEDPFHQYEVAEYEITEFLPTKFNADLKTILQAL", "MNYKTLGKTGEKLSAIGLGCMGMSFAYGQADEQESIRTLYKALDSGINFWDTADMYANGKNEELISKVLVPNRDKIFIATKFGFRFKNNEAGPSNSANTYFDGSPEWIRQAVDNSLRRLKIDTIDLYYAHRIDPNVPVEETVGAMAELVKAGKVRYLGLSEASAESIRKANAIHPIAALQSEYSLLTRDVEESILPVVRELGISLVPYSPLARGLFNNINEVQQLEESDFRKSLPRYQEAYLENNKSLAKELNELAASKGITGSQLALAWVLAQGDDIIPIPGTKRVKYLEQNIEAASVTFTETEKNQIEEIIKKYPNTGPRYSEGSMKLVNN", "MKTSETLQEFYTRTLPGKDVSKLLCCPGVGHVNIFSRESCARVTPYSRRDYYKISLIIGEGKLHYADRWICIDRPALLFSSPLIPYSWEATSEDQSGWFCLFTEHFLRNGNMGNIQDSNFYKIGGEPVFFLNDDQVKSVELLFSRIKEEIDADYVHKYDVIRNYLQLLMHEAMKMSPAQSYERYNNASQRVASLFMDLMERQYPIDGTANPLRLKSAVDYAQNLNIHVNSLNRALKTVTGKTTSELIANRIVQEAKALLNHTDWNINEIAFCLGFDDSAYFTNFFRKQTRLSPVAYRSKVV", "MQQLTEQEIIRREKLQQLRQMGIEPYPAAEFEVNTNTQEIKDKYEDGKKVKLAGRLMSVRIMGKASFAELQDSEGRVQIYVSRDDVSSTEEAVEYNTVFKKLLDIGDFIGIEGYLFKTQVGEISVHVTKFTLLSKTLRPLPVVKTDEDGKVHDAFVDPELRYRMRYVDLAVNPHVKDIFIKRTKLFNAMRGFFNDKGYFEVETPVLQSIPGGAAARPFITHHNALDIPLYLRIANELYLKRLIVGGFDGVYEFSKNFRNEGMDRTHNPEFTAMEIYVAYKDYNWMMDFTEKLLEHCAIAVNGTSKATFGEHEIDFKAPYPRVSMTEAIQKYTGYDITGKTEEELRAFAKSIGLEVDETMGKGKLIDEIFGEKCEGNFIQPTFITDYPVEMSPLTKKHRSQEGLTERFELMVCGKEIANAYSELNDPIDQRERFEDQLRLSEKGDDEAGQFIDEDFLRALEYGMPPTSGLGIGMDRLIMFLTNNPSIQEVLFFPQMRPEKTEPKLELNEDEKAIIEIVSANEEATALAEVKDKSQLSGKKWDKAIKNLTKNNLVKVEKVDEVLLIKMV", "MTGHNNDNEILRRIELDSFSNRIAFDMGVKIIDLAKSRNQHIAVEVCRLNHTIFLYVDDTLPVDKHNWLRRKANIARQFEESSLSVKNDLKEGNMNLEKTFGLDEKDFLAKGGAIPIFVKNGGMIAVVIVSGLHDEEDHNIIIEALKGSYL", "MKKQVFLIALLAFAAFSCSKKETPVEKESNTMLEEPKVTVEEQDVKVSVPSPESGLEFINASDCRTCHADDTKLIGPAYKDVAAKYENTEPNRKMLAEKIIKGGQGVWGEIPMAPHADLTQAQAEAMAMYVLSLKK", "MKKLFFAFIITGLFSCIYAQKNTKTDIWSGSYGLYAIKDSLMKASDTLIIERVADAKADEVAGRYQPDLARWAVTSTKGGKKDQTIIRRFLFAPEDKEDQYKEFGWTELYRNGKMNCIDGNHFFICQTEPKTKVLFNGKEEFYTRTGIFGIWLHYGIVDLKKLK", "MRKIFFSIALLGMLGPATAQELYMPRNIKKAYANGTRDLSGAPGKNYWQNKGIYDIQIKVNADTKIVSGSETILYDNNSPDQLDILAIRFVNNMHKPQSPRSGFVSKDFLSSGLKIKSFSVNGESYNIDSDDWSTVEAVELNKPLASKAKAEIKIEWEYPLSVQSGREGQIDPNTFYVAYSYPRVSVYDDYNGWDFIQHSDRQEFYNDFNDYSFAISAPKNYVVWSTGDFLNPEEVLQPEYLKRFKESLKSDKIIHVANEAEMKSGKVTKQNDWNVWKFKANHISDFCFALSNHYVWDASSVQLKTKRVSVQAGYKAGAKDFEQYTGWMRYNIDWFSKNWPGVEYPYPVMTAIQGYADMEYPMMINDSTVPDNFQDARLTADHEIAHTYFPFYMGINETRYAYMDEGWATTLEYLIGIDENGKEAADTFYKNFRTKKWITSPATEQDQPLITMSTQVSGAGYGNNSYVKSSLSYLALKEYLGDALFKKALHHYMDNWNGKHPIPWDYFYSMNTGSGKDLTWFWNNWFYSNNYIDLKISDAAQQNNKLNIKVNNVGGFAVPFDAVVIYTDGTKENKRFTPVVWEKDQKKTTLEFPVQKQVKSVNLDGGIFMDYTPQDNLKVF", "LMPNQDKNIKRSKEILTSYFAFLDQHIDDVVQGRVPEFMELNQIARELAVSHQHLSDTIQQETGHHPCHFYDEKIIHQAKKLLKETEVPIAQVAMQLTYDPSNFSKFFKKWTGQTPGNFRKSISG", "MSTTGMLIDEKEKVNWEDVFLDEENRKELNQLMKEFTYIDELKKYNLPVNNKVLLHGYSGCGKTTTAKAIATTLEKPLYILDLSNFISSRIGDTAKNIRLIFDKVNRENAVLFLDEFDHIGKMRGNDDKDVGEMRRLVNSIIQMVDNFSEKALLIAATNHIDILDVALIRRFQLRISFAMPSEEELDRYYDFLLSKFPEELQVVSRRYGISFAEARDYIFTEIKSNLIFELERKNKPALS", "MKKIVLSICLLPVMLWAQQQKAEGIVTNDKKERIPQAKVYIYDQNNTLLKSLTTDEKGSFTIEGIDSEELKIVVDDLEYDKLEKVIKLSDLNANPNFVLKKSATEIQEVSMTKQKPVVKRKIDRLEFNVENSNISSLNGWEILKKTPGVVFSNDAFKIKGSSAILVTINDKKVSLSSEELKNLLENTQGSDVKSVEVITNPPAKYEASGSAVLNIVLKQNKLEGYRGYVTGKYVQSIYPKGVGSIAQYYKKGKISAMASYSRGAGAYYREESNYIYYPENQTTWRGIMNRKDINNSQNTVNSSLEYTPDDKTTFTLNYRGHFAPRSAGLYFVPNTIYNAQNIAESYYNTINDHNSRSINNNVSLQVERKFDNSTLSLTTYYITSNYKKYENVLTDLNFANQPPSTQNFISNNWQDVKLFSQQVDYGWKKDKWELEAGGKYSLVKTTSTLDFSDDENGKLVYRPDKSNIFNYTEGYIAAYASASLNLKKWAFKAGLRTENTNLNGVVSTPYEKNTNDYWKLFPTAYIQYTTDSKQQFGLSYGKRISRPSYSWLNPAKSYYNLFSYFQGDPKLKATISHNLSFTYNVKDWNFEVYYRKDIDPSMEISYQVPQTNTLVFHYTNIQKANAFGASLYKNFQLKPWWTLSVSEDFSYNENYFFGQDQQLYENKIYNLNSTISTSFTLQKVSDWTLEIGHQYYSPSIQGTFRISGAWEAYLVTNRKFFDKKLEASLFFLDIFKTSKQKIATKYANQDNYFIDYRDTQQFILQLKYNFGNQKVKGTKTIKKTDEQNRM", "MLKNYKWLVSAFALLFLLLVGIQIYFLYKTYKIKERQSYDFVIKTIENYNDNLKQKFGLREDSVQENFVLYRKGKITEQEFRKRIERLNNKSISEYSKLVDSIFTPYQYEVATRYDINNAISVNDKKYLFTKPLTVYETYRKVNKPGKIEQGLWETSSSTIDTDINNGKPVLSAFKTRTSHYYEIKNIQSIVFKDLWILLVCCILILLSVLWLFILTIKNLISQQKQVEILHTVVDNIAHEFKTPIATLKIAAKSLRKDWNQENLPLIERQINRLESLMHQLQDNDDEENTETSYDDWNNYITDLQFANPRVEFILHNTTPNTLPFNKTAMETLIKNLCENSAKYGATRVEIDLRVIQNTLDIKITDNGNGIPKKEQQRIFEKFYRIQSDNVHNTKGLGLGLFLVKDIVNRYNGSIGLVSETGKGTTFKIALPYEN", "MKTKILLAEDDFDFGLILKQYLELSNFEVFWYQNPEGIVELLKDEFPFHIGILDIMMPNIDGFSLAKAILKQKPDFPLLFLTAKNQKIDRITGLKLGADDYLSKPCDPEELILRIQNILKRTQPAAPLSVISIGDYTLHPDKLLLSHPKEEIRLTQRELDLLLFLLQHNNQTIKREIILDKLWETNDYFTGRSLDVFISRLRKYFQFDEGIKIQSLRGIGFQVNFP", "MKNTKLFSVLALLLLAIFSVSCSSDNNDREPNLTPDQTLASTPWLTTNSKNAAGANVDLKDPNVVNFVGYAYFKADGTFTMYNLDDSPKMHGDWSVTADGKTRTIVAKNDKGETLFTRVVDITVLTRAEFTYRIYPNASDKSVYYDIIHTPTTHKEPGK", "MKRFINIVLIIFVLGIIFVPGVKVFVQSTLMKIGLFSPKFNKELKEATTAAYTMQLKDEKGVNISLEDLKGKVVFINFWATWCPPCIAEMPTIQTLYENFKNDDEVKFLILEVEDNQEKAKKLFRDKGLTLPVSFPNGTIPEEFYKGTLPTTVILDKNGAIAFQSEGITNYADQKMVDFIKQQKQK", "VGLLRIYADSFKGLSKEAWMLSIVMLINRSGSMVLPFLGVYMTDQLEFSIKESGIVLSFYGVGSVIGSWLGGYFTDKFGEYRVQSTSLFLSAPLFLLIPIFTSVEGMALIILLQSIISETFRPANSVAITKYARPENLTRAFSLNRMAINLGFSIGPALGGILSSVSYELLFITNAVGAILAGIFYVRFFRKRHKIYQKKMKEKSMVKDTLEKERSPYRDSPFLVYCLLCAIFSVCFFQFFNTIPIFYKEVAHLDQKSIGYILGYSGFIIVVLEMLVVNFADKYLTIAKTLLYGILMCAAAYAMLAINHHISLIMLSISILSVGEILVLPFMSTITALRSGKTNQGAYMGLNGMTFSISFIITPLLGTSVASDLGFNTLWIGSGAVLALAGIAMYFVVNWLLPGKVKAAH", "MDKEYLLEQVRTLAQEKVKNLEEMIVSTRASNNDTKSSMGDKYETSREMLQQEINRLLSQQSEVNNQLDVLQNINTKPSAVVGLGAYVETSMGNFYISESFGKLNVNGKAVITVSANAPLVQSMLKKRTGEEFELNGKNQKILSIG", "LENSDKKELKRGLQNRHIQLIALGGAIGTGLFLGIGMAAVLAGPSVILGYAVAGFIAFLIMRQLGEMVVEEPVSGSFSHFANKYWGSFAGFSSGWNYWLLYILVSMSELTAVGTYINFWWPEIPLWVSSLFFFFVINALNLASVKVYGEAEFWFSIIKVVAIIAMILFGSYLLISGTGGENASVSNLWNNGGFFPKGWISTSPDGSFQGLLAAMALIMFSFGGLELVGITAAEAENPEKNIPKATNQVIYRILIFYVGALIILFSLLPWASITKDTSPFVMVFEKLNGFQFSAFGHQVNFPRLIANALNLIVLTAALSVYNSSVFSNSRMLFGLASQGNAPKFLLKLNKNHVPVNAILVSAFFAAICVYINFVAPKDSLEILMNLVVSALVINWIMISFTHLKFRKAHEGITTKFPAFFYPVTNYICLLFLVGILIIMWITGMKISVELIPIWIAFLYISYLLVKKSKR", "MKKFLTFIFIILITLPLLFYLNPFAWVRKQPLYDADIETTELLRKLDKKYNLKMTIGDVIGNIWYYRDIDNKKEVKSEHFELFLNTKDDKVDDIKKVYQYVDDFKTEFNHRQYFDSITVKLVNDSIPYVPGPVIYKSKM", "MMTIIKIHKIQISLYLFIIAFGIQHLIFCNYNFKWIFYEYIILGVFILSALTVLISPIVLIYESVKSINRKSVIVDEIMFLVVNLILYYIIVAMSLYLSTQIRM", "MKRQFALIAAGILLIANSCTTVTKTDSSSAQTTTENTIIGKKWKLVELLGKPVADQINGKEAFLKLLQQDKAYLYQASGGCNGIRGTFTTNTTTSVIHFSPGASTKMACPDMSIETGINKVLETVDNYTVSKEGDILSLNKGRMAPLAKFRAIAE", "MLTLQNITYQHPDKEILFENLNFIVNKGDKIAIVGNNGSGKSTLLKLISGLLTPSAGNIRTEGSVYYLPQILEQFDTQSIARALGVQKKLSALREILEGIVTEENITVLDDNWDLEEKIKQALETWGLLDFTADESMNRLSGGQKTKVLIAGIEIHQPDIILMDEPTNHLDRQSREQLYEFIENTNKTLLVVSHDRTLLNLLPKTAELSRKEMMLYGGNYDFYKEQKQIQQNALQNSIKNTENALKKAKATERETLERQQKLDAKGKKKQEKAGVAKIMMNTLRNNAENSTAKIRDTHSDKIEGLSQELQQLRRGVPLTDQMKLGFDQANLHRGKILIKAEHINHAYQDKNIWKKPLDVVVSSGERILIIGENGSGKTTLIKLLLGQMKPSEGIIERADFNSVYIDQDYSMINHSLSVIQQAESFNWLPLPEHEVKTILSRFLFGKETWDKSCSVLSGGERMRLLLACLSITGKAPDIIILDEPTNNLDLQNIEILTNAIRDYKGTLLVISHDDVFSEEINIETRILL", "MRKHLTLIAAGILLFANSCNTVQNTNNNNNTSQQNTMPENTVLGKKWKLIELNGKPVADKVNGKEPYLKLLKQDKEYRYEASGGCNGIGGNLKLTGFRVQFAQGMSTMMACEDMSIEQGLSKALIAADNYTVSKEGDVLSINKARMAPLARFRAVAE", "MKRKFTMLLIAAMSFSYAQESTSYQKPSAEILKLADYTRPPSVLMDSNKEWMVFTYRPTYKNLKDLYEEDVKLAGLRINPLTNISSTITYIDNLKIKNLKGKSEVQVKGLPQNAHLAYFSFSPDEKSLAFTNTTSKGVEIWVLDLATATAKKITSDNLNANLGSPFTWFKDSKSFLINVLPANRPALLSDKNDIPTGPTVATSTGKVSQNATYQDLLKTPKDETNFENLATSELAKVDLNGNVSGFAKSGMYASKSFSPDGNYIIITTIKKPFSYIVPLNRFPSETIVFDKAGQQVKVVNETPLNEIMPKGFSSVRTGKRSLGWRSDMPATLYYVEALDGGDQSRKAEYRDQIYTWDAPFNAEPKALYKTKERFSDIDWGNAENAFVSEGWYDTRSTKTSWINPKTGESKLIIDRNFQDVYSNPGSLVTERNQYGRNVVEINDGKTYWIGDGFTKEGQFPFINEMDLKTFKTNRLYTSKSTTVKERIQDVLDAKKGDILVMDESSTDYPNYFIKNFKTNKSTPITNFQNPFDGLKGVHKEVITYKRNDGVTLTGNLYLPAGYDMKSKKEKLPLLIWAYPAEYKDKATAGMSTKNANTFTFPSYGSFIYWVSKGYAVLDDASFPIIGEGKTEPNDTFIPQLVADAQAAIDAVDKLGYIDRKRVAVGGHSYGAFMTANLLTHSNLFACGIARSGAYNRTLTPFGFQSEQRNYWDVPDVYTKMSPFMNADKMKTPLLLVHGEADNNQGTFTVQTERYFQALKNLGAPVKMVLLPKEAHGYVAKENILHLLYEQDIFLEKCLKGK", "MNEYLDAEFDRADDLISQDLNEEAKAVLNNILLEDPKYGKAHNHIAWLIKNKENDAVEAEKHYKLAIDFTPEYGPSYLNYAYLLSEAKRYDDLRDILTKAESVDDVNKSNLAREWAYYYEDTRQYEKAIDKYKEYALSLYENSYIEKAKEGIERCKMKIDIQKM", "MHKAGFVNIVGKPNAGKSTLLNQLMGEKLAIVTQKAQTTRHRIFGIYNEDDVQIVFSDTPGVLDPKYGLQEKMMEFVKESLQDADVFLFIVDITDKAQPSEFLIEKLNKIPVPVLILINKVDKADQKVLEETVALWHERIPKAEILPISALNAYNTEYILPKLKSLLPESPAYYDKDQFTDKSERFFVNETIREKILLNYEKEIPYSVEVVTELFKDKGEMIFIDSIIYVERETQKGILIGHKGESIKKVGTEARMDLEKFFGKKLHLNLFVKVKKDWRKNDRDLKNFGYR", "MLIRLSIVARPLIISFFKGDKFTDPIDGRSYRKFLPYGYGKQRENALSPGTLSLERHRQMWLYLERETDFFTKNYKVLHIAPEQEFLRKFKKQKNLEYTSADLFSPIVDVKADVLDLPFEDESYDIVICNHVLEHIVEDSKAMSELYRVMRKGGWGILQVPMKTGLEYTYEDFSITDPKERQKHFGQYDHVRWYGMDYFDRLKKVGFDVDINLYSQKFSAEDQKRYGLLVNEILPVVFKH", "MIQLKTIDEIKLMRESAQLVSKTLGMLAKEIKPGVTTKHLDKLAFEYIKDHGAEPAFLGYGGFPNSLCMSPNEQVVHGFPTDEPLRDGDIISVDCGTYMNGFVGDHAYTFEVGEVAPETKKLLQVTKESLYKGIAQCIRGKRIGDISYAVQEHAEKHGYGVVRELVGHGVGRQMHEEPQVPNYGRKGSGKVIKDGLVIAIEPMINMGTEKVKFHSDGWTVTTQDNKPSAHFEHDVAVVNGKPVLLSTFQYIYDALGIKSDEEKAFQMDF", "MINKKLAIDFDGTIVDDAYPGVGPAKIFAFETLLKLQSEGYRLILWTYRSGQALQDAVDFCKKNGLEFYAVNSSFEGEVFDSETHSRKIDADMFIDDRNLGGFPGWGEVYNIIKEKIEFRVSGGEVLAYSKLKKEKKKGLFW", "MKKNIQVVALLLIFSNLLLAQSGTTDSNSDIRDTVTNSGLGLGAIIAVVISWDRNKSILWAIIHGVLGWLYVIYFAIIRLIKGRD", "MDKEVIITTIQAADDSALASMIRGVFDEYKAPTEGTVYVDPTTDHLSKVFDVKGSVLFVAKCEDKVVGSCGLYPTEGLPEGHVELVKFYISKEARGTGVGRLFMEKCYEQAEKFGYTHIYLESLPAFGKAISIYEKQGFEQLSAPLGNSGHTGCDIWMLKKII", "MSKKAILAILDGWGLGLDPKVSAIAQANTPFIDSCLQKYPHSKLEASGLAVGLPAGQMGNSEVGHMNLGAGRVIFQNLVKLNMAVENKTLGNEPEILAAFKYAKDNHKKIHFIGLVSDGGVHSHVNHLKGLLEAADDYGLENVFVHAFTDGRDCDPHSGKGFIQDLIEFMDAKTGKLATIVGRYYAMDRDKRWERVRVAYDAMVNGIGLATNNPVGAIQKSYEDDITDEFLKPVICTQDGMPVAKIEANDVVFCFNFRTDRGREITMALSQEDFPDYEMHKLPLYYVTLTNYDKTFHNVKVVYDENIITHTMGQILEENNRTQIRIAETEKYPHVTFFFSGGREEEFKGERRILCPSPKDVPTYDFKPEMSAYDITNAIVPELEKESADFICLNFANTDMVGHTGVFQAAVQAAETVDKCIEKVATTAYNHGYAVFILADHGNSDVMVNPDGSPNTQHSTNLVPFIVMDKDHTWNVKDGKLGDVAPTILKVMGVNVPEEMTGDILVS", "MDLRDQLKNLFPEHEEQDFEMPEEKFEQKGALVCKFEKKGRNGKPVTLIEGFEGGDAELKEISKKIKTSLGIGGSEKDGVIIIQGDNRDKIMKLLQEMGYKTKRVGG", "MMNKLAASELVLNDDGSVYHLNLLPEDIAEKIILVGDPDRVPKVSQYFDKVEIKKNKREFYTHTGTLRGERITVMSTGIGTENIDIVMNELDALVNIDLKEKEFKKEHSSLELFRLGTCGSVNPDVEVDNMLVTENVVGLDGLLHFYQDYQFENEFSKNFLEKFPYQNIKPLLYFSDWAKESAHYYQDAKYIGNTATFPGFYAPQGRQLRLKALDDQFLETLNDLGVTNFEMETSAIYGLSKLLGHKAITVNCVIANRRRGEFSADHHASEKMTIQWVLDRIIK", "MKPLTRTITGVVFLIIFLTLYLQGSDNTLYSFLEGLSVAIGIIFVTRGLYELYRAKNVK", "MKTFIRILPIIFLGFSIGRFIKHDFTFDSIVIVTATIGVLGTLVLFFNERKHSKTE", "MKQRVPVSQIMSKELITLNPKQSLYEAEKLFKKNNIRHIPVVENLKLLGMLSYSDLLKISYADVDDSDEAEDTSVSTVVYDMFSIPQIMTKAPLTVNTETTIKEVVEILAQQSFHSIPVVDHDEIKGIVTTTDILNYLLEQY", "MEKTRCAWCGTDELYQKYHDEEWGRLVTDDATMFEFLILESFQAGLSWITILRKRESFRKAFDNFDYKKIAKYDEKKIDELLQDTGIVRNRLKVLAAISNAKLFMELQKEFGSFYNYISTYTNGERIINTWKHHAEAPATTPLSDAISKDLKKRGFKFLGSTVIYSHLQATGVVDDHIESCFVRNN", "MSTNEQNENLDKAKQFVNDAESGLKDFTKQTQDAIGNLSDKVKSYIDQKKNENEEPTKEGFFAHLKQQISDAWEDTKDAAENAWESTKNFADDAWDKTKDAANDIQDNIKGK", "MNRFSFKNDYAEGCHANILKALVETNREQQIGYGGDQYSAIARDMIKERFNSPEADVYFVSGGTQANLLTISSILRPHQSVISAESGHIFTNEAGAIEATGHKVHAARSESGKLSPEACREVLDTVTNQPHVVKPKMLYISNTTELGTHYTREELEALSGFCKEHNLYLFIDGARLGHALTVEGTHVTPEDIARLADVFYLGGTKNGALIGEAIVIVNPELKEDFGFHVKQKGALLAKGRLIGIQFMELMKDNLYFDLARSANQKAMKIKAAFETYHCSFLTDSYSNQLFPIIPNSWIEKLAEEFDFYVWKKIDEEKSAIRLITSWATPEAEVDSFIASLKRLV", "MQIFQTNSPTRWKRFKWASRILVFILILSGIILFIAFRKAFVPNIPNLNTSGKISQDVLLSKTTANESKSIRKYQGFREYIKKHQKKGLKVHNSKLKAASIDYGMAAPIRAAYYVAWDAQSYFSLRRSINKINMIIPEWFFVHPDGKLQLDIDKRGFNLIKASKVKVLPMLSNNYKGNFDPRGIHIILTDQKNQDQFIKDLLHYVKVNEFSGINIDLENLSEPTNEPLINFQKNLYNAFHREGLLVTQDVMPFNEDYNYDQLNRYNDYIFLMAYDEFSNDTKPGPVSSQKWIEAAVDQVAKNIPSEKVVLGLAGYGYDWKAGAKTATDVTYQEALSTARETNSKVVFDKNTYNLRYDYKDQQGILHHVEFTDAVTNFNTLRFAAEYGLGGTALWRLGSEDNRLWSFYKRDVSKKGMQDFDFNKLQHVASSSDVDYMGEGEILDVASRPDTGLIRPVVDKEHMLITDEQYVKLPSMFVVKKWGKPKDNEKVMVLTFDDGPDPEYTPKILDILSKYKVPATFFVLGIQAEQNIPLVKRIYREGHEIGNHTFTHPNMAEVSPQRSKMEMDATRLLIESITGHSTILFRAPFNADSEPETLQEIIPVADSRERNYLTVGESIDPEDWQAGEIKGFNADTIVNRVIRSQGNGNIILLHDAGGPREATIQALPRIIEYFQKKGYKFTTVANLLGMKKDQVMPPVPKTKGYYIFQVTSAIAIGGYYLGYIFFAMFIVFMILGALRFIWLMVYSYRSYQKEKHQVKIALTEFPQVDIIVPAYNEEVNIVKSLKNLLKCDYPNFHIIFIDDGSKDETYSKAFEAFNGHTNITLLSKTNGGKASALNYGIERSVADYVVCIDADTQLMPNAVRLMMENMYRNSDKKVGAVAGNVKVGNEINLLTQWQSTEYIGSQNFDRRAFEAFNAITVVPGAIGLFKKQAIEEVGGFSTDTLAEDCDLTIKILRKGYFVSNETEAIAYTEAPEKLKQFMKQRFRWTFGVLQTFWKNKDAFFNPKFKGLGMIALPDMLVFKYIIPFFSPLADLLMVIGLFTGSAEKIGLYYLLFLIIDALALGFALVMEKASFMKIIWLIPQRIIYRWLMLIVLFKSLRKALKGELQSWGVLKRTGNVKDITETV", "MNSLTKENYLKALFHLANSENEISVKDLSDNLGIRMPSVNSMMKKFSTEGWVIYESYKPLILTEKGRRKAALIVRKHRLTEMFLVEKMGFGWEEVHDIAEEIEHIQSPVFFDKIDEILNFPKTDPHGSPIPDKEGNIIKNNYFKLSECKIGESVEFVGLTASSDDFLRFLNNKKLPLGTYITILENEPFDGSKRVKYDDQEESFSQVVLEKILVKKQ", "MKKILLSAALVSVSLAFAQKKEIQNAVKAADGGNAAEALSQISAADSALQGKMYLLEPSVQEQYYYAKGIALIKSGKTSEGAAVLAKISDLKTNKIFAGKDNNKNKVYFVGKAEADKDGAGLQLKEETYSPALAGNVGAAVNPLLQKVSGEAQKEYDAKNYPVAAEKFLQVNDLLKAAGQPDDIYKYYAAISYALGNKKSESIALYQDLINSGYTGIKTTYSALNKKTNQRENLDKSSFELVKKSPDYADFKTETSKSVEEELYETAVALMLDDNKNSEAVALIEKGLAKFPNNAKMNDLKLSAYSRTGDSSKLEQTIKEAVAKNPGDKLNWSNLGVIQSNNPATVADAEASFKKALEIDPNYVPALQGLVFNLYLNSKADAKIVDAYNVARKAGKIDEANKIIAERKVRFSKALPYLEKLNTLTPNEADIVDTLKTVYNSLGKQDKAKELKGGK", "MNTEGEKLIPINIVDEMKSSYIDYSMSVIVSRALPDVRDGLKPVHRRVLYGMYGLGVFSNRKYLKSARIVGDVLGKYHPHGDSSVYDAMVRMAQSWSLRYPLVDGQGNYGSMDGDPPAAMRYTEARLKKISDEILSDLDKETVDFQNNFDDSLQEPKVLPTRVPALLVNGTSGIAVGMATNMAPHNLTESINAICAYIDNNEITIDELMQHIIAPDFPTGGIIYGYDGVRDAFHTGRGRIVLRAKVSFEQIGNRDAIIVSEIPYQVNKAEMIARTAELVKEEKIPGIYEIRDESDRKGLRIVYELKMDAIPNVVLNLLYKYTSLQTSFSVNNIALVAGRPQQLNLKEIIHYFVEHRHEVIIRRTEYELKKAKERAHILEGFMKVIGTQDALDKAISIIRHSANPQEAKTGLIEEFELSDIQAQAILDLRLARLTGMELDKIREEYEEIMNLIKRLEDILATPALQYEIIKNELIEIRDKYGDERRTEIDYAGGEMNIEDFIPNEQVVLTISHAGYIKRTPVNEYKVQSRGGVGNRGATTRDADFLEYIVAATNHQYMLFFTEKGKCYWLRVFEIPEGSKTAKGRAIQNLINIEPDDKIKAYLRTDDLKNTEYVEKMSVVMITKNGTIKKTSLEAYSRPRVNGINAIEIREDDQLLGARLTDGTSEIMIATKNGKCIRFPEEKVRSVGRTSIGVKGITMEDNDEVIGMIAISDKENETVLVVSENGYGKRTAVEDYRITNRGGKGVITLNITDKTGQLIAINNVTNEHDLMIINKSGVAIRMSVEEMRVMGRNTQGVRLINLKGNDAIAAIAKIEVDKSVEDEEELEEGDENTVIPNASDDVATGELFKEDGTENIEE", "MSVLSVTFHVESAVQPQWYDFLEKEFPALVENLYDVEKYIFSEVDSNYIQEGKNYNLLLIFNDHEIRGGFLLNEMQNLSEIIHQRFSQEQVMIFITELNPITRRL", "MNKEAKIRGLGGLGSFLKEFLTKNNNDYMPLEEEFQALLLRSEIENPWFTQDSLRYALESLAEVLNEASISSWIDKYPFSATSKRVGLILAGNLPLVGFHDVMCVVLSGHIPVIKLSSKDRLLIPFLMKIWNDSTEGGFEYEFVEKLENFDAVIATGSNNTARYLEFYFKDYPNIIRKNRTSVAVLNGDESDEELQLLAEDIFRYFGLGCRNVTRLFIPEDFKLERIFENFLNFKDVINHNKYANNYDYNRAVYLLNQELFWDNNFVMLREGEDLFSPLSVINFSRYKSLDDVKNFISEHQEEIQCIVAKDALGLESVSFGEAQKPGLDVYADNVDTMAFLSFV", "MAIKITDECINCGACEPECPNTAIYEGAVDWKAADGTNLKGTVTMTSGLTIDANAPQEPVSDDVYFIVTDKCTECKGFHEEPQCAAVCPVDCCVPDEDHVETEEELLEKKAFLHGE", "MKKHNFSAGPCILPQEVFQKASEAILDFNGMGLSLLEISHRSKEFVAVMDEARAIVKRLMKLGDDYDVLFLQGGASLQFAMVPFNLMKTDGKAAYLDTGTWAAGAIKEAKKLGTVDIVGSSKDQNYSFIPKDYAVGTEYNYFHCTSNNTIYGTQMKEFPKTDTLMVCDMSSDIFSRVLDFSQFDLIYAGAQKNMGPAGATLVVVKKDILGKTGRDIPSYLNYQLHIDKESMYNTPPVFAVYTSLLTLQYLEQHGGIEAAEVRNEAKAKLLYDEIDRNPLFEGYSVKEDRSLMNVSFKLTDESKKEAFDTAWKAAGISGLNGHRSLGGYRASLYNALPIESVQVLVDVMKSIS", "MKVLANDGISESGEKALKEAGINLLDNRVSSGHLAQFINDNEVDVLLVRSATKVTKELIDACPTLRIVGRGGIGMDNIDVEYAREKDIYVFNTPLASLRSVAELVFAHFFSLARNLHESNRLMPLEGETKFNELKKSFAKAFELKGKTLGVIGMGKIGMEVAKIGISLGMKVLCYNRTPKTEEVALDFFDGQKVSFSLTSVSLDEVITQSDFISINTAKTLHYILDSEEFSKMKDGVFIVNAARGGVLNEVTLLDFIEEGKIAGAALDVFENEPNPELPLLMNPALSLSPHIGGNTIDAQEKIGMELAEQLIKLKLQ", "MPLFKPFRGIRPHHDLVETFTTASIDNFTEEELHLKAGVDDSYVQMLKPFVCSKSKDVDRNLRKVRTNFEELFHDKKLSQDNAAFYLYEQIMPDKTVYRGLLGLTSVEDFWNGKIKKHEATITQRKEKFAHYLDKVKIQAEPVLLTYPSNSKIEVLMNLEEKNVPVANFTDKNGVRHKLWRIDNRLKLQQYKEVIEQIDSFYIADGHHRIGSAALNAKNHLDKGKKHTGQEGFNYVYSYIVSNQSIKIHDYNRLIKDLNGLTTEQFLKSLEKFFVIHEKGDVPYYPSQKYHLSMYVDGKFYSLHIKHDLRNKTGGLEDLDHYFLERNVIKEVLGMETKLSDKIGFSKGNSTVEGIAEIKELVDNGEYAVGFAIHPLPFSDLVKISDLKQKMPPKCTYIEPKLLTALVMYDMK", "MKKLMLIPLIASGFFFAQQKDDSLVFSKISGQILNHGEAYEELRDLSKNIGHRLSGSAAYEKATQWAVNQLKQAGADKVWLQPVKVPVWVRGKESLHIKTANGQWQSVNMLSLGNSEGTKGKDLTGDIILVKTIEEFNALPESAVKGKIVFFNNPFNQSYITTFLGYRDGGIYRRATASMVAKKGGKAVIIRSLSSATDDAPHTGAMKYEDGTEKIPAVAIGPKGADNLAKLIQSQKVTAKLNSNCGMKEEVMSNSVIGEITGKKDQKVIVVGGHLDSWDVGEGAQDDGAGIVQSIEVLRTFKKLGLNNNHTIRAVLFANEENGTRGGLKYAEENGAKEKHIFALESDAGGYSPIGFFMDVTSNQKADVKNWMKLFAHYNVHNLADSEGGTDIAPLKKYGVSTAGLMPDSQRYFDLHHSASDTFEAVNRRELLLGASVMTQLIYMVDKYWE", "MRKPSLHKSFGNAFRGIFFLLKNERNFQIEVLGLLVNLFLIVFLKLERLEIALILMASFFVLVTEALNTCVEKICDFVHPEYNQYIGIIKDIAAGAVVIAVLAAVLTGIYVYMPYIIDFAS", "MDYRTGLVLSGGGTKGIAHAGVLKFLEEKQIKVDVISGTSAGALVGALYAYGKSPEDILAFFQSVHFFNWKHLVFNKPGIVSSDIFRIYLEPIFGNSKIKESRIPLLLTATDLGTGTLHVFDEDTEVIDAIISSAAIPGVATPYSINEEIYCDGGIINNFPADLLNNKVEKMIGVYLSPMEKLNQNKIKSIRSVTERALEILLYRSEQHKFELCDWFIPLNKLSSYGRFETKKQRTQEIFDIGYISAQDTFADSLFYQDAKSII", "MNYDIIVIGSGPGGYVTAIRASQLGFKTAIIEKENLGGICLNWGCIPTKALLKSAQVFKYIEHAEEYGLNKVEPSFEFPNIIQRSRGVANKMSKGIEFLMKKNKIDVILGTAKVLPGKKVEVTDAEGKKQTYAGQNIIIATGARSRELPNLPQDGKKVIGYRQALSLPEQPKSMIVVGSGAIGVEFAYFYSSLGTKVTVVEFLPNIVPLEDEEVSKHLEKSLKKAGIEVMTNSSVESVDTTGEGVKAKVKTAKGEVILEADVVLSAVGIQANIENIGLEEVGIKTDKGRVLVNEWYQTNVPGYYAIGDIIPTQALAHVASAEGITCVEKIKGMHVDKIDYGNIPGCTYCLPEIASVGLTEKQAKEKGYEIKVGKFPFSASGKATANGDTDGFVKVIFDAKYGEWLGCHMIGTGVTEMVAEAVVARRLETTGHEIIKSIHPHPTLSEAIMEAVAAAYGEVIHI", "MNNVLKFVLAGGLGLALTSCGTQKKLEALQTDYQKCLSDSGQKESLIQSQKVRVSSLEEQINLLKNQNGILQESLKDCATNSNKGSMNIEKLIGQIKESQDYIKRLQDARSKQDSLNIAISNKLKRSLDNINDQDIDIKVQKGVVFISLSDKMLYKSGSYEILPTAETVLEKVARVINDYSDYDVMVEGHTDTDPMKPNALIKDNWDLSALRATSVVRMLQTKFAVNPSRMTAGGRSEYVPKTDNNTPMGKSENRRTEIIVLPKLDQFMQLLEAKR", "MEVTDIETSQVTFEDFKKQILADYKLGRVSREMSLLGRREVLTGKAKFGIFGDGKELPQLAMSRVFRDGDFRSGYYRDQTFAMAIHALTPESFFASLYADTDVERDPASAGRQMNGHFATRSLNEDGSWKDLTKIKNISSDISPTAGQMPRLLGLAQASNYYKNNTYPGSEKFSNGGNEVAFGTIGDASTAEGHFWETINAAGALQVPMIMSIWDDGYGISVSTKNQRAKEDITEMLSGFQRKEGEKQGFELIQVKAWDYPALQDAYTKAEHFARTERVPVIIHVIEVTQPQGHSTSGSHERYKSAERLQWEQEHDGLKKFREWILNYEIEAEDGTMILASEEELDLIDKEAKKEAKDAQKKAWDVYQGTIVLLKDKALPAVEKLATVSANAAGVNEEIEKFKSLITIAKKEVFHLLRRSLWVTRGENSAERQAVKNILNELTAVERDNYSSHLYSESEWQAVNIPEVKPTYADDAEMVDGRVVIRDNFDKILAKYPEFLIFGEDSGNIGDVNQGLEGLQEKYGVDRVADTGIREATILGQGIGLAMRGLRPVAEIQYLDYLLYCIQGMSDDLATVHYRTKGGQKAPVIVRTRGHRLEGIWHSGSPMAGILNLIKGIHVLVPRNLTKAAGFYNTMLQSDEPSLIIETLNGYRLKEKLPNNLGEFTVPVGKVEITKEGTDATVVTYGSTWRVVMEAAAELEKLGVNIEVIDIQSLMPFDISEDILESVKKTNRLVVVDEDVQGGATAFILQQVLEKQKAFRHLDSEPLTIAAQDHRPAYASDGDYFSKPSADDVVEKIYALVHESNPAKYPEI", "MKRKSQHILNTSSNLLGFCLVIITSLKVAKLSKGTYLDDFAAVASLLLMASCILSFLAIRTESDKRSYKFERIADLFFIIALTALAISVVIIVFLDLIH", "MNENITLSFRGMTQYQYRLSIFFIVFSIFLIPTIFMTIVINYQLNKIPRSMVIIIMGISAIIVFFIISFLFKKIIASNFKMHFKDKQAIISSKNINLVILYENIEKLEIRNNTDYSYLLFLEKNGKKTKIFVGMANLGLKTSTILTPADQLDIFFGKPDFEKKTYFKKSMEYILYTALPS", "MEDNISTTLNEQLLQQNFGNENNPEAQLLAGQEIAKAYTQTENAVAVLSDLVSDKSYIYYGNMAATLGLAKENSEISSIWEKDVLERIHPDDLTEKYRMELYFFHFLKGVAQNERKDYHVSSAVRMKTSQGNYLSVWHRIFYINSTPNGSIGLVLCLYSFSSHPGQNSYEGIIVNTTTGEVVKTNKPELDNILSEREKEILTFIKNGKLSKEIAAELSISLHTVNRHRQNILEKLHVNNSFEACYKAECLGWIS", "MRKLLLFMIGSITLQQVSAQKLEKLQWFNEPEKWEIKNNSLQMFVTPQSDYWRISHYGFTVDDAPFCYGVYGGEFEVKVKITGDYKERFDQAGLMLRVDKENYIKTGIEFVDGKYNISSVVTHKTSDWSMISLDKSLPYIWIKAVRRLDAVEIYYSFDDKSYQLMRNAYLQDNTPVMVGLMGASPDGKGFLAKFENFSVKHLPDQRRLKWLKENQE", "VANIVEEIKNPIKENMKLFEKKFYESMKSNVSLLDKVTQFIVTTKGKQMRPMFVFLCANLVGEVTEKTYRGASMIELIHTATLVHDDVVDESFKRRNFFSINALWKNKIAVLVGDYLLSKSVLLSTDNKDFDLLAVISRTIREMAEGELLQLEKARKLDITEDVYYEIIRQKTATLIAACCEVGVRSNNVDEETALKMQQFGTYTGMAFQIKDDLFDYLSSNIIGKPVGIDIQEQKMTLPLIYTLRTADPEKRKYFFETIKRYNKKPKRVKELIEFVKTSGGLDYAIGVMKDFQQKAVDILDTFPDSEAKKSLRLMLDYVIERKF", "VKFKYLFIALVMAQVSFAQEVRTLKLKPFEKTVLSDTLKESSGLTIIKGKLLSFNDSGNPAEVYEMNPNNGRIGKTYRTNAVNIDWEAITNDGENIYVGDFGNNLGNRKDLTIYKIPFTPEAENLIYTSKINFFYPEQQDFSAKNRNNDFDAEAMIFLNGKIHLFTKEWKSKSVSHYIIDPTTEILQAAQKTESYQSDFVVTDATYYQGKLYLVGYTKGAKVYLLSCEETAPGLFFSGKIQKYFLGMTTRFGQIEGLTATEEGLYISGEQFKFKIINARQRLYFLPFKELN", "MKDIRTLSLDQLKEYFVSLGEKPFRAKQVYDWLWSKNLHSIDEMTNLSKPLRERIALEYTINPVSVDLMQKSTDGTIKNGVKLHDNLMVESVLIPTETRTTACVSSQVGCSLNCEFCATAKLKRMRNLEVAEIVDQVALIDRQSRLYFDRPLSNIVFMGMGEPMMNYKNVVEAIHKITKPEGLGMSPRRITVSTSGIPKMIKMLADEELKVKLALSLHSAIEHKRNEIMPFSDKFPLTDIMEALQYWYQKTGSVITFEYCVWKGINDGDEDIKALIKYCRQVPSKVNLIQYNPIGEGKYDHRSIAAEEKYVRELEKAGITVMVRKSRGGDIDAACGQLANKTTESEV", "MKTSDFNFNLPDHLLAEHPSENRDEAKLMVLDRKTQTIEHKLFKDVIDYFNEDDLFIFNNTKVFPARLYGNKEKTGAKIEVFLLRELDREARVWDVLVDPARKIRIGNKLFFTEDESLVAEVIDNTTSRGRTLRFLFDGSYEEFRAKLTELGETPLPKYIKRDVEPEDAERYQTIYAEIEGAVAAPTAGLHFSKHLMKRMEIKGINFANVTLHVGLGTFNPIEVEDLSKHKMECEQAIITQDNADIINKAIEENRRVCAVGTTTMRAIETSVSTNRRLSAYEGWTNKFIYPPYDFGIANAMITNFHTPKSTLIMMIAAFAGRDFVMHAYEEAIKNDYKFYSYGDAMLIL", "MAKKKLTIDDIFDDDDFGLLDSKAKASSVKTDEERLIDSFEEINSFFVKNNREPNKSSMSEYGLMAKLKNFRENEAQKKILKPFDRYNLLGYVELEKATIDDILNEEDELGLLDSDKDLDIFKFKHTPKPEDRAETDFVAQRKPIKEKEFEKYEVLFQKVHKEIKEGKRKIKPFKNIEKNLHVGDFYLMDGILLYLESANLKTEEKELGSGNRVRTEGRTRTIFENGTYSNMLFRSLGKQIQKNGKLITNTYEKIEQDLFVNTGLLKEEDIQLGWIYVLKSKSSNTQIANIKDLYKVGFARNSVDERIKNTKNEATYLFADVQKVATYKVYNRNADKLEGLLHRFFANACLDIDLFNEKGQRLNPREWFVVPFEVIEEAIQLILNENIVNYEYDPAEKKIKLK", "MPNIVHVTYDQTGKSKSTNPLGMREMQEKAYEGRTAQYLLLKAPPASGKSRALMFIALDKLKNQGIKKVIVAVPEKSIGASFGTTELKKYGFFADWNPNPRYNLCTPGEEKSKVTAFLNFLESDEQILVCTHATLRFAFDGLDVKKLDDTLVAIDEFHHVSAEGDNILGQVLKSIMANSSAHIVAMTGSYFRGDSVPVLMPEDEAKFTKVTYTYYQQLNGYEFLKSLGIGYHFYTGRYFKKNPETGISALAEILDENQKTIIHIPSVNSAESSKLKHDEVDHIIDVLGTIEYQDEITGVIHIKSHKTGKILKVADLVQDNPKSRDKISGYLREVKSVDDIDIIIALGMAKEGFDWPYCQHALTIGYRGSLTEIIQIIGRATRDSNNKSHAQFTNLIAQPDAQDDDVKLSVNNMLKAITASLLMEQVLAPNFKFKLKKDEDDEDEDDEKTIKIRGFKLPTSQRAKDIIETDLNDLKAKLLQDPQMLKAMPGNVEPEVINTVLIPKIIREIYPDLSDDDIEAVRQHVVVDSVIKNSTIEEQGDKKFIRMAGSFVNIDDIHIDLIDQVNPFQKAFEILSKSVTTQVLKLIDEHIQSTKFEMTEEEAILLWPKIKEWVKNNNGEQPNIQSFDHKEKRMAEALVFLRELKRKKALANG", "MSRENQNNDLQPISNFVIFKTENGKVNIDVFYQDKTLWLTQKTIAELFEKGRSTITEHLKKIFEDAELDENSVCRDFRHTAQDGKIYQTKYYNLRAITAVGYRVHSQRAIEFRKWATEILHEYIIKGFAMDDERLKQIKHFGEDYFEEMLERIREIRLSERRLYQKITDIYALSADYDNKSEITQHFFASVQNKLHWAITGKTAAEIIYTEADATKIYMGLKTWKQAPDGKILKSDVTIAKNYLNVEHLKALERIVTSYLDLAENRARNRQVMNMKDWDNFLVQFLELADYPILKDNGKISMLEAKLKAESEYDKFRVIQDQNYISDFDKEMRKLVDKKKK", "MPILKDIIDWVENKPSFWQVAIDRLIRNNELTNTDVSELKEICKVDFKLSEFEFDAVDFDDLRDFADNSANDGNIILSKIKNIDNINALSKSSELEFAPNGLTIVYGDNGSGKSSYVSILKHSCKTRGQKPRINDNLFDPACAGNDKKADIEYTSDGINFTTVNLINETVSENTLKKIDVFDTFSANHYIEGEDEIAFIPQGLSIVEKLAEAVKNVEAQLSIELSNPSMRKFDYELLEVPEGTTAKLFLEKLNLNSTLNELRAESIWNSTKNARIGAVEKEIDKLKATDPKKSLKSNEEKIKRFEILANKFQNLENNLTGQALNNLKEILNNFCVAKEALRESSNTAFSDLPIQGVGNNAWKILWESARKFYNESTQTESFPNVSDGSSCPLCLQHLEDEARERFTKFEDFVKNDIQKSFDEAKEKYESAIENLNRLEFNFEEQNPISLELNELIENYSEKQAEYLNVLSEQKEYIVGLFNSMKIIEDINAIEVDSTPKTLINELNKSLSEVNEKLKVQSIDEDLKPLLKELNQLNGEKKIYEHKPKLAREIYRQKRVGLLNQCVGKCNTRTITTLSNELTTRYINQNLKQNFKTELNKLGFKNIKIETETKGQRGKQYHYLRLDENNSNNIALKDILSEGEHRCISLATFLSELSISEHKSAIIFDDPVSSLDHKWRNKISKRITEEALERQVIVFTHDISFLLMIQEHSESLNCALDIKSLTRKKTETGLIASTPPWDALPVGKRIGLLKDAYQQLEKIERTETEEVYKERAKVLYGKLRETWERFIEEVFLNGAIQRFSRAIQTQRLSKVIDLTDDDYKLVDANMSKCSTYFTGHDTAGTLIEEMPDSDEFLADLKILEDYIKDIRKRRQ", "MKSTEIKHNVENLIDNFSKEEFVFDLLVAYGISKTSVTRLKKGDYNLSKVDGEILYKKKIFFKIEASNKLLSSIEEVAKEERILKHQPRFAILTDHKQVVAKDLKLGKNLDIQLKELPNYFDFFLPLAGSEVYNASNNNEADRNASYKMASLYDLLIDENPSIYNSKESIHNLNIFLSRLLFCFFAEDTEIFEQDSIFTNTLAQHTAENGKDTHTFLDDLFARLDSESGKDYPDFLAKFPYVNGGLFNQTINSPLFTTKARKTLIELGELQWKDINPDIFGSMIQAVVIPEYRSDLGMHYTSVENILKLIKPLFLDELYEAYENATTINHLRTLIKRISKIKFFDPACGSGNFLIITYKEIRLLEIKILEKITDLEGQSPTIKWTEIQLSQFYGIEIDDFAHEMAILSLWLAEHQMNKVFEERLFDYGKSKPILPLKEAGQIKQGNATRVDWNSVCPITEKDEVYVIGNPPYLGYIRQDETQKEDMKIVFSRVNNYKKLDYIACWFYKGTNYIQNTKAKYAFVTTNSITQGEQVALLWPLVLSKGQEIDFAHQSFKWTNNAKGNAGVAVVIIGVRNIDGTEKFLYNQNLKQSAKNINPYLTDTKNIIVTKRSKPISSLPIMMKGSQPTDGGNLLLSQKEKDDLIENCSSSKEIIKPFVGTKEFIDGDSKYCLWVNDNDLENIFPCNEIKRRFDAVTIMRLNSTKSATNKLASSPHKFGEVRYFEKEAIIVPIITSVRRNYIPCGILDKNHIVSNTAQAVYDFDPYMFGVISSTMMLVWVKAVGGRFKTDYIFSSSICYNTFPFPDITNKQKENLNLYVFAILDERAKHPSKTMAQLYNPSTMPKGLLQAHQELDTAIEQCYRLQPFKNDTERLEYLFKQYEEMLQKDTLFAKQKQSRKKK", "MNRIKEVLEQKGIKQTWLAEKLGKSYNMVNAYAQNRQQPRLETLMEIADILDIDVKELLVSNKPEQNN", "LNPDPKDKVSDEQFTEMAQEYMEHMGYGNQPYIVFKHTDIDRTHIHIVSTCVGIDGKKIPDDYDHPRSMAICRDLEQKYNMKKATEQEQKQVNKVFKPVNHKNGDIKSQIASVVRHLPKYYNFSTMGSYNALLSLFNITAEEVKGERNGQTVNGLVYVALDENGNKASNPFKASLFGKDAGVAHLQKHFEQSKEKMKTTPVRSVLKTTVELAIHTTSNETDFKKQLTEQGINTVVRRNNEGRIYGMTFIDHESRSVWNGSALDRNLSANVFNDWFNNRNKPELKIQDSSVSKANEIDNLPTKDLFEFLSQEHLPNTDIGLFSLLPEALGEDYEEEQFANRMKKKKQYPRQKR", "MEEKNRKQIRKTGRKPKIDPAVHRYSINLNDEDNAKFLALFDQSEMKAIAHFITACIFQKTVKTVKIDMNAVEYHAGLTKFFGQFRGIATNYNQIVRLLNANFSEKKASAYLYKLEKQTAEMKELLLKVLILTDEFEKKYLNKE", "MIHEENKNQQPEKEDFSIENFITDENKQSFTEQQEVTPREDFVKPKVDEEAIMRVMAGEEPPETETENTKTPASNTRRMIYKPKKLTKEDYCGRFFKIPTTTASRGKSVYVRQEHHETFNRLTNIMGIDKLTIYAYLDNIIEYHFQEFEELIREIYNEKHKPLF", "MAQKVIPDKEQKEKEQIRKKEHSPLIQVDKQSDPISNFIANLKRQFREAKGLIDWNRLFGGKRTEQQKQTSEPESIIGATKTLIRSDFKNEEKNQAIQTNKKPALKMDNTIITQQSCPKSKKPKLGL", "MEITVLDIQILKALHREVKEVSLLIKEITAPYKALQQATKWLDQQEACQLLNISKRTLQTYRAKGILGATQINRKTYFRLSEVELLMQGERALKKQKK", "MKQIGNSNEDMLALLEAVVGIKNELLYIREYFHPLLKGEIYLSGEQVCKMLHISKRTLQQYRDDKLIPFIKLERKILFRESDIVKVLEDNYQR", "MKKLILLIISATLLLSCNQNKKEEEQSSKKEKVNDVEDKSVSLSIEPNVFKLSEIPDTIKVTIINNTNDTITTGLHYQIENYEKNEWKDISPKGTAFNDLGWRLKPTDTESFEKKLYKDQISYKVGKYRIVKYYLNSDYQKTRENHNVYGEFEIK", "MCRITVNGKQSAFSTKLDISATNWDLKYGRVLGKSREAQATNSKLDKIRSGIEECYSKILKNEGTVNSAKLKNAVLGMESGELTFFKFYEQFLSDYEKKVNSGLRVNGTHSKYKTLLKHLRNFAFAKYGYSDVSFNDLTPIFVEDFDYYLRDEQSLTHNTIWLYMIGFTTLCRLAMSRKHLAFNPFSEYKNTKKDKDRGYLLRNELEQLVTFNCDKKKDELVKDLFVFSCFTGLSYSDMKGLKNSNIQDFFDGNQWIIVRRKKTATSSNVMLLDIPKMIIEKYAGFSKDGRVFPVPSNTVCNESLKRISKQIECLKEKKVTFHLARHTFATLFLTEGVPLESLSKMLGHKNIATTQIYAKILNEKVGKDMQKVSHKFKGMEQSFVSQL", "MEDRINSFPPFIDKDSKILILGSVPGVKSLEMQQYYAHPQNHFWKIMFHLLAEPVTSDYEEKLAMLQRSGIAVWDTIESCVRKGSKDTDIKNEEANDIAKLLKEFPNIKAVFCNGQKASKNLSKQLKNKEVGINFYGLPSTSPLHTVGLEKKLTEWKQILHYL", "MNIKVLLLLTVLTVASCSTKYNQYDARHQRHGKWKETLHTGDGDMLATGKYRNGEKIGKWTYKYGDRLYETEKFKKETSVTKSYHSNGRLSAIGMSRTNITDQYRNWYKYGVWKYYDESGKLAKEIDFSKAAKETTKEVDQAGNKELQDNMKKINKALNGGSN", "MISPKFLENLQNELAQIDADGLYKRERIITSSQDAEIVVNNKTLLNFCANNYLGLANNPEVVKASQAAMDTHGYGMASVRFICGTQDIHKNLEKKISEFLGTEDTILYAACFDANGGVFEPLFTEQDAIISDELNHASIIDGVRLCKAARYRYKNNNMEDLEAQLIAASEKNHRFKIIVTDGVFSMDGIVADLKGVCDLAEKYDALVMVDDSHATGFIGKTGRGTHEANDVIGRVDIITSTLGKALGGALGGFTSGKKEVIDMLRQRSRPYLFSNSLAPGIVGAATKVLELISDDTSRRDRVMENAQYFRTKMQEAGFDIPEGDAAIVPVMLYDAKLSQQMADKLLDEGIYVIGFFYPVVPKGKARIRVQLSAAHTREHLDKAIAAFTKIGKELRVI", "MNLEKLISGNLILFTSFLLAQTSAQPSVQTYYPQVYAEQQLVGKEMTAEKSLLSPKIQLDTELNRVQSDPVLRYATWGFAVYDPQANKMITCYNENVPLVPASTTKLLTTDTAYSLFGKKFQWVTQLEYSGEITPEGALNGNLYIIGSGDPSLGTNQAGADSYWTIIANFKDALNRAGIKRINGGIVIESGIFKTSETILPPNIVWLEHNNYYLPVGNTQNINPQNEKMVVKAKRPSSGEKSYFYISPYSKQLVYADKFEGNTYLQGKLPDAPAYLANNLKSSLIKSGIPVTGTVTTRSVDANPEERVFLAEQKSPTLEDIVYFTNQNSNNRFAEALMRISGFYANGDLSLESGKSAVVSHLGTVGFDFAGLNYADGSGLSKSNTVTPLAHVKFLAQLMKQPYFKNYFDSLPIAGNSGTLKKMFLYNEANGQIFAKTGTLNRVKTLAGYIKTRTGKTLTFSLLINNYSGSVDQVKRKMEQLLEPTLQL", "MMFAQLILPLNIKGTYTYKVPVFLYGKLAIGMRVVVPFGGKKLYTGIVTEIHDREPEAFLPKEIISALDNEAILPEEQLKFWQWISDYYLCNVGEVYRFAFPSSLKLESETYVKRNPDVEVDYEVLDVHEIHLMQALEVKSVINLQELEAFIPRKDVMKTLNSLIDERLIVIDEKISEKYKAKEVSYIRLKEGLLESVALHEILSILNKAPKQKDLFLAILDKATSENPFVKKSELFEDKFFSSQQLKALVDKGYVEEFYLQKDRIDSYDGDLEQIEQLTDLQQKALWEIVKEYEEKDVVLLHGVTGSGKTHLYISKIEETVASGKNVLMLFPEVALTKQITQRLEKKYGQLLGFYHSKLTDFEKVEIWRKVKNNQLRIVLGTRNALFLPFQNLGMVIVDEEHDSQYKTTTVQPFFNAKDAAIVLGKFYEAKVLLGSATPSVESYYSALTNKIGLVKLEERFGESKVPKINLIDFKEAQNLKTTNGSFTIQMIMEIREQLEQKKQVIILHNRRGYANVIECESCGYTQYCSNCDVVMTYHKVSNELKCHYCGQRSAVPKQCPSCHSENLTTKGLGIQQLEEEVQRLFPEAEVGRMDLDAMRTKFAYEKFFERVENQELDIIIGTQMISKGLDFDHVDLVVVPKSDAMLHIQDFRAEERAYQLFTQMAGRAGRTSQHGRMLLQTYNPYQTIFEKLSKDPDHIYEYFIQERNRFLYPPFVKLIFIELKHRREDKVERASLFLGSVLRKYLPEECVLGPEKSQIFRINNLYQYQILLKLPKGKKYNLFKQFVSTSIDEFNEISGYKSVKLNLYVDF", "MSIFDNTQIAFADKTTDQLRKAYWMFKGIENPTLTNMGVSMLNFTVKNNFPFVDGIVKKTLFEQFCGGETREESIQAVNKLWKRGVGSIFDYSVEGKEDEESFDKICNEIKDIIKFSKGNPAIPFVVFKPTAFGRIDLYEEVGKGRELTTSEKEEWERVRTRFDEVCKLCHENNIKVMVDAEESWMQDAADHLTEEMMEKYNKETPIVWNTIQMYRTFRLEYMEEHLQRAREKGYFIGYKIVRGAYMEKERDRAIRMGYPSPIQPTKQATDDNYNAGIDFIMGHQDIVSAFFGTHNEKSTELIMDKMKAAGLSNDSSHVYFGQLYGMSDNITFYLSSLHYNVAKYLPYGPVKDVVPYLTRRAQENTSVAGQTGRELSLIQKEIERRKKK", "MISFLDDSFSQLNEYIDRTNPSLLLLLVDENTHEYCLPTVLANLETTIPFEIIEIEAGEEGKNIGTAAQLWEILSEFGTDRNALMINIGGGVITDMGGFVASTYKRGIKFINIPTTLLSMVDASIGGKTGIDHQFYKNIIGTFALPEKIFAYPKFLQTLPFTELRSGFAEMLKHGLIASDQHWNNLKQLELTPENIEPFVVDSMNIKQYVVEQDFKEQNLRKTLNFGHTIGHAIESLFLQQEAPVPHGEAVAAGMIMEAHLSYSNQLINEATLAEITNSIMAIYPYLSIDNFSHEDIYNLMLNDKKNSNGIIKFSIIDKIGHCLYDYEVTKSQTETAIQYYKEAYKS", "MKKVFLGLGIVLGTMAFAQSTAGPRFGIKAGGNLSDLTNLDREKSKIGFYAGVFMNAPISSEFSIQPEVVYSQQGAKFKDFGNVTDLKKNLGYINVPVMVQYNATPDFYLEAGPEFGFLVDAQDKGKVNGVSYKSSGTDGYNTFNFGMGLGAGYRFTPNISINARYTAGFTNIVKNNGGDSVKNNNFQLGLGYTF", "MKKVFLGLGIVLGTMAFAQTTNNGGIRFGIKGGGNLSRITEGNFSDTEHKFGFNGGVFMNVPMSDKFNFQPEVIYNYMGSKSTADVNGEKIKSETNLGYISVPLMVQFNVTPKFYVEAGPEFSYLVNANNKLKSGSQTVTNSWDSKALDDLKRFNAAGAIGLGYRITDNWGINARYTTGFTKIGKNDTPRGQYFNDSRNENIQLGLSYTF", "MKKLFLGLGLLAGTFAFSQVGPKFGIKAGMNVSSISENGGLSDTKSKIGFNAGVFLNAPLSSNFSIQPEVIYNDLGSKVTFGRDNQNSYSTNLGYISVPVMFQFNATQQFYLEAGPEFSFLVSAKNKLKDGNGNVAVDDWTKTATDNLNTFNFGLGVGLGFNITPNIGINARYVAGLTNIGKTDNPIGRSYSESKNNVFQVGLGLAF", "MSTRKMITAALPYANGPVHIGHLAGVYIPADVYARFQRRLGKDVAFICGSDEHGIPITIRAKKEGVTPQDVVDKYHEIIKKSFADLGISFDEYSRTTSKKHYETSQDFFKTLYDKEKFTEEVSEQYFDEQAGEFLADRYIVGTCPNCGNENAYGDQCEKCGSTLSPSELINPKSMLSGNVPVLKETKNWYLPLNDYENFLNEWIIEGHKDDWKPNVYGQVKSWLNDGLKPRAMTRDLNWGVPVPLPDAEGKVLYVWFDAPIGYISFTKEWAEKNGKDWKDYWQNEDSDLIHFIGKDNIVFHCIIFPAMMKAHGDYIMPKNVPAFEFLNLENDKISTSRNWAVWAHEYVEEFPGQQDVLRYALLSSAPETKDNNFTWKDFQTKNNSELVGIFGNFINRVAVLIHKYYDGVIPQGDVNAPELAEINKAAKEIAEFLDKFEFRNALSALMNLARFGNQYLQTEEPWKTIKDNPEKAAHSLFVGAQLAVALAQLSEPFMPFSSEKLLNMFNVTKTDWADLENNNVLIASGHQINEASLLFSKIEDDVIEAQIQKLEQTKQDNKKTNPNANPMKEEITFDDFGKIDLRTATILEAEKVEKADKLLKFKVDTGVDIRTVVSGVAESFNPEELIGKQVMILLNLAPRKIRGIESQGMFLLTTKPDGKLSFVTPDEPVENGIEIK", "MVKKSTLEKLSDQELKKYIAPESRFTPEAVQMALEILKERGHQFSNQQETLVQKIVQEKKEAEIAEATEENEIWEDYTTDDPNAIKLYPLAYIVVLSTLFGTIPGALLLALNFIKVKKYTSVIFVLLFGLIYPFIQYYLLGAISTLNDNKMNSRYSPETFIMTSGGLSLYIISALVMPKKLPYRAESLLLPALLAFAMALLIYINPQNLFSYYLLSKIITF", "MKDLMGKAIWDYFHNQNPEDLQTETSISELDDLPVAYLFRDFDEMNDLEQAALELATGKILDVGAGAGSHSLYLQDERRMNVTALDISPKSVEVCKERGISKAVCKSVLDFSDEKFDTILLLMNGTGIFESLTKIDVYLQKLKTLLNDSGQILIDSTDILYMFDRDEDGGVYIPAGGYYGELGYTVHYKGESEEPITWLYLDFNTLKNAAEHNGFSVEKVLQDEDSFLARLTL", "MRELLIPCIHDLYKMYNKGLFANWVPKPLQLLLITIFSAFTMSLSGVNTGNITYMYSSMGSMSEYFSMANYATTIGMGAVMPLVMRFKFRFKVRDKLTFAFVFIAALSLLSATTNQPELIVFTAFLVGFMKMFVMIEFFLPLMMILSPDGNRGKFYSVFYPFAIIVSQVINYISVDISVRYNWEHFYILTAIGALFMALLCWIFMHNQYFSVKMPLYYIDWLSVLLFAATFLFSAYVFTFGKQQDWLNSPKIIDASIAAFACFVMLAFRQTRLKRPYISFKIFKRKNVYSGLLMLLMLGMFLATGTVQNIFAVSILGYDLVTNAELNLWMIPGMVASGVMGMMWFRQNINIKFFVLSGFAALIAYCIIMYFSMVPEMNFERWYLPMILKGYGMCSLYITIWFYMMDKLDINDMMAAIGLALVWRSFIAVAVFSALFYWFQYDFQVTSLGDMAVYLDGINLSQPVAMQNLKVFQINAILAANKKLFGYIIIAGIGIMIYVLQHGFGKERFTSYNRYVRLIKGRGLIAQRRRREQLILERSAEGIKDAAGSAF", "MESKDNVQQEQPTQGTATKVQMSTRVEEKKKANKKNKIRSTIANSIVFIILIAGFYWLVREYFHIGDKDYTEAAQVEEFINPVNTRVAGYIKEIKFIEHQQVKKGDTLLILDDREIQTQLGQAEAAYQNALAQRSATSSSVNTVSNNVNVMESNIAGAKARLWNAEQNLNRYKNLLAAEAVTRQQYDQIKTEYDAQKAAYETLVNQKQSANLSTTEVKSKLGINDAEIKRTKAALDMAKLNLSYTVITAPYDGVMGRRVISDGQLVQAGQQIATIVLNGQKWVTANFLESQMPKIAIGKKIMMSADALGGQQFEGEVTAISAATGSRYSSVPTDNSTGNFIKVQQRIPVRIEFTSGNDKQKLNQLRAGMNMIIKLKD", "MKNKVINYAMAAMLLYGSLIAAQEVRSMTADEVMTLALQNHQQLKLSEKNIYISKQQTEVTKLQKLPTITASTSQFYLGNALIIDKDFSNSTNVAMPHYGSSYGVQASQLIFKGGLVKKSIEMAGLREQLAELDLEKNQQDVKFLVLSNYLDVYKLKNQEQIFLNNKKLAQERLKNIQKFNQQGMVTRNEVIRGELAIKNLDQGLLTLSNNKKILNYNLDVALGLPQNTEINPTESLVGKELGLGTDYYLQMAYQNNPQLKSANTNIAVAQKNIEIINTDKMPTLSGFGGYNMQRPITTRTPVLDMYSNSWQAGISLSYNIDNLYKTKERLKVGELQKTQAQDALTLTKQNIDMMVNAAYVKYQESIDQAKLMDDAQKLAEENYKITEAKYLNQLAVQAEMIDAQNQKLQAELDFVTAEINVLYQYYNLLKSTGSL", "MEFNALKIFTEQNLQDSLTMGHPYHPNNPLFLFIKKGKMVIKEQVNIFELGKNSIILIDSRSVYEIMEVSKELEVKVLTYQRSFISKIGLKFNRLNIYHNIRMELRRGYTFTDREFEVFWDILKGIDYFLDEVGELEYAVESIESLFSAFIYNVSSFVMRNRKNTKGMMTRNQEIVLDFIGLVGQNYLSYKSVEFYAQNMMMSTRHLSSVIKAETGKTAGQMINEFIINEAKALLASTLKPVNEIASILNFSDQYSFSHFFKKHQGVSPTVYRSQF", "MKRVILASCFLLSQFGTSQLLKSAGQKIVNDKGENVLLRGLGLGGWMLQEGYMLKTVDFAGPQYQIKNKITELTGEEGMQEFYKKYLENGITKKDIDALKSWGFNSVRLPMHYNLYTLPIEKEKVKGKDTWLEEGFRMTDNLLKWCAENKMYLFLDMHALPGGQGNDVNISDNDKSKPSLWESEENQRKSVALWKKIAERYKDSPWIGGYDIINEPNYGFTGKNLNGCDEESNAPLRKFMVDVTKAIREVDQKHLIIIEGNCWGNNYKGIFPLWDNNLVLSFHKYWNKNDQNSIKQMLEYRNQYNVPIWLGESGENSNVWFTEAISLMENNNIGWAFWPMKKIDNIAGVINVKITPEYEKLLNFWKNGGEKPSKEFAYKTMMQIADNYKFENTEVKKDVIDAMFRQIKSNEVLSYTSHIIPGRIFATEYDLGRIGAAYYDKDAINYRIDTGEQVNWNSGDKMRNDGVDIYSNKDKVSNGYYVGKIEDGEWLNFTLKSVKPGKYSLEIRYANANGAGQLSVTDGKGQQIAKTELPSTGGDQIWKTIIIKNVNIAKGTDKIKLQFDKGGFNLNYIEFK", "MKKIIFIAITVVTVLSLNSCGVIFGGSKYSGTINVKDHPNADIYVNGNKLGTGQVTKLFPRNKPLVVEVKQNDCEPKTQTFEKAFRTGNFILTVLGWGIIGIGVDLGTGASYKPDHNNDPNIKKLSDKNYTFDVDYSQCKKP", "MTETIYEKKKSGFGKVIFWVVLVLLLVFGGWFYYKYYFVFGEGVKSGTLNYVVKKGNIFKTYEGKIIQDGFGKVKTGSGISSYEFEFSVEDEAVFKQLEANSGKYFDLHYKEYHGVLPWRGNTVYIVDKVINSK", "MDFNLSEEQLMIQQAARDFAQNELLPGVIERDDQQKFPAEQVKKMGEMGFLGMMVNPKYGGAGMDSVSYVLAMEEISKVDASAAVVMSVNNSLVCAGLERFASEEQKVKYLTPLAKGEVIGAFALSEPEAGSDATSQSTTAEDKGDYYLLNGTKNWITNGNNATYYIVIAQTHPEKGHKGINAFIVEKGWEGFIVGKKEDKLGIRGSDTHSLMFTDVKVPKENRIGEDGFGFKFAMAVLNGGRIGIASQALGIASGAYELALKYAKERKAFGTEIINHQAVAFKLADMHVNITAARMLCFKAAVEKDAGKDISESGAMAKLFASKTAMDTTIEAVQIHGGYGYVKEYHVERMMRDAKITQIYEGTSEIQKIVISRAIAK", "MSAKRIFDFAHKALEKYPKEDAFATKKNGEWIKTSTLQYITQANKISRGLIKLGIKPGDKIGLISHNNRTEWAIMDIGMSQIGVISVPVYPTISEEDYEYIFNNAEIKYCFVSDKELYNKLISVQPKVSSLMGVFAFDDVKGAPNWKEILDLGEDDSTQSEIEDIANIIKTDDIATLIYTSGTTGRPKGVALTHENIVSNVLNSNPRIPDVKLDYKEMKCLSFLPLCHVFERMLLYLYQHNGYSIYFAESIDKVGDNLKEVKPQFMTVVPRLVEKVYDKIYNTGASAGGMKTKIFLWALSLVEDYELGKSMGIKGWIADKLVFSKWREGLGGNIVALVSGSAALSPRLNRIFHGAGIPILEGYGLTETSPVIAVNSFKHRKFGTVGWPIENAEVKIAEDGEILVKGTSVFKGYYMDEEKTKEAFTEDGYFKTGDIGFIDDEGFLKITDRKKEMFKTSGGKYIAPQVIENNAKASKFIEQIMVIGDGEKMPAAFIQPDFEFVKAWAERKGLNIGSSYAEIAANEDVKQRIAQEIEALNKHLGKWEQIKKFELTPIVWSIDEGLLTPTLKLKRKIIKEKFIDLYNKIYEH", "MKKTILLLLCFSTGSIFAQSVKSGSTLQLLGTRNWIRVNLPVQKDTSSKLYWSTENKKPVSPNATINNNEAFYIQDVKPETLYYIWAETSQGLQKQTVRTSKKWTLDTSELKELNTNPSSEAVPQGMKIFWQDEFNDKLLNKNKWTTNYFSSLNYTSEESKKEMLAGQLPQPAYILNGKFINLYVSDTLPKRSYNPKGGQKISSIQTYDWKSNENLLDNSRGGYFEVKVRRNSSGNPEGTNTAFWFDSPGPDLRYYLEQGTNLNGTEGIRPKGQLFEIDVFEYLNAQFVLHGHVDQKGVFQRNLATHIAEGIDHINKWVTHGILWTPTSIKHYINGKLIKDYTDKHQIYSPNHFMNVFLGSYGAKGQVNMDVDYIRAYNWPLEKGNELPNPGFEDSGSLIPWEGNGTLVPQKGIKNSTAALLNPGENIEQYVYLNHSTSYQLELFIKNKGNIKVDIDDTAPVSGTLSSIKKSEFTGNGNYAKQHIDFITGKDKAYNMKTIRISIKNTGNSAVLLDDITIKKIK", "MKKIVVLSGAGISAESGIKTFRDSNGLWENHKIEDVASPEGFARNPELVLEFYNLRRRQLSEVNPNEAHYILAELQKDFDVHIITQNVDDLHERAGSENIIHLHGELKKVRPVNDEESIILWEDDLNLGDLDENGIQLRPHIVWFGEMVPEMENAATIASTADILLVIGTSLQVYPAASLLHYVPAGCEIFVIDPHLSQNFTNEKNFFKTSATEGMKLFREAIYGR", "MDIETFREYCLQMTAVTEDFPFGPDTLVFKVADKMFALCGLNSPAFSVNLKCDPERIIDLREEYDDIQPGYHMNKNHWNTVNFEGKLPEKFLKELIQHSYEQVVKGLPKKKREELSL", "MSIQKNYEEIIQSLPANVKLVAVSKTHPAEAIQEVYNLGQRVFGENKVQEMIAKQPILPNDIQWHLIGHLQSNKVKYVAEFVDTIESVDSEKLLEEINKQAAKHNRKIKVLLQVKIAEEDSKTGMEVSETKELFQKYLQGHFENIEITGLMGIGTFTDNTEQTKREFLFLKRLFDQLSSQKKLETLSMGMSGDYQLAIECGSTSVRIGSSIFGARDYSI", "VSLLLQFIRSLTEFSDESWKILQPALTVKTYKKNELLLQEGQVCNSLFYIEKGYCRSFYDINGTDKNTEFFFENEIATNVDSFGNGQVSAYNIIACEPLTVIIFDKAKLFQAAQKCREIENLGRHCVRLIATKQEKFATLFKLYSAQERLEYVEQKYPEMTQRISLSQLASFLGVARETLSRIRKRRISG", "MQKLQKISSETNIITWFEIPVSNTQRAKNFYETILNIEMTTQFIAETNETLTFFPYNPEVIQATSGRVTGVLTESENNFPSRSGTIVYINASPNLQSVLDKVQPAGGEILSPVINIKAGLIAFILDSEGNRIGLHSEK", "MTPLTDKIYLLEKFSGKGGWTFVRLPEIPTGQNTPFGWVKVHGTIDRYEIKNYNLQPMGNGRLFLPVKAEIRKKIKKQEGDYVHVILYEDHSPYEIPEELKLCLLEEPYVYDIFEAYTDGKKKTITKWIYSAKSEQTKAERIAKVISDIVDKNPRY", "MKKTFFLSIVLTILGGSAAQAQNLFDKIDNVVDQANRAANSTEKAAKTGGGILSMFNKKNKTKAVGNQTNILISGGNLIYVKKLNTLIQGINGVTDSQMKFNAEQSTITVTYNGTTDDLLSKIQAKSKDIIKDENILEIDQGILNIKLK", "MKSIIAVIDDHPVVTEGVRALLEGNNACKHILSFAKAEELLLYLNQNIIDIILLDIILPDQDGIDLCREIKKSAPQTLVIGFSNQAERSIILQLLQSGASGYLLKSATANELLNGIKQVKLGEIVFCSGTKKIMAKSQQFQEDNKRILPSVTRREKQLIQLLAEGKTTVAIADELFLSRFTIDTYRKNLLQKFKVKNTTELLMLLVQENLI", "MIVVKFKYNAFAIRKIIYIFSFLVNITVLGQFHKTGHKYKESVLAISEKISGYSGHFGNYKTVYPYVKKYSICKDFLSYKTSKVKMDNLEAKYKISEKERQDVRIQSQREKVVLQNENQQLLNWLFGVGLVIFLLIIIFLAYMVKNNKKLNFRKLKEVEQQQQLKLTQAMLEGEERERERIARDLHDGLGGALSGIKLKLSAQQKKENIPEIDLVILQLENSISELRRISRDLMPESLLRSGLETALHDLCSSQNQEDVAIEFQSTGIFNTIPLSCQVNIYRIIQELLSNAIQHSGADKILLQCIREERSFYITIEDNGCGFDPKNIRNTKGIGWSNIRNRVNYMKGKLEIDSVILKRTTINIELNI", "MQKILIVEDEKAISGVLSSILEDECKGYDIVVAEDGLEGFKLIEKDDFALVISDIKMPKMAGNELLVKALEIKPDTTFVMISGHADIDTAVKCLKDGAYDFISKPIDINRLITSVRNALDRKVLQHQKNVLLTENKQLKRRITKKYQMIGDSEALKHIQEMIEKVAPSDARVLITGPNGAGKELVAHAVHDLSERAKGPMIEVNCAAIPSELIESELFGHVKGSFTGAIKDKQGKFELANTGTIFLDEIGDMSLVAQAKVLRALQESKISPVGSDKEIKVDVRVIAATNKNLQEEIEKGKFREDLYHRLSVIEIRVPKLDDRKDDIPLLVEHFSKIIADEQGTAKKNFSGESIEALQNFSWTGNIRELRNVVERLIILGQNPVTADDVSKFVKK", "MKFLNQKYTRDSLKLALPVMITQLGQVSVNLFDNMIVGKLLGAQALASVSLGNATFFSFFVFAMGFSYAIPPLVSEAHSRLDHDKINSVFRHGFVINLAVGLLMMLVILFGMPLLYQMDQPKEIIPDTIHFLTIMAFSMIPFMVFQTFREVSEGLSFTLGVTKATIIANILNIILNYILIEGKLGLPAMGVRGSALATLIARIFMVVFLYIVLYRDPRTSQYIKAFHLKIAGFSKSIFSTMLKIGFPTALQLFFEVSAFAGAAFVCGLVSSTDIAAHQIALSMASFTFNLCVGFSVASTVMVGRKLGERDFTGLRNVGINNIKISFLFMIVCGLAFILGRNILPHFFVQNNDINVMILASKLLIIAALFQLSDGIQVTCLGCLRGIQDVKVPSAITFVAYWIITIPLGYFLCYTLKMGAFGMWIALGLGLTISALFLVARFLRLTNRKILAAK", "MKKYILPLSFFISVASCSDRTDGLTENKEESKPPFAKLSLKTKQSEANIFDVVNFYLEPTAKDRDVSIYDLRMSYDSIVVKTKGEDKGVVVLNAKENSLKLTQTWSNYYYLPETSTTYLYGYKDNKIVLKDSVNVDIKNTKNFLNTNWKDFNPELINTGYSNITKPYSFSIRKQKTDNTPSMILYNHWDIPNSADNPFYTKQNKDILKNYITKLYSKPTYTIEANGSLDQIYSNNFSAKLNGERSLAIWITATSKISLMEYTTFSSNVNYKIYAEPVNK", "MYTITEHTVNGIKVAELSSDKVLIRQIQDGLDLLADLYYLGFDKIIIGEQNIVPDFFDLKNKMAGEILQKFSNYKMKLTIVGSFSYESKSLKDFIYECNKGKLVNFVNTLSEALV", "MQVDIRKLSLDDYDELVESMQEAYPDIEDNTWAKRNIQKLTSIFPEGQLCITVDGKLAAAALSIIVQYELFGDQHTYDEITGSGTFNTHTNNGSVLYGIEVFVHPEFRSLRLGRRLYDARKELCEIKNLKSIIIGGRIPNYHTYSNELTPRQYINKVRKKEIYDPVLSFQLSNNFSPIKILKGYLKGDTESEEYAVLLQWNNIYYTQKKNTMQDSVIRIGLVQWQMRQFRDIEAFYQQVEFFVDVISNYESDFCVFPELFNTPLLAAFNHLNERESMEELSKLTAEIVDKIAELAVSYNVNIISGSMPILENGNLYNASYLLHRDGKRDEYRKIHITPNERKYYGMLGGNEVKAFDTDCGKIGIMICYDVEFPELTRILADQGMKLLFVPYLTDTQNAYTRVRACAAARAIENECYVAIAGCVGNLPGVSNMDIQFGQAAVFTPSDFAFPSNAVKGEATPNTEMTLIVDVDLNLLKELHHNGAVQTLKDRRKDLYDVTIKEKGNL", "MNKFIKNIIAFSIKNKVFTFVWVGILAIAGIVSFRNMPIEAFPDVTNTQITIITQWNGRSAEEVERFVTTPIELAMSPVQKKTSVRSTTMFGLSIVKIIFDDGVDDTFARNQVNNQLRTLSFPDDVAPEVQPPYGPTGEIFRYTLESPKRDSRELLTLQTWVVDRALRGVPGVADINVFGGQDKVYELSIDPVKLEKYSLTPLQVYDAVTKSNLNVGGDVIEKSGQSYVVRGIGLVKTIEDIGNITIETNNGNPVLVKNIAEVKESSMPRVGQAGLNGKDDVVAGTVVMRKGENPREVLVSLKAKIAELNSKTLPKDVKLVTFYDRDNLMDFTTRTVMHNLIEGIILVTVMVLIFMADWRTTIIVSIIIPLSLLFAFLCLKIAGMSANLLSLGAVDFGIIIDGAVVMVEGVFVMLDHKAKRYGMERFNKLAKGGWIKQTGTGLGKAIFFSKLIIITSLIPIFSFQKVEGKMFSPLAYTLGFALMGALLFTLTLVPVLMHILLNKNVREKHNPFVSFWDRIVEKGFTFAFRNKKISLIAAISLMAVTIFSGKFLGTEFLPTLNEGSLWVTAELPMSTSLKESMKKTNELKKVIASFPEVTGVLSQTGRSNDGTDPNGFGFVQFAVALQPREDWKRKITYDELINEMNVKLKKFQGITYNYSQPISDNVAEAVAGFKAENGIKIYGDNLETLDRLAKEVYKEIRKVKGVREPGIIKNIGQPEVSVVLDRHKMAEYGVMPADAQSVLEMAFGGKTASQIYEGERKFDIRLRYAPEYRKSEEDIAKLMVPCQDGTLIPMKAISDITKDNGAAFIYRDNIKRYIGIKFSIRDRDLGSTIADAQKKVASIKLPDGYSIGWTGQFENQQRASKRLGQVVPISIVMIFFLLFILFGNMKDSLLVLANVPFALIGGIIALHVTGMNFGISAGVGMIALFGICIQNGVILISEFHSNVKKGFHIDKAILEGVKVRTRPVVMTALMASIGLMPAAMSTGIGSESQKPLAIVIIGGLVSATILTLLIFPIIFWIFNRTKKRETN", "MKQIITGLLAISILAACSKEEPQKKESIVKGFEISKEMMQSTTLAEAQKEQIKEQMSFFGKISADRNSYIDIYPLVGGNVLTVNAELGDYVHKGQVLATIRSTEVAGFQKDLSDAKTDLAEAQNKLRVAQELYEGKLNTRNEVLTAKSELTKAQDQLKRAEAVSTIYNVKNGNIYSVVSPINGYIVQKNINKDMQLRSDRSDNIFDVANTKDVWALVNINETDIDRIDLGMKAEVTTLSYPDKVFHGRIDKIFKIIDPQTNAMQARVVLDNSEGLLVPDSKATIKVNNTLNETAVAIPTSAVIFDDNRYFVVLFGSQSNIKVREIKILRQTGETTYVAEGVNEGDKVVTNNQLLIYRSLKE", "MKKCIPFFLMTSVFVYSQQRMSLEECEESFQKNNLQLLAAQYNISEAEADIIQAKIWDLPNLSVELNAIDPENKKIFHIGSTGAKEVGIEQLFVLGRKRKNEIAFARSNKEIAEMQFQGLLVDLRSQLRSTFYNILFEQKKEESLDVQLKYFTDLLNAYKVQTQKGNVSLKDMVRLQALVINVQNDKIEVSNNIIQQKQTLKLLTGSDTEVLPELSDDDMNQQLEKQPLISISELQQKALENNADYLTAKKITQSSELNLKWQKSLSIPDLTIGTRWTQRGAAFDNQLALSFGIPIPLWNKNKGNQMKAEYQIQENKKTEERLKQELTSQVDTAYQTWKNQYQQYFSLKPQDLQDMETVYNGILKNFRKGNISLIEFTDFMESYKQSILQIYEIQKHIITSAEEINRLTQSKIFY", "MTLKRKIAFNFSIAYSLIFAIVMVIIYYTSYDFRKEKFLERMHNKLEFTIQLILKNENVDVKGANFEVDEIEDELFHDETLIFNSNKKLIYSTVKDENITWDESLLYQLDQTNEVHVQNKDQELLGAHVKIRDKVYYILVTARDRTGQLKLDFLKYVLIITYLVTTVFVWIFSYNFVKKLLTPLDKFAQQITEITAHKLTTKLEENKSEDEISVLARAFNTMMIRINDVFQAQKEFTASASHEIRTPLTRITFQLENLSNKSDIQPDARATLQNVTKDIHQLSDLTSSLILLTKFDRENIQQIFKEERIDEIIFDAYEVVLKTYPQLYLDFNINENEEPTLAVKGIRSLLEIVFINLFKNAALYSKNTAVSVSIAESENDLRVIIENNGSVIPEEDRNKLFEAFTRGKNSQNITGSGLGLKIVQRILEYHRASIYYSSDSDKNIFTLIFPIHSIDK", "MRILLLEDDLVLSSEISTFLASNDILCETSSDGEEFLTKTTGAAYDIYLLDINVPKINGLEVTQIVRETDPNTPIIIISAYDDISDKKDAFLRKADDYLVKPFLLEELLLRINSLLRRREAPKKEEEKIVIDDLEILPADGKVYRAGNEINLTVREFQLLKLLADAKGRTLSKQHISDQVWQNQFQTTNNTIEVYINFLRKKIDKDFKHKLIHTRPGFGYYLASLK", "MNSKINHNVIFQELGVLDYQSAWDYQEKLLKSNIDIKINNRQLQPEDTTETENHLLFVEHPHVYTLGKSGHEENMLANNDMLKEIDATYVKTNRGGDITYHGYGQIVGYPVLDLENFYTDIHRYMRELEEIIIRTIAEYGLKGERSPGETGVWLDVGKPYARKICAMGVKASRWVTIHGFALNVNTDLRYFEYIIPCGIKDKQVTSLKRELEREVDMEEVKLKIKKHFQDVFQTEWI", "MAEYKLLLPSMGEGVMEATIITWLYNEGDTVNEDDSVVEIATDKVDSDVPTPVSGKIVKILKQKDEVAKVGEAIAILEVAGEGNADITAEASVAATATVIEPEVEKELMQPIAAQTVAADSLSGTDLYLSPLVKSIAQQENITEAELKSIKGSGLEGRITKEDILAYLSNRGNAATTSTTTPVAAKSAPVSTPTSTIPVAAGDEIVPMDRMRKIIAENMVKAKQIAPHVTSFIETDVTNVVKWRNKHKSAFEKREGEKLTFMPIFIKAVVKAIQDFPLINVSVDGDKIIKKKNINIGMATALPDGNLIVPVIKNADQLSLSGLAKAINDLAYRARNKKLRPEDTQGATYTISNVGSFGNLMGTPIIPQPQVAILAVGAIVKKPAVLETPDGDVIAIRNLMFMSHSYDHRVVDGSLGGMFLKHVHDYLQNWDLNTEI", "MTTKFIKPGPKPKKTDGTPDERRRVNPETKPKHPELKPRKHKPGA" ]
[ "CAATAATTAAA", "ATACTGTTACACTGTTAGATTGATAGATTGTTACATTTTAAACCT", "TCAACAACTCATTGATAAATAAAAAGCTGCTAAGTAATTAGCAGCTTTTTTATGGTACATAATTAAATAAGATTCTTTA", "AAATTATAAAAAGACGCTTGATAACGCGGTTCAAAACTACTCATTTAATTTTAAGATGAATAAAGGTATTTTGTAATTTATATTTCAATAAAAGAAAACCTGTTTTTATTCGGGCGTTTGCAAAATGTTTTTTACTTTTAAATAAAAATTTCCTA", "AATATACAGGCGGGCGGAAGCCCGCTTTTAACTTTAAAAATA", "ATATATTTATTTCTGTAATTATTAATTTTTAAA", "ATTATAATATTTTTTGATTAGTTTTTGGAATGCTTAATTCCGAGCTTTGCTTCATGAAATTTAAAATT", "GCTACTGATGGGA", "GTTAATAATACATTGAGAACAAAAATAAGCAAATATTATAATATTTGCTTATCTTATTTGTATTAATTCTAATTAAGATTCTGATTGAGTATAATCGTTGATAAACTGAGTGACTGTATACATTAAAAATATAGCTGATAATAGGGATTGTATCATTTTTCGTTTCTCTTTAATATTGACTCGTACGATGAATAAAATTTTGGATT", "TAACGTACAGATTGTTATTTAAGGTAAAGGGAAAATAACCTTGTTGTGAAATAGTATTCCTCCGGAATACTTACTTCTTGGTAAACTGTTTTTACACAGATCCGGCTCCTTCGGAGCATATTTCTAATTTTTCATATAATGTATTATACTAATAATCAGTTTCTTTATGGTTATGAAAATTCAAAGCGTAGCGGAGGAGCGCTATCTTAATCATAGAAGTGATATAAAGAGTAAAACACCTTATAGGTTTCCAAAACCTATAAGGTCTGTAAATATATTAACGATCTTATAACCTCAATATTTAATTTAAGCATGTTATCATCCGCAGATTTACAC", "AAGTGAGATAAACCAGAATATATAAAACTGTTTCAGGATAAGAATATAAACAGAATTAAACAATACATTATACACT", "AATATGATTATTAGTGAAATTTTATAAAAACCACTATTATCAGGGATATTTTCATACAACAGCTTTGGTTATCTTTAAATCAAAGATTTATTAGAAATAAAGTGGTGAAACAACTC", "TTGTTCGAAAATAAATTTTAGCA", "AAAATTTAGAAAACTGATATCTTTTAACCGAATGGAAAGCCTTTATCCTGTAATATTAAAATAGAACAAC", "AAAGAAATAAGGTGATTTGGACGTTGAGGAATACCTTTTAAACAAAAATTAAA", "AAGAAAAACGCTATACGAATATTCTACAAAATGGAAAACATTCATATAGATAGCAGTACAAGAAATTATTTTGATGATTTTGAATAAATTTTGAAAATCGATGTTAACAGGTAATGTAGATACGAGCAGGATGCTCATACCAGCGGGGAAAATTGGATCAAACGTAAAACCCGAAAACATTATAATCAATTAT", "AAATTAGTACCTGTTATAAAAACCACCATTATCGGGGATATTTTCATCTGGCACCTTTTATTATCTTTAATTCGGGAATTTATTATGATAAAAGTGGAGAGCAGTCTATTTGTACTGACCGAAATACATAGCCT", "ACATGCCT", "AGTAATTGACTCAATAATTATAAAAAAACATAATG", "AAGATTGTTGAATTGATGCTGAACTTTCGAAAAATGGATTAAAAACAATAAGAACAGTAA", "AATCATGCATATCAG", "CTAACAGCTTTGACAAGGCTAATAAAACTAATTA", "CATAAGCAAAATCACTGTAAACAGGGATATTTCAATACTTCAGGATTTGGTAATTTTAGCGA", "AAAGTATTTTATCTATATTTACGTTCCTTAATATAATATATCTTAATAGACAGAAGGATTTG", "AAAT", "AATATTTGTCCTGTAAAAAGCCTGCTTACATTTTGTAAACAGGCCTTTATATAATCAAGTTAATTCGGTGAGTGATAAT", "GGTATATCTTTAAATAACTGTAGCAAAGATATTGGACTTTATTTCCATATGCACTGGCTGATTTCAGGGGTTTTTAGCTTATTCTTATCATGTTTTTCTTTACGAATCAGTAATTAAAAGCATTGTTAAAGATTGTTTAAATTTTAATTCAAAATGATTTTAGCCTTCATAGTTCGTCAGGCTCTCTATAAGCTGAACTCGGTCTGACATCCCTAAAGGAAAATGTTTTGTATTAGCAATGTCACTCTGAGCTATGCCTGTAATGAGCTTGACGAATTATCGAAGAATATTAACTATAAGGCTCTTAG", "TTTATTTGTTTCTGAAGTTTTATTCTTTGAATTGCAGCCCACGAAAACAATAAACGCAGATGTTATGAATAATTGGTTAAATATTCTCATTTTATATTTTTGGACAA", "AAATCAGGTGTAAATATGTACTCTAAAATCTATAGAGCATTAACAGGTGAGAAACTAAATATAACTTTAAGAAAACTGA", "ACCGACATTTAAATAATGATTTAATCTCAGGAATTAAACTATAAAACCTTTGTTGTAATATTATTAAGGGGAAAGAACAAAATTATAATATCAACCGTTTTATGTTGTAATTGTTCTGACAAAGATGTTAAATTTAGTATAATAATCGACAAACAACTGTTAGGAATCGTCAAAAATAGTTATATTAGTGAACGAACACTGAATTATATTTAAA", "TTCTGATTATAATCATAAACATTACAGATAAAAAACATGTAATTAATTAACCTCAAAAAATAACCCCAAATCAA", "CATTATTTTTTTTACATGTTATTTGCTTTTTTAAAATGATTTCACTAATTTTAAACCGATTTAATAATGAAATTGAT", "AACCCCTTATTCATAATTTTAAATTTTACTTTTTTGAGGCCTCCGGATTTTTGTCCGGAGGTTTTTTATTGGTATGTATTCAATTTTATCACAAAAAAGAGAAATAACTAGTATCTATTTATGTTCAATTGCGTAATTTTATCAGAACCAAATAAATATATAAT", "AGATTAAATTTAGCTATAATTCGTTATGTTAAGAAACAGCAACCATTTCCAATAAGTGAGTTGCTGTTTTGTTATTATCAGTATTTAGATATTGAAATATATCTTAATGAAAAAGTCCCGATTGCTCGGGACTCACCAAATCACATTTATTATATTATGAAAAATATAACTCTGTACTAAAGATACAATATTTAATTATATGTTATAATGTTTTATTAAATTATTTTTCTGTAGATATTTATATTGACAGATTAAATTTTATAGGTTATTATATCTTTATTGATATGATTTTCATATATTCAGACTAATTAGTCATTGATGAAAAGTCTTTGTATTAGTTCAAGCATTTAAGCTTTCATAAAATATACATTTTCAGATTTAGATTTACGAAACATTGATATTAAACAACAAAGGGAGATGTTTTTGAATTATAAATCGATATTCACTGTGGAACTATTTTGAAAAATAATATAACTATAATAAAAATTACTATTAAGAAAAGTGCCATGTATAAAATACAAAGCACTTTGGATTTGGTGTTTTAATCTTGTATGCAATATAAAGTAAATCCGGAAAAATAAACAAATAGGAAAGGTTTATTCTTTATCAAAATCTCAACCTTATTTCCGGAGTTCTAATTTTTTCTATCTAAGTGTTAATTTGGATTGCCTTGCAAATATATAAATAAATCCCTATTAAGTGAAAATTATTTCTTGGAAAATTTAAAAACATCGATGTATAAACAAAATTTAAATGTTTTTAATAAGATAAAAGTGCACTAGGACAAATATAATTTGAAATAAAAGACTGATTATGATGATATTTAAATTAAAAGAACTAACTTGATTACCAATAAATTATAT", "TCACCAATTTATTCCATA", "ATAGCTAAATACATAATATGAAAAAGTAAAAGCCCTCAAGTTGAGAGCTAATTTTTCTATCCGTTTGGACAACATACGGAAAATGGATCTGTCCCCCTTGGTAGACATACACTGGTAGGACCATTGCCATCATTGCATACTGGACATAACATTCTAATACATCCAGTGCCGCTACCACTAATTTTTCTTAGTTCTGATTTAGTGATTTTTTTCATAATACTAATATTTATTTGGTTAGTAAGTAAATATAATCATAATTCTCTATATTGTGATATAGTTTGATGAAAAATCCGAAATAATATTATACTTTATAACTTTTTTTGAAATTTCAAAGGCTATATTATGGCATGATTTATCATAAAATCTTAAACACAGATATGGGATTATAACTATTTGTAAATCATGGCTATAAGTGCTATGTGTTGTTTCTTAATTTAAACGGGTGATCGGGTTTTATTATTGCAGACTTTCTATAATAGACGGTGATTATGCTAAATTTAGAATAGATGCTTTATCAAAATTTTACAAATATTGAATAAGAATTATTTGTAAATAAGAATTATATAATTTGAATTAGTATTTTTACATCTAATCAAAATCTATAATA", "AAAATGCCCTCAACTTGAGGGCAAATTTT", "GTTAATATTATTTAATTTGGTTAGACTGTAAATGTAATAATAATTCTTTATATAGTGATATATTTTCCAGATTAAATAGAAATAAAATATTATATTATAATCAATGAATATGTGTTACTAGAGTTAATTAGATACAATATTAATGAGCATTTCCCTGAATAAAGAGGAGGAAGTATAATTATAAAAACTGTATGGTTTACAAAATAAAAAAACAGCTATAATTAATTTAGTTATAGCTGTTTGGAATTCAAGTGGAGAATACGGGATTCGAACCCGTGACCTTTTGACTGCCAGTCAAACGCGCTAGCCAACTGCGCCAATCCCCCGATGCAGTTGCAATAGTAAATATTTTTTTGGACGTGTACAAAATTTTTTATAAAAAAATACGTTATAATCCTATATAATCTGTATTTTTCTTAATTTTAGGTCT", "ATTTATTAAATTTGTCACTTTAAATTCACTAAAACTATACTAAGCATT", "ATTTCTTTTAC", "AACGGTATTATATAATTAAAGAAT", "TAATAAATAATAAAAAGGCTGCTAAAAGCAGCCTTTAGTTTTA", "TAATTTTTTCATAATAATATATTTTGTTGGTTTGAAACAAATATATGAAAATATAAATCACAATTGAATGATCTATAGTAAATAAGTTTAGTAAGATTCTGATCCCTTGAGTTGACAGGTATTTATATATTTAATCCATGCTCTGGCTCTAATTCTTACAGAATTTTTTAAATATATTGTTGTGGTTGAACTATTTGAATTGTTATTCAGAAGTGA", "AATAATAGAATTTACTGCTAAGTTAATTAAAATCAGATGATTATAATAAATAAAATTTCAGTCACTTTTTATTTTAAGAAATGACTGAAATATATTTTGTGTGTTTTTGAGACGTATTTT", "TGTTTAGAGATTAAAAG", "TTTTTATTTTTTTTATTGTTAATAAAAAGTTCCGAAGGAACGATTTAATATAGCATAGGAAAAAGTCCTGTGTATAATA", "ATCTAATGTTTTTATGTTTACTTATGGGAATAGGACTATATCCAATTCTTTA", "AGTTACACTGTTATA", "CTTTTTAGTTGTCAGTTATTGGTTATTAGTTGAATTATTAACCATTAGTTATTTCTCTCTATATAGCATAATAGTT", "GGATTA", "AATTGTTAATTCTCAATGG", "AAGCATTAACAA", "GGTTCAATGTTGTAAGTATGGTTAAAAAAAAGACTCCGGCACAGTATGCCGAAGTCTTAAACTCTTTTCTAATATTTATTATTTATGCTAATTAATACATAGAGGAAGCGTCCAGATCCGACATAGATTTGGAAAGCCACCACCAGTTAGTATTATTAGTATTAAATTTCATATGTATTATATCTGCTTTGAAGCAGTTCAATATTTTTATCGGAGCAAATGTAAATATTTTTTTGAAATAAAAAATACTTTCTG", "AAGTTGGACTTTATAGTTGAGAAGCACAAAAGTAAAATAAAATATAATAAAGCTTTAATAAAAATTAACATAATGTTAATTCCCGGAAAGAAAATATCTGGAAATCAATGGCAGGATAGCGGGTATTATTTTAAATAAAATTAAGTTATGAATGCCTGAAATGAAGTTTTAAATAGCATTAACCTTATGATATAGTATAATTTTATTTTTGAGTACCGAAATATGCTATTTAAAGTAAACTCATGTATAAAGTATTGTATTTTCCAGTAATACAGATTGTTATGTAGTTTTATTGAAATTAAATTTTATTGTTTTTTTACAAATATCTCTATAAGTACATTTCCTTGAAAGGTATTTTAAACAGGGGTAATTTATGCTTTTTTTAACAACTACGGTTTATGGCAATCACTAAGTTTTTAGTACTTTTACCCGAAATATACCATTACTACAATAAATCTTATTGGTTTATCGTTGTAGAAAAAGACGTTTAACACCTACTTTTTATTA", "GTTTTTAAATTAATGCAGCGATGATCCGCTAAATGCGGAAAATAAAAATATACGA", "GAAAAATAATTGCAAATTCCCGTAATAAGTACTTATTTTGCACTCCGAATAAGGGAGGCCCCATAGTTTAATGGATAGAATTAAAGATTCCGGTTCTTTAGGTTGAGGTTCGATTCCTCATGGGGCTACTAAACAGGACAAATGAAATATATTTTCGTTTGTTCTGTTCTTTTTTTGGAGTAATCCGTTATTAATCTGAAAGATATGGTTAGCGATTACATTCATTCGGGTGGTTCGATATGTTTTTCCGTCAAACTCCAATTTTTCTGGGAATATCGAACCAATGATTGCTCTCCTCGTTTGGATTGTGCCTTCGGAGTATAGTTTCGGGATATTTACGATACTCTGTAACGCTCTTTCCAACGCCTTGTCGATATTGATGTCCTTATTGTCTGAACCATCTTTACTTAACTGTTCTTCCAGATGTTCAATTTTCGCTCTGCATTCTTTTTTGATTTCGAGGTATTCATCATCATCGATAGTTTCGGACAGCAGTTTGTTCCGTGCTATGGATAGCTTTGCGTTAAGGCGGTCTATTTCCTGTGCCATTCTTTTCTTTTCGTTAATCGGACTTTGTATAAACTTTTTATAATTGTCCAGTAAAAGCTTTTTAAGAATGCTTTTTACGCCATCGTTCAGCTCGAATTGGCGTATCCCTTTTTCAAAGATGTCGTTGGCAACCTCAGCTTTGTGACGGAAGCCACACGAAGAAAGACAATGGTAGTAGTAATAACGGTTTGATCTTCCTTTGGATGCACTGCCTGTAAGATTGCGACCGCAATCAGGACAAACCAAGAAACCTCTCAAAGGAAGATTGACATCTGAAAGTATTTTAGTATTCGGTAGTTCTGTTCTTTTGTTTCCGTCTAATATAAGTTGCACCTTATTAAAAAGTTCTTTGCTTATGAGTGGTTCGTGTTGACCTTGAACCAAATGGGCTTCTTCATCCTGAAATTTTGCAATGAATATCTTTCCGCAGTATAATGGATTTCGGATAGCAACGTGAAAAGCACTTCGGCTTAATTTGGTCGTACATAAAGTATTCATCTTTTGCCTTACCTGATCAGCAGCAAGCATGCCTTTTGCAATTTCATTAAAAGCCCATTGCATAGCTGATGCCTCCGGATCTTTTAACGCAACGTATTTCCTGCCATCCTCTTTGCTTCTATTGATATAGCCAAAAGGAGCTTTACCCATCAAACGCCCTTCTTTTCTCGCTCTGCGCATCCCGTAAAAAGTATTCAATGCCCTACGATCGTTTTCTACTTCGGGTGCTGCTAAATAAATAGCCAGCATCATTTTATTTTCAGGAATGGAAAGGTCTAAAGGTTGTTCTACGGCTTGCGGTTCTATACCCAATTTGTTGAGCGTATTAATCATTTGATAAGCATCTCCTGCATTACGACTAAATCTGTCCCATTTGGTAAAGAGAATTAGATTGGTTTTGGAACTTTTCTTTTTCAGATTGTTTAGCAGGTTCGTCCATTGCGGACGATTAAAGGTTTTGGCAGAATGGTCTTCATAAATAACCTGTCCGACAGTAATCTTTTTAGTCGTACAGTACCTCTTCAGACGTTCCTCCTGATCGCGTTGCGAATATCCTTTATCTGCCTGCTCGTCTGTAGAAACACGTATATATAAATCTGCTCTTCTCATCGCTAATCGCTTTATAAATGGATACTTAGGGATTAGCTAAC", "CGCCAAACCCTATGTTGTTAGGGCTAAAATAATCAGGTATGTAGGTCGGACTTTAGTTGTTGTAGTAAGAGGTAGCATTTGACGCCTATGTTCTCAATACTATT", "GATTTAAAACATATCAGCAAGCATTCAAAGGTACGCTCTTGCCTGATTGCGATCCGTCAGGCTATCAGTATTGCATTCCTGCAATCTGACTATCAAGATTGCAGGAATACTTGCATGCCAGCTGCTTCTATCTGTCGGATACAAAATATTGTCGGTAGTCAGTGGCATTATTTGGCATTCGTTGGCTTATGATGTTTCTATTATTCTTCCCGAAATTCAACACTTAGAATAGCAATTTCTCTGCTAATTCTAATCGATCTCAAAGAGTGGATTTTTGTGTTCACCGCAACACGGCAAGTTGTGTTTTGAGGCACTCTAAATTAAAATAGTGCCTCAAAACAACTTGCTCCGCTAACAGGCGGAGAGGTAAACCATTTCTCCGAAGTCGAATGGTTGAAGCATTAAAAATGGATTTATA", "CGGATAATTCTTTACAAGAATATTTACAAAGTTTCGATATAGGATTACTTACCATGTAAGGGGCTAAAATTAGGAAAAAGAGCGATTTTCTAACCCGAGAACTATTAAATAAACG", "AATCGTGTTTTTGGGTGAATATTAATATTATGGTTTCCCGTAATTTGACATGTTTCAAATTGCTTATATTTGCTTCTACCATTATTATGTGGTAAAGTAAAACAAGTCTTGCGAGGTAATCTATTCCCTGTCAGGATGTTTTATCCCGAAAAAAGCATAATTTAGAAACAATATTTACCCATGCGGCTTAATTATTTTAACTTAAAACATTACATTTG", "TTCATTATATATTTTAATCGAATAAAAAATAGAAAAA", "ACAAGA", "TGAGCTCTGTGTTTTTGATATTTTACATGATTTCAATTTCTTGATTTAATTTTAATACTACTAATCGTTCTAAATCTTCCAAAAAATGTTTCGAGATTTGTTCGGTTTCGGCTACAAACCGTCTTGTTATCAAGGCGGTTTTTTATTTATCTACCCGGATAATTATATTGTATCAATCGCTTGCAGTC", "AAATGTGTTTTTGGTTTTATGTACTATTAATAATAAAGGGCTTACAATAAATACTCTTGTCGTTATTATAAAGCATAGTTTTGATTTAGTTGTCAATATCTCGGAAAGAACTACTATAAATAAAGACAGGTTAATAAAACAAAGAATTAACAAGCTTTTGCTGTGAGTTTAATAGAAAATAATAAATTTTTCTATTAAAGTGATTACCATTACAAGTGACAATAACATTCATGATTTCCCATTAAATAATTCTGCAAAGAACTCAACTGACATATAAGGAAAGGCTAGTATATTAATTGCACTCTTTGGGTTTACTTCACCTATTAAGAGAAAAGAGATAATAGAATAATCTTTAGCACTTTTATATTATTTTTTAAAGAGTAAAACTTAGTATCCATTA", "GTTTATCAAGTATTTATGTTTTAATATGTTAAATATATAATTAAAAATATTACGACCCAAAAAGATAATAATTGTTCCTGAACTAAATAAGGTATATCATTGTTAACTTTAGTAACATCGGAATTTCCAAAATTCTTTTGCTCAGAAATATAGCCGGATATAGATGTGCAAATTGAACCAAATGATTTGAAGACTGGATTTGACAGGCAAATGGATTTTGTAGTAAAGCAGATAGGAGTTAATTAAAATAAGAATATAAAATTTATACTAGCTCTGAAAAAGTGAGTTTCAGAATTAACGCATTAAAAATACCGTTCAGATTTACTAAGAGATGAAATAATAACACCCTCAATTAAAGAGGGTGATTATTTTATAGTTAACAAAATCGCTCGCTACTGCAATAATGAAATCTGCCACTAGAATCTGGGCAACATTTTTCAGATTCAGTTTGACATTGGATAATACCACACATCGGCCCCTTAGGATAAATATCATCAATTGGTAGATAAGGGCCTGCTCCGATGAAATTTTTCAATGATTTTCTGCTTATTTTTTTCATATTTAATTGTTTAGTGTATAAATATAAAATAAATTATACATATAGTGATTTAACATAAGAAAATAATTAAAAACAGATAATATATCTATGTGAATGGAAATGAAAATACGA", "GGTTTTGATATACTTAGGACAAATTTATAATAAAGTATTGTTTGTTGATGGACTTATTAGCCGGAACTTTTTTGTTTGTCAAGATGTTTGCTGCCAAACGCAATTTTTATAATATGACAGATTTATATTCTTAAACAAATCATATATACTATTGATACAGTATTATATAAAAGAGCTTTTTAGTATCTGTAAATATATTTATATTTGAATAGCTCTTATAATTTTCTTCTATACAAATAAAAAA", "AATATATTTTTACAAAAGCATAAAACACTTTCATAAGAGAGTGTTTTTTTGTGCAAAGCAAAATTATCTTATTTAAATTCCTTTAACTCTTAGCCTCGGTTTAGGGACCATAAAATTTATAGATTTACCGATTTCGATAAGATAAACAATGCATGATATTCTTTTCACAAATTATAACATATTCTTTTACATTCAATTAATCTGTTTAGCACCAAAAATCAGTATTATTGTAAGAGTAAAATTAATACTCCGGAAAGTTT", "T", "ACACT", "TTTTTGAGGAATAAAAAATCGTATATTTACTATATAAGTCTTTTTTTAGAT", "TCATCCTTAAATAAAAGGCT", "AGTATTTTTACAAACTATTT", "TATTCAGTTAAAAGCTTTATTGCAGCAGT", "TATTTTGAATGATTTAAGTTTATAGCAGGGTGCTTATTAAAACAGGGCTAACATTAAATTAAAACCATTAAGAAATTAAGATTATTAAGCACACTTTATCTGATAGCAAAATTCTCTAAATCCCTAAATATTTTAAATAATCTTGTTATTAGATAGAATCTCCGTGCTCTAAGAATAGTAGCATGCTAACACAATTTTATGTATTTAGTAGTCATTCTGAATATTAAATAATCTAAGGAAGAACTTGCTGACAGACTGCTGTCATAAGAGCGGTATACCTTTGTGTTGTAATTTAAAATCTTTAGAAA", "CACAGGAATA", "A", "TTCTGATTGTT", "TGCTGATTATTCGTAATTGATTAATTCCGGTATTGAGCCTC", "AT", "CGGATAAAAAGTATATAAACAAATATACTGATTGTTTTGTTTGGGGTAAACCAAAGTTTATATTCATGTTTAAATTATGATACGATTATCACAATGTATGATATTTATAATCGAATGTTTTAAATGCAATAATGATTATTATTGCCGGATTAAATCAACCATTAATTATAGTTCAATATTTGGTTGTAAATTAGTATTTGTAATAATTCAGA", "TAATAAGTATTTTTATTAGGCTAAATCACTTTTTGGTGAACATTTGTTATATTTACAAACTAACCAAAATAAATATTACATT", "TATGTAAGGGATGCTTTAGCATCCCTTTTATATTTAATTAT", "AATCCGTTTATGATTATTTATATTGACCTATAATAAGGTAAATTTAAAAATATGCTAAATATATTAAACAGATTTTTTGCAATATTATTATATAGGATAATTATATTACCAAGTTCTAAATAAAAATAGAGAAGGATGTATATATAAAAGAAAGAACCGCGTCTTCTGATGCGGTCCCAAAAACACAAATGATGAAAAAAAAATTAGCATAATTCGCTTTTAGAAAAGCCTGATATACTATTTTATTTAATTGATCGTTGCAAAGGTACGATGCCATCCATTATTCATTTTGATAAAAATGCGTAAAATAATGGTAAAAATGCGAAAAAGCATTTTCAAATAAATTCCTTCTGTTTCAGTA", "TGAACTGATATTGTTTATGACAAAGTTATTATTTTAATATTTTGTTCTTTGGTTTATAAGAACAAAAAACACCTGCATTTTACAGGTGTTTTAATTTTA", "AATTTTTATTGTTTGTGATAATGATAGATTC", "TTTCTTTAGTTTTCTGAGACAAAATTCGGTATAGAAATATAGTCCAGCGTAACTAAATTAAGGATTGTTGTAACGATATCTCTGTTAAAAAATTATAAATCTTTTCAAATTATTCTTTTGAGATAATCGAACATAAAAAAGCTCTTTCATTATGAAAGAGCTTTTATTTTAT", "ATCTGATTCTAAATTATATAATATTGGCTGCAGGAAAGTGGCGGAATACTCCCTGCATCTACTTTCAATGCTGTAAAGATAAGGAGATAATATCAATAAGATTTTAGGAAAAACGAAATGTTAGTCTACAGCAATATATTTTTATTGTCAGGTATATTAAGTATTATTCCTGTGCCTTTTAATTATTAGTATAAATAAAGCAGACTGAAAAGTCTGCTTTACAAAGTTGAT", "ATTATAAAATTTGACAGTTTACAGAAGCGATAGTTTTTTTCATAGAATGCATTTATTTTAATGCTTGTGTAAAATTAAATATTTTTATTTAAATCTATTGTTAACAATTTATAGATTTTGTTCTGTTTTTTGAATAATATATCTGCACAATTGGTTTTAAACAGCATAAAGAGTGGTTTCTGGTCAGATAATCAGTATATTTAGCATTGTCAAAAAAATAAGGAAACAGAGT", "TTATTTATTTGTTTGAATGTATTACTACAAATATACTCTATATCACGTTATTGTGAAATTGAAAATTAGCAATAGTAAAGTTCTTTTAATGATGATGTTTCATGTAATTTTTTTGTAAACCCTTTATTTTGCGTATTTTTAGGCGGAAAAAGCAAAACC", "TAAGATTCAGGATTAGGTACAAATCCAGGTGAGAGATTATAGATCAAAACCTTTCTGTTATGAGATAGTATTCCTCCGGAATACCAGATCTTCATCTACAACTGTTTTTTTAAATTAAGGAAGTAAATGTAATTCAAATGAAAAATTAAAAGATTCATATTATTTAGAGCTTATTTGAATTAAAATTTAAACATTCTCTTATGTTTTATTAGATGCCTGTTTAAATTTGTCGAAATACTAAAGGCACTTAAGTTTAATGTTATTAAAATATGATAAAGTTCGCTTAAGACTAAAAAATCAAAGATTTTAAATTCTGATGTACTAAAGTTAGCTATAAAAGCATATTGACTGAGTTTGTCAGTAAATCTTACAAACTATCTAAAGTGTTAGAAAAATAATATCCCTGAAGAATCAAAACTAGTCACTAAGTGGAATTGTGATCTAATTAGTAAAACTTAATAGATTTTACCAACGGATATTTTGCAGAATGATTGATAAACAGGAGGAGGATTTCTTCTTAAAATAACTTCTATTATACAGATGAAAAGCATGTGAATGACTATTCAACGGGCTGATAATAAAATGTTTAATATTTTATTTCATCTCTGAAAAATAAATTTCATTCATACTAAAAATAAAAAATAGAAAAATCGTAACTTTGCGTCCGTAAAAATCCTTT", "TTTTAATCTTAGAGTTTCATATATAAAGTCCCTTTCGAGGGGCTTTTTTTATTTACAAAGCTTGGATATTTAGTGTAGTACAAGTCCAAATTCTTTGTAGAGATCAGACTCCTCAGGTTATCTTTCTGATCTTTTTTAATTTCTTATTAGTCTGCAACCTTATAGGTTTTGGAAACCTATAAGGTTTAAAAAATTATAGCTGAAAACCATTCATTTTTTCTATTATATCCTTTATGGTTTAAAATTTGTTACTAATAATACAGTTTGAGTTTAGACAGCCTTAGTGTTTAGAAAAAAAAGGCTATCTTTATTTTTAAGATTAAAACATATTAATATT", "GGTTACCGCATTTATTAATCGATATTTTTAAATTTATTATACAAAGCTGCAAGATTTTGCAGCTTTTTCATTTTTCAATGTGCATTATTTATATATTTGGGATCAAAATTTAAACT", "AATATCAGATTTAAAATCCAATAGT", "TTTTTTATTCTTAAATTTAGTTTAGATAACTTTATATAATTATTT", "TTTTAATTTGGTTAAAATTCCGTGCAAAAGCCTGTTATATTTTGTAATTTTGCAGC", "AATATTTAAAAGCTTCTTGGCGATAATGATATCGCAAATGCAAAATATATAGATCTAAACACCAAAAAACTA", "TAACAAGATATCTATACACTAATTTGGGATCTTGCAGAA", "TGATTTTGTATTAATGACAAAAATAAAGATAATTAACACAGAATTATTATTACTCTTATAATTTCAGATGTATATTTCTGTCAAATTTATATTCTTACTGTGCTTAGGAGTAGTTAAAAAGAAAATTGTTAAAATTTACTAAAAATCATTATATTTGCGCCTTATAATCAATGAAAAATAGTCGTTAAATCATAAAAAA", "CAAAAAGCCCCGAATATCGGGGCTTTTTTTATTATTAATTTTAAAATAAACAATAATACAGTAACAATTCATTATTTTTGTTGCTCTTTTTTAAATT", "AATTGCTGAAATTAAACTTAAAAACAGCAAGGTTAAGAATATCTTTTGTAATTTTGATTC", "AAT", "TTAAATATAAAAGGTTCTTCACTTTGAAGAACCTTTTTAGTTTTACGATAAGCCATATCAATATTAATAGGATTAGACACAAATTTCGGGGTGATATAGAGAAAATAGCACTGTTTTAAGATAGTATTCCTCCGGAATACTTAACTTCTGATACAACTTTTTTTACACAGATCAGACTCCTACGGAGCATTTTTCTAATCTTTCATATCATAAAAATATAGTTTATTGTAATGTATGAGGAGTTCCGTTAGGAGCTTTATCTCTATAGATTATAATTATAAAAGTTCACAACGTTCCGGAGGAACGCTATCTTAATCAGGGAAGTGAAAAATCATTCAGATCAAAAAAACAAAAGTATTTCACATTGTCAGCCTAAGCTAAGCCTGTAGTGAACCTAATGAACTAAACTATCAAAGGATTTTGGCTATCGTCCTTTGTGATTGAAAGCGTTTCGATTGGCTTAACATGACATCTTTTTGATACAGCCTTTATCTGAAAACAAAATTGGTTAATTATTATTAATAGCAATTTTTAATTTTATTGTTTAGTCTTTTTGTTGTTTCAATTTTTTCATTACCGGATAGATCTTTAATCTTTCTTTCAGTTTGAAAAACTTTTGAAGAAATGCTTATTGTATCAGATTTGTCAAACTGAGAATAATTTTGTCCTAATGAAAAATTAAAGGAAAGAATTGTAACGAAAAAAGACACTAGAATTTTTATTGGTGAGGAAAATCCTTTAGCATGTAATATCTTTTGTAGTTTACATGTCAAAAAAGAAAATGGTTCAAGGTGTATTTTTAATATGACTTTTTATTAATTATATTTTTATATTTTAAATATAATTAATATTTATTATTTATAAAAACTTTTTAGTTTGTATACTTTACAGGTTA", "TTTAGTATCTTTGCTTTTGCAAATATAGATGA", "AAACGGCCGTGATAAGCGAACTTATACACGGCCGAGGATAGATGATTTGGTATCTTTTATTAGAACAA", "GTCAGTATATTT", "TATATAAATTATGATTGGTTAAACGGGTGCAAATTAAAAATAAAAATCTACTAATACAATATTATTTTTAATTAAAATAATTAAAAATAATTAACATTAGACATTAAATAGTTGCTTGTGTAGAAAAATAATATTAAAATATATTACTATAGTATAAGCTTATACCAGGAGAGATACCATTAAGAAATCATTACAAATAAATACAATTCAAAATAAGTTTATTTGGAATGAATAGATGTACATTGTACTATTGCCCAAAGATAATTAGTCTATTTGATTTTTGGTTACGGTTTCCCATAATTTGACAATAATATAATTGATAAATATTCCAATGTAAATAAGGTATAAATTTTATAAAAAGGGCCGTGATAAGCGAACTTATACACGGCCGAGGATAGATGATTTGGTATCTTTAGCTATTAGCA", "TCTCATATTTTATAT", "ATGAATAACTTTTCCTCCGAAAGTTGTTAATTAATAATTATAGTTTCAGGCAGGTCTCCTGGCTTTCACATTTCTGTGCCTTCCCGTAATTACAGTGGCTTTGTACAGAAATACTTCAGTGATCTACAGTTGCGGGGACAGCTCTGGTATTACACCAGATTCCCTTTTCATCGGACAATGCCGAACCTGAAATTTTTGCAAATATAAGAAAG", "TCGTTACAAATAAAGGGAGGTAAAATTACTCATAAATATCGAAATACAAATTATTATAATAAAGCATGTATTTTTAATCAGCACATAGAAAGGAATTCAGGTATTTACAAATCCGGAAAAAAGGATAATTCTGATTATAGCTTGATTCACCTTCTCCCCAAAAACTATATTAATCAGTACTGTTTTAATGAAATTTAATATATAGAGAGCAGGATATTTTATATTTTATAAATCATTGTTTTTGCACTATCTCCAAAATATAAAGTTAATAAAATGCTAAACAGGTGTTAATATTAACTTAAAATACGAGGAATACTTTTGCAAAAAAACTGA", "TAAAAA", "ATTTTTCTTCATAATTACACTTTATTTTTAACAAAGGCAGGATTTAATATCCTGCCTTTTCATTTATCGGATAAATTGTGTTATAGAAGCCTTTTTTGAGAAATCCTACACAACAATTTATTCACTATTATCTATTATGATAAGTGATTTTTTAAAGTGTAATACATTAAAAATTAGAGACAGAATGAA", "TTTGGATTTGAGTTAACGAAATTAACAAAATATTACATATTCTTTATAGATATAATAACTGGATTATGTTAAAAAAATCATAAATTTTAAATACTACTAAAATTTTAGTTGAATGAATCGAATTTTTGTTTACATTTGTTATACTAAGTTTTTAGTTATAAAATAATTCCT", "CAAAAGGCTGCCTGCGCAGCCTTTTTCAAACACCAAACCC", "T", "TTATGAAAAGTGCAGTATCAATTATTTGCTTACTCTTTGTATTAACATTGCAGGGGCAGGTTCAGCGGTTTATTTATGAATATAAATTTACAAACGATTCGTTGAAAAAAGATTCA", "TAAAAGACACT", "AAGTAATAATCCGGCCTTTAAGCCGGATTATTTATTGACAAAGGGGTCATATTTTGATTAATTAAA", "ATATATGT", "CGTT", "AAATTGATGTTTAGTG", "ATCAAATATTTATTTTTCCAAAACTAACAGAATTTTAAATCTGTAAATGTTAATATTTTAATAGATTCTAACCGTATTTTAACATCAGTTATATT", "ATAAGCTAAACTACTTTAGACTAAGTGTTAAAATATTGCCGAATTTAAGCAAAATATAAACACTGGATCTCATATTAAAATCTAATCTTTACATCCTGTTTATTTTTCATAACCAACCCCTTTGTAAACATTATAAAATCGTAACTTTAAACCTTTAAATAACCAATATTCATAAT", "TTAAATACCTGAT", "TAATAAACAATCATAACCGAAGGCTTTGCTTTCGGTTTTTTTATATAACTAAAAAGTATAGAATACTAATATAAATAGCGAAAAACAAAAAATGATTATATCTGGTTTTAGTCAGAAAAAATAATTTTCATTATTTTTTTTCATTTTTATGTAACATTATTTTATTTTTTCAACTCACTGATAAGAAGTCAATAT", "CAAATAATAAGT", "AAATATTGAAAT", "AAAATGCTTCCGGAACTACGGAAGCATTATGTATATATTGCTTTATTAA", "TTCTCTTTTAGATTTATAAACTTTCACAAAGATACGATTTGCTTTCGCATTCCGAAAAAATAATATGAGTTCTTCCTTTTACTATCCGTAAAAGCTTTATTTTTGTTCGTCTATTCAACATATATAAT", "AATAAAAAGGTTGTCTCAAAAGATGTCATGTTGAGCTTGGTCGAAACATTGTCATTAATAAAGTACTAATATTCAAAGATCCTTCGACAGGCTCAGGATGACAAAATGTAATACTTTTGGTTTTGAGACAACCTCTTTTTCTATTTTGTAA", "GGGGTCAGTTTCAGCGTATCTAACTCTTAATCTGCAAGTTGTGCTAATCATTTTAAGTCTTTATCTATACTTACAAATATATTTTTTAATAAGCAAGAGTCAAATATTTTTTTTTACTAATTTTAATTTTCATATTTGTCATCCTGTTATTTTCAAGACGCAAAAATTACTATCTTTCT", "TAGTTACTAACTGACAGTTTACTTTTATGGTAGGCTGTATATTTTTCTTCTGTAAATTTATACTAGT", "AAACAGAACCA", "GACGTTTCAGCTAAACTTTTTTTACCTTTAATGCATTGAATTTTCTGTGT", "GTAAAACTTTT", "AATTTTCATATTTGGATATCCATTTTAAAAGTTATAACTTAGTCTCTGGTATTGAAGAATATTGAAATGAACTTTTCTAATCAACTTCAGCGTTGTTTTGGTATTGTAATACTTGCATACCTTCACGACGACAAATTTTTACAAAACTCCAAGGAGTTTATTCATTAATCCCTGCCGAAGTTCGGAGCAGGGCTATCTCTTTATTTTTATAATTTTATTCTATTTTGGTGTATTTCTGCACTGGATAAATTATAGCATACAATTTATCCGGATTCCGGACTAAAAAAGTATATACCATCAATCAAACCTATTAATTTCAAGAAAA", "AATAAATAAGGCTGGCAATTTGCCAGCCTTTATATTTATAA", "ATTGAATTTTAATGAGTGCAAAAATCGGGAATTTTTACGAGCAAATCAAATGATCTTG", "AATAATTCAAATATAATAAAAACAGACAAAGGATAATTGCAATAGCTATGCTAATCACCAGTAAATATAGACAGGGACATTAGCAAAAAAGAAGCACAAACATTATCTTTGTTGTTCAAATCATTTTA", "CGAAG", "AACGTATATTTGTTATAAAATTAAGTATAAATT", "A", "AATAGTATAACAAGACTCTCTTCTGAAAATACAAACGCCATAGATTTTGAATCTATAGCGTTTGTATTTTGATAGAAGCAAAAAAACCGGAAGAACAATCTTCCGGCCTATAGTTTTCATATTTTGACTATGTC", "TTCGTTATTTTGATTAAATACAAATATAATTATTTCAATTGATTAAATCAACTTCTATACCACGGATTAGGCTAAGACAATATGACACTAAAATTTAAATTATAAAACAGATGAATTTTATAAAATGTTTCTGTAAATAAATGCTTTAACTGAAGGCTGAAATTATCTCACATAATATTGATAAATTTTAATCATTCAATTTATTTATTTTTTTATTTTTATCGAAATTGATTTT", "TATTA", "ATGAATACTTATCTAAAAATGGAAGAGAGGCTTTTGGCCTCTCTTTTTTATTAATTGTTTTATAAACTTATATCTTACAAATA", "ATAATTATGTGTATT", "AGCGAAATATAAACTTGTTATCCAAAAAGCTGGATTACTACGTTTCTCCTTATTTATCATTACATCCATTAAAGAATTAACAAAGATTAAAGATAAAAGTTTTATTTCAATTATTAGCTTGTACTTTCTGTAAAAAAATCACATAACGAAATTTTTTAATGGATTT", "GCTAACAATTATTTTTAATTAAATTTATTATCAAATGTATAAAAATATAGATTGTTAATGCTATCGAATTTTAATAATATTTAAAAATAAAAGAATTTAATACATTTAAATTAACAACTAAAAGTATATTATAATTATAAATAACATTTTAATAAAAATAATTTCAAATAATATAACAACCTATAATATCATTTCATAATCAAATAGAAAAGTCATTACTCGATACAAATACAGCTAATTCATATTATGAATTAGCTGTATTGTAAATATTTTTTTATTTATGCCCTGAGGTCAAATACCTGCGTCAAATA", "TTGAGTTTTAGGATTTAATTAACATTCATTTTTCCTCTGTCAGGTGCAAATTTCGGAAGTTTTTTTCTATTTTAGCAGAAAATTAATCTTGA", "GA", "AAATTTCCTTATGTCTTTTATAAATAACAAACACCTCGTAGATCTTTATAATCAGTGAGGTGTTTTTTTATTGAAAGCCTGGGATATGCCAGGTTCATTTTATACAAAAAG", "ATAAGTGGATAAATATATAATGAAAA", "ACATTTAACTTTTCTGTTAAGATAATAATAATTTCAAAAAAATAAAGGTAAATACCTTAGGAGTAGATAATTGAACAGCAATATACTATATGTTAATATATTATTCAAACATTGTTGAAATATTTTTAAGTCTGAACTCAAAAAAACTGCACCAATATTTTTGATGCAGTTTTTTATACACAGGGTAGAAGTTTTCAGTTTTTAAATCTT", "TACGTTAAAATATTAAAATAACTAATTATATAACCAGTTATGCAAATGTAAAAAAAATTCAAATACCAAAAAATATATTTTAAAATTTATTCTTAATAAAGTTTGAAAAAGATCTTATAGATTATAAGTAAT", "TAATAGTAAATATTTTATTGTGTTTTATTAAAAAGCTCAGAATTTATAAATTCTGAGCTCTAATATA", "AAATTATTTAGAAAGTAGAGGGCAAATGTAATAAATCGGCCTTTTTTTTGAAAATGAATTT", "AACTTTTGTTTTGATCAAAATTATAAAAAACAAGATTGAATATCTGTACTTTAACTAAGATTAACTTTTGTTTCAA", "AAAAACTAGAATTTGTACCCAAAGATAGTTATTTGATCAGGATTTTATAAATCAAAATATGGGTTTTGAAAAGATGTATTGTTAAATTAATATTGGAAATAACTCTTCTCGATAGAGATGCATTAATATATATTTTAACAGACAAAAAAAGCGCCTCACTTTAGTGAGGCGCTAAAAAAACTATTTGCGATTATTAAATC", "TTTACTTTGTACAAA", "AATTTTTTAATATTATGTACAATGTAACAAGTACAATGTACTGAGATGA", "TTGCTATAGTATATATCTAAATTATTCAAAATTATATACATGGTACATTTTACATTGTACAAATTAACTTCTAACTT", "AAAGATTTGGAATT", "ATTTAATAATTATTATGCATGCATAACATCATAGGAAAGTTAATTTTTGTTAAAATATTCGAAACCAACACTTTAAAAACTATGAAAAGCATAATATTATGCATGCATAATATTAATTTAAAGCTTTCGAAGTATCAGATTA", "AAAGCCGG", "CTTTTTTTATATATTTTTGTGTTAATGTTAGAAAACGTTACCATAAGAAACACAGTTCAATTTCATTGGCTAAAAATAGTCAAAAAAAAGCGA", "GAAAAAAAATTTACATATTAATAAAGGCGGTTATACGCAGTTCAGAAATTCTCGTTTTGCTA", "TTTCCAGGTAGT", "ATTCTAGATATTTTTATGCATATTTTTAATTATTTTATTCAAATTAAAGTAATTTATACTAATATAAGTATTTAATTTTAAACTACTTACAAAACTCAATTCACAAAATGTTTACGTAAGTAGCATGATCCGAAAAGCTTATAGAGTAGGAGAATCTATAATAATTTAAAA", "TGGGTAATATAAATAAGGCTAGTAATTATTGAGCCTTATTTATTCTTTCTAAATCTATATCAATC", "TTTCATACATATTACTTTCATCATAATTGACATTTTTTCTATCTTTGCCAAAATCAAAGTAA", "AA", "AAT", "ATTGCTTCATTATTTGGCTTAGAAATGTAGAATTATTAATTTTGACACCGGCTAATAAAAAGAGCATAAAATCAGCCAAGCTGATTCCATAACGATAAAGAATAATAAAAAATAGATAT", "TCAGATAACATTTATCATATTAAAATGTCTTGCGCTTCGCAGGACATTTTTTATATTTACCTAAATTAAAAATTATT", "TAAAATTT", "TTGCAGAACCTGATTCAGGATTACAAAAACTATACATTAAAAGCTGCAAAACGCAATATTAATCATTAATTAAAAGCAAGTCATTGTAATCTGTGAACTAAATGGTAATTAATATGCTATGTATATATTTTAATAAATTTAGTATGCATGCATAATATTTTTTTATTAACTTAGCCAAAATTCAATACACAATTTCTAATT", "TCTATTTTTTCATCTAAAATTCAAAATTTATAATTTTAAAAATTTAAGAAA", "TAATTTTGAGGTAAAAATTTCAAAATCATATAAAACATCGTGGCTTATTGCTACGATGTTTTTATATTTGTAGTT", "TTTCTATATTCTTCCCTATTAATAAACTT", "TTTATAACAAATATTAAAAACAATACAAAGCCTTTCTGAGATTCAGAAGGGCTTTTTTATTATATAAACTATTCATACTGAAAAATATTTTATACAAATATTTAAAATTAATTTATACATTTGAAAAACAAATACTTACACTTCATTCAACAAATTATAGTATTCCATCTTTGATAGCGTAAGGTTCTTATAACCTTATATGCGAAGCACTACAACATAACAAATAATGATTTTGCAATAGCATTCAAGTATTGCAACAGTTAATTTATTTTTTAACTAAAATCAAAATTGTT", "AAACAATTCTAAGAGAAAGATATATAGAAGATACGTAATCTCACTCAGAGTCTTACCTTTAATAAACTTGACGAACTATTGAAGAGAATTAACAATAAAATGCAAACCAACTCTTAATAAAGAATAAAGATACTGAAGTTGGAAAGCTGAAAGCAAAATAATCTAATAAAAACAATAAA", "TAATCATCGTAGCTATGATTATCAATTAAAACTAAAATGGGGCTAACAATTTATTTGTATAGCCTCATTTATTATTTTATCAATTTAAAATTTTCACACCTCATTTTTTGTAACTATATTTATTTCATCACAAACAAGTAATGTATATAAAAATATGTTCTATTGAAGCCAATAGAATCATTTATAAACATAACTTAAACTACACTA", "ACACACT", "TCACTGTTTATAACCCATTGACTAATTCTTCTGTGTCGCTACAGAAGGTATAATTGCATACTTATATCACGGCTGTTATCCGGCTGTATTTATACTAATTAACACAATAAAAATCACTTGAT", "AAACAAGTAAA", "TATTGAGCTATATACTGAAAAATTAAAAATATTAAATTTTCATTTTTTTCAGATAAATATTTTGTGGAATCATTTTTTGTCTATACTTTTACACTGTTTTTAGAGAACAAATGAGAGTAAATACTATTATTACATCCACTTCCACAACGACAATTATTCAATTGCCGCAGAAGGGTTGTATTAGTATTTGAAGACACAAAATATAAATAGCACAAGGCCTTTCTGAAATTCAGAAAGGCCTTTTTTTATTCCTGATAACCATAGATATTGAT", "GCATATAGAAAATATAACAAAATACTCTGCATAGGAAATTCTATGTCCCTATGTGGTTTAAATAAAATAGACGATTCAA", "CCACCAAACTAAATAACA", "AATAAAATTTAAGTTTAATTTATTACAACGGAAAGCATTGTGCAATAGCGCAATGCTTTCTTTATAACATAGCATTTAATCCAGACAGAAACACTATACCTTACAAATAAGTATTTTTTGTATTTTAGTGGGATAAATTTAGCT", "ACCCTAAAAATAAAATAGTA", "AATTATCAAATTATAAACTATTCC", "GAAAATTATAAAGATAAAATAATAAGAGAGAGCCTTTAAAAGGCTCTTTTTTAATGCCTTATAAAAACAAAAAACCCTGGCCAAAGCCAGGGTAAAAACTAATAACCATGAAAACTCAAATTAAACATGAGAATCGAGTACAAATTAACAAAATTTGTGCCAATAAAAAAATAATTTTCAAAAAAATTATTATTCTTTCCCTAACAGAATTTTATTGGCTAAAAAATAAATGATTTTTGTATTTTTGCCCTGTAAAATTTAATACACA", "TTAATTTCTTTCAGAAAAAATAAAATAACGATCTCATTCTGAGGTCGTTTTTTTTATTTCTTTATTTTTTGTCGATTATTTTAGTACTCTTTCAATATTCTGCACAATAAAAAGCATTAAATAATGTTATATCTATATAAAAAAGCATTAAAATTAGAATT", "TATTTAAAAGTAAAGTATAAAAAACCGGAAGAAATATTTCTTCCGGTTTCTTTATGCCATAAAGGCATATTATACTGTCAAAAA", "TTTTACTTTTTACTTATTTATATTCTTAGATAAAAAAGAGGACAAAGCTTATTAAAAACTTTGTCCCATATTAAATTTACAATCTTGTCATTGTTTCAGCCAGAA", "AGTATAAATACTCACCCACGAATTATGGGCTACAATCATATATACGTATGAAATAACAAAAAGGTTACAACTTTTTTATTTTATTTTCATTTTTCTTTACATCTTAAGATTTTATTTTGACGGAGTTTTTTTGTAGATTGGCTCTCACAAAACAAATTCCATT", "TAATATATCTGTAACAAAAATAAAATCCCGGCAAGTCCGGGATTTTTATTTTTAAAAGCATATCTATTCCTGAAATTTCACAAATCATCATTATCTTTGTAACCTT", "TTTGGATT", "TATTATCAAACTTCTGATTCATCATAAACTATACACAGGCACAGACTTTCCGTTTGTGCCTGCTTTATAAACACCATAGGAATAGAATTTAAAATA", "ATAACTTCTGTTCAGGATAAGAAAATTGACAGCATGTTAAGCTTTTTCTAAAAAAAACAATCAAAATATTGTCCGCTTCCTTACCTACAGATAGTCTTCAATACAATTTTGTAGCAAGAATCGCTTAAACTGATGATTTGATACAAAAAACTTTCGGATCAGAAAAAAGTTGGAAAATTAGAAAAAGTGATTAAGATAACGTTCCTCCGGAACGCTCTGAATTTTCATAATTATATACCATACAGATATAAAGTTCCTGAAGTATCTTATCTTTACTGCAATACATTATATTTTCCGGATTAATTTTTATGATCTGAAAAATTAGAAATATGCTCTGGACTTTCTTATCCAGAAATCAGAATAAATAACAAAACCTCGCAAGTAGATAAAAAATAAGGGGAATTAAATAATATCTTTTCATAGTCCCTATGGACAGATTAGTTTTGTTGAAAATAAAACAAACT", "TATGATACTATACTTATTTGAAGTATTAC", "TAAAAAATTATATGTGTATATTAATTCAAAAAAGTATATTTGTTTTAAAGAATTACAACATT", "AAAATAAAAAAGCGAGCTTTGATCTGGTTATTTGTAAAGTATCAATAGATCCGCTACAAAAATGACTTAAATTTATAGGGTAAAGTTGAAAATCTTTATCC", "CCTCGGGTAGGGATTCATCAACAAATAATGGGCTTTTAGATATGCAAAAAAGGAAACCGCATTAGTCTCCCTTTTCAATTTTCTGTATCATATAAAAGCTTACTTCATTTTGTAGCGAATCTATTGTTATAGCACAGGTTATTGGTATTACAAATAATCGCAGACCTTATTTATATAATCGTGTACTAAAACAAATCTAAAACTTATAAATAAAAAAGTAGTAAGCTATTACCTACTACTTTCATAATTTTTATTTTTATTAATCCTTTATCACTTTTTCAGAACTACTCATTTAGTGAAATTTTTAATTCTAGAA", "GTC", "CGTTATATTTTTTTGTTAAGATTTGGGTATAAAAAATGTGATAATCAGCAAAAGCTAACTTAACCAATTATCACATTATATTTTATTTTACGGA", "AATTCTTCTGTTTTTTTTATTAATTAATAATTATTGATTATCTAATTTTACGATACAAAGATATAATATAAATTCTATTGATAAAATATTTTTCAATAAATAAAATCTATCAAAATAATTTTCAAAATTCTCTTACTTCTTACTTA", "ATA", "AGTTTTTAATTTTTATTGCTAACAAAGGTAAAGGATTATCCGAAATTTTTCGTGGAATTATCATTATTTTTGTGCTCTAAAAACAAAGT", "TTATACGGAAACCTTAGATTTAATAAAATAACAGCATATCCTT", "TTCTATACATTTTAATCGATTATCTTTCTTGCAAAAGATATAAAGCATCGGTTTTCACTTATATTAAACAATCGAAAACCAGATACCTAACAAATATAGCTAAAAACAAGTACTAATATTGTTTAATAATCGTTAAAAACAATATAAATATTTAAACTGATTTATAGTTTGGAATACTATTTGTGAGAAACAGAAACAAAAGTACTT", "TTTAAGTTTTATTAAATTGCAGCATTATTTCACTAACCTTTTACAGGGTTTTACATAAAGATC", "ACCCGAACTTTATAAAAAATTGAAATCCCGGATTTAATTCGGGATTTCTTATTTTCAGTATACTCCGGAAAACCTGATTTTCAAATAAACAGTTTAAATCTTATCTTTGCACAAATTATTTTTTA", "ATAATTAATCATCAAAAACATACAACAAAAGCTTCCTATGGGAAGCTTTTGTTGTATTACGAAGCCGTGTCAAGGTTTGAAACCTTGGAATGGCTTATACGCTTCCATATATTTGGGAGCGTTTTTTGTATTCATTCGTAAAACAACACCACT", "TCTAATAGAGCCGTGTCAAGGTTCGAAACCTTGACACGGCTAATTAAGTAAGAT", "TATATTTTTTTTGACAAACAAAAATACTTATAAAGCATTACTCTAAGGCATAAAAACTCACAAAAAATCACTATTTTTGTAGATATTACAATTTCAAGA", "GAAAAAACTTTAACAAGCTCAGTATGGCAATGCTAAAACTTAGATTATTAATTTTTAGCAGTAAATACTGAATATGTCGAAGCCCAATATATTCTTATAAATTATATACAGCGCTACCAATATAA", "AATAGTTCGATTTCACTCAATATAGCATAAAACAAACCTCATAGGCATAGAACCTGTGAGGTTTAGTATAAAACATAGCCGAACAACGGCCAACATTAAACAAATAAAAGGGTACACTCAAT", "ACAGTTTTTAAAAATATATTGATAAA", "AACCAAGCTCGATAAAGCTGCGGTCTTTATATAAATTTAAAAACCATGGTAAGATATCTTATCATGGTTTATTTTTGAACTTTTGTTTGATCCTGA", "TTTACTATTTTTTGCAAAGATAACAGAATTCATGTGGAATTGGTTTCGACATTATCCTATTCTTTATCCAATAATGATTATTGGTTAGAATAGAGA", "AAATTTTACTTTTAGTCGTAACACAACAGAAGACTAAATTCTGTTACATCGCCTTTATAACTTTTGTATATCAGTTATACATCCGAAAAGAATACCAATTAAAAATCAATCATTTAAATACTGACTATCAGTAAAATATAATTTAAGAACAATTAAATAGTGTTTGAAAATGAACACTATTGTTCGTTTTCGGACAAAATAAAAGCTCTGCAATTGCAGAACTTTTTATATGATAAAGAATTAAAGT", "AAAA", "TTT", "ATTAATATTTTTAGCCTAAGATAACAATTATATCTGATACACGGAGTGCCGAA", "TAAATGATTATATATTGCGAATATTAATTTAAGTCTTAAAAATACCAAAAAATCCGAAATACCCAATCAACAGGGAGAAATAGAAAGATTTAATTTACTTAAACACCATAAAAAGAG", "TAATTAAAGGTCATAAAAGTATCAATAAATATAAAACAGTTTATTAACTTAAAATTATAAATAATTTAATTTTTATGCGCATTCACAATGCTCATTATTTATACATTGATTATTTTGTATTATTTAATGTCAAAAAAGTATTATGTTCGCATTCTGTGTAGTATTACTTTTATCATCGTGATAATAACCTATTC", "AAATAATAACCATTTAACTCC", "AGCTGAATCACATAATTTACTTACTTTTCATTCATATTCCTATTTTGAGGCCTCTTTTCAGAGGCCTTTAATTCTATGTTTATTGATATATTTAATTTAATAAAGTGACAAAAATCATAACACTATATTAATTTAGATTAAATAAAAATAACATACATTTGGTCTGATAATTTTTATACCAAATCAGACATT", "AATACTACCAAATC", "TTACCTACCCAAAATAATTGAAGTATCTAAGAAAGTATTGCAGGCTAAAGCCGCCTGCAATGCTTTTATTTATAAAAGTTAATTCAACTTTTAAAATTTGTCCGGATTGTGTTTAATGTTTACGATAGGTAAATCTTATGAAACAGACCAAATAATAAAATTTAATTTGGTATATCCCTCTTAAATTTGTAAAATTGAAGTCTCTAATCGTCCATTAACGGATAACAACCCCCAAGAG", "TATTCAAATAAATGACGTCAGCATATCCTGCTGACAACAATTCTTATTTACATAACAAATCCACTGTTTATTCTGACTTTTAAAGCTTTTACCTCAGGTATCAGCTTATTACATTGTCGGTATATAAACAGAGGAAACATAATAATATTTTCG", "AAACCGACGCAC", "ATCTTGTTTAT", "GCA", "AAAACAAATAGCAGCTTTTGAAGCTGCTATTTGCATTTAAACAGAAATATATGAATAAAGGAACTAATTCTTTTTATTAATTTTAGCTT", "TTTAGTAGCCAACAGACTACACCGCAA", "TAGTATATAATATATTCTGATATTCTCCCGAATATCGGCTCTT", "TACAAACTCTTACAGATAACACTGATTATTTCACACAATGCTGCTTTTGTA", "AACGTTATTTTTTGTTGTTATTAATCTGAGGCAAAGTAAAAGAAGAAAAACAATAAAACAACTAAAAAGTTGTTTTATTAAATAAAATGAAACAATTCACAATATCTATCAATATCCTGTATCAAATATAAAAGCTAATAAATAGAAACTATTAACTTTGTAACTGATAAAAATAAAACAACTAAATGTTGCTAA", "TAGCAAAATTAATCCT", "TGTTATAAAGCATTATAAATCATAAAATTACTGTTGTAGTATTTCTACTACAATTTTAAAATTAAACAAAAACTCTGATTATTGTTTGACAAAGGTCATATAAATTTGGTGTACCAAGAATAAGGAAACACTAAAATATTTTTAACAATTAAATTCTTAGAAATC", "AATATAAATTCTGTTTATGAATTTTTAACTAATAGCGCATTGTTAGCAGTGCGCTATTTTGCCTTTTTTATTTTGAGTAAGAAAAATAACATCTAAGACGTTATATACAAGGAGATAATTTTTATCCTGATTGACAACTACTTAATATAAACACCAAATGATAAAATC", "TT", "AACAATCGCATT", "ATTAAATATACAATTTATTAAAAT", "AGCATTCATTTCTCTAAAT", "CAAACGCTTATTACAATCGAAAATAGTCTAAATCAAATATTATCATCCACAATAGATAATATACCAACAGACCAATGGGATAACTTTTCTCTCAATATTTGCGCATTAAATAAAATAATTGCTATTAAAGCATTTTATGAGGCAGAAGGAAAGCTTATATCTTTTGACCCGGAAGAAAATGGGAATGATATTACG", "ATGATCTAAATGTATTTCCAAGACAAGAAGAATTAATACCTGAATGGCTTAAGGAAATAGTTAAGTCTTAGCTCTAAGCAACTCATATTCATTTTAATAAAATAAAACCTCCTGATTTCAGGAGGTTTTATTTTTTACCCTTAAACCCGGGAGATTAGCAATCGGCTTATCAGAAGCTATATCGTGAAATTTCGGCTGTCAACAATAGAAGATTAACCACTTTTTCTTCTTATTTTCGTTTCATAAGCCAATATTTAAGATTCAGATGCTCATAATGACGATTGAGTGATCAATCGCCTAAAAGCCGTCTGAAAATAACGAAATTTGTTAGCTGAAAACTATGTTTAAAAGTCTCTGAAGAATTGAGACATCTGAAGT", "TAAGTTT", "TAATATTACACTTTATAATAAAACCGTTGCCAGATAAGCAACGGTTTCTATTTTTTATATAAATAGCTGTAT", "ATCAAAAAATGTTTGTATTAATATACGAAATCCCCGGCAGAACTAACCGGCCAGGGATCTCTATCTATGGAATTTCAA", "TGTTTCTAATTTTTTCTTGATTAAAACTGAAGCAAATCTACAATCACCGATAAAGGATGATAACCGTTATTTTACCGAAACGGAAATAGTTACTTCCCAAACGTACTCCTATACAGCTGAAGCGTAATATCGTAGATCAGACAATAAAATCTGTAAACACTACACTCTGAAAAACAACTATTTAAAGTAAAAAAACAGTACCAATAGACAATAAAAATAACTGCTGGTCTTTTCGGTCGGGCCTCACTACATTTCAGAGGCCAATATTTCCGTTTCAGTCATATTATCGGATCTGTGCTTTTAAATGATAGCTTCCTTTGCAGCAAATTAAATGTCAGAGAT", "ACCCACAGAGAATAATTACTTATTAAAAACGAAATT", "AAAACAACACTATTATAATATATATCATTTTTCTCATTTAATTTGTTGTTTTTGAAATTGTATTGACAGTTTCGTGCGGGCAGATCTTCGGATCTGCCTTTTTCATTCCATCTTGCTTTATTTCCGTCTCAGAAGGCTTTATTTCCGTTTGGGTCAAAATAACGTATGGATGTGTTCTTAATCTTTCTTCTTTTGTAGCAGAATATCGGGAGCAATATCCCGAAATAACAGAAACACAAT", "GTATGAAACAACAAACCATCATCAATATATTTTTTCATAGTTTAGTTTAATTTGTTGTTAAAAGCTGTGAGACAGTTTTGAGCAGACAGGTTTTAGTGCACCTGTCTGTTTTTTATTTATAAATACAGCATTTATGCAACACCGATACTAAGTATCTGTTCAAAATCTGAGTTTTATTTACAGATCAAAAGAAGTAGGATAAAGGATATTATTTCATTCTAAAAATATTCAATTTAACATAATATTAATTATAGGACTTTATAAGTATTTGATTTTTAATTAGATACATAGTGTATTTAACATAACTCAAAATAATTTTATTATGGCAAGATTTCGAAGACGTCGTAGATTTTCTCGTTCTCGACGCAGTTTTGGAAGACGTGGATCCCGTAGATCACGTAGAATTAATTCGTATAGAGTCGCTCGTGGCGGCATAAGAATGTAAGCTT", "GTTGGCTAAGTGCAATACCTATAGTTGGAGGTATGATAGAAGGAGCAATGAATAATTCTTCAAATAAGAAAATTGCTCGTGAAAATAGAGAGTTTTCTCTTGAG", "TGTGTTTAACTCCCATAACTTTAAAGAAAACAGGTGCTACAACTAACGGATATGCTACTCAATCATTTCCGTGTGGTAAATGTTTAGAGTGTAGAAAAGCTCGTACAAATTCATGGTTTGCGAGGCTTACGGAAGAGTTAAAAGTCTCTAAGAGTGCGCATTTTGTTACATTAACGTACAACGATGTTTATCTTCCCTATTCTGATAATGGTCTTATTTCTTTGGATTATCGTGATTTTCAATTATTC", "TATTTGTAATTTGGTTTTTTACCGGTGAAGGCCCCCAAGACGAAAGCGTAGCGAAAGTCAAGGGGGCATCACTGTATAACCAAACTCTACAATATACATAGAATATATAGATCATAAATTATGATCTTACGAGATTGCGGAGCTTGCTACGCTCTTCTCGGATGATCTAGCGAAGCGATTTTACTCTTCAACGGCAAAGCCGTCAGCACTAATACCTACTTGATATATTAGTGCTAATTGACACTTTGTTGATTATCAAAGTGTTACAACCCCTCCCCTACCTATGTTAAAAAGTGTTAAAATTATGCTCAGAGAGATTTTTTTTATAACTTTGTCTCATGGAACTCATACGTAAGTTTATTGTTTTTGTGCTTATATGCATTTCACTTTGCTTTCTGTTAGTGTTTCTTTACTATAACGTCCCCTACTGGATAGCTTTTATTAAGCGTTAACCCCTATTGATAGGGTGTTTTTCATAGTATTAATTATAGGTCAAAATAGTCCTCGCAGGCTCGGTTGCCCTATAATAATATTATGTAAAATATTGGTGTGTGGATTTACAAGGATGTTTGTCTTTCAGAAGTATTTAGACCTATAATTAGTCATTATGTTCGGAGCCTCCGGAAATAGCCACTCGGCCAACGCGCATGTTTGCAAAAAATTAAATGTGTCTGGAAATAAATTTACACATTGAATTTTTGCTGCACAATTTCCCGACGCTCGTCCTGTCGATTTAATTTTTTTTGCCAATGCTCGGGAAAGCGAAATTTTATTTTGATTCGGCGTTTTTATTTTAAGCTAAGGTCTCATCGAACTTACGTTTCGTGGAGTTCGCTGGCATACAAAAAATGCCAATGTGCCTGACGGCTTTTTTTTGGCATTTTTCTATGCTGGCGCTCACGACGTTAATTATTTGTTCTTTTGCCTTGATGCAAAAGAACCAAAAAATCAAGGCTATGATGCATTACTCACGGTTCATTTATTTTTATTTGGTGTTCGTGATTGCTTCGCAATTCGCTAAAAACCGACTGCGCTACGCTAAAATGTAAAAACTCTGAGCTACGCTCGTAAACCTTTGGTTTATGCTCAAACAGTTTACATTTTTTAACGCTTCGCTACGTCCATTTTATTCACTGGTTTTATTGTTTTTAATGGAGTTCATGAATGCTGTGCATTTGTAAACGCTCATTCATCAATGCCAGAGCGTGTGCTTCAAACGGAGTTTCTATTTAACATAATGTAGAGAAATTCTGCTTCAAGAGAACCTTGTTTTAATGTTATAAATCAGCATTTTATAAAATCATAGTACTACTCCACTACTCAATTAACAA", "ATCATTGTGCTTTTATGCCGTGAAGTAAAATAAGCCCTCTTGGGTAATCAATTCCTAATCAGAATGTTTTATCTTGAAAAAAGCATAATTTAGAAACTTCATTTGCCAATAGTTATTAATTATTTTAACTAAAAACAGTATATTTGAGATTTATGATACATACCGAT", "ATTTCACTATATCATATTTCTTACTACTAATTTGCCGTATTTTTTTTACGGCACTTCTTTTTTTATTATTTTTGTTTAAAAATAATATTCTAAA", "CTGCAAGATTAAGTATGCTATTATGTGGCTCCGCCACTCATCGTGCTACTCACATCTATATTCTATCTCTACATTATTTTGTGAGATATACTCATCCTCATTCAGTTCTCCCTACTCTATTTTATGACTGGTTTGCGTGAGGGATAGCAGCGAAAAGCCCACAGCGAGGTACGAGCGAGGACTTGTAGCGGATAGCCCGACCCGGAGGGGCACGCCCAAAGGAAAATGTTTTTAAAAGGTAGGCATCGTCTGTGGCTCCGCAATTGGCTAAAAAGCGATTGCACTAGGGTCGG", "ATATGGTGTTTTTTGGAAGTTATTAGGAGTGATTGG", "TTGCCAATAGTTGTTAATTATTTTAACTAAAAAGAGTATATTTGAGATTTATGATACATACCGAT", "TTTCTATAAAAATATATAATTAATAGATATGTAGTAGCTAATGTTCAGGCAAAATATAACTAGTTTAAATGATTAGATTAAGAAATTAATAACCTGAAAATAAAACTAATAAAATCAAAA", "TCGAAAAGTATAAAACTATAGTAAA", "CTATAATATTAGTGATAATATTGTATTTGTGAGATAGCAATAAGTAAAAATTAAACC", "ACAATTTGTTGTTACACTCATTCGGTTATGTCCCTACTCTATTTTATGCAGAAATTGCGTGAGGGATAGCAGCGAAAAGCCCACAGCGAGGTACGAGCGAGGACTTGTAGCGGATAGCCCGACCCGTAGGGGCACGCCCAAAGGAAAATGTTTTTAAAAAGTGGGCATTTTCTGTAAAAGGATGCTGCTGCTAACTTTTGCAGATAAAGAAGGGTTTATACATGGTTTAGGCGAATCGGCCAATGTTTTATATTTTGCTTTTTTAAGGTTTTCTCAAATATATCGGCGTTGCTTATTATTTGTTGATTTTTAGTACTGTTCTGGTGGTTTAATGTCTATAAATCAGCAGCTTTTATTATTTCGTCGCTTCAGTATTTTGATACGTTTATATTTGGTTGAATAAATGTTATAATGGTTAATATAAACAGTATTAACAATAATATAAACATATTATTGATTTAAATGTATCTTAGTAGACTGAAATTAGTTACTAAGGATTGT", "GGTTGTTTGCGGTGTTATATTCAATGAAGGGCGAATT", "GCACGAGCGAGGACTTGTAGCGGATAGCCCGACCCGTAGGGGCACGCCCAAAGGAAAATGTTTTTAAAAAGTAGGCATCTTCTGTGGCTTGCGCCACTCATTGTGCTACTCTCTTTTATGAGCTTTCTCTACATTATTTTGTGAGGCACATTTTGTTTATTTATCACATTCGGGATTTTAGGCACATTGAGGGTTGTTTGGGCGTGTTACCTGCCCTTTTTCGGTTGTTTATTGGTTGGTCAGGGGTCGGGGTTAGCTTCGCTGGCTACCTGCGTCTTAAAGCAGCTGATGCTGCTTTTTTATTTGGTAGTGCTTTATCTTTTGTTTGAGCTAGGCTCGCAACCTTAAATTACGGAAATAGCCGCACGACCAACGCGCTTGTTTGCTAAAAAATGAGTTACTTTGTAATATGTAAACAAGGGCAAAA", "ACTCCACTCACCTTATTCTATTTGAAATTAGCTGTTTTCATTATCATTTTTGCAGAAAAATTTGACA", "ATAAATTTTGTAATGGGTACTTAATAAATATTCTCCTGGGATACAATAGACAAGATTTTTCAGTGGTATTGTATCATAATAAAGAAGACCAGAATTTCTTCCGGTCTTCTTACTTTATTATAAATGCTTAA", "TCAAAATTACATTTTTCAATAACTACAAATATACGAAATTTTAGGCATATATACGCTATAAGCAACACATAAAAATACACCTTATCAGCATAGCCTGTATGGAATTTATCAGTGTTTAAAATCATGTTAAAGTATATACTTTTAGAAGAAATATTACTTAATTTTAATTCGTCAAAATCAATATT", "AAGATAAATCTCTGAACAATAAAGAACCCTCAATTACCTTAATCTCTTAATGTTTTTTGAGGGTTTCGCTTTATATAATAAGGATTATTTTAAACACAAAGACAGAAATTATTTTATCAATAAGTTTTGTTTTTTAAGATACAAGAAAATCAAAGATTTTCAATCCAGAAATTGTAAAATATTGCGTAGCAATTTGTGCCTTAAAAAGACTGAGATATAAAATATGATTAGCGCTCTAGTGCTCAAATATAAAATCTTTACATGTAGAAATTTTATATCGAATTATGCTCATTAATAGCTTTTATCTATATTTGTAAAAACCTGATAC", "TTCCGATAATTAAATATTATAAAATAACAAACCTTGTCAAGACCAAATTTGACAAGGTTTTTGTTTGTTTTATTCTATACAACATTCCTGTTTAAAAACTCTCGGATTTTATTATAATATATTAAAAATGACAGAATTACGTCATTTTTTCACATTCAATTACACTTTAAATTGCTCTGTTCTAAAATATCTTGCCAGAAAGAAGAAGGAAAATATTGAAAATTATAACTACTAAACAGCAATAGATAAAATCAGTACAAAATATTTACAATATTATCATTAATTAAATTATATATCTTATTTAATAATATAATTCAGTTACAATTAAAAATATTAAATTTTATATAGTATATTATATCTACCATAAACTAATAATTCATAACAAAACACATATATACATTACCACATAAGGGTACAAAATCTTAAAAATGACAGAATTCTGTCATTTTATTGCGTTCACCTATAGTCTAATTTTGCACCTGATTACAGAACAACCTTCATATATATACGCAGAAAACTACTCTACTTACTTTACTGAATATCATACAACCAGTGCAAAGTGCACTGGAAATAAGACTATAAATTCAATTTAAAAATTAAAATGTT", "AATAAAGAAAAAGAAT", "AACCAATAAAACAAAGAGATAAGATAAAAACTGCAAGAGTTGCAGCTAGTATAACCTAAATATTTTTTT", "CCTCTATAAAACTTCTGCGCATCTTTTCTCTAAAAGATGCGCAGAAGTTACCTTATACATGCGCAGGAAATGAACAACTCTTGCGCATGTTTTGTTTGTTTCCTGCGCAAGAGTTACCTAAAAGATGCGCATAAACCTCATAGGTTTTAAAACCCATGAGGTTTGTTTCAGAAACCCACAGGGTTTGTGTTTTAAAGCATAAAAATTTAAGTATTA", "GATTCTTTTATTTTAGGAAGTAAAAGCCCGAGCAG", "GTCTTTTATTTTAGGAATCTCAAAGATAAAAAATAAATTAGAATTATATATTTATCCTGGCAACTATGGTTAAGCCTCAATCATGTATTTTAAATCATTAAGAAATATAGATAATTGAGTTCTTGCAGCATGTTTAATTTTCTAAATGACTAAGAACCTGTTTAAATTTTGATTAAAAAAATATTAGGCTTCGACAGGCTCTGCCTGACAATTATCGAAGTGCATTAACAATAAAATTTGAAGAGGCTCTAAATGGTGATAAAGTTTTAGACGCAAAGCATTCAACACATTCCTCTATATTCAAAAATCAATATACTTTTCTTACTTCGTAATTCTAATTTCTTACTTTGGC", "ATAGTATTATTTGTTTTTTGTTTACAATTTATTATTTGTCAAACCTCATAGGTTTTAAAAACCTATGAGGTTTAATAAAGCTTTCTTTACCTATTTACCCGACAGTTGTCACTCTGAGCAAAGAGATAGT", "GATAATTTATTGTTTTGCAAATGCAAAGGTCGCCTATCTATAGGATTTGACAATATTAAATACAGATTAAATTATTTTCAAACCTCACAGAATTATGAGAGCCTATGAGGCTTAATAAAGTGTATTTTACTT", "AACTCGATGTTTTTAATAATTCAATGTTTTAAAACACCAAAGCAATAGTGCCTTTGTGTTATAACTATATTAAAGTAATTTAACAATACAAAGTTAAGTCGCACTTACAGGGAAGTGTATAAAGTATTCAAACTAAAAATTGTAAAAT", "AGTTCAAAGATATAAAAATCAATCATTACTTGATATATGAAATTTATTGAGAAGTTTTCTAATTATACTTCTATCAAGACTCTGGTATACTCAAAGTGCATTTAAAATATACCGCCGTTATTATATCATTTACTAAACTTCAATACTATATCAATACCTTAATAAACTTAATTTCTAAATGATAAAATAAACCATTAAGAAGTTAAGATAATTTAGCTTTGGCCTCTCATATCTGATAAAATCTGCCTTTTTAACCAGACGCTGGGCATAGCTGAAGAGCATTCGGAGTGCCAGAGCAACAATCATATCTATTATCTACGAAACCTATAAGGTTTAATCTATACCATTACCTTAATAAACTTAATTTCTAAAGGAGAAAATAAAGCATTAAGAAGTTAAGATAATTTAGTTTTAGGCTCTCAAATAATCCATGTCAAGGTTCAGAACCTTGACATGGAGAAAATAAAAAAGCCGAAAGAATATCTTCCGGCTTGTAATTGTATTGTTATAGTA", "AATAGGTTATATTTAACAGTCTGCAAAATTAAGGATTATTTAAAAAATATAACAGTGTTTCATCAGATAATATGACAATAAAGCTGTTTTGCTTTTTGTTATACTGATCTTTAGTTTATTAAAAGCACAATAAT", "TATTAGTTTATTAATTGTGATCTTGAATTTAAGTCAATAAAAAGCCGGAAGACTAGCTTCCGGCAAAATAATATATGGATTGTAATGT", "ACTTTACTTTTATTTAGGTAAACAAATTTAACTATTAAATCCCTTGGATTCTTTCTAAATGACATCTATCAAGTATGAAATTTGAGGTACGAAATACGAATGAAAGCATTTTACAAAAAACAACCCGAAGAAATTCTTCGGGCAGTTTTAGTTTTATGATATAAGAG", "ATTTTATATACTAT", "TTTTAAAATTTACGGATAGGTATCAAAATTAAGGAAAATACCGCTATAAAAGGGCTTTATGCGCAAAAGAAATCAGAAAACGCTATATTTATTATCCGGATACTATTAAGAGCCTGTTTAAATTTTATAGTTAATACTCTTCGACAAGCTCAGAGTGACATTGTACATCCAAAATATCTTTCTTTAGAATTGTCAGACTGAGCCTGTCGAAGTCTAGATTATTTTTAATTAAAATCTAAACAGGTTC", "TGTGTTTCATTTATAATTTCTCTAAAATACAAAAAACACAGTAAAGGATATGGTGAACTTTTCAGATTATACTA", "AATATACTGCAATTTATTTGATGTGCTAAATTTACGGAATATCTGAGTATTGATAGACCTGATTTTTATCTCTCCTTCAATCTG", "GATCTTAAGTAGCCAGATATGGTCTGAACTACATGGCAAAAATGAGATTAAAACTACTGAAACTGGGTTAATAAAAGGTTAATGATGGGTTAATGTGTCTTTAGCATTCACTAAAATTTTATCTTTGTTTT", "AAACATTTGTTATAATTTATACAACAACTACCAGCATATATACAATAACAAGTGGCTCATTATAAAATATTTTTGCCTCACTAAATATTTTGATA", "TATTTAATTTTAGAATATAATCTGTAAGGCTGCTCAGTTGAGCAGTCTTTTTTATGCTTTTAAAATGCTTATCTTTACCCTATT", "AAGTTAGCTGATATTAGTATAAACAAAGGCACAATAGAAATTATTGTGCCTTTGTGCTAAACTCGTTATATCGA", "AGTAATATGATTTTAGTGTTATCGGAAAAATTTAGGAATACTCAAATGTACGAATTAAAGGGCATTCACAGAGAATGTTAATCATTAATAATATCAA", "ATTACTATTACTCCGTTATTTATACCCGAAAAAATTAAATCATTCCGGAATTTCTTATTTTTCTTCTATTATTTCAGCAAAAATATAATCAAAATGTGATTTAATATAATTAAAATGTTATTTTTAAGCCTGAAAAATAATAATAAACTTGTAAAAAATTAAACG", "AATAGATTTTACAGATATATTATAAATCCGGAAGAGTTTCTTTCGGATTTTTTACGCCATAAATCTTATAATCGTGTGCTAATTAATTATATTAGACGAAAAACTATAACTATAAAA", "TTTCTTTGATTTTTTAATTGCTTTTTAAACATGGCATGTTTTTTGAAAGTTAGCGATACGTTAAAAATTAAAACAATATTTACTTAAAAATATTTATTAATTTATTGTATAGCATTATTACATTAAAATATTTTACAAAACAATAAATCGAATATAATTATATATAAGTATCATTTTACTAAACATATACTATAAAAACAATTAAAAACACATT", "TATTTTGCTTACTTAAAACCTATCCTTGTCAGAACTAAAAATCGCTGACAAGGATATTTATT", "TATTTCTTTCTTAAAAAAATGAATAAACAGAAATTAACCCTGACATCAGGATTAAATAATTACGGAAAGAAATTGAATTCTACATGCTTACTATCTGAATTTTGAATGATATTGCAAATGTAATAAAATATTTATAATCAGATCAATAATCATTTTAGAAAAATAAATTGTGAAGAAAACAGATTATTTTCCAACCTATTTATAAAAAAAGGTATCTTAGTAAATAATAAACATAAAATTTTTAAGAAATAAATT", "ACAATTTTAAACATATAAAAATTAAAAGCTCCCTTTCGGGAGCTTTTTGC", "ATTAACATATTAACTTATAATTACGAAATTATGAATTAATAATTAGTTTACCCAAAATAAAAAGGCCCTTTATCAGGCCTTTTTA", "CTTTGAAATTCTTTACCTAAAGATAAATGAAATTACTGAATTTAT", "TATCTAATCTAAAATTGAAATATTAAAAAGATCTAAGTTTTTAAATCTTTTGCAAAGTTAACAATAAATAAAAGAATGACTAGCTTATTCTTTAACAGGTTATAGTGATTGAATATTCAACAGGTATAAAGAAAAGGCTTCCAATAAGATATAAATTTA", "AGGGCGCGGTAATTTATTTAAAAGAAATTTTGCTACTTTCTTCATTTG", "TTTTATTTAAATGTAGCAATCTACCCATGTAAAAATGTAACAATAATTGGTACATTGGTAAATCGTTATATTAGTACATTATATATG", "CTGTATTAAAAATTTGAGTGCAAAGATACGAAAATATAGAAC", "ATCAGCTAAT", "TACTAAAA", "TTCAGAATTATATTTAACTTAACAAATATACGAAACAATGCACTAAATAGTAAGGAATTTCACAGGTTTTATGTGGTAAATACCCTGAAAAATTCTAACTTTTCTTACTTTTGTTTATTAGTATTATAAAATAAA", "TTTTTTTTAAGTACGAAATTAGAAGTACGAGGTAAGAAAATATGAAGTAAAGAACCGGAAATACAAAAAGTATTTTTTTATATCCGAAATTCTTTTTAACTTCAATTCCTTTTTAAAATCGGAAATT", "TATTTTATTTATACAACATTAT", "AAAGTCCCCGTTTTGGGGATTTTTTATTATCTTAGAATCACACAAAATACTGAAT", "GCAGATGAATAATTCAATAAAGCCTGGTCAGTTTTTTAAACTCTTTTTCGTTCTCATCATTCTCTATCTTGTTATAAGTACAACACAGTATCCTTATATTTTCATCAACAGCTTTTTAAAAGGCTTCTAACTGCTTAACATAAAGCTTATCTTTTTAAATAAATTTTCCGGAATTTCATTTCCAACAAAATGGCCCTGATATTTTATTGACATCAGGACCAGGATTCTCTCAATACGAAAAATATATTCGTGAAATATCGTATTGCTTCTACTAAGTTACATCTTCAAACTGAAAATAGCTAAAGAAACATAGTGATATATATTTCTGAAATATATCATAAGTTGTTTTTTTGTAATGCCTGTTTTTCAGGCTATCTTTAAGAAGGATTAATAAAAGGGCCCTTTATTATCTGTGAATTTATCGAAATTAAAGAAATAATT", "TACAAGTATAATAACTAAGAGGCTGTCCCAAAATATAATATCGAGCCATATCGAAATACCTTTAATCGTTCAGGACAGCCTCTTATTATTTATAATAGTTTCTT", "ATTAAATTTATTTACCCAAAAATAAACATTTAGTATATCATTAGGAAAGTTTTAACGTTCGCTAACATCCCTTCGGAACATTTATTGAAAGTAATACCGAGTAATAAATATTAATTCCAAAATTCACAAACA", "GCCCTAAACTGCCAATCATTTTTAATTATAATTTTACGGAGAAATGGCCTCAATATCTTGAGGCCATTTTT", "TATCCT", "TTAATTAATAAGTAGTGTTACAAAGATACTTCACGAATAAATAAATATTATACCGTTTTCTATAATACACGGAAAATTATTACATAATTAACACTGCTTAAAAATAGATTAAAGCAAAGTCTGTAGCTGACAGAATTGGTCTAATTATTTTTTTGGTTTAAATTTGGGGT", "AAATAAGTTAATAGATTAACCATTAAGATATAAAATAATCTATCCTTTATGGTAAGTCCAACCGTCTCAAGATTAATGTAATACTGATTATTAACCTTGATATGGTTTTTAATAAATGAACTTTGATAAAGTTTCCTTAAAATAAAAAAACTCCCTTTCGGGAGTTTTTATTTTATAACTTAAAGATTGGC", "ATTTCTATTATTAAACTT", "TCTTTATATTTAAAATAAATCGGGAAAATCATACAAAAAACTGATTTTCACACTCCTGAAGTTCAGGATTTAAACAACGGGCTAATTTACGTTTTTTTTACCTAATATTGCCCCTGAAATAAAGCATATTTAATTTTAAAAATCATAAAATTTCTTAAAA", "ATAAAAAAAGAGCCGAAAGTTATCGAATTACTTCCGGCTCTTTTCAGTTTATTTTAAAGAATACTTTTCCGAATCTTTGAAAACAATTCTGATAGTATATATTTTTCGATTATGCTTCTATTTTAATACCTT", "ATTCTGGTGAAAAATTTTTATCTTTGTGCAAATTTAACAAAAATTAAAGAGCA", "AAATACCGCTCACGAATTGTGAGCGTTTTTTTTCAATAAATATTTTATAAAAACAATATAACACCAAATTTAGTA", "TTAATTGATTTGAAAATGAACGAACTTAAAAATCTGTAAATTTTTAAATCTTTAAATTTGCATCTTAT", "AAAGAA", "TATTTTTTCCTGCAAAAATTCCTTAAATTTGATTTACTCAAAACTTGACAGGAATTGCA", "TTAATGATTTTGTGTTGTAAAAATTTGGTTTATAAAATTATAAAATCCACAGCAGTTGCTGTGGATTTTAATTATTTTTCACATAATATTTATATTACGTTTATA", "TGGAAAAAATTTTATTGCGAATTTACGAAAAAGATTGTGAAAAAAATAGCGAAACTAAAAACGAACGAATGAGAATAAACAGCTTATTACAGATTTTACAAAAAAGAGAATACCTCCAGAACCGGCAATACTTTATATCTCAATTCGATAGTCTATAAAAAAGCCTGCTTCAATAACTGAAACAGGCTTTTATAAGGAATTTACAATT", "AATAAAATTATTTTA", "TGTAAAGCATTTTTACAAATATAAGAATTTAGTATTTATTTATTTATCATAAAATTTATTAAAAAACTGCCTCGTAATGCTTATTTACATAAATTAGTTAAAAAAAACGAGAGCTTTAGTA", "TTCTGATATTTATTCAAAAGGAAATATTTCTGCTCTTATTATTTTAAAATT", "AAAATGGCTATTAATCAGTATTGCTACCTGTATTGGTCTATTATAGCTTTGCGAAAATAATCAATTAAGCTAAAAACAACATCAAT", "TTATATTATTCTTTTTCATACAGTAAATAAGGGAAAGAGGTCTTTTGAGATCTCTTTTTCTTATTAGACCTGTCAAGATTTGAAACCTTACTAAAGCTAACAAATATGGGATTTAATTCAATAATAGATTATCAAAATACTCTTCAACTACGCTCAGAGTGACATAGTTCAAGATTTTTATTGAAGTTGTCTAAAGCTCCAAATAAGCATTTAATACAACCCAGAAGTTATAAAAAATAAAAAAGCCTTGTCAAGGTTTGGAACCTTGACAAGGCTAATAAATTATTTGATTTATAAACTATAGAAAAA", "TGGATCGCTGGTTAAATCTGGATGATGTTAAATTTTCGAAAGACAAATATAA", "TGAGTTGACGGTTGTCAGTTGTATAGTATAAAAAAGCAAACAGTTGGCAACACACAGTAAAATACTGCTAATGCCAACTGTTTATTTTAGTCTTTA", "TTATATAAAATCGTATGTTACAGTTAAAAAATTTCAGACTGCAAATATACGACATCGAATACCCCTTTGTCAAGTCTTTGAGAATTAAAGATTTTATAATGCCTCTCATTAGCGTATAACGAGTATTATCCCCCGGATTAGGCTTAATATTTTATTTTAAAGTTTTCAGGAAAAAGCTGTATCGGTTGAATACAAGCCTTTTATAGAGATTATCAAAGAAGTCAGGTAACGATTTGGTAACGGTGCTTATTGCTTTGCTTTTCAACGTGTTTCCGTTAGCTCATAGCAAATATAAATAATTTTAAGTGTTATTTCACAATATCTAAATTCAGATTGCTTTAACAACGTCAGTTTGTGTACTGAACTGACGTTGCATAATTAATAGAAAGCACTGTCCTTGTCTTTTTACT", "TGG", "A", "TTCTACTTATTTAAATATCA", "TGTAATTCGGTTTTGTACCTTTTTAAAAGGTCTCATTGAATTGCTTTGCGTATTTCAAACGTTAATTTGTCTGTCGCTAAATTAGTGAAATTATTTAATTGTATCTCAAAAAACTGTGTATATAATGTGGTTATTTTGTTTAGATGTAGCAGAAAAGGGCGAAACCTATCCTTGGCTCTATAATGACGCATTATGAACAAAAAACGGAACAAGTCGA", "TGAAATATGCCGTACCGTCTTTTCCGTTTTGATGTTTGCCGACAGATACGGCTCAAAGCACTTATTGAAATACGCTACGGAATACCTGCCGTTCATTGTGTCGGGTATCTTGTTCAGCAACAAAACCACTCCGTTTTCCCTGTCCACTTTCTGCTGATTGTACAAAATCGCTCCGTACATATTGCTTCCTTTTCCGATTTTTGCAATCATAATT", "AATAAATCCATTTTAATTTTTTAAACAAACGAGCGACTTCGGAGCCGTTTCCAGCCCCCTGCAAGGGCAAGTGCTTTTGAGGTGCCGAAATTCATTTCGAGGACCTCAAGAGATAACTTGCTCTGAACATGGGTTCAGAAAAATCCGTTTTGCAAACGGATTGTAGTTAGCAGGGAAAACCCATAGCTTCCATTACAAATTTCGGTAAGACTATTTGAATAACAGCTATTTACAACAATGACAATGAACGCCAAATGATGCCACTGAATACCACCTTAAAGCAGATTGGGACTGCTTTTATTTCCTTTGTATATGGCTAGCTAGCTGATTGGTTATATCCTTAATGAAGTAACTAAACAGCATAAATCCCGACAGCAAATCTGCGTGATAGAATGCAGACGAATTGCAGATTTTTGAGTTAATGAACTAACCAATTAAGGGTCTGCAGGCGCTTAGGTAGATAGGTAGATACAACAGTATATACAGCTGATTGCTTAGTTAACCAAACAGCTAATTGGATATAATATTAAAAATGATAGAT", "TAACTATACTTGGTGGGTGGTACGTTTCTACCTCTTAAAATTATTTTCCAAAAGAAAAAAACAGAAAAAAAAGAAAGCCATTTTAACAAGGGGGACAGGCGGAAAAACCAAAAGGAAACGGAATAAGTCCCGAAGGGTGGCAGGGGGCACACAACAAACTCGGCGAAGCCACCATATTTGCCCTGCCATTATGCCGTAGTCTTTTGGTTGGGTGGTGCGGTGGCTGTCCGCCTTATCTTTGCTCCCTTTTTTATTCTTTTTTTATTCAATTCCAATCAAAGAAAGGGTACAAAAAACACGTAAGGGCTAAGGATAGGGTGTTTTTTGTATGCTTTCCTTTATCCTTACAAAACTCTTTAAATGTGTGAGGGCTTTGCGAAGAAAATTTAAAGTGTTTTGAGGATTACTTTCTATTCATATTGTGCCAACATAAGCCAAATACTGCAACATAATGCCAAATGAAAACAATACATTCCCTTGTGTTCTATATTGGGTGCAAATTTTGAACCT", "CCTAGTATTTGGCAACTGTACGCCAAATACCGCCATCGGATGCAACATTAAAATGACCTATGTGAATAGCTTTTATTTTAGAGGTTTAAATGATGCAGAT", "CTGATTAAATGAATGCTTTGAAACTTAAACATATCGGTAAATTAAAAAAACAGCCCACTGCTTAAATGCGGTGGGCTGTGTTGTTTATATT", "TATTCTATTTTTTGTCATTGTTTCTACCAATTGCTAAAATACAAAAAAAGCCAACACATAATAACATTGACTTTCTTTGATTACTTTAATAGACT", "AACCGTAACTTTTCCGTTTTTCTTTGGGGCGTTCTTTTTAAGGTAAAACAATACCTTAAACGTTGATTTTTTTGTCGTTTCCATACTCACAATTCTTAATGATAAAATTAAACCTATGTGAGCTACGGAACAATATGAAAAACTATGCAGAAAGCTGAAATACAGTATTTTAAAACGATTGTTTCTAATATTTAAAAGTAACGTTTTAGTAACCTTACTTTTGCCAAACCTCGCTTTTTACTGCTTTTCACCTCATTACCAAAAAATCACAAAACGGCTGTAAAGCCTTATTTACAACCGTTTTGCCTGTTATTAATCTTTAATGTATTTTTACTGAAACTTTATTTTAAAAATGGAGAATACAAGAATTAAGAACTCTAAAAAACTTATAGGTTCGGCACACCTATAAGGTTTAAATTCACATATAAAACTCCAGAAT", "ATTAATTCTATTTGTGTAGAATAAAAATAAGAAAATAATTTAATTCTACAACAATAGAATAAAAAAATCCCCAACATTTCTGCGGGGATCGATATATTGATGACTGATTTAGA", "ATTATTAAATTTGAGACGCTAATTTATAAAAAAAGCCTCCAGTAAAGAAGGCTTTCGTATGTATTTAAGAAAATT", "TTATTAAATATTTATAAAAACATGAAAAATGCTGAGTATTTATAAAAATATAAATATTCCTCATCTTATAACGAAATAAAAATACTAATTATTATACCGAATCAATGGGTGAGCTGTACTAAAGCTTCATAACTTTTGTTAAAAAAAG", "TTTTTGTTTTTAAATATAAAAAATCTGAGAGTGAGTACTTCTTCTATAATTCTATAGCAGGAAATTTTCTCAATCTCAGATTTTAAGATTAT", "CTCTGTTTCTATTAAATTTATGATTCGCAAATTTAGTAAAAGTATGCTGGACAATACACTATCTTTTAAGTACTTTTTTCAATTCAAAAACGGTATTTTTGCATGATATAAAAATTAGTAATCAGA", "TTTAAGCTATATACAATTATTTAAACTTATTCCAAATTAAAACATAAACATTGTAATTACCAATGTTTTAAATGTTAACTATAAAAGTAATTTGACATTTTTGTCAAAATAAATAAAGTTTGGCATTCCATTTGAAATAATGCTAGCATCAAAAAAGAGATTAAGTTTAAAATTATTAAAAAAAGTAAAATTTAAAATT", "GAAAAAACAACATCAATTATTATAGAGGACTGTCAGCTGACAGTCCTTTTTTATTATGCAACTCCCTGTTTTTGAATGCTTATTTTAGATCAGGCAAAAAAATGGGGATTAAGAAAAAAATCAGATAATAATCTTTCAATAGTTTAATAAAATTTAATTAAGCTAATCTAAAGCGTTTGATTTTTCTTCTAAATGATTTTCATTCCCTGATTAAGATAACGTTCCTCCGGAACGCTTTGAATTTCCATAATTATAATCTATAAAGATAAAGCTCCTAACAAAGCTTCTCATTCATTATAACAGATTGCATTTTCTGTATCAGATTATCAAGATGATCAGTTTGAATCTGTATAATTTGAATAAGCATCTTAAGAGCATGGTAAATTAAATCTAAAAACACTTTAACCACTTGAGCTTATCAACCTAAATAGATAAAGAAGATCACTTAAGATGAACATTTCGACTTCGCTCAATACCTTGATTTTCAAAAAACATAAAGTGCCTTCGGGTGAACTATTATAATGCCATACTGAGCATTTCTAATTTTGCATACTCCTGTCTCTTATTCTTTACTTCTTTTAAAAAGATCTTTCTTTCCTTTCTTTTATCAAGATTCCTGTCTCCTCAAACTGATTTTTATACCTCTTAATCAATTCGTTGAGGCTATTTATCATAATATTATAATTTCTCTTAAAAAGATATTGAATTAATAATTTGAAACACTTCAAAAACATGACTTTTGTCATGTTTAATATCTTTTATATACTACAAAAACCCTCTTTGGCACTTTGTTTGTAATTGTTATGATCTCAAAGTAAAGTAAAATTTAAAATT", "TCAGAAAAGAAAAAACATCAATTATTGATTTCAATAAGAAAAGCCCGATTAAATCGGGCTTTCTTTATTTCCAGAAATAAGGATTTATTTTTTGGCATTTGGTTTGTAGCTTTTCCGGAAGTAAAATTTGATTT", "TCACTTATTATTTAAAATACAGCAAAGGTCAGGCATAATGCTTGGCCTTTTTCTTTAGCATTATCCGTATATTTGCAAAAAACTAGAAAATA", "TTTCTGATTACAATTATATATAATGGGCACTAGGTGCCCATTTTTTATGAGT", "CATAATATCACTTCGTAAT", "GTTTTTAAGCTAATATCAGTTCAGACTTCAAAACTACAAAAAAAGAATCCCCGCAGCAATGAAGCATTGGGGATTCACATGAAAAACAAGGAATCTTTTT", "CAGAATCTACACCTCGCATTTCACCTTTGTAATAAGA", "ATATATGTGTATCCTTGTGTTATTCTTTATTAGTTATTGATTGAAAATAGCTTCAG", "TTTCCTTGCTTTTCGTAAATCTCAGAAATCAGATTTACAATGCAAATTTACGCTGAAAACGAGGCCAAGGTAATGATTAAAGAAAAGATAAAGATGTTCAAAAGTAATATTCAGTTT", "TGGATTGTTTTTAACAAAAGTAAGCAATTATGATTCGCTATCTTTTACAAATAAAAAATAACCACAAAAGAAATGATTCTCTTGTGGTCGGTATAAATGGATTATAGATAGTGGTCAATATTT", "AGCGGAAATATTTTTAAAGTTTGATGATTATTTTGTTAGAACTGAGTTATTATCTCATCAAAGATATTAAATAAAAATAAAAACTTTCTGAAAAATTTTATAAGACCTATGTTCTTTTTATATCAATAATACTTTTATGTTTTTTTATTAAAAATCACAAATTACATCAAAAACACCATTAAACAAACATTATATTCTTTAAAATAAAATTAATAATGAAAATATTAATATATTTTATATATTTATTGCGAAATTTTTAAACTAAACTAAA", "TGTCTGAGGATATTACAAATAGAAAGCTCCCGAAAATTTCGGGAGCTTTAATT", "AATAGCAGAATTTAGTGTTTTATTTAA", "ATTATTATTAAGTTATTTTTCGTAAAAATACAATTTTTTAAAAATCCGAAAAAGATTTTTATTTTTGATAGAAAGAATAAATATTTTATCTTTACGAAACTCACCTTAAAGTAATTTCAAT", "ATAAACAAAATAAAAGCCGAAAAATTTTTCGGCTTTTATTTTATCAAAACTATACC", "TTATAGCTAAACCTCACAGATTTTAAAATTTCCT", "ACAAAATATTTTTTTGTTGAACGCAGAGGAAAAAGCATTAACATGCCATATTCTAAAGATTCGCAGGGCCACTAACATTTAGCAAAGTCTTCTTATTGCTATGCATTTGTGGCTCTGTGGACTTAAGCAGGTTTATTTTCTAACAAATCTATTTACTCAAAAATCCAGAAAGCTTTTATATTTCTGTCTACTTAGAACTTATTTAAATTTTAATTAAAATATTATTAGACTTCGACGAGCTCAGTCTGACACCTATAAAGGAAAATATTTTGGGTGAGCAATGTCACTCTGAGCTTGTCGAAGAGCAGTGATTATAAAATTTGAACAGGCTCTTAATGATCGAATTTTTTACCATTAAGAATTTAAGAGTATTTAGATTCAATTTGCTTTATACCCTTATATTTCGGTAATTTTGGTTATCAAATCTCTTTCTT", "ATATTCAAA", "ACTATTTATCAGAG", "TTTTGTGACGATTGTCACAGGTAATACTCCCCGGCATTTTTGACATTTGTCTTCATAAAAAAGACATT", "TCAGAAGTTCAATTTATGTTGCACCAATACTTTGGTATTGATATATAGCCTTGTCAGAGCTTTGAAACTTTGGCAGGGCTTATCAATTTTTCTCAATTTTAGTTACTTCCAAAATATAAACTCAAAGATCAGGTCATTGTTGATTTTCTGCTGACACGCAGCTGTCATATTATCTATATACCTTTGCTTGTATAAACATTGT", "GCCGGGGAAATTTTAGAAATCACAGGTTTTTAGACTATAACAAACAGGAAATATTCTCTGCAAACAATGTAATATAACCTTTTGAAAACCAATAAATATTATTTCTTTCTCTGTTTGTAACCAGTATAG", "CTCAATAGTTTTTTATTTTCTAAACTGATTATAACAAAATTATGTCCCAGTAAATAAGAAAACAATACCTATTTATCGGCTTTTTTCACCTCCTAACATTAGGAGATTTACAAGGGAAATTGATCAATTTCCCTTACAGTAAAAATGTTAGGCATCTGTTTATTTATCCTGACTTCTGT", "A", "AAAAATTTTAGTTATTCAAATTTCTCAAAAACATCTGAGAATAAAAATACCTAAAATTAGGAGGGTAAAGTGTAATAATCAGATACAAAATTATTTAACAGCCTTACTTTCTCAACTCAAAAGCATTCCGTATCTTTGCTACT", "TTT", "ATAATGCTACAATATACAGATTTTCATAAGGTTGCCTGTTTAGGCAGCCTTATTTATTTTATATGATTTTACATCTGATCTTTATAAATCTGATTAGACAGTTTTATCAAAAAGTCTACTATCAGGGAATTAAATGAAAAAAGATGCCTATTACCACGATGAATACAGTATATTTGTAACTAACCTTATACACATAAAA", "ATTGAG", "TTATACAAAGGAGATTCGGATAGTTATATTCAAAAAAATACAGTAT", "TTAAAATTCCTCTTTTACTTAAATAAAGATATATTACAAAAAGCCCTGCCATATATTTATGACAGGGCTTTCATTTATTATTTAAACAGA", "TCAATTCAGATTTAATATTTCTTAT", "ATA", "GTTATACTTTTTAAACATTACAAAAATGTAATTCCTATTTTAAAACAATCTTAATTTAACCTTAAAATAAGCTTAAAA", "TGAAAGTCTTTTTTACAAATTTATAAAATAACATTGTTACTATTCCTATTTTATAAAATTATACAAAATAAGCTATCGAATACAGAGATAGTTTTTCCCAATCAATATTCTTGCATTCCCTTTACAAAACCTTTAAATTTGTATAGAAATTTTTACGAAAA", "TATTTGGTAGTTGTAAAAATTTTTGGTTGTAATCTTCTAGAGCGTATTGTAATTACAGAGGTTCTTTTTGGGGAATCTATTTGCACCAAAATATTCCATTTCCAGAATTATCAGAAAATAGCATTTATCCTTATTCATTCATATAGCCCAAAAAGAGATTAAATCCCATAATATCTGTAAATATATAAAAGAATATATGTTATAAATTGTAAGTCCGAAAGCTATAGATTTACTATTTAATCAAGATATAATAATACTAAATACTATTATTTATTTTGTTTCTAATTCTTTTATTCTTTTTAGATTATAGTTTTATACTCCCCCGATTAATAATTATTTTGCAAATACTTGAACTATTTATTTAGAATTTTTAATTATATTTTTTTCAAAAAAAAGCTCACTAAATTAAATTATTTGCAACAACTGTTTTTTTGATTATATTTGTAAAATATAGAAAAAGTAATT", "GATCAGAAATTATACTATAAAAAATCCCCGATAAATAATTATCGGGGATTTT" ]
[ true, true, true, false, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, true, true, false, true, true, true, true, true, false, false, false, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, true, true, false, false, false, false, false, false, true, false, true, true, true, true, true, true, true, true, false, true, true, true, true, false, true, false, false, false, false, false, true, true, false, true, true, true, true, true, true, true, false, false, false, false, false, true, true, true, true, true, false, true, false, true, true, true, true, true, true, false, false, true, true, true, true, false, true, true, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, true, true, false, true, true, false, false, false, false, false, true, false, true, true, true, true, false, true, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, true, true, true, true, false, true, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, true, false, false, true, false, false, true, false, true, true, true, true, true, true, false, false, false, false, true, true, false, true, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, false, false, true, true, true, true, true, true, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, true, false, false, false, false, false, true, true, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 171, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 208, 210, 211, 213, 215, 217, 219, 221, 223, 224, 226, 228, 230, 231, 233, 235, 237, 239, 241, 243, 245, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317, 319, 321, 323, 325, 327, 329, 331, 333, 335, 337, 339, 341, 343, 344, 346, 348, 349, 351, 353, 354, 356, 358, 360, 362, 364, 366, 368, 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 391, 393, 394, 396, 398, 400, 402, 403, 404, 406, 408, 410, 412, 413, 415, 417, 419, 421, 423, 425, 427, 429, 431, 433, 434, 436, 438, 440, 441, 443, 445, 447, 449, 451, 453, 455, 457, 459, 461, 463, 465, 467, 469, 471, 473, 475, 477, 479, 481, 483, 485, 487, 489, 491, 493, 495, 497, 499, 501, 503, 505, 507, 508, 510, 512, 514, 516, 518, 520, 522, 524, 526, 528, 530, 532, 534, 536, 537, 538, 540, 542, 544, 546, 548, 550, 551, 553, 555, 557, 559, 561, 563, 565, 567, 569, 571, 573, 575, 577, 579, 581, 583, 585, 587, 589, 591, 593, 595, 597, 599, 601, 603, 605, 607, 608, 610, 611, 612, 614, 616, 618, 620, 622, 624, 626, 628, 630, 632, 634, 636, 638, 640, 642, 644, 646, 648, 650, 652, 654, 656, 658, 660, 662, 664, 666, 668, 670, 672, 674, 676, 678, 680, 682, 684, 686, 688, 690, 692, 693, 695, 697, 699, 701, 703, 705, 707, 709, 710, 711, 712, 714, 716, 718, 720, 722, 724, 726, 728, 730, 732, 734, 735, 737, 739, 741, 743, 745, 746, 748, 750, 752, 754, 756, 758, 760, 762, 764, 766, 768, 770, 772, 774, 776, 778, 780, 782, 784, 786, 788, 789, 791, 793, 795, 797, 799, 801, 803, 805, 807, 809, 811, 813, 815, 817, 819, 820, 822, 824, 826, 827, 829, 830, 832, 834, 836, 838, 840, 842, 844, 846, 848, 850, 852, 854, 856, 858, 860, 862, 864, 866, 868, 869, 871, 873, 875, 877, 879, 881, 883, 885, 887, 888, 889, 891, 893, 895, 897, 899, 901, 903, 905, 907, 909, 911, 913, 915, 917, 918, 920, 922, 924, 926, 928, 930, 932, 934, 936, 938, 940, 942, 944, 946, 947, 949, 950, 952, 953, 954, 956, 958, 960, 962, 964, 966, 968, 969, 971, 973, 974, 975, 977, 979, 981, 983, 985, 987, 988, 989, 990, 992, 993, 994, 995, 996, 997, 998 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 209, 212, 214, 216, 218, 220, 222, 225, 227, 229, 232, 234, 236, 238, 240, 242, 244, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 326, 328, 330, 332, 334, 336, 338, 340, 342, 345, 347, 350, 352, 355, 357, 359, 361, 363, 365, 367, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 390, 392, 395, 397, 399, 401, 405, 407, 409, 411, 414, 416, 418, 420, 422, 424, 426, 428, 430, 432, 435, 437, 439, 442, 444, 446, 448, 450, 452, 454, 456, 458, 460, 462, 464, 466, 468, 470, 472, 474, 476, 478, 480, 482, 484, 486, 488, 490, 492, 494, 496, 498, 500, 502, 504, 506, 509, 511, 513, 515, 517, 519, 521, 523, 525, 527, 529, 531, 533, 535, 539, 541, 543, 545, 547, 549, 552, 554, 556, 558, 560, 562, 564, 566, 568, 570, 572, 574, 576, 578, 580, 582, 584, 586, 588, 590, 592, 594, 596, 598, 600, 602, 604, 606, 609, 613, 615, 617, 619, 621, 623, 625, 627, 629, 631, 633, 635, 637, 639, 641, 643, 645, 647, 649, 651, 653, 655, 657, 659, 661, 663, 665, 667, 669, 671, 673, 675, 677, 679, 681, 683, 685, 687, 689, 691, 694, 696, 698, 700, 702, 704, 706, 708, 713, 715, 717, 719, 721, 723, 725, 727, 729, 731, 733, 736, 738, 740, 742, 744, 747, 749, 751, 753, 755, 757, 759, 761, 763, 765, 767, 769, 771, 773, 775, 777, 779, 781, 783, 785, 787, 790, 792, 794, 796, 798, 800, 802, 804, 806, 808, 810, 812, 814, 816, 818, 821, 823, 825, 828, 831, 833, 835, 837, 839, 841, 843, 845, 847, 849, 851, 853, 855, 857, 859, 861, 863, 865, 867, 870, 872, 874, 876, 878, 880, 882, 884, 886, 890, 892, 894, 896, 898, 900, 902, 904, 906, 908, 910, 912, 914, 916, 919, 921, 923, 925, 927, 929, 931, 933, 935, 937, 939, 941, 943, 945, 948, 951, 955, 957, 959, 961, 963, 965, 967, 970, 972, 976, 978, 980, 982, 984, 986, 991, 999 ]
[ "2841813427|Ga0349638_01|CDS|2841816598|-|3363382:3365628", "2841813427|Ga0349638_01|CDS|2841816599|+|3365799:3366281", "2841813427|Ga0349638_01|CDS|2841816600|+|3366299:3367696", "2841813427|Ga0349638_01|CDS|2841816601|+|3367803:3368018", "2841813427|Ga0349638_01|CDS|2841816602|+|3368062:3368259", "2841813427|Ga0349638_01|CDS|2841816603|+|3368375:3368716", "2841813427|Ga0349638_01|CDS|2841816604|+|3368745:3369047", "2841813427|Ga0349638_01|CDS|2841816605|+|3369167:3369667", "2841813427|Ga0349638_01|CDS|2841816606|-|3369725:3370546", "2841813427|Ga0349638_01|CDS|2841816607|+|3370773:3370949", "2841813427|Ga0349638_01|CDS|2841816608|-|3371028:3372548", "2841813427|Ga0349638_01|CDS|2841816609|+|3372865:3373263", "2841813427|Ga0349638_01|CDS|2841816610|+|3373263:3373823", "2841813427|Ga0349638_01|CDS|2841816611|-|3374010:3374108", "2841813427|Ga0349638_01|CDS|2841816612|+|3374152:3377322", "2841813427|Ga0349638_01|CDS|2841816613|+|3377451:3378833", "2841813427|Ga0349638_01|CDS|2841816614|-|3378918:3379661", "2841813427|Ga0349638_01|CDS|2841816615|-|3379781:3380947", "2841813427|Ga0349638_01|CDS|2841816616|-|3380952:3382328", "2841813427|Ga0349638_01|CDS|2841816617|+|3382438:3384639", "2841813427|Ga0349638_01|CDS|2841816618|+|3384649:3385803", "2841813427|Ga0349638_01|CDS|2841816619|-|3385927:3386592", "2841813427|Ga0349638_01|CDS|2841816620|-|3386594:3388594", "2841813427|Ga0349638_01|CDS|2841816621|-|3388756:3389535", "2841813427|Ga0349638_01|CDS|2841816622|-|3389538:3390635", "2841813427|Ga0349638_01|CDS|2841816623|+|3390734:3391576", "2841813427|Ga0349638_01|CDS|2841816624|-|3391623:3392051", "2841813427|Ga0349638_01|CDS|2841816625|-|3392232:3393155", "2841813427|Ga0349638_01|CDS|2841816626|+|3393445:3394050", "2841813427|Ga0349638_01|CDS|2841816627|-|3394102:3395124", "2841813427|Ga0349638_01|CDS|2841816628|-|3395129:3395233", "2841813427|Ga0349638_01|CDS|2841816629|-|3395386:3396744", "2841813427|Ga0349638_01|CDS|2841816630|-|3396953:3399292", "2841813427|Ga0349638_01|CDS|2841816631|-|3399334:3401163", "2841813427|Ga0349638_01|CDS|2841816632|-|3401235:3402743", "2841813427|Ga0349638_01|CDS|2841816633|-|3402761:3405649", "2841813427|Ga0349638_01|CDS|2841816634|-|3405866:3406624", "2841813427|Ga0349638_01|CDS|2841816635|+|3406733:3408334", "2841813427|Ga0349638_01|CDS|2841816636|+|3408341:3409840", "2841813427|Ga0349638_01|CDS|2841816637|+|3409865:3410596", "2841813427|Ga0349638_01|CDS|2841816638|+|3410634:3411446", "2841813427|Ga0349638_01|CDS|2841816639|-|3411645:3412841", "2841813427|Ga0349638_01|CDS|2841816640|-|3413038:3413967", "2841813427|Ga0349638_01|CDS|2841816641|-|3413980:3415059", "2841813427|Ga0349638_01|CDS|2841816642|+|3415134:3415445", "2841813427|Ga0349638_01|CDS|2841816643|-|3415462:3416313", "2841813427|Ga0349638_01|CDS|2841816644|-|3416374:3416817", "2841813427|Ga0349638_01|CDS|2841816645|-|3416825:3417643", "2841813427|Ga0349638_01|CDS|2841816646|-|3417721:3418161", "2841813427|Ga0349638_01|CDS|2841816647|-|3418285:3419634", "2841813427|Ga0349638_01|CDS|2841816648|-|3419719:3420042", "2841813427|Ga0349638_01|CDS|2841816649|+|3420453:3420638", "2841813427|Ga0349638_01|CDS|2841816650|+|3420736:3421443", "2841813427|Ga0349638_01|CDS|2841816651|+|3421447:3422856", "2841813427|Ga0349638_01|CDS|2841816652|+|3423093:3423593", "2841813427|Ga0349638_01|CDS|2841816653|+|3423619:3424446", "2841813427|Ga0349638_01|CDS|2841816654|+|3424707:3426434", "2841813427|Ga0349638_01|CDS|2841816655|-|3426516:3427916", "2841813427|Ga0349638_01|CDS|2841816656|-|3427906:3428190", "2841813427|Ga0349638_01|CDS|2841816657|+|3428398:3430521", "2841813427|Ga0349638_01|CDS|2841816658|-|3430734:3431147", "2841813427|Ga0349638_01|CDS|2841816659|-|3431286:3431921", "2841813427|Ga0349638_01|CDS|2841816660|-|3432001:3432573", "2841813427|Ga0349638_01|CDS|2841816661|-|3432666:3433103", "2841813427|Ga0349638_01|CDS|2841816662|+|3433303:3433812", "2841813427|Ga0349638_01|CDS|2841816663|-|3433875:3434354", "2841813427|Ga0349638_01|CDS|2841816664|-|3434429:3435001", "2841813427|Ga0349638_01|CDS|2841816665|-|3435239:3437677", "2841813427|Ga0349638_01|CDS|2841816666|-|3437692:3439170", "2841813427|Ga0349638_01|CDS|2841816667|-|3439179:3440411", "2841813427|Ga0349638_01|CDS|2841816668|+|3440635:3441489", "2841813427|Ga0349638_01|CDS|2841816669|-|3441549:3442373", "2841813427|Ga0349638_01|CDS|2841816670|+|3442448:3442909", "2841813427|Ga0349638_01|CDS|2841816671|+|3443012:3443467", "2841813427|Ga0349638_01|CDS|2841816672|-|3443523:3444926", "2841813427|Ga0349638_01|CDS|2841816673|-|3444979:3445524", "2841813427|Ga0349638_01|CDS|2841816674|-|3445524:3446630", "2841813427|Ga0349638_01|CDS|2841816675|+|3446988:3448070", "2841813427|Ga0349638_01|CDS|2841816676|+|3448880:3449407", "2841813427|Ga0349638_01|CDS|2841816677|-|3449473:3451527", "2841813427|Ga0349638_01|CDS|2841816678|+|3451874:3452230", "2841813427|Ga0349638_01|CDS|2841816679|+|3452317:3452718", "2841813427|Ga0349638_01|CDS|2841816680|-|3452810:3453589", "2841813427|Ga0349638_01|CDS|2841816681|+|3453667:3454812", "2841813427|Ga0349638_01|CDS|2841816682|-|3454827:3455825", "2841813427|Ga0349638_01|CDS|2841816683|-|3455865:3457106", "2841813427|Ga0349638_01|CDS|2841816684|+|3457419:3458759", "2841813427|Ga0349638_01|CDS|2841816685|-|3458871:3459845", "2841813427|Ga0349638_01|CDS|2841816686|+|3460080:3462248", "2841813427|Ga0349638_01|CDS|2841816687|-|3462659:3464443", "2841813427|Ga0349638_01|CDS|2841816688|+|3464866:3466173", "2841813427|Ga0349638_01|CDS|2841816689|-|3466253:3466726", "2841813427|Ga0349638_01|CDS|2841816690|-|3466810:3467211", "2841813427|Ga0349638_01|CDS|2841816691|-|3467296:3468972", "2841813427|Ga0349638_01|CDS|2841816692|-|3469004:3469720", "2841813427|Ga0349638_01|CDS|2841816693|-|3470035:3471702", "2841813427|Ga0349638_01|CDS|2841816694|-|3471733:3472449", "2841813427|Ga0349638_01|CDS|2841816695|+|3472660:3472998", "2841813427|Ga0349638_01|CDS|2841816696|+|3473065:3473265", "2841813427|Ga0349638_01|CDS|2841816697|+|3473296:3474546", "2841813427|Ga0349638_01|CDS|2841816698|+|3474621:3476051", "2841813427|Ga0349638_01|CDS|2841816699|+|3476128:3477267", "2841813427|Ga0349638_01|CDS|2841816700|+|3477446:3477982", "2841813427|Ga0349638_01|CDS|2841816701|+|3478020:3478628", "2841813427|Ga0349638_01|CDS|2841816702|+|3478669:3479253", "2841813427|Ga0349638_01|CDS|2841816703|-|3479468:3480589", "2841813427|Ga0349638_01|CDS|2841816704|-|3480590:3481180", "2841813427|Ga0349638_01|CDS|2841816705|-|3481185:3482567", "2841813427|Ga0349638_01|CDS|2841816706|-|3482554:3483729", "2841813427|Ga0349638_01|CDS|2841816707|+|3483876:3485414", "2841813427|Ga0349638_01|CDS|2841816708|+|3485469:3487400", "2841813427|Ga0349638_01|CDS|2841816709|+|3487438:3487962", "2841813427|Ga0349638_01|CDS|2841816710|+|3488071:3488268", "2841813427|Ga0349638_01|CDS|2841816711|+|3488391:3488735", "2841813427|Ga0349638_01|CDS|2841816712|+|3488837:3490225", "2841813427|Ga0349638_01|CDS|2841816713|+|3490212:3491132", "2841813427|Ga0349638_01|CDS|2841816714|+|3491136:3492188", "2841813427|Ga0349638_01|CDS|2841816715|+|3492311:3492907", "2841813427|Ga0349638_01|CDS|2841816716|+|3492986:3494044", "2841813427|Ga0349638_01|CDS|2841816717|+|3494037:3494777", "2841813427|Ga0349638_01|CDS|2841816718|-|3494786:3495694", "2841813427|Ga0349638_01|CDS|2841816719|-|3495853:3497217", "2841813427|Ga0349638_01|CDS|2841816720|+|3497567:3498496", "2841813427|Ga0349638_01|CDS|2841816721|-|3498589:3500022", "2841813427|Ga0349638_01|CDS|2841816722|-|3500028:3504524", "2841813427|Ga0349638_01|CDS|2841816723|-|3505008:3507866", "2841813427|Ga0349638_01|CDS|2841816724|+|3508100:3508666", "2841813427|Ga0349638_01|CDS|2841816725|+|3508694:3509155", "2841813427|Ga0349638_01|CDS|2841816726|-|3509536:3509817", "2841813427|Ga0349638_01|CDS|2841816727|-|3509923:3511014", "2841813427|Ga0349638_01|CDS|2841816728|-|3511026:3513233", "2841813427|Ga0349638_01|CDS|2841816729|+|3513307:3514266", "2841813427|Ga0349638_01|CDS|2841816730|+|3514270:3515124", "2841813427|Ga0349638_01|CDS|2841816731|+|3515140:3516786", "2841813427|Ga0349638_01|CDS|2841816732|+|3516891:3517532", "2841813427|Ga0349638_01|CDS|2841816733|-|3517596:3518405", "2841813427|Ga0349638_01|CDS|2841816734|-|3518457:3518990", "2841813427|Ga0349638_01|CDS|2841816735|-|3519061:3519387", "2841813427|Ga0349638_01|CDS|2841816736|-|3519455:3520018", "2841813427|Ga0349638_01|CDS|2841816737|-|3520106:3523591", "2841813427|Ga0349638_01|CDS|2841816738|+|3523834:3524871", "2841813427|Ga0349638_01|CDS|2841816739|+|3524946:3526217", "2841813427|Ga0349638_01|CDS|2841816740|+|3526296:3527111", "2841813427|Ga0349638_01|CDS|2841816741|+|3527186:3527647", "2841813427|Ga0349638_01|CDS|2841816742|+|3527729:3529060", "2841813427|Ga0349638_01|CDS|2841816743|+|3529070:3530725", "2841813427|Ga0349638_01|CDS|2841816744|+|3530772:3531206", "2841813427|Ga0349638_01|CDS|2841816745|-|3531316:3532629", "2841813427|Ga0349638_01|CDS|2841816746|-|3532797:3535328", "2841813427|Ga0349638_01|CDS|2841816747|-|3535495:3535779", "2841813427|Ga0349638_01|CDS|2841816748|-|3535836:3537344", "2841813427|Ga0349638_01|CDS|2841816749|+|3537447:3538367", "2841813427|Ga0349638_01|CDS|2841816750|-|3538405:3539898", "2841813427|Ga0349638_01|CDS|2841816751|-|3540053:3542131", "2841813427|Ga0349638_01|CDS|2841816752|+|3542400:3543407", "2841813427|Ga0349638_01|CDS|2841816753|-|3543921:3544454", "2841813427|Ga0349638_01|CDS|2841816754|-|3544556:3545218", "2841813427|Ga0349638_01|CDS|2841816755|-|3545249:3545983", "2841813427|Ga0349638_01|CDS|2841816756|-|3546000:3546362", "2841813427|Ga0349638_01|CDS|2841816757|-|3546393:3547646", "2841813427|Ga0349638_01|CDS|2841816758|-|3547692:3549404", "2841813427|Ga0349638_01|CDS|2841816759|-|3549408:3550109", "2841813427|Ga0349638_01|CDS|2841816760|-|3550149:3551099", "2841813427|Ga0349638_01|CDS|2841816761|-|3551115:3551702", "2841813427|Ga0349638_01|CDS|2841816763|-|3551966:3552652", "2841813427|Ga0349638_01|CDS|2841816764|-|3552659:3553108", "2841813427|Ga0349638_01|CDS|2841816765|-|3553115:3554035", "2841813427|Ga0349638_01|CDS|2841816766|-|3554105:3554941", "2841813427|Ga0349638_01|CDS|2841816767|+|3555066:3555548", "2841813427|Ga0349638_01|CDS|2841816768|-|3555557:3556690", "2841813427|Ga0349638_01|CDS|2841816769|-|3556702:3557712", "2841813427|Ga0349638_01|CDS|2841816770|-|3557753:3559267", "2841813427|Ga0349638_01|CDS|2841816771|+|3559452:3560141", "2841813427|Ga0349638_01|CDS|2841816772|+|3560149:3560790", "2841813427|Ga0349638_01|CDS|2841816773|+|3560910:3561677", "2841813427|Ga0349638_01|CDS|2841816774|+|3561685:3562710", "2841813427|Ga0349638_01|CDS|2841816775|+|3562710:3565259", "2841813427|Ga0349638_01|CDS|2841816776|+|3565265:3565828", "2841813427|Ga0349638_01|CDS|2841816777|+|3565873:3566025", "2841813427|Ga0349638_01|CDS|2841816778|-|3566022:3566669", "2841813427|Ga0349638_01|CDS|2841816779|-|3566872:3568875", "2841813427|Ga0349638_01|CDS|2841816780|-|3568990:3569448", "2841813427|Ga0349638_01|CDS|2841816781|-|3569449:3569886", "2841813427|Ga0349638_01|CDS|2841816782|-|3569920:3570342", "2841813427|Ga0349638_01|CDS|2841816783|-|3570370:3571224", "2841813427|Ga0349638_01|CDS|2841816784|-|3571285:3571695", "2841813427|Ga0349638_01|CDS|2841816785|-|3571790:3572230", "2841813427|Ga0349638_01|CDS|2841816786|-|3572249:3573022", "2841813427|Ga0349638_01|CDS|2841816787|-|3573025:3573549", "2841813427|Ga0349638_01|CDS|2841816788|-|3573739:3574326", "2841813427|Ga0349638_01|CDS|2841816789|-|3574310:3574696", "2841813427|Ga0349638_01|CDS|2841816790|-|3574708:3575919", "2841813427|Ga0349638_01|CDS|2841816791|-|3575989:3576486", "2841813427|Ga0349638_01|CDS|2841816792|-|3576607:3577395", "2841813427|Ga0349638_01|CDS|2841816793|+|3577558:3579777", "2841813427|Ga0349638_01|CDS|2841816794|+|3579931:3582327", "2841813427|Ga0349638_01|CDS|2841816795|-|3582399:3582746", "2841813427|Ga0349638_01|CDS|2841816796|-|3582761:3583375", "2841813427|Ga0349638_01|CDS|2841816797|-|3583482:3583823", "2841813427|Ga0349638_01|CDS|2841816798|+|3583916:3584551", "2841813427|Ga0349638_01|CDS|2841816799|-|3584608:3585654", "2841813427|Ga0349638_01|CDS|2841816800|-|3585718:3586635", "2841813427|Ga0349638_01|CDS|2841816801|-|3586688:3587065", "2841813427|Ga0349638_01|CDS|2841816802|-|3587049:3587483", "2841813427|Ga0349638_01|CDS|2841816803|-|3587752:3588957", "2841813427|Ga0349638_01|CDS|2841816804|-|3588966:3589922", "2841813427|Ga0349638_01|CDS|2841816805|-|3589933:3591354", "2841813427|Ga0349638_01|CDS|2841816806|-|3591594:3592367", "2841813427|Ga0349638_01|CDS|2841816807|-|3592324:3592473", "2841813427|Ga0349638_01|CDS|2841816808|-|3592427:3592753", "2841813427|Ga0349638_01|CDS|2841816809|-|3593260:3599118", "2841813427|Ga0349638_01|CDS|2841816810|+|3599138:3599890", "2841813427|Ga0349638_01|CDS|2841816811|+|3599923:3601002", "2841813427|Ga0349638_01|CDS|2841816812|+|3601228:3601521", "2841813427|Ga0349638_01|CDS|2841816813|-|3601499:3603535", "2841813427|Ga0349638_01|CDS|2841816814|-|3603555:3604205", "2841813427|Ga0349638_01|CDS|2841816815|+|3604505:3604648", "2841813427|Ga0349638_01|CDS|2841816816|+|3605436:3608390", "2841813427|Ga0349638_01|CDS|2841816817|+|3608397:3609863", "2841813427|Ga0349638_01|CDS|2841816818|+|3610293:3611645", "2841813427|Ga0349638_01|CDS|2841816819|+|3611891:3612430", "2841813427|Ga0349638_01|CDS|2841816820|+|3612718:3614136", "2841813427|Ga0349638_01|CDS|2841816821|+|3614149:3615630", "2841813427|Ga0349638_01|CDS|2841816822|+|3615656:3616366", "2841813427|Ga0349638_01|CDS|2841816823|-|3616426:3617217", "2841813427|Ga0349638_01|CDS|2841816824|-|3617218:3618417", "2841813427|Ga0349638_01|CDS|2841816825|-|3618467:3619753", "2841813427|Ga0349638_01|CDS|2841816826|-|3619775:3620467", "2841813427|Ga0349638_01|CDS|2841816827|+|3620797:3621732", "2841813427|Ga0349638_01|CDS|2841816828|+|3621725:3622426", "2841813427|Ga0349638_01|CDS|2841816829|-|3622490:3623323", "2841813427|Ga0349638_01|CDS|2841816830|-|3623336:3624718", "2841813427|Ga0349638_01|CDS|2841816831|+|3625608:3626420", "2841813427|Ga0349638_01|CDS|2841816832|+|3626487:3627383", "2841813427|Ga0349638_01|CDS|2841816835|+|3627814:3628860", "2841813427|Ga0349638_01|CDS|2841816836|+|3628896:3629507", "2841813427|Ga0349638_01|CDS|2841816837|+|3629566:3630375", "2841813427|Ga0349638_01|CDS|2841816838|+|3630442:3631710", "2841813427|Ga0349638_01|CDS|2841816839|-|3631800:3632288", "2841813427|Ga0349638_01|CDS|2841816840|+|3632384:3632791", "2841813427|Ga0349638_01|CDS|2841816841|+|3632796:3633446", "2841813427|Ga0349638_01|CDS|2841816842|+|3633582:3634124", "2841813427|Ga0349638_01|CDS|2841816843|-|3634240:3634422", "2841813427|Ga0349638_01|CDS|2841816844|-|3634438:3634968", "2841813427|Ga0349638_01|CDS|2841816845|+|3635402:3637096", "2841813427|Ga0349638_01|CDS|2841816846|+|3637282:3637881", "2841813427|Ga0349638_01|CDS|2841816847|+|3637898:3639244", "2841813427|Ga0349638_01|CDS|2841816848|+|3639267:3640343", "2841813427|Ga0349638_01|CDS|2841816849|+|3640377:3643550", "2841813427|Ga0349638_01|CDS|2841816850|+|3643649:3644131", "2841813427|Ga0349638_01|CDS|2841816851|+|3644143:3644556", "2841813427|Ga0349638_01|CDS|2841816852|-|3644676:3645050", "2841813427|Ga0349638_01|CDS|2841816853|-|3645145:3649806", "2841813427|Ga0349638_01|CDS|2841816854|+|3650042:3652114", "2841813427|Ga0349638_01|CDS|2841816855|+|3652160:3654379", "2841813427|Ga0349638_01|CDS|2841816856|+|3654566:3655837", "2841813427|Ga0349638_01|CDS|2841816857|+|3655892:3656416", "2841813427|Ga0349638_01|CDS|2841816858|-|3656420:3657223", "2841813427|Ga0349638_01|CDS|2841816859|-|3657238:3658905", "2841813427|Ga0349638_01|CDS|2841816860|-|3659086:3660078", "2841813427|Ga0349638_01|CDS|2841816861|-|3660143:3661306", "2841813427|Ga0349638_01|CDS|2841816862|-|3661348:3661728", "2841813427|Ga0349638_01|CDS|2841816863|+|3661810:3662532", "2841813427|Ga0349638_01|CDS|2841816864|+|3662533:3663225", "2841813427|Ga0349638_01|CDS|2841816865|-|3663296:3664708", "2841813427|Ga0349638_01|CDS|2841816866|-|3664772:3665752", "2841813427|Ga0349638_01|CDS|2841816867|+|3665901:3667376", "2841813427|Ga0349638_01|CDS|2841816868|-|3667489:3667899", "2841813427|Ga0349638_01|CDS|2841816869|+|3668206:3669528", "2841813427|Ga0349638_01|CDS|2841816870|+|3669642:3671039", "2841813427|Ga0349638_01|CDS|2841816871|+|3671099:3671635", "2841813427|Ga0349638_01|CDS|2841816872|+|3671662:3672774", "2841813427|Ga0349638_01|CDS|2841816873|+|3673002:3673478", "2841813427|Ga0349638_01|CDS|2841816874|-|3673578:3674213", "2841813427|Ga0349638_01|CDS|2841816875|-|3674225:3674740", "2841813427|Ga0349638_01|CDS|2841816877|+|3675745:3678489", "2841813427|Ga0349638_01|CDS|2841816878|+|3679255:3679833", "2841813427|Ga0349638_01|CDS|2841816879|+|3679922:3680722", "2841813427|Ga0349638_01|CDS|2841816880|+|3680715:3683477", "2841813427|Ga0349638_01|CDS|2841816881|+|3683474:3684013", "2841813427|Ga0349638_01|CDS|2841816882|+|3684015:3684524", "2841813427|Ga0349638_01|CDS|2841816883|+|3684787:3685038", "2841813427|Ga0349638_01|CDS|2841816884|+|3685250:3685627", "2841813427|Ga0349638_01|CDS|2841816885|+|3685820:3686218", "2841813427|Ga0349638_01|CDS|2841816886|+|3686572:3687906", "2841813427|Ga0349638_01|CDS|2841816887|-|3688086:3688679", "2841813427|Ga0349638_01|CDS|2841816888|-|3688649:3688813", "2841813427|Ga0349638_01|CDS|2841816889|+|3688914:3689477", "2841813427|Ga0349638_01|CDS|2841816890|+|3689563:3691983", "2841813427|Ga0349638_01|CDS|2841816891|+|3692109:3692354", "2841813427|Ga0349638_01|CDS|2841816892|+|3692410:3692967", "2841813427|Ga0349638_01|CDS|2841816893|+|3693205:3694263", "2841813427|Ga0349638_01|CDS|2841816894|+|3694285:3697383", "2841813427|Ga0349638_01|CDS|2841816895|+|3697509:3698858", "2841813427|Ga0349638_01|CDS|2841816896|+|3698901:3700319", "2841813427|Ga0349638_01|CDS|2841816897|+|3700549:3700776", "2841813427|Ga0349638_01|CDS|2841816898|+|3700921:3702105", "2841813427|Ga0349638_01|CDS|2841816899|+|3702192:3702938", "2841813427|Ga0349638_01|CDS|2841816900|+|3703206:3704354", "2841813427|Ga0349638_01|CDS|2841816901|-|3704427:3706442", "2841813427|Ga0349638_01|CDS|2841816902|-|3706725:3707414", "2841813427|Ga0349638_01|CDS|2841816903|+|3707646:3708623", "2841813427|Ga0349638_01|CDS|2841816904|-|3708684:3709502", "2841813427|Ga0349638_01|CDS|2841816905|-|3709504:3709980", "2841813427|Ga0349638_01|CDS|2841816906|+|3710169:3710681", "2841813427|Ga0349638_01|CDS|2841816907|+|3710802:3711371", "2841813427|Ga0349638_01|CDS|2841816908|+|3711438:3712148", "2841813427|Ga0349638_01|CDS|2841816909|+|3712175:3712987", "2841813427|Ga0349638_01|CDS|2841816910|-|3713074:3713262", "2841813427|Ga0349638_01|CDS|2841816911|+|3713590:3713946", "2841813427|Ga0349638_01|CDS|2841816912|+|3714132:3715469", "2841813427|Ga0349638_01|CDS|2841816913|+|3715531:3716250", "2841813427|Ga0349638_01|CDS|2841816914|-|3716471:3717463", "2841813427|Ga0349638_01|CDS|2841816915|-|3717626:3719263", "2841813427|Ga0349638_01|CDS|2841816916|-|3719809:3722472", "2841813427|Ga0349638_01|CDS|2841816917|-|3722472:3723914", "2841813427|Ga0349638_01|CDS|2841816918|-|3724206:3724607", "2841813427|Ga0349638_01|CDS|2841816919|-|3724607:3725440", "2841813427|Ga0349638_01|CDS|2841816920|-|3725437:3726093", "2841813427|Ga0349638_01|CDS|2841816921|-|3726312:3726725", "2841813427|Ga0349638_01|CDS|2841816922|-|3726821:3727855", "2841813427|Ga0349638_01|CDS|2841816923|-|3727859:3728473", "2841813427|Ga0349638_01|CDS|2841816924|-|3728492:3728962", "2841813427|Ga0349638_01|CDS|2841816925|-|3729037:3729366", "2841813427|Ga0349638_01|CDS|2841816926|+|3729542:3729883", "2841813427|Ga0349638_01|CDS|2841816927|-|3729953:3730918", "2841813427|Ga0349638_01|CDS|2841816928|-|3731193:3731546", "2841813427|Ga0349638_01|CDS|2841816929|-|3732028:3733443", "2841813427|Ga0349638_01|CDS|2841816930|+|3734188:3734832", "2841813427|Ga0349638_01|CDS|2841816931|+|3735095:3735292", "2841813427|Ga0349638_01|CDS|2841816932|+|3735366:3737111", "2841813427|Ga0349638_01|CDS|2841816933|+|3737267:3737881", "2841813427|Ga0349638_01|CDS|2841816934|+|3738027:3738509", "2841813427|Ga0349638_01|CDS|2841816935|+|3738899:3739414", "2841813427|Ga0349638_01|CDS|2841816936|+|3739488:3740693", "2841813427|Ga0349638_01|CDS|2841816937|-|3741086:3741508", "2841813427|Ga0349638_01|CDS|2841816938|-|3741531:3741878", "2841813427|Ga0349638_01|CDS|2841816939|-|3741948:3742706", "2841813427|Ga0349638_01|CDS|2841816940|-|3742931:3744625", "2841813427|Ga0349638_01|CDS|2841816941|-|3744637:3745059", "2841813427|Ga0349638_01|CDS|2841816942|-|3745349:3745990", "2841813427|Ga0349638_01|CDS|2841816943|-|3746075:3746725", "2841813427|Ga0349638_01|CDS|2841816944|+|3747332:3747760", "2841813427|Ga0349638_01|CDS|2841816945|+|3747805:3747927", "2841813427|Ga0349638_01|CDS|2841816946|+|3748209:3748976", "2841813427|Ga0349638_01|CDS|2841816947|+|3749165:3749866", "2841813427|Ga0349638_01|CDS|2841816948|+|3749879:3751255", "2841813427|Ga0349638_01|CDS|2841816949|-|3751316:3752155", "2841813427|Ga0349638_01|CDS|2841816950|-|3752170:3753021", "2841813427|Ga0349638_01|CDS|2841816951|-|3753287:3753730", "2841813427|Ga0349638_01|CDS|2841816952|-|3754003:3754281", "2841813427|Ga0349638_01|CDS|2841816953|-|3754369:3754503", "2841813427|Ga0349638_01|CDS|2841816954|-|3754506:3755075", "2841813427|Ga0349638_01|CDS|2841816955|-|3755118:3755507", "2841813427|Ga0349638_01|CDS|2841816956|-|3755530:3756447", "2841813427|Ga0349638_01|CDS|2841816957|-|3756488:3757081", "2841813427|Ga0349638_01|CDS|2841816958|-|3757094:3758158", "2841813427|Ga0349638_01|CDS|2841816959|-|3758166:3759242", "2841813427|Ga0349638_01|CDS|2841816960|-|3759524:3760090", "2841813427|Ga0349638_01|CDS|2841816961|-|3760091:3760468", "2841813427|Ga0349638_01|CDS|2841816962|+|3760694:3761392", "2841813427|Ga0349638_01|CDS|2841816963|+|3761415:3764087", "2841813427|Ga0349638_01|CDS|2841816964|+|3764509:3765612", "2841813427|Ga0349638_01|CDS|2841816965|+|3765726:3768836", "2841813427|Ga0349638_01|CDS|2841816966|+|3768925:3770193", "2841813427|Ga0349638_01|CDS|2841816967|-|3770326:3771090", "2841813427|Ga0349638_01|CDS|2841816968|-|3771379:3772488", "2841813427|Ga0349638_01|CDS|2841816969|-|3772766:3773530", "2841813427|Ga0349638_01|CDS|2841816970|-|3773523:3774143", "2841813427|Ga0349638_01|CDS|2841816971|-|3774133:3774747", "2841813427|Ga0349638_01|CDS|2841816972|-|3774744:3776552", "2841813427|Ga0349638_01|CDS|2841816973|-|3776582:3776788", "2841813427|Ga0349638_01|CDS|2841816974|+|3776822:3776971", "2841813427|Ga0349638_01|CDS|2841816976|+|3777079:3778080", "2841813427|Ga0349638_01|CDS|2841816977|+|3778274:3779176", "2841813427|Ga0349638_01|CDS|2841816978|+|3779201:3780490", "2841813427|Ga0349638_01|CDS|2841816979|-|3780590:3781258", "2841813427|Ga0349638_01|CDS|2841816980|-|3781408:3782283", "2841813427|Ga0349638_01|CDS|2841816981|-|3782334:3783233", "2841813427|Ga0349638_01|CDS|2841816982|-|3783401:3783964", "2841813427|Ga0349638_01|CDS|2841816983|-|3783999:3784790", "2841813427|Ga0349638_01|CDS|2841816984|-|3784798:3786195", "2841813427|Ga0349638_01|CDS|2841816985|-|3786188:3787225", "2841813427|Ga0349638_01|CDS|2841816986|-|3787258:3788499", "2841813427|Ga0349638_01|CDS|2841816987|+|3788638:3789081", "2841813427|Ga0349638_01|CDS|2841816988|+|3789103:3790647", "2841813427|Ga0349638_01|CDS|2841816989|+|3790792:3791202", "2841813427|Ga0349638_01|CDS|2841816990|+|3791242:3792432", "2841813427|Ga0349638_01|CDS|2841816991|+|3792433:3792801", "2841813427|Ga0349638_01|CDS|2841816992|+|3793083:3794591", "2841813427|Ga0349638_01|CDS|2841816993|+|3794814:3795656", "2841813427|Ga0349638_01|CDS|2841816994|+|3795741:3796217", "2841813427|Ga0349638_01|CDS|2841816995|-|3796237:3796986", "2841813427|Ga0349638_01|CDS|2841816996|-|3797006:3798424", "2841813427|Ga0349638_01|CDS|2841816997|-|3798699:3799361", "2841813427|Ga0349638_01|CDS|2841816998|-|3799399:3800439", "2841813427|Ga0349638_01|CDS|2841816999|-|3800515:3801081", "2841813427|Ga0349638_01|CDS|2841817000|-|3801185:3802225", "2841813427|Ga0349638_01|CDS|2841817001|-|3802448:3803032", "2841813427|Ga0349638_01|CDS|2841817002|+|3803245:3804609", "2841813427|Ga0349638_01|CDS|2841817003|+|3804622:3805815", "2841813427|Ga0349638_01|CDS|2841817004|-|3805862:3805990", "2841813427|Ga0349638_01|CDS|2841817005|-|3806348:3808537", "2841813427|Ga0349638_01|CDS|2841817006|-|3808771:3809319", "2841813427|Ga0349638_01|CDS|2841817007|-|3809388:3809657", "2841813427|Ga0349638_01|CDS|2841817008|+|3809829:3810410", "2841813427|Ga0349638_01|CDS|2841817009|+|3810490:3811800", "2841813427|Ga0349638_01|CDS|2841817010|+|3811821:3812546", "2841813427|Ga0349638_01|CDS|2841817011|-|3812668:3812958", "2841813427|Ga0349638_01|CDS|2841817012|-|3812966:3813412", "2841813427|Ga0349638_01|CDS|2841817013|-|3813412:3814839", "2841813427|Ga0349638_01|CDS|2841817014|+|3814886:3815653", "2841813427|Ga0349638_01|CDS|2841817016|+|3815877:3816215", "2841813427|Ga0349638_01|CDS|2841817017|-|3816485:3817318", "2841813427|Ga0349638_01|CDS|2841817018|-|3817404:3817685", "2841813427|Ga0349638_01|CDS|2841817019|-|3817976:3818071", "2841813427|Ga0349638_01|CDS|2841817020|+|3818819:3820222", "2841813427|Ga0349638_01|CDS|2841817021|-|3820305:3820553", "2841813427|Ga0349638_01|CDS|2841817022|+|3821017:3822336", "2841813427|Ga0349638_01|CDS|2841817023|-|3822409:3822762", "2841813427|Ga0349638_01|CDS|2841817024|-|3822782:3823201", "2841813427|Ga0349638_01|CDS|2841817025|-|3823395:3824183", "2841813427|Ga0349638_01|CDS|2841817026|+|3824414:3825052", "2841813427|Ga0349638_01|CDS|2841817027|-|3825145:3826728", "2841813427|Ga0349638_01|CDS|2841817028|-|3826774:3826947", "2841813427|Ga0349638_01|CDS|2841817029|-|3827288:3827986", "2841813427|Ga0349638_01|CDS|2841817030|-|3827986:3828438", "2841813427|Ga0349638_01|CDS|2841817031|-|3828443:3828802", "2841813427|Ga0349638_01|CDS|2841817032|-|3828904:3829239", "2841813427|Ga0349638_01|CDS|2841817033|-|3829368:3830204", "2841813427|Ga0349638_01|CDS|2841817034|-|3830188:3831684", "2841813427|Ga0349638_01|CDS|2841817035|-|3832114:3832833", "2841813427|Ga0349638_01|CDS|2841817036|-|3832826:3833869", "2841813427|Ga0349638_01|CDS|2841817037|+|3834025:3836466", "2841813427|Ga0349638_01|CDS|2841817038|-|3836727:3837398", "2841813427|Ga0349638_01|CDS|2841817039|-|3837528:3838112", "2841813427|Ga0349638_01|CDS|2841817040|+|3838364:3839392", "2841813427|Ga0349638_01|CDS|2841817041|-|3839578:3839856", "2841813427|Ga0349638_01|CDS|2841817042|-|3840100:3842160", "2841813427|Ga0349638_01|CDS|2841817043|-|3842184:3842381", "2841813427|Ga0349638_01|CDS|2841817044|-|3842667:3843629", "2841813427|Ga0349638_01|CDS|2841817045|-|3843774:3844784", "2841813427|Ga0349638_01|CDS|2841817046|+|3845114:3845950", "2841813427|Ga0349638_01|CDS|2841817047|+|3846107:3846952", "2841813427|Ga0349638_01|CDS|2841817048|+|3846957:3848249", "2841813427|Ga0349638_01|CDS|2841817049|+|3848262:3849119", "2841813427|Ga0349638_01|CDS|2841817050|+|3849248:3849724", "2841813427|Ga0349638_01|CDS|2841817051|+|3849736:3850371", "2841813427|Ga0349638_01|CDS|2841817052|+|3850402:3850824", "2841813427|Ga0349638_01|CDS|2841817053|+|3850851:3851705", "2841813427|Ga0349638_01|CDS|2841817054|+|3852134:3852802", "2841813427|Ga0349638_01|CDS|2841817055|+|3852833:3853126", "2841813427|Ga0349638_01|CDS|2841817056|+|3853104:3853682", "2841813427|Ga0349638_01|CDS|2841817057|+|3853755:3854735", "2841813427|Ga0349638_01|CDS|2841817058|+|3854818:3855396", "2841813427|Ga0349638_01|CDS|2841817059|-|3855446:3856810", "2841813427|Ga0349638_01|CDS|2841817060|-|3856964:3858397", "2841813427|Ga0349638_01|CDS|2841817061|+|3859114:3860406", "2841813427|Ga0349638_01|CDS|2841817062|-|3860590:3861462", "2841813427|Ga0349638_01|CDS|2841817063|-|3861568:3862596", "2841813427|Ga0349638_01|CDS|2841817064|-|3862601:3863251", "2841813427|Ga0349638_01|CDS|2841817065|-|3863254:3864030", "2841813427|Ga0349638_01|CDS|2841817066|-|3864030:3865070", "2841813427|Ga0349638_01|CDS|2841817067|-|3865054:3865932", "2841813427|Ga0349638_01|CDS|2841817068|-|3866111:3867313", "2841813427|Ga0349638_01|CDS|2841817069|-|3867546:3868844", "2841813427|Ga0349638_01|CDS|2841817070|-|3868893:3870191", "2841813427|Ga0349638_01|CDS|2841817071|-|3870316:3872748", "2841813427|Ga0349638_01|CDS|2841817072|-|3872844:3875276", "2841813427|Ga0349638_01|CDS|2841817073|-|3875412:3877841", "2841813427|Ga0349638_01|CDS|2841817074|-|3877923:3880343", "2841813427|Ga0349638_01|CDS|2841817075|-|3880477:3882891", "2841813427|Ga0349638_01|CDS|2841817076|-|3882959:3885376", "2841813427|Ga0349638_01|CDS|2841817077|-|3885504:3886238", "2841813427|Ga0349638_01|CDS|2841817078|-|3886290:3887111", "2841813427|Ga0349638_01|CDS|2841817079|-|3887123:3888535", "2841813427|Ga0349638_01|CDS|2841817080|-|3888537:3889781", "2841813427|Ga0349638_01|CDS|2841817081|+|3889929:3891275", "2841813427|Ga0349638_01|CDS|2841817082|+|3891275:3892594", "2841813427|Ga0349638_01|CDS|2841817083|+|3892628:3892789", "2841813427|Ga0349638_01|CDS|2841817084|+|3892835:3893170", "2841813427|Ga0349638_01|CDS|2841817085|+|3893403:3896378", "2841813427|Ga0349638_01|CDS|2841817086|+|3896390:3897835", "2841813427|Ga0349638_01|CDS|2841817087|+|3897856:3899439", "2841813427|Ga0349638_01|CDS|2841817088|+|3899561:3900013", "2841813427|Ga0349638_01|CDS|2841817089|+|3900089:3900289", "2841813427|Ga0349638_01|CDS|2841817091|-|3900684:3900893", "2841813427|Ga0349638_01|CDS|2841817092|-|3900925:3902025", "2841813427|Ga0349638_01|CDS|2841817093|-|3902176:3902400", "2841813427|Ga0349638_01|CDS|2841817094|-|3902577:3903191", "2841813427|Ga0349638_01|CDS|2841817095|-|3903198:3904028", "2841813427|Ga0349638_01|CDS|2841817096|-|3904033:3904371", "2841813427|Ga0349638_01|CDS|2841817097|-|3904390:3906726", "2841813427|Ga0349638_01|CDS|2841817098|-|3906719:3907597", "2841813427|Ga0349638_01|CDS|2841817099|-|3907651:3910944", "2841813427|Ga0349638_01|CDS|2841817100|-|3910931:3915310", "2841813427|Ga0349638_01|CDS|2841817101|-|3915313:3915954", "2841813427|Ga0349638_01|CDS|2841817102|-|3915941:3916183", "2841813427|Ga0349638_01|CDS|2841817103|-|3916173:3916628", "2841813427|Ga0349638_01|CDS|2841817104|-|3916632:3916811", "2841813427|Ga0349638_01|CDS|2841817105|-|3916823:3917722", "2841813427|Ga0349638_01|CDS|2841817106|-|3917742:3918278", "2841813427|Ga0349638_01|CDS|2841817107|-|3918280:3919410", "2841813427|Ga0349638_01|CDS|2841817108|-|3919424:3920506", "2841813427|Ga0349638_01|CDS|2841817109|-|3920570:3921460", "2841813427|Ga0349638_01|CDS|2841817110|-|3921487:3922125", "2841813427|Ga0349638_01|CDS|2841817111|-|3922112:3923734", "2841813427|Ga0349638_01|CDS|2841817112|-|3923896:3924177", "2841813427|Ga0349638_01|CDS|2841817113|-|3924188:3924418", "2841813427|Ga0349638_01|CDS|2841817114|-|3924409:3925833", "2841813427|Ga0349638_01|CDS|2841817115|-|3925817:3926383", "2841813427|Ga0349638_01|CDS|2841817117|-|3926650:3926967", "2841813427|Ga0349638_01|CDS|2841817118|-|3927031:3927240", "2841813427|Ga0349638_01|CDS|2841817119|-|3927520:3927792", "2841813427|Ga0349638_01|CDS|2841817120|+|3927977:3928225", "2841813427|Ga0349638_01|CDS|2841817121|-|3928247:3928360", "2841813427|Ga0349638_01|CDS|2841817122|-|3928416:3928658", "2841813427|Ga0349638_01|CDS|2841817123|-|3928655:3928981", "2841813427|Ga0349638_01|CDS|2841817124|-|3928981:3929169", "2841813427|Ga0349638_01|CDS|2841817125|-|3929151:3929465", "2841813427|Ga0349638_01|CDS|2841817126|-|3929557:3929790", "2841813427|Ga0349638_01|CDS|2841817127|-|3929774:3930193", "2841813427|Ga0349638_01|CDS|2841817128|-|3930190:3930621", "2841813427|Ga0349638_01|CDS|2841817129|-|3930621:3930875", "2841813427|Ga0349638_01|CDS|2841817130|-|3930872:3931162", "2841813427|Ga0349638_01|CDS|2841817131|-|3931159:3931455", "2841813427|Ga0349638_01|CDS|2841817132|-|3931455:3931730" ]
[ "2841813427|Ga0349638_01|IG|IG_002788|+|3365629:3365798", "2841813427|Ga0349638_01|IG|IG_002789|+|3366282:3366298", "2841813427|Ga0349638_01|IG|IG_002790|+|3367697:3367802", "2841813427|Ga0349638_01|IG|IG_002791|+|3368019:3368061", "2841813427|Ga0349638_01|IG|IG_002792|+|3368260:3368374", "2841813427|Ga0349638_01|IG|IG_002793|+|3368717:3368744", "2841813427|Ga0349638_01|IG|IG_002794|+|3369048:3369166", "2841813427|Ga0349638_01|IG|IG_002795|+|3369668:3369724", "2841813427|Ga0349638_01|IG|IG_002796|+|3370547:3370772", "2841813427|Ga0349638_01|IG|IG_002797|+|3370950:3371027", "2841813427|Ga0349638_01|IG|IG_002798|+|3372549:3372864", "2841813427|Ga0349638_01|IG|IG_002799|+|3373824:3374009", "2841813427|Ga0349638_01|IG|IG_002800|+|3374109:3374151", "2841813427|Ga0349638_01|IG|IG_002801|+|3377323:3377450", "2841813427|Ga0349638_01|IG|IG_002802|+|3378834:3378917", "2841813427|Ga0349638_01|IG|IG_002803|+|3379662:3379780", "2841813427|Ga0349638_01|IG|IG_002804|+|3380948:3380951", "2841813427|Ga0349638_01|IG|IG_002805|+|3382329:3382437", "2841813427|Ga0349638_01|IG|IG_002806|+|3384640:3384648", "2841813427|Ga0349638_01|IG|IG_002807|+|3385804:3385926", "2841813427|Ga0349638_01|IG|IG_002808|+|3386593:3386593", "2841813427|Ga0349638_01|IG|IG_002809|+|3388595:3388755", "2841813427|Ga0349638_01|IG|IG_002810|+|3389536:3389537", "2841813427|Ga0349638_01|IG|IG_002811|+|3390636:3390733", "2841813427|Ga0349638_01|IG|IG_002812|+|3391577:3391622", "2841813427|Ga0349638_01|IG|IG_002813|+|3392052:3392231", "2841813427|Ga0349638_01|IG|IG_002814|+|3393156:3393444", "2841813427|Ga0349638_01|IG|IG_002815|+|3394051:3394101", "2841813427|Ga0349638_01|IG|IG_002816|+|3395125:3395128", "2841813427|Ga0349638_01|IG|IG_002817|+|3395234:3395385", "2841813427|Ga0349638_01|IG|IG_002818|+|3396745:3396952", "2841813427|Ga0349638_01|IG|IG_002819|+|3399293:3399333", "2841813427|Ga0349638_01|IG|IG_002820|+|3401164:3401234", "2841813427|Ga0349638_01|IG|IG_002821|+|3402744:3402760", "2841813427|Ga0349638_01|IG|IG_002822|+|3405650:3405865", "2841813427|Ga0349638_01|IG|IG_002823|+|3406625:3406732", "2841813427|Ga0349638_01|IG|IG_002824|+|3408335:3408340", "2841813427|Ga0349638_01|IG|IG_002825|+|3409841:3409864", "2841813427|Ga0349638_01|IG|IG_002826|+|3410597:3410633", "2841813427|Ga0349638_01|IG|IG_002827|+|3411447:3411644", "2841813427|Ga0349638_01|IG|IG_002828|+|3412842:3413037", "2841813427|Ga0349638_01|IG|IG_002829|+|3413968:3413979", "2841813427|Ga0349638_01|IG|IG_002830|+|3415060:3415133", "2841813427|Ga0349638_01|IG|IG_002831|+|3415446:3415461", "2841813427|Ga0349638_01|IG|IG_002832|+|3416314:3416373", "2841813427|Ga0349638_01|IG|IG_002833|+|3416818:3416824", "2841813427|Ga0349638_01|IG|IG_002834|+|3417644:3417720", "2841813427|Ga0349638_01|IG|IG_002835|+|3418162:3418284", "2841813427|Ga0349638_01|IG|IG_002836|+|3419635:3419718", "2841813427|Ga0349638_01|IG|IG_002837|+|3420043:3420452", "2841813427|Ga0349638_01|IG|IG_002838|+|3420639:3420735", "2841813427|Ga0349638_01|IG|IG_002839|+|3421444:3421446", "2841813427|Ga0349638_01|IG|IG_002840|+|3422857:3423092", "2841813427|Ga0349638_01|IG|IG_002841|+|3423594:3423618", "2841813427|Ga0349638_01|IG|IG_002842|+|3424447:3424706", "2841813427|Ga0349638_01|IG|IG_002843|+|3426435:3426515", "2841813427|Ga0349638_01|IG|IG_002844|+|3428191:3428397", "2841813427|Ga0349638_01|IG|IG_002845|+|3430522:3430733", "2841813427|Ga0349638_01|IG|IG_002846|+|3431148:3431285", "2841813427|Ga0349638_01|IG|IG_002847|+|3431922:3432000", "2841813427|Ga0349638_01|IG|IG_002848|+|3432574:3432665", "2841813427|Ga0349638_01|IG|IG_002849|+|3433104:3433302", "2841813427|Ga0349638_01|IG|IG_002850|+|3433813:3433874", "2841813427|Ga0349638_01|IG|IG_002851|+|3434355:3434428", "2841813427|Ga0349638_01|IG|IG_002852|+|3435002:3435238", "2841813427|Ga0349638_01|IG|IG_002853|+|3437678:3437691", "2841813427|Ga0349638_01|IG|IG_002854|+|3439171:3439178", "2841813427|Ga0349638_01|IG|IG_002855|+|3440412:3440634", "2841813427|Ga0349638_01|IG|IG_002856|+|3441490:3441548", "2841813427|Ga0349638_01|IG|IG_002857|+|3442374:3442447", "2841813427|Ga0349638_01|IG|IG_002858|+|3442910:3443011", "2841813427|Ga0349638_01|IG|IG_002859|+|3443468:3443522", "2841813427|Ga0349638_01|IG|IG_002860|+|3444927:3444978", "2841813427|Ga0349638_01|IG|IG_002861|+|3446631:3446987", "2841813427|Ga0349638_01|IG|IG_002862|+|3448071:3448879", "2841813427|Ga0349638_01|IG|IG_002863|+|3449408:3449472", "2841813427|Ga0349638_01|IG|IG_002864|+|3451528:3451873", "2841813427|Ga0349638_01|IG|IG_002865|+|3452231:3452316", "2841813427|Ga0349638_01|IG|IG_002866|+|3452719:3452809", "2841813427|Ga0349638_01|IG|IG_002867|+|3453590:3453666", "2841813427|Ga0349638_01|IG|IG_002868|+|3454813:3454826", "2841813427|Ga0349638_01|IG|IG_002869|+|3455826:3455864", "2841813427|Ga0349638_01|IG|IG_002870|+|3457107:3457418", "2841813427|Ga0349638_01|IG|IG_002871|+|3458760:3458870", "2841813427|Ga0349638_01|IG|IG_002872|+|3459846:3460079", "2841813427|Ga0349638_01|IG|IG_002873|+|3462249:3462658", "2841813427|Ga0349638_01|IG|IG_002874|+|3464444:3464865", "2841813427|Ga0349638_01|IG|IG_002875|+|3466174:3466252", "2841813427|Ga0349638_01|IG|IG_002876|+|3466727:3466809", "2841813427|Ga0349638_01|IG|IG_002877|+|3467212:3467295", "2841813427|Ga0349638_01|IG|IG_002878|+|3468973:3469003", "2841813427|Ga0349638_01|IG|IG_002879|+|3469721:3470034", "2841813427|Ga0349638_01|IG|IG_002880|+|3471703:3471732", "2841813427|Ga0349638_01|IG|IG_002881|+|3472450:3472659", "2841813427|Ga0349638_01|IG|IG_002882|+|3472999:3473064", "2841813427|Ga0349638_01|IG|IG_002883|+|3473266:3473295", "2841813427|Ga0349638_01|IG|IG_002884|+|3474547:3474620", "2841813427|Ga0349638_01|IG|IG_002885|+|3476052:3476127", "2841813427|Ga0349638_01|IG|IG_002886|+|3477268:3477445", "2841813427|Ga0349638_01|IG|IG_002887|+|3477983:3478019", "2841813427|Ga0349638_01|IG|IG_002888|+|3478629:3478668", "2841813427|Ga0349638_01|IG|IG_002889|+|3479254:3479467", "2841813427|Ga0349638_01|IG|IG_002890|+|3481181:3481184", "2841813427|Ga0349638_01|IG|IG_002891|+|3483730:3483875", "2841813427|Ga0349638_01|IG|IG_002892|+|3485415:3485468", "2841813427|Ga0349638_01|IG|IG_002893|+|3487401:3487437", "2841813427|Ga0349638_01|IG|IG_002894|+|3487963:3488070", "2841813427|Ga0349638_01|IG|IG_002895|+|3488269:3488390", "2841813427|Ga0349638_01|IG|IG_002896|+|3488736:3488836", "2841813427|Ga0349638_01|IG|IG_002897|+|3491133:3491135", "2841813427|Ga0349638_01|IG|IG_002898|+|3492189:3492310", "2841813427|Ga0349638_01|IG|IG_002899|+|3492908:3492985", "2841813427|Ga0349638_01|IG|IG_002900|+|3494778:3494785", "2841813427|Ga0349638_01|IG|IG_002901|+|3495695:3495852", "2841813427|Ga0349638_01|IG|IG_002902|+|3497218:3497566", "2841813427|Ga0349638_01|IG|IG_002903|+|3498497:3498588", "2841813427|Ga0349638_01|IG|IG_002904|+|3500023:3500027", "2841813427|Ga0349638_01|IG|IG_002905|+|3504525:3505007", "2841813427|Ga0349638_01|IG|IG_002906|+|3507867:3508099", "2841813427|Ga0349638_01|IG|IG_002907|+|3508667:3508693", "2841813427|Ga0349638_01|IG|IG_002908|+|3509156:3509535", "2841813427|Ga0349638_01|IG|IG_002909|+|3509818:3509922", "2841813427|Ga0349638_01|IG|IG_002910|+|3511015:3511025", "2841813427|Ga0349638_01|IG|IG_002911|+|3513234:3513306", "2841813427|Ga0349638_01|IG|IG_002912|+|3514267:3514269", "2841813427|Ga0349638_01|IG|IG_002913|+|3515125:3515139", "2841813427|Ga0349638_01|IG|IG_002914|+|3516787:3516890", "2841813427|Ga0349638_01|IG|IG_002915|+|3517533:3517595", "2841813427|Ga0349638_01|IG|IG_002916|+|3518406:3518456", "2841813427|Ga0349638_01|IG|IG_002917|+|3518991:3519060", "2841813427|Ga0349638_01|IG|IG_002918|+|3519388:3519454", "2841813427|Ga0349638_01|IG|IG_002919|+|3520019:3520105", "2841813427|Ga0349638_01|IG|IG_002920|+|3523592:3523833", "2841813427|Ga0349638_01|IG|IG_002921|+|3524872:3524945", "2841813427|Ga0349638_01|IG|IG_002922|+|3526218:3526295", "2841813427|Ga0349638_01|IG|IG_002923|+|3527112:3527185", "2841813427|Ga0349638_01|IG|IG_002924|+|3527648:3527728", "2841813427|Ga0349638_01|IG|IG_002925|+|3529061:3529069", "2841813427|Ga0349638_01|IG|IG_002926|+|3530726:3530771", "2841813427|Ga0349638_01|IG|IG_002927|+|3531207:3531315", "2841813427|Ga0349638_01|IG|IG_002928|+|3532630:3532796", "2841813427|Ga0349638_01|IG|IG_002929|+|3535329:3535494", "2841813427|Ga0349638_01|IG|IG_002930|+|3535780:3535835", "2841813427|Ga0349638_01|IG|IG_002931|+|3537345:3537446", "2841813427|Ga0349638_01|IG|IG_002932|+|3538368:3538404", "2841813427|Ga0349638_01|IG|IG_002933|+|3539899:3540052", "2841813427|Ga0349638_01|IG|IG_002934|+|3542132:3542399", "2841813427|Ga0349638_01|IG|IG_002935|+|3543408:3543920", "2841813427|Ga0349638_01|IG|IG_002936|+|3544455:3544555", "2841813427|Ga0349638_01|IG|IG_002937|+|3545219:3545248", "2841813427|Ga0349638_01|IG|IG_002938|+|3545984:3545999", "2841813427|Ga0349638_01|IG|IG_002939|+|3546363:3546392", "2841813427|Ga0349638_01|IG|IG_002940|+|3547647:3547691", "2841813427|Ga0349638_01|IG|IG_002941|+|3549405:3549407", "2841813427|Ga0349638_01|IG|IG_002942|+|3550110:3550148", "2841813427|Ga0349638_01|IG|IG_002943|+|3551100:3551114", "2841813427|Ga0349638_01|IG|IG_002944|+|3551703:3551965", "2841813427|Ga0349638_01|IG|IG_002945|+|3552653:3552658", "2841813427|Ga0349638_01|IG|IG_002946|+|3553109:3553114", "2841813427|Ga0349638_01|IG|IG_002947|+|3554036:3554104", "2841813427|Ga0349638_01|IG|IG_002948|+|3554942:3555065", "2841813427|Ga0349638_01|IG|IG_002949|+|3555549:3555556", "2841813427|Ga0349638_01|IG|IG_002950|+|3556691:3556701", "2841813427|Ga0349638_01|IG|IG_002951|+|3557713:3557752", "2841813427|Ga0349638_01|IG|IG_002952|+|3559268:3559451", "2841813427|Ga0349638_01|IG|IG_002953|+|3560142:3560148", "2841813427|Ga0349638_01|IG|IG_002954|+|3560791:3560909", "2841813427|Ga0349638_01|IG|IG_002955|+|3561678:3561684", "2841813427|Ga0349638_01|IG|IG_002956|+|3565260:3565264", "2841813427|Ga0349638_01|IG|IG_002957|+|3565829:3565872", "2841813427|Ga0349638_01|IG|IG_002958|+|3566670:3566871", "2841813427|Ga0349638_01|IG|IG_002959|+|3568876:3568989", "2841813427|Ga0349638_01|IG|IG_002960|+|3569887:3569919", "2841813427|Ga0349638_01|IG|IG_002961|+|3570343:3570369", "2841813427|Ga0349638_01|IG|IG_002962|+|3571225:3571284", "2841813427|Ga0349638_01|IG|IG_002963|+|3571696:3571789", "2841813427|Ga0349638_01|IG|IG_002964|+|3572231:3572248", "2841813427|Ga0349638_01|IG|IG_002965|+|3573023:3573024", "2841813427|Ga0349638_01|IG|IG_002966|+|3573550:3573738", "2841813427|Ga0349638_01|IG|IG_002967|+|3574697:3574707", "2841813427|Ga0349638_01|IG|IG_002968|+|3575920:3575988", "2841813427|Ga0349638_01|IG|IG_002969|+|3576487:3576606", "2841813427|Ga0349638_01|IG|IG_002970|+|3577396:3577557", "2841813427|Ga0349638_01|IG|IG_002971|+|3579778:3579930", "2841813427|Ga0349638_01|IG|IG_002972|+|3582328:3582398", "2841813427|Ga0349638_01|IG|IG_002973|+|3582747:3582760", "2841813427|Ga0349638_01|IG|IG_002974|+|3583376:3583481", "2841813427|Ga0349638_01|IG|IG_002975|+|3583824:3583915", "2841813427|Ga0349638_01|IG|IG_002976|+|3584552:3584607", "2841813427|Ga0349638_01|IG|IG_002977|+|3585655:3585717", "2841813427|Ga0349638_01|IG|IG_002978|+|3586636:3586687", "2841813427|Ga0349638_01|IG|IG_002979|+|3587484:3587751", "2841813427|Ga0349638_01|IG|IG_002980|+|3588958:3588965", "2841813427|Ga0349638_01|IG|IG_002981|+|3589923:3589932", "2841813427|Ga0349638_01|IG|IG_002982|+|3591355:3591593", "2841813427|Ga0349638_01|IG|IG_002983|+|3592754:3593259", "2841813427|Ga0349638_01|IG|IG_002984|+|3599119:3599137", "2841813427|Ga0349638_01|IG|IG_002985|+|3599891:3599922", "2841813427|Ga0349638_01|IG|IG_002986|+|3601003:3601227", "2841813427|Ga0349638_01|IG|IG_002987|+|3603536:3603554", "2841813427|Ga0349638_01|IG|IG_002988|+|3604206:3604504", "2841813427|Ga0349638_01|IG|IG_002989|+|3604649:3605435", "2841813427|Ga0349638_01|IG|IG_002990|+|3608391:3608396", "2841813427|Ga0349638_01|IG|IG_002991|+|3609864:3610292", "2841813427|Ga0349638_01|IG|IG_002992|+|3611646:3611890", "2841813427|Ga0349638_01|IG|IG_002993|+|3612431:3612717", "2841813427|Ga0349638_01|IG|IG_002994|+|3614137:3614148", "2841813427|Ga0349638_01|IG|IG_002995|+|3615631:3615655", "2841813427|Ga0349638_01|IG|IG_002996|+|3616367:3616425", "2841813427|Ga0349638_01|IG|IG_002997|+|3618418:3618466", "2841813427|Ga0349638_01|IG|IG_002998|+|3619754:3619774", "2841813427|Ga0349638_01|IG|IG_002999|+|3620468:3620796", "2841813427|Ga0349638_01|IG|IG_003000|+|3622427:3622489", "2841813427|Ga0349638_01|IG|IG_003001|+|3623324:3623335", "2841813427|Ga0349638_01|IG|IG_003002|+|3624719:3625607", "2841813427|Ga0349638_01|IG|IG_003003|+|3626421:3626486", "2841813427|Ga0349638_01|IG|IG_003004|+|3627384:3627813", "2841813427|Ga0349638_01|IG|IG_003005|+|3628861:3628895", "2841813427|Ga0349638_01|IG|IG_003006|+|3629508:3629565", "2841813427|Ga0349638_01|IG|IG_003007|+|3630376:3630441", "2841813427|Ga0349638_01|IG|IG_003008|+|3631711:3631799", "2841813427|Ga0349638_01|IG|IG_003009|+|3632289:3632383", "2841813427|Ga0349638_01|IG|IG_003010|+|3632792:3632795", "2841813427|Ga0349638_01|IG|IG_003011|+|3633447:3633581", "2841813427|Ga0349638_01|IG|IG_003012|+|3634125:3634239", "2841813427|Ga0349638_01|IG|IG_003013|+|3634423:3634437", "2841813427|Ga0349638_01|IG|IG_003014|+|3634969:3635401", "2841813427|Ga0349638_01|IG|IG_003015|+|3637097:3637281", "2841813427|Ga0349638_01|IG|IG_003016|+|3637882:3637897", "2841813427|Ga0349638_01|IG|IG_003017|+|3639245:3639266", "2841813427|Ga0349638_01|IG|IG_003018|+|3640344:3640376", "2841813427|Ga0349638_01|IG|IG_003019|+|3643551:3643648", "2841813427|Ga0349638_01|IG|IG_003020|+|3644132:3644142", "2841813427|Ga0349638_01|IG|IG_003021|+|3644557:3644675", "2841813427|Ga0349638_01|IG|IG_003022|+|3645051:3645144", "2841813427|Ga0349638_01|IG|IG_003023|+|3649807:3650041", "2841813427|Ga0349638_01|IG|IG_003024|+|3652115:3652159", "2841813427|Ga0349638_01|IG|IG_003025|+|3654380:3654565", "2841813427|Ga0349638_01|IG|IG_003026|+|3655838:3655891", "2841813427|Ga0349638_01|IG|IG_003027|+|3656417:3656419", "2841813427|Ga0349638_01|IG|IG_003028|+|3657224:3657237", "2841813427|Ga0349638_01|IG|IG_003029|+|3658906:3659085", "2841813427|Ga0349638_01|IG|IG_003030|+|3660079:3660142", "2841813427|Ga0349638_01|IG|IG_003031|+|3661307:3661347", "2841813427|Ga0349638_01|IG|IG_003032|+|3661729:3661809", "2841813427|Ga0349638_01|IG|IG_003033|+|3663226:3663295", "2841813427|Ga0349638_01|IG|IG_003034|+|3664709:3664771", "2841813427|Ga0349638_01|IG|IG_003035|+|3665753:3665900", "2841813427|Ga0349638_01|IG|IG_003036|+|3667377:3667488", "2841813427|Ga0349638_01|IG|IG_003037|+|3667900:3668205", "2841813427|Ga0349638_01|IG|IG_003038|+|3669529:3669641", "2841813427|Ga0349638_01|IG|IG_003039|+|3671040:3671098", "2841813427|Ga0349638_01|IG|IG_003040|+|3671636:3671661", "2841813427|Ga0349638_01|IG|IG_003041|+|3672775:3673001", "2841813427|Ga0349638_01|IG|IG_003042|+|3673479:3673577", "2841813427|Ga0349638_01|IG|IG_003043|+|3674214:3674224", "2841813427|Ga0349638_01|IG|IG_003044|+|3674741:3675744", "2841813427|Ga0349638_01|IG|IG_003045|+|3678490:3679254", "2841813427|Ga0349638_01|IG|IG_003046|+|3679834:3679921", "2841813427|Ga0349638_01|IG|IG_003047|+|3684014:3684014", "2841813427|Ga0349638_01|IG|IG_003048|+|3684525:3684786", "2841813427|Ga0349638_01|IG|IG_003049|+|3685039:3685249", "2841813427|Ga0349638_01|IG|IG_003050|+|3685628:3685819", "2841813427|Ga0349638_01|IG|IG_003051|+|3686219:3686571", "2841813427|Ga0349638_01|IG|IG_003052|+|3687907:3688085", "2841813427|Ga0349638_01|IG|IG_003053|+|3688814:3688913", "2841813427|Ga0349638_01|IG|IG_003054|+|3689478:3689562", "2841813427|Ga0349638_01|IG|IG_003055|+|3691984:3692108", "2841813427|Ga0349638_01|IG|IG_003056|+|3692355:3692409", "2841813427|Ga0349638_01|IG|IG_003057|+|3692968:3693204", "2841813427|Ga0349638_01|IG|IG_003058|+|3694264:3694284", "2841813427|Ga0349638_01|IG|IG_003059|+|3697384:3697508", "2841813427|Ga0349638_01|IG|IG_003060|+|3698859:3698900", "2841813427|Ga0349638_01|IG|IG_003061|+|3700320:3700548", "2841813427|Ga0349638_01|IG|IG_003062|+|3700777:3700920", "2841813427|Ga0349638_01|IG|IG_003063|+|3702106:3702191", "2841813427|Ga0349638_01|IG|IG_003064|+|3702939:3703205", "2841813427|Ga0349638_01|IG|IG_003065|+|3704355:3704426", "2841813427|Ga0349638_01|IG|IG_003066|+|3706443:3706724", "2841813427|Ga0349638_01|IG|IG_003067|+|3707415:3707645", "2841813427|Ga0349638_01|IG|IG_003068|+|3708624:3708683", "2841813427|Ga0349638_01|IG|IG_003069|+|3709503:3709503", "2841813427|Ga0349638_01|IG|IG_003070|+|3709981:3710168", "2841813427|Ga0349638_01|IG|IG_003071|+|3710682:3710801", "2841813427|Ga0349638_01|IG|IG_003072|+|3711372:3711437", "2841813427|Ga0349638_01|IG|IG_003073|+|3712149:3712174", "2841813427|Ga0349638_01|IG|IG_003074|+|3712988:3713073", "2841813427|Ga0349638_01|IG|IG_003075|+|3713263:3713589", "2841813427|Ga0349638_01|IG|IG_003076|+|3713947:3714131", "2841813427|Ga0349638_01|IG|IG_003077|+|3715470:3715530", "2841813427|Ga0349638_01|IG|IG_003078|+|3716251:3716470", "2841813427|Ga0349638_01|IG|IG_003079|+|3717464:3717625", "2841813427|Ga0349638_01|IG|IG_003080|+|3719264:3719808", "2841813427|Ga0349638_01|IG|IG_003081|+|3723915:3724205", "2841813427|Ga0349638_01|IG|IG_003082|+|3726094:3726311", "2841813427|Ga0349638_01|IG|IG_003083|+|3726726:3726820", "2841813427|Ga0349638_01|IG|IG_003084|+|3727856:3727858", "2841813427|Ga0349638_01|IG|IG_003085|+|3728474:3728491", "2841813427|Ga0349638_01|IG|IG_003086|+|3728963:3729036", "2841813427|Ga0349638_01|IG|IG_003087|+|3729367:3729541", "2841813427|Ga0349638_01|IG|IG_003088|+|3729884:3729952", "2841813427|Ga0349638_01|IG|IG_003089|+|3730919:3731192", "2841813427|Ga0349638_01|IG|IG_003090|+|3731547:3732027", "2841813427|Ga0349638_01|IG|IG_003091|+|3733444:3734187", "2841813427|Ga0349638_01|IG|IG_003092|+|3734833:3735094", "2841813427|Ga0349638_01|IG|IG_003093|+|3735293:3735365", "2841813427|Ga0349638_01|IG|IG_003094|+|3737112:3737266", "2841813427|Ga0349638_01|IG|IG_003095|+|3737882:3738026", "2841813427|Ga0349638_01|IG|IG_003096|+|3738510:3738898", "2841813427|Ga0349638_01|IG|IG_003097|+|3739415:3739487", "2841813427|Ga0349638_01|IG|IG_003098|+|3740694:3741085", "2841813427|Ga0349638_01|IG|IG_003099|+|3741509:3741530", "2841813427|Ga0349638_01|IG|IG_003100|+|3741879:3741947", "2841813427|Ga0349638_01|IG|IG_003101|+|3742707:3742930", "2841813427|Ga0349638_01|IG|IG_003102|+|3744626:3744636", "2841813427|Ga0349638_01|IG|IG_003103|+|3745060:3745348", "2841813427|Ga0349638_01|IG|IG_003104|+|3745991:3746074", "2841813427|Ga0349638_01|IG|IG_003105|+|3746726:3747331", "2841813427|Ga0349638_01|IG|IG_003106|+|3747761:3747804", "2841813427|Ga0349638_01|IG|IG_003107|+|3747928:3748208", "2841813427|Ga0349638_01|IG|IG_003108|+|3748977:3749164", "2841813427|Ga0349638_01|IG|IG_003109|+|3749867:3749878", "2841813427|Ga0349638_01|IG|IG_003110|+|3751256:3751315", "2841813427|Ga0349638_01|IG|IG_003111|+|3752156:3752169", "2841813427|Ga0349638_01|IG|IG_003112|+|3753022:3753286", "2841813427|Ga0349638_01|IG|IG_003113|+|3753731:3754002", "2841813427|Ga0349638_01|IG|IG_003114|+|3754282:3754368", "2841813427|Ga0349638_01|IG|IG_003115|+|3754504:3754505", "2841813427|Ga0349638_01|IG|IG_003116|+|3755076:3755117", "2841813427|Ga0349638_01|IG|IG_003117|+|3755508:3755529", "2841813427|Ga0349638_01|IG|IG_003118|+|3756448:3756487", "2841813427|Ga0349638_01|IG|IG_003119|+|3757082:3757093", "2841813427|Ga0349638_01|IG|IG_003120|+|3758159:3758165", "2841813427|Ga0349638_01|IG|IG_003121|+|3759243:3759523", "2841813427|Ga0349638_01|IG|IG_003122|+|3760469:3760693", "2841813427|Ga0349638_01|IG|IG_003123|+|3761393:3761414", "2841813427|Ga0349638_01|IG|IG_003124|+|3764088:3764508", "2841813427|Ga0349638_01|IG|IG_003125|+|3765613:3765725", "2841813427|Ga0349638_01|IG|IG_003126|+|3768837:3768924", "2841813427|Ga0349638_01|IG|IG_003127|+|3770194:3770325", "2841813427|Ga0349638_01|IG|IG_003128|+|3771091:3771378", "2841813427|Ga0349638_01|IG|IG_003129|+|3772489:3772765", "2841813427|Ga0349638_01|IG|IG_003130|+|3776553:3776581", "2841813427|Ga0349638_01|IG|IG_003131|+|3776789:3776821", "2841813427|Ga0349638_01|IG|IG_003132|+|3776972:3777078", "2841813427|Ga0349638_01|IG|IG_003133|+|3778081:3778273", "2841813427|Ga0349638_01|IG|IG_003134|+|3779177:3779200", "2841813427|Ga0349638_01|IG|IG_003135|+|3780491:3780589", "2841813427|Ga0349638_01|IG|IG_003136|+|3781259:3781407", "2841813427|Ga0349638_01|IG|IG_003137|+|3782284:3782333", "2841813427|Ga0349638_01|IG|IG_003138|+|3783234:3783400", "2841813427|Ga0349638_01|IG|IG_003139|+|3783965:3783998", "2841813427|Ga0349638_01|IG|IG_003140|+|3784791:3784797", "2841813427|Ga0349638_01|IG|IG_003141|+|3787226:3787257", "2841813427|Ga0349638_01|IG|IG_003142|+|3788500:3788637", "2841813427|Ga0349638_01|IG|IG_003143|+|3789082:3789102", "2841813427|Ga0349638_01|IG|IG_003144|+|3790648:3790791", "2841813427|Ga0349638_01|IG|IG_003145|+|3791203:3791241", "2841813427|Ga0349638_01|IG|IG_003146|+|3792802:3793082", "2841813427|Ga0349638_01|IG|IG_003147|+|3794592:3794813", "2841813427|Ga0349638_01|IG|IG_003148|+|3795657:3795740", "2841813427|Ga0349638_01|IG|IG_003149|+|3796218:3796236", "2841813427|Ga0349638_01|IG|IG_003150|+|3796987:3797005", "2841813427|Ga0349638_01|IG|IG_003151|+|3798425:3798698", "2841813427|Ga0349638_01|IG|IG_003152|+|3799362:3799398", "2841813427|Ga0349638_01|IG|IG_003153|+|3800440:3800514", "2841813427|Ga0349638_01|IG|IG_003154|+|3801082:3801184", "2841813427|Ga0349638_01|IG|IG_003155|+|3802226:3802447", "2841813427|Ga0349638_01|IG|IG_003156|+|3803033:3803244", "2841813427|Ga0349638_01|IG|IG_003157|+|3804610:3804621", "2841813427|Ga0349638_01|IG|IG_003158|+|3805816:3805861", "2841813427|Ga0349638_01|IG|IG_003159|+|3805991:3806347", "2841813427|Ga0349638_01|IG|IG_003160|+|3808538:3808770", "2841813427|Ga0349638_01|IG|IG_003161|+|3809320:3809387", "2841813427|Ga0349638_01|IG|IG_003162|+|3809658:3809828", "2841813427|Ga0349638_01|IG|IG_003163|+|3810411:3810489", "2841813427|Ga0349638_01|IG|IG_003164|+|3811801:3811820", "2841813427|Ga0349638_01|IG|IG_003165|+|3812547:3812667", "2841813427|Ga0349638_01|IG|IG_003166|+|3812959:3812965", "2841813427|Ga0349638_01|IG|IG_003167|+|3814840:3814885", "2841813427|Ga0349638_01|IG|IG_003168|+|3815654:3815876", "2841813427|Ga0349638_01|IG|IG_003169|+|3816216:3816484", "2841813427|Ga0349638_01|IG|IG_003170|+|3817319:3817403", "2841813427|Ga0349638_01|IG|IG_003171|+|3817686:3817975", "2841813427|Ga0349638_01|IG|IG_003172|+|3818072:3818818", "2841813427|Ga0349638_01|IG|IG_003173|+|3820223:3820304", "2841813427|Ga0349638_01|IG|IG_003174|+|3820554:3821016", "2841813427|Ga0349638_01|IG|IG_003175|+|3822337:3822408", "2841813427|Ga0349638_01|IG|IG_003176|+|3822763:3822781", "2841813427|Ga0349638_01|IG|IG_003177|+|3823202:3823394", "2841813427|Ga0349638_01|IG|IG_003178|+|3824184:3824413", "2841813427|Ga0349638_01|IG|IG_003179|+|3825053:3825144", "2841813427|Ga0349638_01|IG|IG_003180|+|3826729:3826773", "2841813427|Ga0349638_01|IG|IG_003181|+|3826948:3827287", "2841813427|Ga0349638_01|IG|IG_003182|+|3828439:3828442", "2841813427|Ga0349638_01|IG|IG_003183|+|3828803:3828903", "2841813427|Ga0349638_01|IG|IG_003184|+|3829240:3829367", "2841813427|Ga0349638_01|IG|IG_003185|+|3831685:3832113", "2841813427|Ga0349638_01|IG|IG_003186|+|3833870:3834024", "2841813427|Ga0349638_01|IG|IG_003187|+|3836467:3836726", "2841813427|Ga0349638_01|IG|IG_003188|+|3837399:3837527", "2841813427|Ga0349638_01|IG|IG_003189|+|3838113:3838363", "2841813427|Ga0349638_01|IG|IG_003190|+|3839393:3839577", "2841813427|Ga0349638_01|IG|IG_003191|+|3839857:3840099", "2841813427|Ga0349638_01|IG|IG_003192|+|3842161:3842183", "2841813427|Ga0349638_01|IG|IG_003193|+|3842382:3842666", "2841813427|Ga0349638_01|IG|IG_003194|+|3843630:3843773", "2841813427|Ga0349638_01|IG|IG_003195|+|3844785:3845113", "2841813427|Ga0349638_01|IG|IG_003196|+|3845951:3846106", "2841813427|Ga0349638_01|IG|IG_003197|+|3846953:3846956", "2841813427|Ga0349638_01|IG|IG_003198|+|3848250:3848261", "2841813427|Ga0349638_01|IG|IG_003199|+|3849120:3849247", "2841813427|Ga0349638_01|IG|IG_003200|+|3849725:3849735", "2841813427|Ga0349638_01|IG|IG_003201|+|3850372:3850401", "2841813427|Ga0349638_01|IG|IG_003202|+|3850825:3850850", "2841813427|Ga0349638_01|IG|IG_003203|+|3851706:3852133", "2841813427|Ga0349638_01|IG|IG_003204|+|3852803:3852832", "2841813427|Ga0349638_01|IG|IG_003205|+|3853683:3853754", "2841813427|Ga0349638_01|IG|IG_003206|+|3854736:3854817", "2841813427|Ga0349638_01|IG|IG_003207|+|3855397:3855445", "2841813427|Ga0349638_01|IG|IG_003208|+|3856811:3856963", "2841813427|Ga0349638_01|IG|IG_003209|+|3858398:3859113", "2841813427|Ga0349638_01|IG|IG_003210|+|3860407:3860589", "2841813427|Ga0349638_01|IG|IG_003211|+|3861463:3861567", "2841813427|Ga0349638_01|IG|IG_003212|+|3862597:3862600", "2841813427|Ga0349638_01|IG|IG_003213|+|3863252:3863253", "2841813427|Ga0349638_01|IG|IG_003214|+|3865933:3866110", "2841813427|Ga0349638_01|IG|IG_003215|+|3867314:3867545", "2841813427|Ga0349638_01|IG|IG_003216|+|3868845:3868892", "2841813427|Ga0349638_01|IG|IG_003217|+|3870192:3870315", "2841813427|Ga0349638_01|IG|IG_003218|+|3872749:3872843", "2841813427|Ga0349638_01|IG|IG_003219|+|3875277:3875411", "2841813427|Ga0349638_01|IG|IG_003220|+|3877842:3877922", "2841813427|Ga0349638_01|IG|IG_003221|+|3880344:3880476", "2841813427|Ga0349638_01|IG|IG_003222|+|3882892:3882958", "2841813427|Ga0349638_01|IG|IG_003223|+|3885377:3885503", "2841813427|Ga0349638_01|IG|IG_003224|+|3886239:3886289", "2841813427|Ga0349638_01|IG|IG_003225|+|3887112:3887122", "2841813427|Ga0349638_01|IG|IG_003226|+|3888536:3888536", "2841813427|Ga0349638_01|IG|IG_003227|+|3889782:3889928", "2841813427|Ga0349638_01|IG|IG_003228|+|3892595:3892627", "2841813427|Ga0349638_01|IG|IG_003229|+|3892790:3892834", "2841813427|Ga0349638_01|IG|IG_003230|+|3893171:3893402", "2841813427|Ga0349638_01|IG|IG_003231|+|3896379:3896389", "2841813427|Ga0349638_01|IG|IG_003232|+|3897836:3897855", "2841813427|Ga0349638_01|IG|IG_003233|+|3899440:3899560", "2841813427|Ga0349638_01|IG|IG_003234|+|3900014:3900088", "2841813427|Ga0349638_01|IG|IG_003235|+|3900290:3900683", "2841813427|Ga0349638_01|IG|IG_003236|+|3900894:3900924", "2841813427|Ga0349638_01|IG|IG_003237|+|3902026:3902175", "2841813427|Ga0349638_01|IG|IG_003238|+|3902401:3902576", "2841813427|Ga0349638_01|IG|IG_003239|+|3903192:3903197", "2841813427|Ga0349638_01|IG|IG_003240|+|3904029:3904032", "2841813427|Ga0349638_01|IG|IG_003241|+|3904372:3904389", "2841813427|Ga0349638_01|IG|IG_003242|+|3907598:3907650", "2841813427|Ga0349638_01|IG|IG_003243|+|3915311:3915312", "2841813427|Ga0349638_01|IG|IG_003244|+|3916629:3916631", "2841813427|Ga0349638_01|IG|IG_003245|+|3916812:3916822", "2841813427|Ga0349638_01|IG|IG_003246|+|3917723:3917741", "2841813427|Ga0349638_01|IG|IG_003247|+|3918279:3918279", "2841813427|Ga0349638_01|IG|IG_003248|+|3919411:3919423", "2841813427|Ga0349638_01|IG|IG_003249|+|3920507:3920569", "2841813427|Ga0349638_01|IG|IG_003250|+|3921461:3921486", "2841813427|Ga0349638_01|IG|IG_003251|+|3923735:3923895", "2841813427|Ga0349638_01|IG|IG_003252|+|3924178:3924187", "2841813427|Ga0349638_01|IG|IG_003253|+|3926384:3926649", "2841813427|Ga0349638_01|IG|IG_003254|+|3926968:3927030", "2841813427|Ga0349638_01|IG|IG_003255|+|3927241:3927519", "2841813427|Ga0349638_01|IG|IG_003256|+|3927793:3927976", "2841813427|Ga0349638_01|IG|IG_003257|+|3928226:3928246", "2841813427|Ga0349638_01|IG|IG_003258|+|3928361:3928415", "2841813427|Ga0349638_01|IG|IG_003259|+|3929466:3929556", "2841813427|Ga0349638_01|IG|IG_003260|+|3931731:3931970" ]
[ "MKMNKVLISLLISAAPFLVSAQYNFDIIPKPSSVSLKNGEYIFPQTIKISISPEFANVKSLLSDYSAFKEEKNIVSTKNTNSGDIRIVKDRQNKFASGAYRLSVNNKGIQIEASDIAGAINGVHTFVQLGLLQKDPSRLSYASIEDQPRFSYRGLHLDASRHFYPLSFLKKYIDLMALYKFNNFHWHLTDGAGWRLEIKKYPELTNKAAWRTHANWKDWWQNGRQYTEQGNPNASGGFYTQKEAKELVKYAAERGINIIPEIEMPGHSEEVLAVYPELSCSGKPYTQSEFCIGNPKTFEFLQNAIDEVLEIFPSKYIHIGGDEADKKHWASCPKDQALMKKEGLKSVDELQSYAIRKMDQYLQSKGRKLIGWDEILDGGLTPGATVMSWRGESGGIAAANAGHDVIMTPGEFLYFDSYQTDPRTQPEAIGGYLPLDKVYSYNPIPAVLKEEKAKHVLGAQANLWAEYVPTTEHVEYMVFPRALALAEVNWTAFENKNIQDFTKRLQSHYKILQQLQVNYYRPSYNIYSIVKFDSSSGTNKVSLVTEQMSSENIRYTLDGKEPTNESLIYKEPFILNRSAKVKAAYFMNAIKTGPVLDLDIDIHKAIGKKVIYNNKWDGYEAQKEQTLTNGVFGGLTYHDKQWQGFTKDIDVVVDFEKKETLNTVAMRFMQIIGPGVYMPGEMKVLVSDDGVGYKEIGIVKNDIPDTESKLTFKRFELKLKTPIQARYLKIIASNTKKGYLFTDEIIVY", "MTKNFTIRKATEEDTPVIFSLIKQLAEYEKLSDAVITSEEELRHNIFHEGLSKVLIAEENNVPIGFALYFYNFSTFVGKAGLYLEDLFVEPTHRGKGYGKKLLVALAQIAKEKNCGRMEWSVLNWNKPSIEFYESLEAVPMNEWTVYRLTQDKINILANS", "VKKLLIYIRTRLKQAFDNITNERLKDNLLQAIPFWIASIITGLIAVLYAKIFGWGELLLFKIIHWKSWLIFIITPFAFVLSWWLVDRFAPYAKGSGIPQVMASVDLANPRDRYMIKYLLSFKIIIIKIISSFILIAGGGIIGREGPTIQIAGSVFRKVNELLPKWWPKISQKNMILTGAAAGLSAAFNTPLGGVVFAIEELAKTHISYFKTALFTAVIIAGLTAETLAGPYLYLGYPKTGGTTLWLMFPVILIAAISGIASSYISGLMLKINKFRAKFTHKKQHILFLIGTALIISSLAYFVDKNILGSGKELMEHSLFTSDKHQKWYMPILKMIGSTLSFTSGGAGGIFAPALSIGASIGSVFSGLINLTPEETNVIILAGMVAFLTGITRAPFTSAILVLEMTDRHSLIFHLMLAGMISSIASMIISRHSLYDSLKIGFLEKLNKEVRAPKDKLHLKDLVKRR", "MRKTFLQLNNAKKLNRESQKSILGGSTDCPPKHYAVQHNGYTACCLKPVSNPCELVVNFCLMPMGMCGDER", "MKKLDRKKQKNILGKGNNCATGEFETSYNGYIVCCIKQPTGPNLCDPNISMYCDIPYDMCGDPFQ", "MNNYETVFILTPVLSDAQVEEAVKKFEDLIKDNGCEIVAKENWGLKKLAYPIQLKKNGFYTLIEFKGEGDVVANLELAYKRDERVIRYLTTKMDKHAVEYAVTRRTKVKSQKA", "MAIDEMAQQAAQGGESEVKFLTPLDINTKTQKKFCRFKKYGIKHVDYKDADFLLQFVNEQGKILPRRYTGTSLKYQRKVSAAIKRARHLALMPYVADLLK", "MQIILKKDVENLGLEFDTIDVKPGYARNFLIPQGYALLATPKNKAALEATLEARKEEEAKLVAAATAKIDQLKKVVLTIPAKVGAGDKLFGSINNGDLAEALAKAGVDIEKKFIKIPGNTIKRTGKFSAKVRLHRNVEHDYEFDVVSDAPVEAPKAAEKTETKEEA", "MRKLYILMFIIFGFFGTAQDLEAIAKEIKNEGIKLYKSEMASWYGTDVFLANYKNKENIGGYFSYINNNVPVCIFFSKENRVIGSVAFPANYNPKDSKLDLATRDFTDIERKYFDIRQKALQRIKTDTIFKAYQNTNLNLIPIIDESKNGQNKVYILTGTTQNNLVLFGNDYLITFNKDNDVEKTEKLHNSLIAMKTKDEKGGYMVSGVHSHILPDWLYITPTDICTLMLYQHIHNLESYITISKKYTSIWDCKNNNLAIMKTEDFEKMNKSK", "MQELLEKIAQEFGTFTTDSNLQLEKGNKAAGTRARKAALELSKLLKDFRKVSVEESKK", "MRNQFLTWGIVIVLASWGITFLINADLWIPILLSLIYLWGLYDAFQTKHAILRNFPVLGNFRYFFEEISPEMQQYFIERNTDGKPFPRNERSAAYRRAKNISANVPFGTQLDINTRKYEGIKHSIYAKVPSEELPRVTVGGPLCKKPYSASLFNISAMSYGALSNRAVVSLNSGAKKGNFFHNTGEGGISHYHLEGGGDLCWQIGTGYFGCRDEHGHFNPELFAEKSAHPNVKLIEIKLSQGAKPGHGGVLPGVKNTPEIAKIRHVEPGKTILSPPGHTAFNSAEGLLKFVQQLRDLSEGKPVGFKLCIGDTREFEEICEKMNVLNIWPDFITVDGAEGGTGAAPLEFSDGVGMPLEPALIFVNRTLQKYNVRDKIRIIASGKVLTSLDILRAVAMGADMCNNARGFMFSLGCIQALRCHSNACPTGVATQDKMLIKGLDVADKSERVYYFHRNTLHTCNELIAAAGRTSYAEVDASMFMRGDEFEHLSDSYFPDILTNVKHKTQI", "MAMNFHTRKWVKPEDLNPNQSLFGGRLLQWIDEEAALYAIIQLENPRCVTKFISEINFIHSATQGDIVEIGILATSFGHTSITLSCEVRNKMTRQTIIKIDKIIMVSLDENGKPAAHGKTKIEYPHDRFPEG", "MELHIKNMVCGRCLSSVQNIFSNLDISLTKLELGVAETKDTLDKEQVSVLEKALNEQGFEILQDLSVKQIEKMKTLILQKVQSLDIGEDFKISTYLSEHLHKEYSSLSKLFSQTENVTLEQYYILHKIEKVKELLVYNEYSLTQIADMLGYKTVQHLSSQFKKVTGFSPSQFQKAKDKHRQALDKI", "MYYKYMEDVYIIQLLISVEVKKEGLAQTQKKQ", "MEKVFSIKGMSCDGCRSKVEKKLNEIEGISATVQLDPPVAKIHSERDFSEEELQKKLEEAGNYSIEREAPKSGCCSAPAGNSLPAVGGLKKEAAKHTEEKSSCCSTAGHQQQQNIAPADNISPSGQYICPMKCEGEKIYNEPGRCPVCGMFLAPIEDVNTPVKEEKASCCSTASHQQHQNIAPADKISPSGQYICPMKCEGEKIYNEPGRCPVCGMFLAPIEEAPAKEEKSSCCSTTKHQHQHSIATADKISPSGQYICPMKCEGEKIYNEPGRCPVCGMFLAPIEEVNTPVKEEKISCCSSGHHHAEKPKVTSSSAGKYYCPMHCEGDKLYDNPGSCPVCGMNLEKIPELKKKVQYTCPMHPEIIQDGPGSCPICGMDLVPMEPTEEEDATYKDLLKKFWISVGFTVPVFILAMGGMIPGNPISRILSPEANGWIQLGLTVPVVFYTAWMFFERAWTSFKTWKLNMFSLIGLGAGAAFIYSVVALIFPNIVPHELKEHHGGANLYFESVGVILTLVLLGQLMEAKAHSRTNSAIKELIKLSPTEATLVENGQDKKISVDDIQLGNILKVKPGDKIPVDGKITEGYSTIDESMITGEPVPVDKKEGDSVTSGTINGNRTFLMEAERVGEETLLSQIIHMVNEASRSKAPIQKLTDKVSAIFVPIVVLIAILTFVVWSIWGPDPKFVYAFACLLAVLIVACPCALGLATPMSVMVGVGKGAKNGILIKNAEALENLNKVNVLVTDKTGTLTEGKPVVQKVGTFNQYTESEVLQFAANLNQNSTHPLAEAIIKKAKEKGLSLEQASNFENISGKGVSGQTGGKEVLVGNESLLKQYNITIDQAIAEQITAEQEQGKTVSFVTIDHKIAGYVAITDPIKVTSKEAVHQLMQMGVDVVMITGDNSKTAKAVADSLGIKHYIAQALPEDKLNEIKKLQEAGKIVAMAGDGINDAPALAQSDIGIAMGTGTDVAIESAEITLLKGDLKGIVKAKVLSHKLVRNIKQNLLFAFLYNVLGIPIAAGILYPSMGILLSPMIAAAAMSFSSVSVIVNSLRLNSAKL", "MRKLYLLAGIALFTVSKAQTDTIKRDTVIPAKSLISYTPTSLESKPKFFQKEWVKKSIAPSILFVASAATWGQKEQIRENRNRYLPNFKVPYDDYLQYTPALAVYGLKLAGVKGRNNIGRATLSYATSLAIMAILVNSIKYTAKVERPDGSKRNSFPSGHAAMAFTNAAFLDKEYGLVNPAYSIAGYSAATFTGLGRALNNRHWLPDILAGAGIGILSTELGYFFIDKIFKNKGDNMGLLSRIEGNENPSFLALKSGATISMSNFLKESGLSDRKTVGFEAGLEGAYFFNKNWGVGADLNISTFPVRALHLKTDDPDLETLDVKTESLGFLNAMIGPYFAYDLTDKWQVMLKATAGYSKAANGKVFLKDDDPAATQQYYRIATYRPSKSLMMGSGISLTYKITPQLGITAYNDFRFTNSKIKYHFSDIVENDDNLNHDFDVSSHEQISYMTLGLKLTAYF", "MKKYFIGALSIFSFMAMAQTQIIAHRGFWKTEGSAQNSIHALKGAQKLKVYGSEFDVRLSKDGVVVVNHDEDINKVVIAATDFKELRKQKLADGEVIPTLDEYLKQGKKDPAVQMILEIKPLASEAFEKEAVEKSLALVKKNKMESQTQYISFSLFICKELKRLDPKAKVQYLKGDLSPKEIKDLGIDGIDYHYSVFEKNPTWLKEAKDLKLITNAWTVDNPDIFLKLKAQGIDFVTTNTPDVFQKL", "LKKKKFFSQFANWAVYVLLSFIVLGSILASAILINYLRKEEIHRIKLFAQAQKLLNEDSVQDPQVQLLLLNILDDNKSIPVILADRFNNPVMMLNLSQDVKDNPGKVKSLLYEMEQSYKPLEIEMADGNVQYIYYTNSRLMNNLRYYPLALGGVIIAYVLFSFWFLRTVQRSDEGFLWVGLAKETAHQIGTPLSSMIGWLEILRLENEGSVGIKEIEKDIQRLTTISERFSKIGSVPELNDLNLKETIEQNHEYLKTRISNKINFSLQLPGGEILIPHSRILMNWVIENIVKNAVDAMKGIGDLNMQISEKGDSIFIDIKDNGSGMTKTQIRNAFKPGYSTKKRGWGLGLSLAKRVIKDYHNGDIKILQTEVGKGSTFRIILSRSKDA", "MRKTFIIGLVISQTVAAQNISQRLEDETKKMIRSENMLAANLSFYVADENGNVVYEYQGNKGLSTASTQKIFTAIAALDKLGPSFTFKTQASYSGQLKGSILQGNFYLTSNGDPTLGSWRYEGYKPENFKAKLLAAVQDKGIKTIEGDLILDDSYFDLQTTPGGWPWNDMGNYYGAGVWGISWNENQFDMSVVGGKDIKSFNYTPVNVNWVSEVKAERSGDNSIIYTAPFSDFGLINGRLPAGKTTVVSGALPNPPLVLGTEIKKWFSEKGITIKGKVLTYNTEKIKGNEIPQTPVNNVFFTYQSPSLDKIIYWFLQKSVNLYGETLVKTFSRQKTKNASFDSGINELKQYWRDKGLASAMINFADGSGLSPQNYVSAKAEVQALLYAQKQPWFDAFYKALPTYNGMKMKSGTIKVSKAYTGYHQSKSGKKYVFSMIVNNYSGGNINSLMYKVLDELK", "MVYDLEQENKEILARYKDLISNTYRTLDEDQNKLIRKAFDIALDAHKDQRRKTGEPYIYHPIEVAKIVANEIGLGATSIASALLHDVIEDSDYTYEDLEKLFGKKIADIVQGLTKISIMNHQNISVQSENYRKLLMTLSEDFRVILIKIADRLHNMRTLDSMRADKQKKIASETVYIYAPLAHRLGLYNIKSELEDLSLKYNNPDIYNEITEKLILAKESREKYIAEFTKEVSEKLKEEGLNFSIKGRAKAISSIYRKMLKQNVSFEEVYDNYAIRIIYKSDAKNEKFLAWKIYSIVTDLYHSNPARMRDWISQPRSTGYESLHLTVLGPDNKWIEVQIRSERMDEVAEKGVAAHYKYKEGYKKNNDDRNFEQWVTQIREVLEGQQSLSTNELLDSIKLNLYSKEVFVFTPKGEIKLLPSGSTALDFAFSVHTDLGMKCLGAKVNGKLVPISYVLANGDQIDILTSNNQKPKADWLDFVVTSKAKAKIKAALNSHKSEMVEEGKEILQRKMRHAKIAYTDDEINKLQKFFNYKTSQELFLNFQSGVLDASDLKKYVDGKGMISNLLQKFRKTPRQEFVAPEPASDLDMIVFGKDEQKMDYSFAKCCSVLPGDKIFGFITINDGIKVHNENCPNAINLRANYDYRVLTAKWVNAERFTNRAKIEIEGLDRQGMINDISTIISNNMNLDMKSFSIESNDGIFTGNIVLEVRNKSQLDETLKHLKAIKNVTKVRRL", "MKLTQYFKKFFESSQSSGIILILCVLVSLFIANSSLGTGFQNILDSHLGPYSVAEWINDGLMSVFFLLVGLEIKREMLEGELSNIKNASLPIFAAIGGMLVPALIYAFFNNGTEYAKGWGIPMATDIAFSLAIISMLSSRVPASIKIFLAALAIVDDLGAILVIAIFYTEQLHWSYLLISGGILVLLVLFNKLGIKKHIFYLIPGVALWYCMHHSGIHATIAGVLLAFTIPTNISDTEISPLEKLEGMLHTPVNYLIMPIFALANTNIVFQKGMVDGLFTSFGAGIIGGLIIGKLVGILLFSFIAVKLGISKLPQHSKWSQMVGVGLLAAIGFTMSIFIAILSFKGHQDIQDEAKFAILVASTLAGFAGYTVLKFTSKKRRRIN", "MDLDLLVIIIIAATALVSFKGFNDRGFFSQYMFQVGAIQRNKEYIRLLTSGFLHADIMHLLFNMLTLFFFSGIVIDYFGKVGFVLIYFGAIIAGNLFSLFIYKNNPMYSAIGASGGVSGILFAAIAMNPYLGIGFFFIPIPIPGYIFGALYFGYSVYMMLNPKEWDNLGHAAHLGGSVVGLVYAFISFPMNTLEHIFQILIMSLPLLYLAFRILFNKGGIR", "MKLKHTAVALAAPLLMNAQNVMTPEKLWTLGKFSVQAVAPDQSSLIYKVGITDLKTEKTNAKSYFLDLLNNSAKQIDFGKKAIIQWDKNGLYAKEGGVIYISKDKGNTWSEFYKIGDDADNIVVSPDGKKVAFSKEVQVETILGKDKYKDTSKSTAQIYTDLNNRHWDTWYEGKMSHVFVVNTSEDAAKAKDLLEGKKFMSPQQPFGGAEDFVWSPDSSQLLYVTKEKYGAEYAQSTNTDIFAYDLASGQTENLTKGMMGYDVSPKFSPDGKYLTWQSMRTDGYEADKNDIVIMDWKNKKKTNLTQNWDDSVDGGFYWSKDAKTIYFNAAYRGVKQLFSVNPQNAKIAQVTKDKFDVSGIFAETKNGLLVTRTDFNHSPDLFNVSLKNGEFTQITDVNKDNYAAITPSKSELKMVKTSDGKEMGVWFIYPPNFDPNKKYATLLYCQGGPQSALTQTFSQRWNMALMAANDYIIVAPNRRGMPGWGVKWNADISKDWGGQPMRDYLAAADFAKTLPYVDGDRMAAVGASYGGYSVFMLAGIHENRFKTFIAHDGLFDMKSWYGTTEELWFANHEIGGSYWEKPDAPAYTTYNPSNYVGKWNKPIMIVQGGLDFRVSYEQGQEAFQAAKLKGLKAKMVYFPNENHWVLHPHNALVWQREFFGWLKETL", "MAKKAQEISVEDKLRALYDLQIIDSRLDEIRNTRGELPIEVEDLEIEIEGLNKRAAKFSTEIKDLQDQIKAKKEAGSHAQNLIEKYKSQQDNVRNNKEFEALGKEIEFQELEIQLADKKIREFNAKIDHKNEVLAEVNAKIDELTNHLNFKKNELDSLVSETQKEEEYLLEKSKEFSEKIDDRLLSSYNRIRTSSSNGLAVVGIERGAAKGSYFTIPPQKQLEIAQRKRIIIDEYSGKILVDDELVMEEQEKMKTIINF", "MKVKEIISITEKQWPLQQAEDFDNVGLLCGNPDREVTGILLCHDALEEVVDEAIETKCNLIICFHPIIFSGMKSLTGRNYVERSVIKALENKIAIYAIHTALDNDLFGVNYRICKELGLENMKVLMPKQKQLSYLSVYVPKDNADEVEHAIFGAGAGHIGFYDQCRFKLNGEGSFRPLDGANPRIGSVEQREYVEEVQLSFVFETYKKNKILAAMKVAHPYEEVAYQIYSLENDNQYEGLGQYGELKEETDAVTFLSFVKEKFGLDVIRHSKIPNRKIKKVGVLGGSGASGIKAAIGAGCDIYLSGDFKYHDFFLAENRIILADIGHFESEQFVVEQLYEFFSEKFTKFAILKTNIKTNPVNYFL", "MRKIRLKPEYDLTPQEGWLEKVYKIIYLSNTRAGKTFDIILLIVILLSTVLVMLETVPTLSLRTIRSLYWIESFVTILFTIEYILRIACIKDKREYIFSFNGIIDFLSIAPFYLHLFYPATHYLIVIRLLRLLRVFRIFNLLDYMKDGRYITSALKHSSRKIYIFLLFLSIFIVIIGSLMYVVEGGENGFTSIPTSIYWAVVTVTTVGYGDISPVTPFGKFLSIVVMLCGYSIIAVPTGIVTSEFRQKRALKNDLACQRCGNTENDSDARYCKKCGERLS", "MKNLKLKTDPKVNDKFNNYPELIREKMQFLRELVIETAGETHGVDVLEETLKWGEPSFITKNGSTLRMDWKEKSPKQYAIYFQCSSRLVDTFRMVFGNQFRYEGKRAIIFQLNEKIPLKELKECIKATLLYHDVKHLQTLGI", "MKLTTQVKAVADYMQNVQVFDAKDALDMSRKSYESMAAQFSANKEMVRIIEEFNIDNGGHSIPVRVYRPEGSGTENSSAIIYTHGGWFVAGSFETHDAIVRKLANATKSVVIFPEYRLAPEHPFPAGLDDCIYITNWVFDNAVTLKIDQNKIGIVGDSAGGALSVAITSELGDRLRFQVLIYPAGNNKLSTESWQTYANGPVLSLEGGVQAWEWYLQKEEDKQNPLAVPVLIKDFKHTPPTQVLLAAHDPLHDDGKILVENLRTSGVATEVVVYEEMIHGFMHMDSVFTEVQDAVENISTFINSHID", "MKLRLLFTAALLYTSVSVWGQQLKGMDVNISEQNSAILSVDLYTEDAVITVDSYGQLLRYQPNRRNNFQQRDDFDRSSNDNIRYRYGKYPIEYYSDNDIWGRNNMIKNIGRIGFEYFHKNDIWNRDLFFKQIGNINFEYFDNDIWGRKGKLRKVGNVTLDYYSDWDNNKRMVGQLKSIQGENDLIQINVIPQYYYRNDGRR", "LDLKEINLDKAAYEQLPEGLYGNLKTTKGDILVKFNDKESPVTVANFVGLAEGKIENSAKKKGEPFYNGTIFHRVIKDFMIQGGDPKGTGMGDPGYKFDDEKNDLKHTGKGILSMANSGPNTNGSQFFITEVATPWLDGRHTIFGKVVKGEQVIDDVANVEKGAQDKPKTDIVLEKVTIFTKGDAYKHYDAAKLFNEGKSKIAENNKVFVQKKEEEAKKKLEELKSGMTTTASGLMYKITKTTDGAQPVAGNTVSVHYTGKLTNGQVFDSSISRNEPIEFPVGTGRVIKGWDEGILLLKEGEEATFLIPPDLGYGARGAGGVIPPNAWLIFEVKLVKAKA", "MPILAQLKNSIYTIQVIYEESRIFVYSIINLIKL", "MKKILLFVLVSAYSFAQDTVEYNFPKIKSGISIPVVIPMAEINRLINQSINGVIYEDKSYTDNNNDQFKTRVEKNGNIVIQGLTNNRIMFSVPLKIWAEKGYGTLGYYAYQSTNFSVVMNFISNISFNNNWTLNTATTTAGFIWKEKPVLDYGKVKIPISSLIESTLTKQQQKFTGVIDQQVKEKMNMQPYLVMAWNQFVNPINISEEYHTWLKISPQSLSATPLKVYANQISATLGVDLYSETFTGNRPAAGATVTTVPGFVTKPAIDNVFKLQTTANIPFTEATKLAEQQFLHKEFTFREGKSKIVIESIKVYGKDEKVVIEAETSGTVNGTSVITGTPTYDPVKKKIVFTDTKFNLKTSNVFQKTLVFLFKGKIINMIEKEYGIPTLEMEKSSRKSIEESLNKEYYKGLFIKGKVIDFRPSDFVVGNSSITAIIDTKANAQLMISGLSF", "MDRRDFLKKSGMVLGGLGVSTVIHPSILKAMNIKPAKGSTFYDAEHVVILMQENRSFDHCFGTLRGVRGFMDKYAFRKPDGKSVFFQKDKAGKTYAPFNLDIKNTRATWMSSLPHSWTNQQNALNKGKYDQWLLAKRSGIKEYQDLPLTLGFYNRNDLPFYYQLADAFTVFDQYFCSSLTGTTPNRLFHWTGTIRAEKSGDVQAHVINDTVDYSRNVHWKTFPEMLEENDISWRIYQNEISLSKGMGGEQEAYLSNFTDNPIEWFSQFNVKFSPKHHEFVQKKITELKDKLSKNPDNKERLEKELRYYEEDLKNFDPKNWEKLSQKEKNLHNKAFTINSGDPDFWSLEEIDPVNGEKMYLPKGDILFQFRKDVNEGKLPAVSWLVAPERFSDHPSSQWYGAWFISEVMNILTKNPEVWKKTIFILNYDENDGYFDHVVPFLPPNNPQQQPDIHGEGGAEYVDFKQKYFSTEKLYSSEKMEGPVGLGYRVPMVMASPWTTGGYVNSEVSDHTSVLQFLEHFLNKKKNKNLHVENISDWRREVCGDLTSAFNQEKSKNLKLDFLQEKVFIESINAAKEKPVPNNFVALSDAEQNAQSKYFPKQEKGLKPSNPLPYHFEVNFNSKGIDMQNHTEKATPVMVYNRKKLNEDKEFLFPYTMFKKQGFNHAVSSENGYDWEVFGPNGFYRNFEGDSDPKMEVKLIQKSNGDVEITFTSAAKIITLENAYTKQNQRIEPAKTPKVLIPSSKIGGWYDLKISTENHNWIFAGRAETGKVSSTDPHWA", "MKQAKKIWAILALAVFANTQAQNYLNYNVGNAHSHNDYMQEIPFWQAYYANFGSIEADVFLVKGKLWVAHTEKELSPERTLENLYLDNIAKQIKLNNGHIYQDANKKLQLLIDVKQDYKTTLGVLVNTLQKYPEITGNPGIKIVITGGRPQPKDFKNYPSYLFFDGDLDKTYTEDELKRVGMFSADLPALVKWNGKGIPRDEETARIKNAVEKAHQQQKPMRFYGAPDFPNAWVNLMDLGVDYINTDHIPDLKKFMNTIPKNFYKNQKEYSVYKPTYETDGVSKKVKNVILLIPDGTSLPQYYAAFTANKGKLNVFNMKATGLSKTNSSNAYITDSAPGSTAFATGMKTKNTFVGVDNEGKALAQIPDIIAAKGMTSGLISTGDVTDATPADFYAHSDNRNSSEPILKDFVSSKTKMLIGGPTSGLTEDNLKKIKEAKIDLYKDLKSVKNTNNRILVIDPLASQRITNGRGNWLADAFDLTLNDLKTNKNGFFMMVEASQTDGGGHSNNIEQLVTELLDFDHVVGKAMKFADENKETLVIVLGDHETGGLTLLDGSLKEGWIFGNFSTNDHTSIPSSVFAYGPNSKEFTGLFENTEIFNKILAAYGIRK", "MKFFNKIVLVSGISAVLLSCTSELDVQPEGTPTEASFWKTENDLVTGANAMYKPLYDSEFYGRGFFWFINASDDMVTGRAKSEADNAKNFSSNYIAAGDLETQWNKRYTVIGIANRVIRNVDNIQASTAVKNKYLGEALFMSSRMYFELAYSYGNEKAGVPIIDRTKEPDPNPIPRAANVTENYNYIINDLKKAAELLPAQEQLAAKDFGRPHKAAAWALMAKVYLFMKDWKNAAYWANEVMTKGNRNLLNNYADVFKAENNYSSEYIWSIPSTPKFNAIGSILPGVMLENKGWGEYNGWGYFQPTKELFTEYETGDLRRDVTILKAGDKFTFNGKDRIYASSNSLTGYQFNKYMDAFKYPLNSGHVSANGDYPCTDLAVPIMRYAEVILIKAEALLMSGQNADQEINMIRKRAGLAPKSGCTMADLKHERRCELAGEWADRHRDLVRWGDAKDTYAKSLHGADDKQVWVPRNFNPAVHNVWAVPQVEIVNSHGVIKQNEGW", "MKVFKIPVSVAYFTSRGLLIGAISASPVLFAQKKDSLKEKSIDEVVVVGYGTQKKSKVSGAVSEASLDKLSSRSLSGVGEVLQGKAPGVTVVNEGGDPNGSPKVNIRGLGGINGETPLYVVDGVVFNGTPAINPNDIQDISVLKDASAAIYGARSSGGVILITTKKGKKGNITVDFDVKFGVNQAWRLKESLNAAEFQDVMYKAYENAGKLSSLPLAFNAEKYPDGRVTRTDWMKEIFRTGTIQEYNVNLSGGNDKSRFFVGMNHRNLEGILLNTQAKRYNFRVNSEHKVKDWLTIGENMYYNYSDGNTADTKNGYTGAVVAAMYYPPNVPVYTPTGAFSGLPIDVAGGYGDMINPVAYLKRISIRNPTHEILINPYAEVTLAKNLKFRSNFSQTFKIGTIKNFTSRVLEVGKIFDTNNLEYQSNNSSTSLAEQILTYKVSFGKHNFDFLGGFTFQKTIDEGFLARAYDFRSEVEVFRYLQNAADTNKDLSSYKYQQALVSYLARINYDYAGKYIISVLGRRDGSSLVAKQNRFANYYAVSGAWVMSKENFMQDISWLSNLKLRGSYGILGNLGGISPQAVNPLMIRDNNIIFGQDPSQNIAYYATTRPNPNLKWGKSEQTNFGLDASFLNNSLSLQFDYFIKNSKDQIFNVSLPSTATYTNQYINAGLFQDKGYELGINYNSKNTGDFSYSIGATFSQLKNTVKQLADVNEIFINDNAVRGVLKPTRIRVGESLYSYYGYKTDGIFRSQEEINNYKDANGALIQPNAKPGDIKFLKKEGNTGTLNNNDFVNLGNPYPKFSYGLSYNMTWKNFDLNVFFQGVYGNKIFNGLKFISLNPGGTGQNYNMDKDILNAWTPENTNTNIPRLVQGDPSGNYSKVSDFYVENGSYLRLKNLTIGYSLPKEIYSKININRLRIYMTANNLFTITKYKGFDPEVGMNTYGVDTGRYPQARSFIFGVEIGL", "MIARHNNILKELEKKEYVLVQDLCEKYNVSSVTIRKDLSYLESLGLLHRTHGGASKQSRFAYEKNVNEKENINVEIKQAIARKAANIIDENDSVILASGTTIHYLARTMGSFQKLTVLTSSLRVALELCMMPNINIIQLGGEVRKSSTSIVGSISESVLKQFSCHKLFLGVDGIDLEFGISCSSAAEAHLNQAMIECAEKVIVLADSSKINKKGFGKIADLDRVDTIITDKGIDLETKERLEDMGITVIISD", "MKRKEELIKVSQNQEWDFIIIGGGASGLGSALDATTRGFRTLLLESHDFAKATSSRSTKLVHGGVRYLAQGNIDLVKEALYERGLLAKNAAHLVKNQSFIIPNYNWWGGIYYKIGLSVYDFLAGKLSLGKTRYINKAQTVEKLPTIEQKGLASGVVYQDGQFDDSRLAINLAQTIVEKGGTVINYTKVVGLLKNESNKITGVIAEDQFTKERYEIKAKAVVNATGVFTNEILNMSNPKHGKFVVPSQGIHFVLDKSFLKSNDAIMIPKTSDGRVLFVVPWHERALIGTTDTLVDEPSFEPKALDSEIDFVLKTARQYLSKKPTKEDVLSVFAGLRPLAAPKDGSKSTKEVSRSHKVIVAETGLVTITGGKWTTYRQMAEDTIDKAIEVHQLKKVASKTKDLSIHGNIPAKDVDRSNHLYIYGSDIPAIKALQQEKPEYAERIHPNNEFTVAEVIWAIRNEMAETIEDVLARRVRLLFLDARAAIDSARKIAEIFAQELGWDAAKVENETESFISLAKEYLLIPYTPNEMKNTN", "MMNEKLILALDQGTTSSRAILFNKSGEIKFVSQKSFEQIFPTPGWVEHDPNEIWSSQISVAAEVIAKAGISGLEVAAIGITNQRETTVVWDRHTSEPIYNAIVWQDRRTSKYCDELKSQGHTDEIKQKTGLVLDAYFSATKLKWILDNVEGAREKAEAGDLCFGTVDTWLIWKLTRGKMFITDVSNASRTMMFNIRTMDWDDDLLKLFNIPRAILPEVKQSSEVYGETSTTLFSTKIPIAGIAGDQQAALFGQMCTKPGMVKNTYGTGCFLLMNTGNEAVYSKNNLLTTVAWKINGEVSYALEGSVFVGGAAIQWLRDGLKIIHDSSEVSTLAETVEDNGGVYFVPALTGLGAPYWDQYARGTIIGVTRGTTDGHIARATLEGIAFQVYDIVKAMEADAETQSTELRVDGGASASNLLMQIQSDLFGFKIIRPKTLETTALGAAYLAGLAVGFWESIDEIQSQWIIEKEFTPKEDKTKIDNMVCFWHKAVKRSQAWIED", "MTPFTAEIIGTMLLILLGNGVVANVLLKDTKGNNSGWIVITTAWALAVFVGVTVAGPVSGAHLNPAVTIGLAIAGKFPWESVPSYIAAQMIGAMAGAFLVWLFHKDHFAITEDEGAKLACFSTSPAISKPVSNIISEIIGTFVLVFVVFYISDANISIPNTPDAKIGLGTVGAVPVAFLVWAIGLSLGGTTGYAINPARDLGPRIMHAILPIKGSSQWSYAWIPILGPVIGATLAALLFGILK", "MKQKLLRLCLIFSGIVAVSAQNSDSLKTEERASRLNFTANIQNNHLWRGLIITDKPVVMGNLSYALDKNKNWKIGIWGASALANDSDGTHYKEINYYVQYSNKNFYIGLWDLYNSRNINTAVAADDIFSYSRRRTAHIIDLRTNYTFGPKFPLNIEADIMLYGGANAGEVVLKPDGTYDKNKYSTYVQVSYPVIQSKKVNLNAFVGGGFALNDRNFLYGNGQSSFQIVNVGLRASKDVKITEHYTLPVSMMAMWNPALKYARIQLAATVF", "MKKRNMFLLTMLTAGSMAFAQDNLVNSLKNNQSENPDFKFTVVKELGNTSIKNQGSSGTCWSYSGNSFLESEMIRMGKPAVDLAEIFTARNAYHDKAKQYVLFGGAISWGDGGELHDVINMYKKYGAVPQEVYSGLQAGQTKNNFGEMQSVIKSMLDAYVKNPQGKLSANWLTNVDNVLDSYLGEYPKEFTYKGKRYTPQTFAKDVVGINPDDYVELTSYKDYPYYQRFVAPIPDNWSHDSMWNVPMDDLTKIIDNAVDKGYTVGWATDVSEPYFSYKNGVAYVPDVDLNNIDAQTKANLFKGPRPDKKITEDMRQEGLNNLTTTDDHGMQIVGLAKDQTGKEYYMVKNSWGVTNDYQGYLYVTRPYVQYKSTGILVHKNAIPKDILNKLKPNTNIGL", "MENTNINPLLCNPATGLCEIPGTGISNNYQAISNQDKPLKLVYFTDPICSSCWGIEPQLRKLKLEYGNILDIEYHMGGLLPDWSYNSGGISKPSDVAYHWDEVSVYYDMPIDGDVWLEDPLNSSYPPSIAFKAAEIQDKDKAVNFLRILREMVFLKKKNITRWEYIAMAAEEAGLDVVKLKTDFERSAQKLFEADLKLARDYGVRGFPTIFIQNKSGERETIYGTKPYSFFETVILNLSSDVTKEQYNKNREALFSKYNSLTAREYSELSGISRNESEKQLNELTDKGFLEKLMTKNGSLWIRKSSDKI", "MKTHLLSEIEKNTQVFKNRIVMAPMTRSRAFQNLPNDLMQQYYAQRSTAGLIITEGVAPSPNALGYARIPGIFNNEQAIGWKKITEAVHADGGKIFIQLMHVGRIANKANMPENTKILAPSAVNAHMDMWTDTFGMQKTEEPLEMSLEEIEKAKGEFVQAAKNAIEAGFDGVELHAANGYLLEQFLNPNSNIRNDKYGGSIENRSRFVLEVTEAVGHAIGFDKIGVRISPYSTFNTMPLYKEIPETYIHVVTELAKLDITYLHVIDYAARATEEGRNLIKTIRKEFGQLLILNGGYTKERAENVLINNDADLISFGSPFIANPDLPYRIENNIEWAKADPATFYTADEKGYIDYPDYNI", "MTTNINNKNYECCPAEEVLKLLSGKWKPQIFRLAIEGPLRFSSLLRQIDGSNKQSIATALKELETEGLLIKNIIKLKPLHIEYTLSERGKLMLPVFQQLENLR", "MDAVFIINPFSAKKNYKEFLEKLQQKYPEANFMISKSIEDTHRFIDENFASTEVFVAVGGDGTISTVAQKLINTDKILAVFPAGSGNGFSNETNFTKNIDALLQKIKQKKFHKIDTFTVNGNLSINVSGTGFDGEVIKKFEKTSRGFTNYIKVTVKTFFIFKSIKVEFEEKYKQYNGDYLMLNVANTRQFGNNAYIAPHADYSDGLVDIVLVKKFPLWHSLAFAFRMFTKNLKENEYLTYFPVSELKFNVRNSKAWHLDGEGIEIGSPIEIKVLPHSLNILVD", "MQNYQNHRRFYTPHHFIFYPVGLILFGISLYRMGYSLGNNNGEFWIWFVLSGVIFLIIWVAFMMRQHYALTLQNRIIVDEVKFRYFQLTGKSIDEMSYNFSDSQLFALRFSNDDEFLKLVEDTVSENLNADHIKKRIKNWKADNRRV", "MKNTISSLFVMAILVVSCKKNENTQGTEMPKGDTIVALKQDSVVYSDSIKATDSLTIAYSDKILVFPDIKEKAILDSLYPFIKPVGYSKPDLEAAAKKAAESLFAKVKKDYLADGQIIGNKWYEENSMRLRSFTNNYLSVEYTWASYMGGAHDNYGFMEKVFDLGSKKQLVLSDVTSMPKKKLESLLMKNVDKIPTGSQNGEGAVKVSDGLLFDKVTVNDNFYFDDKNLYFHYSPYEIAAFAAGDITIPISWKDLEGTINPEFQKRMKINTK", "MLTILQSYDSSYYDNSGSAAAGAIFGIGMLFFYLVIYLFIGFCRYKVFKKAGREDAWAGFVPVYNAIVLSQIIKKPSWFFILFYVPFVFYYAYFVTGDKLGKGFGKGENSTVWGVIGLLTGLFINMIVHAFGSDQFDSSAVPDETA", "MKPSLAKGTRDFTAQEVSRRKYIINTLQKNFELFGFQPLETPSFENLSTLTGKYGEEGDRLIFKILNSGNYTDKVNENDWQNKDAKKLTSQISDKALRYDLTVPFARFVAMNHGQLTFPFKRYQIQPVWRADRPQKGRFREFYQCDADVVGSESLWQEVELVQLYFKAFKELGVPVAIQMNNRKILSGLAEYAGITEQLIDFTVALDKLDKIGKDGVIKEMQEKGISNEAIEKLDFLFHQKINALENLQELKTRFEGVEVGIQGVTELEFVLSKAMELGIDNQDLVFNITLARGLDYYTGAIFEVKAKGVEMGSIGGGGRYNNLTEVFGVKNIPGIGISFGLDRTYLVMEELGLFPENATVKVEYLFANYGEEEAIEAMKLIAHLREKGISAELYPEAAKLKKQFTYAEKKEIPNLVFLGKDEIENANVTIKNLTTGEQETIAQSEFLK", "MSLRNKVILIGHTGKEVEVIQFEKGIKASVSLATNDYYINTQGEKVEETQWHNLIVYGKLAEVFEKYVTKGKEIAIEGKLTYRSYEDKDGNVRYITEIRVEELLMMK", "MNHINEEGKTKISQQKLLSLGFDFSFITQIITYKNGSEYRFIYDQGYKILEEDWILLVKKN", "MEKIILIEDETSVVSFIKKGLQEKGYEISVAFDGRTGVQLVEANDFDLVILDIMLPEMNGLDVCKEIRKTNKQVPILFLTALGTSENIVLGLESGGDDYLVKPFKFIELVARIKSLLRRSSNNPVPEIPEPEVNEEHIYKFSDLIVNDYTKKVTRGGEEVNLTSTEYKLLVYFLNNPEKVISRVEILDAVWGVNYELGTNVVDVYVNYLRKKLDNQDNSKLIHTVIGMGYVLKRP", "MFNRVITNQTKTMILLMLVFTSIIILFSGLVYFSIVNFSHQRFYELLKIRTTTIIQIEKGKEYLDLPENYILNSLNDEELPMEKDYVFAVPRDSNFSKISQEVHIPSSFFRNIVKNGEANYNDKEFYYIGQTFRFDDKDYIAIASAKNHYVAYYLEYLKRTLITCIILSLFFSMIFSFYLSKTLFKPILKITGKVKEISSENLHLRLEPQPDNKELNELVETFNDMLNRIETSFETQNHLIGNVSHELRTPLTSIMGEADVALSINRTAGEYKDTLEIILNEAEKLDKKIKALLMIAQTGFDGKIQKFDKVRTDQLLWDVIETLKRIDPRNNIYLDISMLPDNPKKLKVQGNEQLLHLALANIISNGCKYSNFQQVRVSLGATDTDVYIIVKDAGIGIPQEEMNKIYDPFFRASNTKNYEGYGIGLPLARNIVRIHHGELIVTSHENQGTTVQLRFPNFYSTQNEEKQA", "MSKTILIATDYSLESLNILKRVLQEKNALQDNTQYNILFVSGYEMGDSIRDLLFTNKNTIFNKIRPQEFCDAYEIIRNKYSHLVDKIVCDVFTGSFQRTFNNYVKAANIQEAYYSPYIRSKGKGKFSIIPYIRKCKELQYTEISVEVPQSLPERGRLAEIFASTGS", "MLRNYSNSRTLGDNIRLGTLTAFTAGTINIASLLIFLSFTSNVTGHYAILAAEISKGSWAKAAVVGGWIFLFFFGSFLSNLIVINFNSKSKYFAHAMPIVLEIMCLLFVGIYGQFYYQKTLEETEYLVALMLFATGLQNGLTASISNFSIKTTHLTGTTTDLGILFSMFTHKKYRKNPELIARAKVLLSIMIAYVAGAVFSGLTYYYLEFRVFYVISLCLLVVIGYDAYKIHLRHFNTRYRHSRIYRKPTILAYLYDKIHGIPKRKEKRKFIFED", "MEKNIAEQMVDMLVDAGIKRIYAVTGDSLNHLNDAVRKNGKIKWIHVRHEEVGAYAAAAEAELDGLACCAGSCGPGHVHLINGVYDAHRGHAPMLVIASTINTNEMGMDYFQETNTIKLFDDCSVYNQMIMTPEQAPRILQTAIQHAISKKGVAVVGLPGDVSELKAKENVTSNRFFFTQPLIRPNDQELQQLADLINENKKITIFCGIGAENAHAEIVQLSQHLKAPVGYSFRGKMSMQHDNPNEVGMTGLLGLPSAYNSMCDSDLILLFGTDFPYQAFMPEKNKIAQVDLAPERLGRRAQLDLGLCGDAKETIKALLPLIKVKEDSEFLDEQLKYYEKVKENLETYVKDSGSDDNIQPEYLASTICSHANDDTIFTVDTGMTCVWGARFIKSTGKRKMLGSFNHGSMANAMPMAIGAALSHPERQVVAMCGDGGISMLLGDLATIHQYNLPVKIIVFNNRALGMVRLEMQVAGLPDNQTDMINPDFAKVADAMGITGENIHKVEDVEAAVKRAFDYNGPYLLNVFTNPNALAMPPKVEAGQIIGMAKSMTKLMLGGRVNEVLDTIKSNYKHIF", "MVINKFGKNIFSILLIIFVVINIACQKNNKEKTSVENAMGLQKENDSIHATINVEFIDTGVFALNTLINQRSFSINIPSPKSIKKKSKQLSLVKPSVFESYRLVKNKTVVKRYFVFKEDTLSFKFCRDCINSYSGNAKNNILNILINDNNVFAVQTPNNIINYIEEQRKNYEKNVREVQKMANTYNNAEQGFIIDYLTLYFYNKIFNIDFSKVNDPKTIEQLDFYYKEIFDNIKLLDKLNTILNKNILYNLLRFTSFKNKNPDLLECLHFLDSKLYQTEALDGFLLDYMESFYNNLSDKDLITIKKYIRKRSLKNIFDRKTKKISENVFSSKLQDVDSNEALFQEVLLLKATESLVLIDLWATWCVPCLNEMPAWNKSEQKYKGKIKFVKISIDNNFEKWYNFLSSKNDHNFNYIITNSNHPFIKEFKISTIPRYMLLNKNHEIISDDFTRPSDSRFSEQIEKYLQ", "MKKFSLKELRFGSKEMLTKERIKGFFGGMKEEAIDVLDDDGRSCRIEIIYLGDGLGCSDVPTRSGTCSQQSEQANQYLCIMSVGSRFSHDGYGY", "MTKTEYIQQHISVPAKGVETTLQLLSEDCTIPFIARYRKDRTGNLDEVQIEQIVKLSKQYDEIVKRKESILKSIEEQDSLTEELRSKIESSFDLQYLEDLYLPYKKKKKTKADTARALGLEPLAKIIMAQNASDLTGTASRYISDNVPSEEDALQGARDIIAEWMNEHLFIRKQLRKLFQYKAEISSKVVKAKKDEEAAQKFSQYFDWKESISRIPSHRLLAMLRAEAEGFIKFSIDADKDEALQIIEKAMIRGNNECADQIKLAAKDSYKRLLEPAISNEVLQEAKLKADTKAIEVFAENLRQLLLASPLGEKRILAIDPGYRTGCKVVCLDEKGDLLHNENIYPHAPQNETGTAMKKIRSMVNAYNIEAISIGNGTASRETEFFIKKIAFDRPLQVFVVSEAGASVYSASKIAREEFPSYDVTVRGAVSIGRRLSDPLAELVKIDPKSIGVGQYQHDVDQALLKDELDSTVMRCVNTVGINLNTASKSLLSYVSGIGEKMAENIVNFRSENGPFTNRKSLKKVPRLGEKAFQQAAGFLRIREAENPLDNSSVHPEAYSIVEKMAKDLNISLSDFIGNKEKIALVKPENYVADHTGILGIQDILKELEKPGLDPRKAAKVFEFDKNIRKLEDLKTGMILPGIVNNITAFGCFVDIGIKESGLVHISQLKDGFVSDVNEVVKLHQHVQVKVVEIDAQRKRIQLSMIL", "MTYLHKRYFIYILAIGFLIWLLATIAFRVAGQYFFITDSAVVLSILYIIVVPVLGLVTVFTCRKFRLTGLENVAAGVLLVLPGMLIDTFVIQFFGSIFPNMPESSASTFGSWLMWAYTIVLITSVIIGLGRTTNSTR", "MTFQHLFYIPTIFLLGLIFGILLSNNTSTASAEGKMSGKKLGLVFLIFIIVFIITHSFNVPAGSKQVSMLLGGLEIFDKKPIFGSDAVYHYIQSFPEIGIRVYKRFTYTIDVIFPLSMLLFLHTFSKFTLQRVALTKYFSKIVKLLPWIWFGFDMLENATVFYLLSEFPKQYPLVAGSLAWITAAKFVLLFLSLLVPALLLLFAKKPQKRY", "MNLLYEYICGVEPTFTSADFDFLTKDFRTKHFKKGDHIVREGETQRNIYFVEKGIQMYNFDTLGKTNILGFAYSPNLCVVMESFIFQKPSAYNVTCITDSTLNYLSYSVLQEIFEKSRQIERLFRKLSENLSIGLINRQIELRSTTIEERFKIFCQRSPHLLQQVPQKYIAAYLAIDPTNFSKLMNSVRF", "LSNDKTIKFNRLIPELLVSSIEASKVFYVEQLGFTIEYERREDDFALISYEGSQFMLEQDHATAWITGELGSIRGRGINFQIEVDCLDTVIAKIETNDLPYFRKPKEQWYRINTIEEGVKELLIQDPDGYLLRFQQYLGEREILE", "MKKLAFLLAFMLCFSIAKAQKAEQQIDKLMTSWHQAAAKADFNGYFGLLHDKSIYMGTDATERWTKSEFINFAKPYFDRGRAWDFTAVDRHISFSKDGNTAWVDEILDTKNMSLCRGSGVLIKDNGKWQIVQYVLSMTVPNDLANDVTKQKTPDEVKVLKDFQNKKPLK", "MKRLFLLSATLLISYTVMAKEIKTEIVIHATPEKIWKILTDFGNYPQWNPFIVSVTGEVENGNKIVVSIKPPDRKGMIFKPTILTKINNKELSWQGRLLFKGLFDGKHKFELIDNGDGTTTFIQSEKFSGIFVWLFNTRNTKKGFNAMNQKLKELAESK", "MTELELSIKSYFGIIDAEELSSITSLFQLELLKKDDYFLNTNKECDRLSFVQSGFLRIFFETEDKEVTQWISTKGYFVTDLSGFIFNQPARWTIQALTDVEIYTIRKSEYEKIKTIIPRWTELERLFIVRCFTTLEDRIFSHLSMTAEERYHFFFENNKELFNQVPLQYIASMLGMRPETFSRIRKKQLS", "MKKRSIFMVAGVAMLYFNNAYGQETAKDSTKVSSIDQVVITGNSNPKKKIESSTAISTFNAKEIQKQNPISTAALLQRVPGFAVETSGGEVGNNLFARGIPSAGAYEFVQVQEDGLPVFEDGALQFANADNFFRVDNTVSRLEALRGGSGSIYANNSPGGLINFISKEGTNTFKGTAKLETGSYGLMRTDVNVGGALVQDKLFFNVGGFYRVDNGIRKTGFKANDGGQIKMNLKYVFDKGYVKAYYKKLDDRNTFYLPIPLIQNGNDLKGFPGFDPNYGTYSYRAISQLSIPQAGGGFFQRNLEDGIHPKVDVIGAEFKYDLGNNFTVLNKTRYTNINMNYTGIFPAGGPQLAADFAKNKGIGANNYQYSLVSNGDPVKPQYVQELGFWAIDKQMKNFVNDLQFNYKFDKGNITAGFYKSSWKSHQYWNWSNILTTATDRPELLNLVDKSLNPTDTGYSKTYNGVSSMSFLIRDSQIQGSLNNIYLNVDYNITDDLSVNGGIRYSRDFYKGYGVNTTTANLNNSGLTTDGTHSFATTTADDNMAVLGNKYTYWNYDISRVSYTLAANYKINRENAVYARFSSGFRSPNEEAYYNNMADLSKIKPVLTNQLEVGYKYYSRTFDIAVIPFYSTLKNLSFTDVYSNGTSENKFANTSNLGVELEGYARLFSNVLEVTFNGTIQNPKYKNFTGRNADGTTFDYDGNVVRRIPKFYFNISPAVNITKEWRTYISYNYYGKRFQDEKNVQVLPSFSEFGAGMSYQLGKIRFAIDGTNIFNTIGITEGDPRAGSPTGDGIIMARPIMGAAVRGSITLDF", "MKSQLYINEIQPLFDDAQRSRIFNDQKTMTDAVPLFPVSEINKRYEEEKGREGFDLRSFVLTNFDFIGEKAVRKDDMLPITEHIEKLWDELTHTASENEGTLLKLPKPYIVPGGRFNEFFYWDSYFVMLGLQVSGRVEMIKNIVENCSYLIHEFGFVPNASRTHFLSRSQPPYFSLMLDLLAESTNDENVYSKYHSTLEKEYSFWMDGEEGLKNGSAVKRVIKTKEGDLLNRYYDSENTPRPESYLIDIEDQHEASGEEFFRNIRSACESGWDFSSRWFADGATIQTIETLNLAEVDLNCLIWHLEKTLAKSSELMGLTDKAAYYNQRASNRKQNIDRYFWDEETGIYRDYHIKKQIKTSSEHIAALYPLFLGLASEKQAKAVSEIIAEKFLYKGGLVTTTRESGQQWDLPNAWAPYQWLGFLGMRNYGFTQLANDIKNNWCTNVERVYNNTGKLMEKYNALDTKTIAGGGEYPNQDGFGWTNGVYLKLKQY", "MKNLNIKAILFLNYFVFAILLNSVGTVILQVQQNFGISKSSASVLEGFKDLPIAICSFILASFLPKIGIKNAMLTALFLVSCMCFVMPFSNDFWFFKLLFTIVGVSFALIKISVFTSIGLVTNTDKEHSSFMGFLEGFFMIGVLAGNVLFSLFIDDHNPKSTHWLNVYWVLGALSSLSFIFLFFSKLNEKEAKSGTMDLMGDIKNSISLFSYKKVLFFLLCAFLFVLVEQSFQTWTPTFYKEILKVPTSMSIQAGAVLAGAFALGRFLSGFFSKKFSWIYVVSFCVVGFAISLLLVLPLTHSAAINSNTTWMNAPLVVYLFPLMGGLLAPIYPSINSVILASIPKYLHSAMSGLIVVFSAIGGTIGSVITGFVFQEFSGQRAFYLSLIPLTLLIISAIFMNRLKIQTNKK", "MKVSFERIVPSEKSSFRTLHNNSPISEFKWEYHYHPEIELVCVISGSGTRHVGYHKSNYTNGDLVLIGSNIPHSGFGLNSVDPHEEIVLQFREEILHFPQQEVETRAVKDLLERSKYGILYSTATKKALLPKLKKLLESEGYKRYLLLLEIIFELSLCEEYELLNKEIMPYTIISKNKTRLENIFTYVEHHYDKEINIEDVAKLANLTLPAFCNFFKKATQITFTEFVNRYRISKACLLMTQDKTISECSYSCGFNNVTYFNRMFKKYTNKTPSEFIRSNSGNN", "MQIGKKQYLSVSGKSEAGLQLKDESGNEIFLSKLFADPASEIGDEVSVFIYQEEGELKATTEIPYCEVGEYAVLRAVQTLPSGAFMDWGIIKDLFIPYKQQKGKIIEEKRYLVHVYIDEATGLITGTTKFKRNPQYENLDLEKGEKVDLILINETELGWNVVVNKKYIGLVYASDVYKKLYPLNEETGYIKAIREDGKIDVTLQPEGFENIDSFRQEILDALDDHGGLLYLSDKSSPEEIKDKLQMSKKNFKKAIGGLYKEGVIEILEDKIRLK", "MKYSALFSVFLLFGALYLTKAQFFDQTAIGVGYKYTGRNSLKLSFEYRTSTSSNQPYFNLGGGAIYTPVNGNSKILPEVHAYYNTAIFMYGVSASPYALEPNIGLSLFNAIWLTGGYAIPLNKEKYFRGATVGIQFNIAPVKASAFYDKFKTF", "MAQINVYLNFEGNCLEAFEFYKSVFGGEFPYIGKFSDMPPSEEYPVSEADKNKIMHISLPISQETVLMGSDVIGGNCAGGKFVKGNNIQLSVNAGSREEAEKLFNGLSAGGEVTMPLQDTFWGAYFGMWTDKFGISWMVNYDDPAKQQQHP", "MNQFDVAVIGSGPGGYVAAIRCAQLGFKTVIIEKYSTLGGTCLNVGCIPSKALLDSSEHFENAKHTFATHGILIDEPKVDIAQMISRKNDVVDQTTKGINFLMDKNKITVLQGVGSFESATQIKVTKADGSSEVIEAKNTIIATGSKPSSLPFITLDKERVITSTEALNLKEVPKHLIVIGGGVIGLELGSVYLRLGSDVTVVEYLDKIIPGMDGTLSKELQKTLKKQGMKFMLSTAVSGVERNGDTVKVTAKDKKGEDVVVEGDYCLVSVGRRPYTDGLGLEKAGVELDERGRVKTNDHLQTNVPNIYAIGDVVKGAMLAHKAEEEGVFVAETLAGEKPHVNYNLIPGVVYTWPEVAGVGKTEEQLKEAGVAYKTGSFPMRALGRSRASMDTDGVIKILADEKTDEILGVHMIGARAADMIAEAVVAMEFRASAEDIARISHAHPTYTEAIKEAALDATGKRAIHM", "MIIHIFGASGSGVTTLGNYISKKLGWKYLDSDDFFWEKTDVPYTVKRDPKSRDAEILKLLKSGESIIFGGSCISWSPEIHSCFDKIVFLFVPPENRMLRVKKREAERYGDKLFTDPVIQKMHDDFIAFCKDYDEMKGIANRTIKAHRKWLENQTVEIIEISGDFPTIEITEKLLSKWQLLH", "MASVFEYFTNVNFSNRYILPQKLFSYLQENYSDYISEVGKSVLGQPVYQFSIGNGPVNVLAWSQMHGNESTATLAMLDLLYSLDKNTELKERLFSKITLDFIFMLNPDGSEKWTRRNALDIDMNRDFLKESSPEIKILKKIAKEKKYDYALNLHDQRTIFTTDGVHPATLSFLAPSFDQDRTLNENRKKSMAIIAHIYSDLKNKMPNRIGRYTDEFYPTSTGDNFMLAGIPTVLFEGGHSEGDYLRKETRKYYTLALYDALAAMSIIPGQTLGYEIYFDIPENQQTHYDLIYRNVKLNTDFDCILDVAVQYKEVINEGDDEISFVPVVAEVGDIGKKRAWKEIDATGKKFISEKKYPKLDEEVNFSLG", "MSGELLFIIGFLAIVLLILVIDLGLFSKKSKNKENNNKSVSLKQAILMSIFVICVALGFYFFLMQFGHFLHNIDSMERLQQVIAKHHHPVKIIPGDLETSIELYDKNLALEYITGYIVEYALSIDNIFVILLIFGSFKVAEKNYHKVLIWGILGAIIMRFIFIFVGASLISRFEWIMYVFGAFLVFTGIRMFFNKEEEHIDTEKHPVVKFANKYFKVHNKFEGNKFFVVVDGIKKMTPLFLVLLIIEFTDLIFAVDSIPAIFSVTKDPYIVFFSNIFAIIGLRSMFFLLANIVDKFKYLKTGLAFLLTFIGLKMIFHHQLEDWGFKTTHSLLIILGILGTSIAASLISSSAAGKRSKTNH", "MEISDRIKKIIDHYGYSPSEFADTIEVPRSSISHITSGRNKASLDFIVKIKNRFPEIQWDWLIDGQGDMTKQAVQEPEEINIPEEEEEPSSPLDLFTLAPDFSSPIIEEPDAPEISSSGESDIPIKGTEENAPDDSQRLAIEEIFAPMQSTGNQERAIKRIVFFFEDGKFEVFTP", "MNHFSTIYIRIQILLLFCFFSSLFGQNSWVIEAENIDPKHYTGISVSNGMIGVVSSPEPMKVSQTILAGVYDQYGRGRTSNFLSGFNLLDLNLTIDGTPVNLDNISNYKQKLDMKKASFAGSFDYKDIATVQYSYIAIRNLPNNVMLNVSIKAKKNITFRAENLLKLPPGFKDNQQFYTEITPPHAKIPLLTSLAKSPTGSITMAASSTFVFPEKHGEQPEIKHNKKDNEEHTMGFSRELQASQTYTFSLVGTLISSAQVKDPLNYAERLSIYAGLQGIETIKTKHEAEWQKLWEGDIQIEGDPQVQQDVHNMLYHLYSFSREGSDTSLSPVGLSGVGYNGHVFWDTELFMFKPLLFFKPEITKSLVGYRFNRLKAAEENARIYGYKGAMYPWESAVSGEEETPVWALTGTYEHHITGDVAFAAWHYYLFTKDVQWLKEKGWPILEKTAEFWESRVEKKNGKYHILNVVAVDEWAENVDNNAYTNAIAKLNFEYANEAAKILKLPVNKNWENIADKLIFSKLENGVTREHDSYTGQKIKQADVNLLAYPLGIIDSKEQILKDLLYYQEKVPQEKTPAMTKSIFALLHSKLGNGKEAYKWFKESYEHNLLPPFRVLAETAEGDNPYFITGAGGTLQAVIMGFAGVDFGTDGKIIQAKNALPPHWKKITVKGIGMQKQTFSNPSLR", "MDLIKYVQDKYITKKEFPEFKAGDTITVYYEIKEGNKTRTQFFKGVVIQLRGTGATKTFTIRKMSGDVGVERVFPINMPALQKIEVNRKGKVRRARIYYFRDLRGKKARIKDRAHGAR", "MKQLHTAGLITLKDKKLLLAFSKNKQAWYLPGGKIDARESSEDALIREIREELNLTLKAEELSFFAHISAPAYGEDNLLMEQDCFLVHLNKTIHPSAEIEAIKYFSLEEYKRENIQVAGVLIAFAELEKQELI", "MAFLHQNDEFNADSRPENITGIASDMVMHDSGFHSHQKKVQLLYAPSGCMTVVTPEKQLILPPSKLLWIPAREEHRVTFRNVVAYRSIYFSLKYVMENRLPESIQVLSVNPLLKEIIERICFWPWDMEEKEQRSILAVFREELKIAPKEPLVFSMPKDVRLQKKVEEWILRISQPPFLNVLSGEVGAGEKTISRIFIKETGLSYQEWRLQWRLHRAIELLSEGRTVGEIAFELSFSSDSAFVDFFKKQTGVTPLKYMLQ", "MKKTNTLWILILLVMFPQFVETIYSPALPLIARKFGVSEETATLTISFYFIAFAAGVIFWGIQCDRIGRKKSMLSGLFTYSTGAIIALVARNFEILLLARIISAFGIAIGSVVTQTILRDLYDKEQIGKAFSFVGIGLSISPVIGMLTGAVISSHLGYMGVFGLLFILAIILIFLAQRNLKETVVPNKHINIKSSLHLLKKMLNDCYIWRDSILVMSFNVMLFSYYSLAPFIFKKEGFSTTDFGYSGFILAIGTLIGALTNKRLIKKGFESEILIRIGGITAFIAALIVEASGEKIWFLIPFCFIVMAYGIAIPNILSTALFRYKSETGSAGAVFGLIYYILIGLGLVITGAIQHLGLSLSIFSGIALGAILLLKKNTATL", "MKKIRIGFMAIGLVLCLSVTAQELKPLDAYLTTVNYPYPENYIKFESQGQNLEMVYMDVKPVTANGKTIVLLHGKNFNAAYWKKTAEVLLKEGFRVIMPDQIGFGKSSKPHDYQFSFSQLAYNTRLILDKLKIDKAIILGHSMGGMIATRFTLQYPERVEKLVLENPIGLEDYRTFAGYETIDEAYQGELKNTVETYRNYQLKFYYDNKWKEEYQPWLNMLAGWTLHKDYPKVAWDAALTTDMIYNQPVCYEFQNIKRPTLLIIGTRDRTAIGKNRAPKELQDKMGLYNELGKKTQEKIPGSKLVELDNVGHLPHIEVFDQFAGALLPFIKE", "MQQLPLSSKLRYIFSIPVIISALGYFVDIYDLLLFGIVRIPSLKDLGLNPDADGTFILNCQMIGLLIGGVFWGIFGDKKGRLSVLFGSILVYSLANIACGFLPYFPKTNLVYTYALLRFIAGVGLAGELGAGITLVSESLPKELRAIGTSVVAGFGLMGAVVAQLTVELSGGWNISYIIGGGLGILLLFLRISVSESGIYKNIKHQSVSKGNFLSFFTSKDRLIRYLKCIAVGLPTWYCIGILAVLANQFAPELGIKDINPGKAIMWGYVGISVGDLMSGFISQMLKSRKKAIFYMLLFTITGVGIMLFGNTNTETKYYAFCVWLGLGTGYWAMFVTLAAEQFGTNIRNTATTTVPNMVRGLVPVMILAFDFFKKDFSVIISAAIVGIIVFGLAFYSTLTISETHNKDLDFTE", "MKIEKHWVISFVIICIISLGALVGSNTDQINMQNFLSESNINSADTAWILTASGLVLLMTPGLSFFYGGMVGRKNILSTMLQSFISLGVVSLIWIVVGFSLSFGDSLGFEINGIKYGIIGNPFEYFFFDGVSTLPHKSLASTIPFLLFALFQMKFAVITPALITGSFAERVRFISYLLFIVLFSLFIYTPLCHMIWYPDGLLNKYFGVKDFAGGTVVHMSAGFAALAGAMVLGKRKRPHHEPSNTAFVLLGTGMLWFGWFGFNAGSALAANATAALAFGTTTIASASAMMTWIFFDRINGRKVSVLGACIGAVVGLVAITPAAGFVSIRESLFIGFITAIISNTMVNWSKLKQIDDTLDVFACHGIGGIMGMILTAIFAHGENASLLHGGISVFLHHMTALILVSLFSFFGSLLLYKISNAIIPLRVSEESEKIGLDLSQHDEQLC", "MEKKNILKGVLFVGVGASIYGMLATFVKLAYNDGYTTAEVTTSQFMWGIIGLLILNVIQTVASKKELPAPKKGDIRKLMLAGTSLGGTSLFYYLSVQYINVSIAIVLLMQSVWFSVVVESFINKKLPTARKVLATIIVLVGTVLATNLINMDVKLDVRGVFWGLMAAASYTLTMFTSNTIATYLPAFRKSFIQLLGGAVIVFIFLFFSQIGPLHFDALKGIYMHFSSNMEGIRPFDYSILWTYGLFLAVFGTILPPILFNIGFPNSGLGLGSIVSSLELPVSVTMAFVLLGEEVLLIQWTGIALILFAIVLMNLPSRKKEQVLA", "MRNISTAVVMLWLLAFCYSCRSADHFMSNELNPEATLQNNNPPNVFELLQIGSSNYERTRLRQVQLKFDHSTTGYYLPADKSLKLTLENTLPASDGAMPSVVIGTRVKDGSNVVEIPLKVGENIIAPTQHKGGIIYLRYVSEKGNTTGKVKITLDPASGYINHPYYELGTTNITDFKNQVAQSTIQDVMFKSKDIIVVVNKDKVEKYLMPDAYKGYPVSIPNWLTAFDGILDAEIQISGLSTNDPNPLHQPMSNGVKYLMTQVGTGYMYATDWGTGFNGNDEAAMDRLLMENNLINNGWGISHEIGHQNQQGAYKPTEYTETTVNFYTFASVRHFQGSNWQKWTENIWVDKFHNDWFKRSNRDYWSADIGTVYQNVNESRLFFLEQLRVLFGDDLIKTLHRITREEKESGGDNEARKFYFLQKIVQISGYDLRNLYSKWGLVLDSYYQQKLDSMINNGNYLTPPCNDNLYLVTPFSKPCPDLTLPLPLKGINTSSPQPDLMPANQLASKNQYCDYSTKTGIFTDPRDGKSYAYKKYGNSEWFMQNLNWDGYDGANENTRNTIGIANPNDPTGVIYGRYYPTNKATQAQTWCPAGWNAASEANWTSLFNAITSEYQVSSALLGSVMKCGEDRDNKPDGLWAMGRGKIDNLKANQVGFNALPAGVINSGTMEYDANDNPGTKASFHMPEGTWYHQVLTNGSDSWAKTNRNSVHNASIRCVRPAQ", "MSKETNSAEVLLNQNVAPEQFDWESFESGLNAEDRKEKNELEEIYKGSLNDLEDNDVLVGKVVRLTDKEAIVDINFKSEGVISLNEFRYNQGLQVGDEVEVMVDRREDKSGQLQLSHKKARTLRAWDKVNEYHESGEIVNGFVKSRTKGGMIVDVFGIEAFLPGSQIDVKPIKDYDQFVGKTMEFKIVKINHEFKNVVVSHKALIEADIEGQKKEIIAQLEKGQVLEGTVKNITSYGVFIDLGGVDGLIHITDLSWSRVNHPSEILEDGQTVKVVILDFDDEKTRIQLGMKQLEAHPWDALDANLKVGDKVKGKVVVLADYGAFVEIAPGVEGLIHVSEMSWSTHLRSAGDFVKIGDEVEAEVLTIDREDRKISLGIKQLTQDPWANIQEKYPVGSQHKGTVRNFTNFGVFVELEEGIDGLIYISDLSWTKKIKHPSEFCNVGDTLDVVVLELDLDNRRISLGHKQLQENPWDKFETKYAEGTVHTGKAVDVFDKGANVQFEDAEVEAFAPARLLEKEDGSKIKKGEEAEFKVIEFNKEFKRVVVSHTGIFREEERKNVKESKAISSNNNNEEKATLGDIDALAELKRKMEEGK", "MNLESIYKKLQIQDMNQMQKSTYKATENKNDVVLLSPTGSGKTLAFLFPLLRNLKKDKSGIQALVLVPARELALQIEQVFKAMKTDYKVTVCYGGHDKKIEINSLTEAPALLIGTPGRIADHLRNNSFNPSTISTLILDEFDKSLEFGFQEEMSFIIESMKNLSQRILTSATAMEEIPSFTGLKNEKTIDFLKLSDVKPDIQFKKVITTAEEKLDALFHLICKIGNKRTLIFCNHREAVDRISELLKDKGIERETFHGGMEQDERERALLKFRNDSAKILITTDLAARGLDIPEVESIVHYQLPPKEDAFIHRNGRTARMNAKGFAYLIMKEDDNFPFLKNDIPVENVEGENKIPQQTTFQTIYISAGKKDKVNKVDIVGYLIKKGELGKDDIGLIEVKDTTSYVAVSRKKIPELLKKLATEKLKGKKVKMEIAY", "MKNITGVQMGILNVGIYEELGITNDFVLRGDFMFNSALWGGSFYPKTGFALYPTIGITPKYYYNFNRRLAKGKNIHNNSANYIAVDFSYTPNWFIISNEKNIEVSNFIRIIPSYGIRRNFAQNFNYEAKFGLGYGKNLDTRESSTVLQLGISIGYDF", "MLYRNFKIIFTAFSFFMFVSCDQIIDFYNERSKNEVTKKYTSPYMGVYVGSFSGGMSGDLVLNVSKDGYVRGTRTSMNITDDFLGGVGDAGALQSVISTQTGFTLYGNLSSMSGTWKQDNWGGTLTVVKNKKQ", "MKRNLKQYIIFGLMFIMASGVFNSCVKDDEISITEPVRYTSDDIKSYADLFKVFWTVMDQRYNYFYEQKRKDNKDWNAVYREYYPKFSALKTWAMTNDMSDKDILDDRNKAIEYFKDIIDPIIDGHFVFSVLLPLSKSEGNTQENFRGGMKNKPANIYNFNSKLGYMFNRVDNRVAQRYGSFIYMMGNLRTNPEIYYISYNQFAVYNTSLEFQEKYLTPNPIDNYVLTTNEIEKNPDLNAIKDANTKNRVKDFTLNVLSQWNAFFTSADVKSYNEQVAAFKNTEIVSDAFIALTRKLLNTSGSLVAYNNKTVYSSVLNSESDKYITWFMKRMGDHTEKGYNYTVFKDDADDLIFKSSFYQKFLNPLRKGDIKKLIIDLRGNGGGAVIDFRLFVERFVTKNSVWGYQRTKEGNGQFNYTPWVPMQAKPHKFNMPTNIPIAILTDKGSYSMSEMSTMMLKSQGSHVVSIGDFTGGATAGLTPNPDEFNGGSQETIAGTMRFYMPVMATKDMNGNVIEGIGVKPDIFVTPPTDAEVVEMKNSPATFVDRVMNEAVKYLSGK", "MEKFFLKILIFCLFYLGEGVYAQNRFKIGLDPGYTYSIMKTNLSNQVDSKYSGRYGFGVNLAGEYMVWKTLFVTTGVSFVQKNYKYERTGSRSGWYTEYNNNFLVFPLLIGGYILNNPHQSQGVWIKVAGGMYTEYWLNMKREGQYPVFSELQTDGSFPYAKVSETYDFKKNENGLNRLGYGLQGQAQLGYSFKKFDVYGAYNYQHGLSDTNKINADKKQKSTIRSYMISVGASYKFD", "MKRNFTKIPVFALGLALSAMTLNSCVKDEETSITEPTRYTSSDVKSYADLFKVFWTVMDQRYNYFYEQKRADGMDWNAVYREYYPKFAALKTFGRSTDNDRDINDDKLKAVQYFTEIVNPIIDQHFYVDINLPASNNSVVSTYRFRGGMKNKRNNIYPFSAKYGYMKDRLTGNAVLLNNFLLAGNLKSNPDIYYFSFAQFALTSNLKIDLLDKYLTPDPGNGLVLTTADIDASKELGAIKDVTFRNKVRDFTVNILNQWNSFPASGEVKAFNDQIASFKTTEVISDAFLDVTQKALTKSKNLVAYNSTATYAPILTTESLPYIQWFVSKMGTHVQWGYRLPQFQDAAQGIINKAPVYKNFFNPLKKGDIKKIIIDLRGNGGGAVLDARFFTDRFVTKPAVWGYQRTKEGNGQFNYTPWVPMQANPHQFGIPANIPIVILTDKGSASMSEMSTMMIKSQGSQVISVGDYSAGATAGLGSPDDFNGGTRDQIAGYLTFYMPLMAAKDASGQVIEGVGVKPDIFVEPPTDAEVAAMQSSPNTFVDRVLNEAVKYLSGK", "MKKSLCKALCLCLLPVGASLFAQNKFKIGMDAGYTYSVLNGNLSNLVDTKYSGRYGFGVNLSGEYMIWNSLFVSTGVSFLQKNYKYERTGTRSGQYTDYKNNFLTFPLLVGGYILNNPHESKGVWIKVAGGMYTEYWLNMKREGQYPVFGGLQPDGTFPYVKVSDTYDFKKNENQLNRFGYGLQGQAQLGYSFDKFDVYGAYNYQYGLSDISKTNTDKEQKATTRSYMISVGVSYKFD", "MKVELPEKLYYSIGEVAKAFNVNTSLIRYWEQEFSIIKPKKNKKGNRYFTPEDIKNLKIIYHLVKEKGYTLEGAKTALSTSPKVEKTVEIIDRLEFVKAELLKLKESLTENE", "MAGLFLLLFVSKTTKFIIYGFQFNTGESNAYNIGLFTGKIFTLIYFKILTYSFYKKYLYLKKYNIK", "MRKKQFIGLAVLGFLVLILEISFHVYKKYKTQQPSLTVEFISSGKSNPVFTTFNPNDLNAQQWEKLGFTPKQATTIINYKEKICNGSFTSKEQLSECFAISKDKFAELSPYILLPETTNNKDPEYRKKDKKLIIKGKFNPDHYNAQDWVRLGFSERQSESILKYKKYLGGSFQSKEKFKECFIISEEQYLQLAPFLLLPQQKNTDKPLIKGLKPKNAFDPNTITALEWKDLGFSEKQVQGILNYKEKILKGSFKTPADLQKCYMISAEKFEELKPWIRINQPVTTSISSQITEPDINTISFRQLRDYGFDEKAAASFLGFRKKLGGFAQKEQILETYNIDKDLALKLIQEAKIDLSKVNKIDILTTDESTLKGHPYFRFYADKIIFYRTSLSDKNDILKKLNAKPKDLEKILWYLK", "MNSIILKNVSVKRGSDNLLNNIDFTLNSGEHLAIIGPSGSGKSLLAMALKGQILHTGTIEYRKNNELTKPKIAYITSTYALKNKSNVSDFYYQQRFNTCDAEDSATLTDELLKKGDTESVNKWLDRFQLTHRAQAPLIQLSNGELKKMQLISHLLSNPEILILDKVFTGLDILSRKELHTVINQLADENVRIILITDHHNIPECITHFAEMSNGGITAYNSINQLNITETQQTDFNKPLPVIKNPSVDEVLIRMENVTIQYNNNIILNNINWQVNPGECWQIKGHNGAGKSTLLSLINGDNPQAYAQQIYLFGKKRGSGESIWDIKKKIGFVSPELYNFFDRNTTVEQAIGSGFFDTIGLFRKLNEQQTLKIKEWLSFFSLESKATKLLSYLSNGEQRLVLIARALIKDPVMLALDEPCQGLDDSQITTITHLLEQIHQSSNISMLFISHYDSEVPSCVKHILELNKGVPTISERK", "MNFEISENLKMIADTARDFAEKNIRPHIMDWDESQHFPKDLFHQLGEMGFMGIVIPEKYGGSGLSYHEYVAIVDEISQVDPSIGLSVAAHNSLCTNHIYEFANEEQRMKWLPQLASGKVIGAWGLTEHNTGSDAGGMSTTAVKDGDDWIINGAKNFITHAISGDIAVVMTRTGEKGAPNNATAFVLEKGMPGFTSGKKENKLGMRASETAELIFDNVRVPDSHRLGEVGEGFKQALKILDGGRISIAALSLGIAKGAYKAALKYAKERKQFGQAIADFQAINFKLADMVTEIDASELLIQRAAYLKNNKQKMTREGAMAKLYASEACVKIANEAVQIFGGYGYTKDFPAEKYYRDSKLCTIGEGTSEIQKLVIGRDITR", "MKKVFIATLAFMALSTYSVSAQTSEINQRIKNERGVLLIGQQNMEPFNKKPFKAWYDEEYTPYVVDQPSIKKLEKENISSYDITIFIGSWCPDSHREFPRFIKILQELKYPVDKVQIFALDRQKKSPHEDEKLYNVTHVPTFIIKKDGKEIGRITEAPETGFLEKDLLNIINKAKSSS", "LRRTPTYIGILIGILSTLLVVSVWRGCKEKKAEAINQDYYLITNQISKMNKMVVLEQNFSSFQTHKSAAFKFGGYDILPKEMVLYTTAKAQVTYDLKQMKIDVDTINKKLVITELPRAEIKIYPDVKIHFMDDYAMNRFDQKSINGIMESAKQNMVKSVDQKKLEQEGHEQLVKNINDIFVLAKALNYKIEDKTGELKGIIL", "MRKITTTIFLLFINFVFAQDVIIKKSEIKNVKDYLRSKDYSTKVIKELKTILTQMEKDEIIINESIPKEIFNISQAGLFSTKTKNYKILENNLVEINTLPNYEAFYEKIKNTVKNKNLEFPTNKINNRRIIRKDQSGNYLIYGIIELTSYEKINKNSVSATMEPYSLEYETKDFINYNPIRCKKINSQEWINID", "MSEMKIAVLAGDGIGPEVVNESIKVLNAVSEVYGCKFQFQEAIVGAEAIFQTGNPLPDATLDICKNSDAVLFGAIGDPHFDNNPEAKVRPEQGLLKLRKELGLFANLRPVKIFDKVAESSPLKKEIIDGTDMVIYRELISGIYFGEKFTEENAEYAYDVCQYNRADIERIAHLAFQAAQKRRKKLTLIDKANVLDTSRLWRKVCQEIAPQYEDVALDYIFVDNAAMQMILNPKQFDVILTENMFGDIISDEASVIGGSIGLMPSASVGESNSMFEPIHGSYPQAKGKGIANPLASILSAAMMLDHLGWDVAARTIEKAVEKAIAEGFVTQDLNTTKSYSTSEVGSFVAEFIRNTQHGSYYNYENIQIGKSTIV", "MQKLTHITSTAIPLPTENIDTDQIIPARFLKSIDKNGFGQNVFRDWRFDKENNPNTDFVLNNPKYSGEILVAGNNFGCGSSREHAAWALTGYGFKVVVSSYFADIFRGNALNNGLLPVKVSEEFLKELLQTITNNPETEVSVDVEKQTITFNHKSESFDLDSYKKICLMNGYDDIDFLISKRAQIDAFEKNLQKTF", "MNADKKTLFDKVWDAHVVDTVPDGPQIIYIDRHLIHEVTSPQAFAELEAKGVQVFRPKQITATADHNVPTLDQDQPIKDALSRTQVEQLTENCKKNNVELYGLGHPYQGIVHIIAPELGITQPGMSIVCGDSHTSTHGAFGAIAFGIGTSQVAQVFASQCLLLNKPKSMRVTVNGKLNKDVQPKDVILYIISKIGTDAGTGYFCEYAGNVFEEMSMEGRMTVCNMSIEMGARGGMIAPDETTFAYVKGRKFAPEGEEWEKKVAYWKTLKSDEGAAFDEEFHFNAEDIHPMITYGTNPGMGISVNESVPTPITESEEKALKYMGLQAGQSASDIPVNYVFIGSCTNARIEDFRSVAEYIKGKRKAENINALIVPGSQQVVTQIYDEGLDKVFTEAGFQIRQPGCSACLAMNDDKIPEGEYCVSTSNRNFEGRQGQGARTILASPLTAAKVAVEGRILISKN", "MKAEKIEIFDTTLRDGEQVPGCKLNVEQKLVIAEKLDELGVDIIEAGFPISSPGDFHSVTEIAKLVRNAKVCALSRAHKKDIESAAQALKFAKRPRIHTGIGVSESHLKYKFNITLEQAIERAVGAVSFAKSFVEDVEFFAEDAGRTDNAHLARVCEAVIKAGATVLNIPDTTGYCLPEEYGAKIKYLKENVKGIENVVISCHCHNDLGLATANSIAGAINGARQIECTINGIGERAGNTALEEVVMILQQHKDLHLATDINSRMLTELSQLTSDMMGMVVQPNKAIVGANAFAHSSGIHQDGVIKNRETYEIINPEDVGVTESSIVLTARSGRSALAYRYKNIGFDITKNELDVLYSEFLKVADSKKEVNDEDLNVIMNVYSQSANYERR", "MADLQKDFLFVLIKSLSTSEKRQFKLYVNRLGINVDAKFLLLFSEMEKMKEYDEDIIISKKISTKQQLSNLKAHLYKQILVSLRMNPSLQNNRIQLREQLDFATILYQKGLHRQALKILDKAKQTAMDLDEKTLAIDIIDLEKVIESQYITRSIEGRADELIAQSHELSIQNSYSTELSNLSLKLYSEMLKNGYAKNDTDKESIIHQFNEQIRKISFSKLNFREKLWFYKAHVWKHLLLQDYKHAYKYSQKWVELFYENPEMISNHPVWYIKGNTNLMKILFLNGQVNKLEGWFEKFRETTNSGYFTTNENLQSLTFLNVYNTQMNIHFIKGEFFIGTKLIPEVELKMEKFRDKIDDHHLLILYLKIAALYFGSKKYKETIEYGQRILQAKGSSIQEDLAFHTRVLILMAKYESGMDEDYDEFAKQTHRFVSKMKNASEIHFSISEFFQKLNEVFFSEQEKIFMEFYNTLNIYNQNPFHKRTLVYIDIQSWAEAKATHIDVVEIIRQKVKNR", "MIKITLPDGSIKEFEAAVTPLQVAQSISEGLARNTISALVNGTQTEVTTPITEDATVQLFTWNDDMGKKAFWHSSAHLFAQAILEMFPHAHLTIGPPIQSGFYYDVDLGDDTISEKDFPEIEKRMLDNAKKNSAFKMYPVSKAEALEMYKDNPYKTELVENLTDGEITFCSHDNFTDLCRGGHIPATGIVKAVKILNVSGAYWRGDEKNKQLTRVYGITFPKQKDLTEYLERLEEAKRRDHRKLGKELGIFAFSEKVGAGLPLWLPKGAALRKKLENFLSAAQKKQGYEFVISPHIGHKDLYVTSGHWEKYGADSFQPIKTPHEGEEFLLKPMNCPHHCEIYKAQQWSYKDLPKRYAEFGTVYRYEQSGELHGLTRVRGFTQDDAHLFCTPDQLLEEFEKVIDLVLYVFSSLGFADFTAQVSLRDKENREKYIGSEENWEKAEHAIINAANKKGLKTVIEYGEAAFYGPKLDFMVKDALGRSWQLGTIQVDYNLPERFDLWYTGSDNDKHRPVMIHRAPFGSMERFIAILIENTAGDFPLWLSPEQFIILPISEKYVDYAKKVSQLLEIHDICGLIDERNEKTGKKIRDAELKKIPYMLVVGENEAQNGSVSVRRRGEGDLGAMSIDDFVQHVKKEIANHLNK", "MRKKEDAHMINENIKVREVRLVGDNVEPGVYPTAVARKMAEEQELDLVAISDKAEPYICRILDYKKFLYEQKKKQKELKAKQVKVVVKEIRFGPQTDDHDYEFKKKHAEKFLEEGAKLKTYVFFKGRSIIFKDQGEILLLKLAQELEHVGKVDQLPKLEGKRMIMMMSPKKPAK", "MPKLKTKSGAKKRFVLTGSGKIKRKHAYKSHILTKKETKQKRNLTQTGLVSAVDTKSVLRQLAIK", "MPRSVNAVASRARRKKIMKQAKGFFGRRKNVWTVAKNAVEKAMQYAYRGRKEKKRNFRSLWITRINAGCREHGVSYSKFMGDLKRNNIELNRKVLADLAMNHPEAFKAVVDQVK", "MNNSFNRRDFIKTSSMAGMGALIPSGSLFNIFDFNPKKVRIAFIAVGLRGQTHVENMARRDDVEVVAFADPDPYMVGRAQEILKKNGKKPAKVFGNGNYDYKNMLKDKNIDAVFVSSPWEWHHEHGVAAMKAGKVVGMEVSGAITLDECWDYVKVSEQTGVPLMALENVCYRRDVMAILNMVRKGMFGELVHGTGGYQHDLRPVLFNSGINGKNGDGVEFGDKAFSEAKWRTNHYKNRNGELYPTHGVGPLHTMMDINRGNRLLRLSSFASKARGLHKYIVDKGGESHPNAKVEWKQGDIVTTQIQCHNGETIVLTHDTSLQRPYNLGFKVQGTEGLWEDFGWGEAAQGFIYFEKIMNHSHRWDSSEKWIKEYDHPMWKKHEQKAVGAGHGGMDYFLDNTFIECIKRNEAFPLDVYDLATWYSITPLSEKSIAENGAVQEIPDFTNGKWKNAKNTFAINDDY", "MTTTKTNATLLVLAGGLGSRYKGKKQVDPMGPSGECLMEYSIYDAKNSGFDQLVLIINDYFNQETKDHFQAIADKAGIKLDFVIQALDTLLPEKHKNRLENRVKPWGTGHAILTAKDVIKNPFVVINADDYYNRKAFEKAYQLINSGQINEHQYGMVAYPLSATLSDNGSVSRGVCTTENGLLKKVVEHTNISEENGKIIHTDDAGNKTELAPDTQVSMNFWILDTSVFQVLEAEFDQFLTNLSSEKAELFIPFVIDDMIHNEGLKVVVESSQDKWFGVTYPEDKDFVIKSVQEMVDNGYYPASLW", "MNNYIKILQSFIDIKDENKVSIIPINDGHINTTLRVDINDNDTQQYILQKINHHIFRKPEVIMHSIDVVNKHLQSAGYEYEILETVPNLEGEFLTIDDNKDYWRMTKFIPNTYCVTKVKDRNQAYEAAKTLSVFYSKILNLDPNLIESSIPGFIDFEKRINDYKTALENASEERKNEASEEINFVDQYLNLPDLFIKNQKDGSFPLRIVHADPKISNVLFDSNTHKGRSVIDLDTLMPATILYDFGDMVRSYTNLKEEDDPNPENVFSREYYDAVKEGFLSHTSGSLTTAEIDNLDYAGQVVVFIQAVRFLTDFLNGDTYYKTKYPSHNLDRTKNQTNLLKELLKMKPAS", "MKQRILGLTVLLACITCSVEVSAQQQPSIFHLGVKGGGNFTKVSTSSGLTGKYDYGYHLGAMARVDLGSLYVQGEALYNQKRTSYDTGNEGSQKLKWNSIDIPVVVGYKIINDKDYNVRIFAGGVYSYAFSDNLSASKALQESFKKFDKSNIGITGGIGLDYKNFTIDLRYETGLSNVSKEFKSKPHSFSLGIGYFLF", "MIKPFTIRKYIFTIIFWFAFGVFLWLNFQSDDGKLNAFFQTCAILISSFIFTQLLTVKLLPKALRSRKMKWFLIQAILIILSLSILFSLIFTYIRIDRSTPLPANFSDQIPLLWKGFYLSISASFLINGTACGIKFYQEHGKIERDHILLQQAHLENQLKLLQDQINPHVMFNILNHIHILMKSNTELASFLLLKFSDILRYQLYHCNQNLVVLDKEIQYLQDLVEVEKLRWGNELDVKAEWLIENKKALIVPLLLVPFIENAFKYVCRLPGQTGYINISCTEKEDLLSFYIENSYSDLAVSRKKEGANGIGLQNVKKRLKLQYPNTHNLKIESEGNIYKVSLKLKLSNEND", "MTNNYTLPKMKCLIIDDEPLARFHLKDMADQIEFLEVIATCATALEANTKIQENQVDLIFLDINMPYLTGLEFLEQLENPPLCILTTAYSEYALEGYRLQVVDYLLKPITFNRFYQAVNKAQQQFIMVEKMKKNVQLEDPFLYVRQGDTFIKVSWVDILYVESMQNYTKLHFKEKSIVIHQTMKAIEESLSAEHFFRIHKSFLINITHIEMISGGRLYINKTELPISRTRKEELLNQVVYKKLISK", "MRKGLFITFYCITQFFYWASAQSGISGELKTEYIPYSNYIRPEDSTKTNSKSNFRRIQMNLSIPLSVKKTEDGKVKAWSLLVSGSYAKLSHKDYAAQLFPDQMLNAQIGLQHIRPLGGKWSMMIMGTVGVYTDLENVDKNDILGQGGVVFIKQFNPNLAFGFGPGITTAFGVPMIMPFIYLDWKTGTKFKVSVKFPEEATVGYQFSDMFALKAVVGLDGMVVERKKEDKSILLGYQQITAGLRPEIKIGKSLSLRITGGSVLVRSFNENDRSLKSFFKEKKQKDPSFTNSFYAAVALRWNLP", "MNLNTIFTTKRAGVQESTTASRSAYQRDFDRIIFSSAFRRLQNKTQVFPLPGSVFVHNRLTHSLEVASVGRSLGSIIGEHIAENFATDLEEESSLFYQYNLNNVIAAACLCHDIGNPAFGHSGEDAIASYFERNESSLKSHFSEREWNDLINFEGNANAIRVLTQQQIGKSEGGLMLTNTTLVSIAKYPCESSAKDFSKKDLQRKKFGFFQSEEKTFEDIALSVNLIQTSESPVIYKRHPFVWLVEAADDICYNIIDMEDAHRLGIVKTEPCEELFTTLIESVNADDMPRVRKNLEQITNENERISYLRAKVINSLISKTKEIYVKNIPQILDGSLNKSLLGIFGEECDALEKIEKYSIENIYRHRAVVEIENAGYNVMYELLNHFIPSILKDKSARKNYDKMALQLLPKQFVYEGVSDYQKVLGIIDYVSGMTDNYATDIYRKIKGIDIGMTV", "METVVITGGSGLIGNHLAKFLVEEDYNVILLSRTPDKNKGSTNIRFAGWNPSTGEIDKKAIEEADYIVHLAGENIGAKSWTASRKKEIENSRIQSSALLCKSLREIPNNVKAVISASGINYYGGDYDNRMTFDETAPKGKGFLADVCQKWEESIKPVADMGKRLAIMRTGVVMSPKEGALKEFLKPLSFRIAPILGSGKQRMSWLHLDDITRAYLHAIKNDSVEGIYNITAPHAISYHQAVIKIAKTKYRTIFIPLKIPAFVLKMMKGEMAEETVLTGITTNSAKFTNTGFQFLFPIFNRDCIKDLLGK", "MGKTDGFLIYDRELPVKEPVESRVKHYQEFQHLPSAELLNNQAARCMNCGIPFCQSGCPLGNVIPEFNDAVYNNQWKKAYDILSTTNNFPEFTGRICPAPCEGSCVLGINELPVTIEEIEKNIIETAFREGYVKPQIAIGRTGKKVAIVGSGPSGLAAADQLNKMGHLVTVFERDPEVGGLLRFGIPDFKLDKKIVERRVRLMEQEGVVFKTNINVGVDYSAEELDRNFDAVVLALGSTIPRDLPIPNRDAKGVYFAMEFLKQSNKRVSDIAYLDEEINVRGKKVLVIGGGDTGSDCIGTSNRQGAEEIMQIEIMPMPPGQRDETMPWPMFPMILKTTTSHDEGCERRWMINCKEFLKDEQGNLKGVRATEVEWAKDPVTKRYTSFVEKPGSEFIIDCDYAFLAMGFLHVQHKGLVEALDIQLDPRLNLIGNDTEYKTNQDKIFTCGDARRGQSLVVWAITEGRKCAEKVNIYMKED", "MKNYGLYRPEFEFDSCGVGFVANIKGVKSHKVVADAITMLENMEHRGATGYESNTGDGAGIQIQIPHELLDEEASTYGIDLPRLGDYGVGMFFFPKNVALIDECKNIIQHAADRMELNILGYRPVPVNNIELGELAKEVEPVMEMIFIEKPFGIDDENSFERKLFVFRNYISNQINNVLQNDPIGFYVASLSCRKLVYKGQLRSIQIRHYFRDLTNPKLTSAFGMVHSRFATNTSPTWSLAQPFRYLAHNGEINTVQGNLNWLKSSQKTFTSPYFTAQEVDMLLPLVTPDQSDSAYLDNMVEMLVHAGRSLPHVMMMLIPEAWDGNEIMEDYKKHFYEFHACVMEPWDGPASISFTDGKIIGATLDRNGLRPSRFCVTSDDMVVMASESGALPVDPAKIIKSGRLQPGKMFLVDLAKGKIVSDEELKKEICTSQPYKEWLDSQKIRLEELPAPRIRFTMLQTDDIFKYQQAFGYTREDVDQVIKPMALTGKEPIGSMGFDAPLAVLSEKPQHLSSYFKQLFAQVTNPPIDPIRERMVMSLATIIGGKGNILSEDKNFAHSITLKQPILNNEQLEKLRSIDTGNFQAKTIHTYFKADGNPGSLKRGIDRICRYAMDAVEDGFDVLILSDRAIDSDHVAIPTLLACSAVHHHLVRKGARRKIGIVIEAGDIWEVHHFAALLGFGATAINPYLALASIRQLHLAGEIEGDLDQLKKNYLKSVGDGLLKIFSKMGISTLQSYQGSQIFEIVGINKMVVNSCFTGAISRIEGIGFDEIAREALIKHNNAFRPVMKQDVLQEGGLYQWKKTGEYHQYNPNTVHLLQQATWKNDYEIFKKYSRAVNVGNDAPVSLRALLELRNDREAIAIEEVEPIENILKRFATGAMSFGSISWEAHTTLAIAMNRIGAKSNTGEGGEDEQRYDLLQNGDSMRSAIKQVASGRFGVTSRYLAEAEEIQIKMAQGAKPGEGGQLPGDKVDSWIGKTRHATPGVGLISPPPHHDIYSIEDLAQLIFDLKNANRHARISVKLVSKAGVGTIASGVAKAKADHILISGYDGGTGASPISSVRHAGLPWELGLAETHQTLIKNKLRQRVTIQTDGQIKTGRDIVIATLLGAEEWGIATSALIVQGCILMRKCHLNTCPVGIATQNPDLRAKFSGSADHLVNYFRFLATEVRELMAALGFRTIDEMVGQYQCLTKKDKLKHWKHQNINLNDFLQKVENDLPLVKSEDQDNELDDSISWKMLEAAQEALQNEGKVRAFFEVKNTDRAIGTILSHEITKKYKSEGMNEDSLVFDFKGTAGQSYGAFCNKGLTMILEGDANDYFGKGLSGAKLAVKLPKESKIKAHENSIIGNVALYGATSGKAFINGMAGERFCVRNSGATAVVEGVGDHGCEYMTGGTVVILGDVGRNFGAGMSGGIAYVWDINKSLDKNFNPDMADLESLEESDVQLIKQLIEEHVAITGSEIGQYLLSDFEQALGYFVKVYPRDYKKALLKQKENKETN", "MNTQQFVNRHISMSEKDKSEMLQKIGVGSVEELISQTIPQQIRLNKDLDISPALSEYEMLQHSKALASQNAQFDNYIGYGYHDTILPPAIQRNIFENPGWYTAYTPYQAEIAQGRLEALLNYQTVMAELTGLPLSNASLLDESTAAAEAMHMFFANRTKEQKKGNVNKFFISDLVMPQTIAVLTTKASGLDIEIVVGNHETMQLDNTFYGALLQYPGKNGVVVDYTDLISTYKTLAIQVAVACDPLALVKLKSPAEMGADCAVGTSQRFGIPMGYGGPHAAFFTCKEDYKRDIPGRIIGVSQDMYGKPALRMALQTREQHIKRERATSNICTAQVLLAVMAGMYAVYHGPKGLEFIANQVHYKANTLRGALEKLGYEVVKHPIFDTVKFKLSEEDKHKLKTQLEEAGINLNYFTPEFVSASLNETSTLVKLQSLVDELAKFKDAETFVIEIKEECSIPENLVRRHEVLKDEVFNSYHTETALMRYIKKLERKDLSLTHSMISLGSCTMKLNAAAEMLPLSWGEWGSVHPFVPKGQALGYQQLIEELERDLAEITGFAATSLQPNSGAQGEYAGLMVIREYHRSRGDFHRNVVVIPQSAHGTNPASAAMAGMKVVVVKNLENGEIDFEDLKLKVEQNKENLSAIMITYPSTYGFFDVNVKEITQLIHDNGGQVYMDGANMNAQVGFTSPGNIGADVCHLNLHKTFAIPHGGGGPGVGPICVAEHLVPFLPSNPNIKTGGEKAIDAISAAPYGSSLILNISYAYIKMLGAHGLKKATEHAILNANYLKNILKEHYPILYSNQNDRVAHECIVDFRQFKSLGIEVADIAKRLMDYGFHAPTVSFPVAGTLMIEPTESESKAEIDRFAEALISIRKEIDEIAEGVADAENNVLKNAPHTMELVISDAWDKPYAREKAAYPLEWVRDNKFFATVSRIDEAYGDRNLICTCTPIEAYM", "MIEKLSSFISNLFNPVFSFLFFLLYYAFSTMENGEAWLLIGKAILIFAVPILGYIYYNVKQGKFTNMDVSNRKQRNSLYYYIIALLLLFIVVNLIIGKAIPAAFYYLTGLLCAMMLSNFWIKSSMHTALNFFVAALFYQVSPALGFIWGGLTVIIAITRLILKRHTLSEVFSGAVIGIIFSIAFVCWN", "MKSLTPAEETLMHALWTIERGFLKDIMEAYPEPKPHHNTVATVLKILVEKGFLKVKPFGRLHRYEVKVSKEQYYQQLLRLFIEEYYQASPELLLAEMIRLELLTDQHLKPYTKTNVTTESVSVKEEESKKSKPEKEKKKKKEKSKKKKAEKNQ", "MNIFISNINYSVKESQLEELFASYGAIQSAKIIMDRETGRSRGFGFVEMPNNDEANTAIESLNGALFQGKNLNVSEARPKEEKPRRSFNNNRY", "MKLIEIMFILPDLRPDQEEYTVSMLLKYLPRNVFRPSVLLLNKGKHYDNLNKLREHVEVIDLQVGKIRNSLTVILRQIKKRNPDIVFCNAKELNVYFAYFSYFFPHTKFITKMTEGFSRQLFRKNLRFFYRFYNNYDKIVIPSEDTRNDLIHTFKIEPRKLAKIHNPVDFDEMDCKFSDVRRPHFFDNEYKNIVTMADSSSVEGFEHLLQVFKHLENEKVVLHILTDEANKEMIQQKKDNLNLENVIFHSTLSNSYACVKYSDLFILSSKCDHSVNTLLEAGSCGTYVLADSKLSGLSEIIQPKVNGEILNIERHENFARQILESLYSDKSPELIRYSLESRFSKENILKQYFDILEETYHIR", "MNTKSANIAESLKKYFGFTTFKGYQEQIISSLLEGSDVFVLMPTGGGKSLCYQLPALMSEGTAIVVSPLIALMKNQVDAVNGLSSEEGVAHVLNSSLNKTQTKTVMDDIRNGRTKLLYVAPESLIKEEYIDFFKEVPISFFAIDEAHCISEWGHDFRPEYRNLKSIIDKIADVPVIALTATATPKVQDDIQKTLGMTNALVYKESFNRPNLFYEVRPKVNIDKEIVKFIKAQNGKSGIVYCLSRRKVEEFAQLLQVNGLNALPYHAGLDAKTRVANQDKFLMEEVDVIVATIAFGMGIDKPDVRFVIHYDIPKSLESYYQETGRAGRDGGEGHCIAFYDPKDIEKLEKFLAQKPVSEREIGLQLLNEVVGYVETSMSRRQYLLYYFGEKFDPINGAGAKMCDNSVNPPVLKDASEDLTKILSLVNDLGQKFRAKDLVAVIAGKENAVTKSYKLENNTYFGSGKDKEDNYWKSIIRQAVVQDFLLKDIETYGVLKLTKKGLDCIDGKLKSKFEIAEDRVYNLAETAKISSEVTLGNTARGLDDKLFSLLKEVRKKVAQKNNIPPYTVFQDPSLEDMTVQYPISIDEISKIYGVGEGKAKKFGKEFADFIKNYVEENDIDRPDDMVLKQVANKSSHKVFIIQNTDKKIDLEDIAKAKNLTMDELLSEMESIVYQGTKLNIDYYIDENFDEDIVEGFMEFMMESESDSMKTLCTEFSDELSDEEIRMLRIKFISDVAN", "LKTEEILSLARKTFSIEIQELEKTKNSLNENFIQAVEKIYETKGKLVVVGIGKSAHVANKIVATLNSTGTPTQFLHAAEAIHGDLGLLAKEDVVLCISNSGNSPEIASLAPFLKNYSSCLIGMTGNLNSKLAESSDIVISSAVGQEACPNQLAPTSSTTVQMALGDALAICLMELRDFKDTDFAKYHPGGSLGKNLTATVAQFLSDNRPEVHADANIREIIISISASKHGITVVTNGDEITGVITDGDLRRMLMQNEDVSGIIAQQIMSKNPKTIDKNSLAKNAMEILKSNNIGQLVVTDNGKYSGIIDIHKLLDEGII", "MSETKEKEMSFLGHIGELRGHLIRSILAIAIGGFAIGFNINWVMDHILFGPTKPDFLTFKVVNHFSQMIFGHDTIDLPEKFPIQVRRLFEQFNVMMAVSIVGGIIIAFPYIVWELWKFISPGLHENERKNSIFVINSTWLMFMLGALSGYYLVMPFVINFGYFFHISDTIRVDIDLSSYITIFLQVVLGMAVIFLFPIVVYMLTSIGVLTPKFLTTYRRHAIVVIMVVAAIITPADVLSMMAAALPLLVLYEISIFMSRLMEKRMKKRESKKETAETNLPKIEN", "MKKIIISAFLLSGIAGYSQNKNAFGEKEHAFTKQDTLFGSNTKYRDFWDVKKYDIEAEPNFAQKSVKGNNRISFSITKDVNDPIFQIDIQQPMKVSNVMSDFEITSQKRDGNFLFIQTKGSFKKGDDHFISMDFEGNPIVAKRAPWDGGWVFTKDTSGKPWMTTATEGIGTSVWLPIKDYWGDEPDNGVTFTVISPKDLTGVSNGRLIEQKTENGKNISVWEVKNPINDYSITPYVGNYVHFGDSFDGEKGKLSLDYYVIKENLDKAKKQFEQVKPMLKAFEHWFGPYPFYEDGYKLVETPHLGMEHQSAVAYGNKYQNGYLGRDLSGTGVGLKFDFIIIHESGHEWFANNITAQDTADMWVHESFTCYSETLYSDFIFGKEDGNKYLIGQRHNIQNDEPIIPAFGVRAHGSGDMYYKGASMLHTIRQVINDDEKFRQILRGLGKEFYHQTVTGKQVQDYINQKSGIDFSTVFSQYLTTTKIPKLEYKQDGKKLSFRWVNTVKDFKLPVRLKNSKIVITPSEEWKTVKLKDKNPVEWDANYYIDYTLI", "MARKVVDGPIRNKEKTKQKLLNAVGKILATKGYSELKVSKIATVAGLDKKLIYSYFGSTDKLIDEYIKSQDFWANVDDQEIMTSGFTDGGQEITTNMILQQYDTLAKNKEYQKIILWGISETRPSLRKLADDREAVGNPLLDQVIDPLFKEKSKEYRAILAMLVAGAYYLNLHFAVNGSTFCGLDFNKEEDAKILKDAIATIIDDQYKKLDNK", "MIQALDKIKHKDSKNFFLIAGPCAIESEDLAMEVAEKVVQLTNQFNIPYIFKGSFKKANRSRVDSFTGIGDVTALEILKKVGEKYDIPTTTDIHENEHAALAAQYVDVLQIPAFLVRQTDLLIAAAVTGKAVTLKKGQFLSPESMKFAVDKVKDSGNDKIALIERGNSFGYTDLVVDFRGIPTMRQYAPTILDITHSLQQPNQSSGVTGGRPELIETIAKAGIAVGADGIFIETHPNPAVAKSDGANMLKLDHLEALLEKLTRVREAIL", "MKKYCAFLRGVNVKGTNMKMAEVCKVFQDIGLKDVSSVLASGNIIFSSDDSAENLKTKLEKKLSEYFDYEAFLFIRNEAEIKAILNSSPFDSLPDYHNYIFITTEGTEDVLMQLFDDAVYKENEKAEIVDANFYWQTPKGNTLNSDFGKVLGKKSLKDRLTSRNINTIEKILKAMSK", "MNWIILIIAGICEVAFASCLGKAKETTGVESYWWYGGFFVTVTISMLLLMKATQTLPIGTAYAVWTGIGAVGTVIVGIFIFKEPASFWRIFFLFTLIGSLIGLKAVSH", "MTLNEILDQIWVMPEESREELQKYIHEVSFPKGYNLMGIDKVETSVYFVKKGIVRAYVLQDGNEITFWFGKEGNTVISMRSYVENKPGYENIELLEACELYELKIDNLRKLYQQDIHIANWGRRLAEQELIKTEERLISRQVRAAGERYKDLMKQHPEFLQRIQLGYIASYLGITQVSLSRIRAEIR", "MKEWTFRKWNTFLGWGIFVVAAITYLSTIEPNLSFWDCGEYISSAVKLQVTHAPGAALFQLIGAVVAMFAFGEGHHYGTVINAMSALCSAFTILFLFWTITHLVRRILNKEMDELTGNQIVGVLFSGVIGALAFTFSDTFWFSAVEGEVYAMSSMFIALLLWLICKWENEFNESDNERWIILIFFITGLSVGVHMMCMLTVPAICLIYYSRKYTFTWKSFIIANLVTLVILGLVFKGVFPFIMTMFAKSEITFVNGFGLPFNSGTIFAFLVLAGLSYLAINYARKKKNSIFQTIALSLVYMIIGFSCWMVIPIRAMANPAINLNDPDNAIGMLDYYNRVQYGDWPTSYGENYTAYLDYNGMEKNEDGSYKTKKTGDIYEKDDKTGRYVLVGQRDNIVFNKNHVGFFPRMFNSDKDVMSNYISMYGAPDFTFNYSNPDVSDDPQAHKIFDELKKKYNDGSIKVDDYMQVKNYNLINIKKPSFSQNFDYFVSFQNGYYFVRYLLWNFVGRQNDLQGQMENTNGNWISGISFIDNAMHGDQSKMPAYYKNESTVAFFFLPLLLGLVGFFFQLNKDFGRFYAILSLFIITSIGIVYYTGVKPFEPRERDYAMVGSFYAFAIWIGLGAAAVFYFLDQKVKNSKVNWVAGVILLGIPFMMGFQNYRVHDRSHKYAAYDYAYSFLNSLPKETIMISYGDNDTYPVWGIQQTEGFRNDVRVAHQALLTTPWFIDQMMRKVDNSNPIPLSMSHENYKEGVNDQVYVMSKEDWNAIYKNLESQGAPGTVLSSFRKYLVQDSMTMKDAVNFLKMKSEDKDTVLKFIFGDDKYEKLNFLPVSKFVLPVNVNNAVKAGIIKPQEAGLAVDHITIDYAKSSIMKNNVFLLDLLATFDWKRPISFSAGGVYDAANIFFLGDYLQFDGFSYRLVPIKTETNNRGDMGRVDADELYNVVKNYKWGNFKDLYNHYDETATQNIISYRMSASRAAQALVAKGDKKRALELLDLASTEIPVAKYNDPRSLAEIVYGYIVAGQAQKGLKLAEELKKNIFNEYDYFSNLDPSQQKFVRKQLSLQPYLYSVVVSTVSDAYEEMGEKDKAYQYIVNSITPVDKRFSDFVKGLQAMGKEKAYKESENVQKITPFYEYLFNVMKPYDSTYSKEKMDQITSQVIKATQ", "MTNVFDNILGLIGNTPMVRLNQVTKDIPATVYAKLESYNPGHSTKDRIAIHIIEAAEKKGILKPGATIVETTSGNTGFSIAMVSIIKGYKCILAVSDKTKPEKIAYLKALGATVYVCPASVPADDPRSYYEVAKRVASETPNSIYINQYFNELNIEAHYQNTGPEIWEQTEGKITHIFACCGTGGTLSGSAKFLKEQNPGIKVIGVDADGSILKTYHETGEIDKDEIHSYQIEGLGKNLIPGALLFDQVDEFVRVNDENSAYATRDIALKEGIMGGYTTGAVVQALKQYAETHEFSKDDLVVLIFPDHGSRYITKVYNDGWMESQGFNRNCVHNYEEVFKTEYIK", "MDIFERIKQNPGPLGQFADYGEGYFIFPRLEGPIGPRMQFQGKEVIFWSANDYLGLCNHPEVKEADAKAAAEYGMFYPMGARAMSGETDQHLQLEKELAEFVQKESAYLLNFGYQGMVSTIDALVNRNDVIVYDMDSHACIVDGVRLHSGKRFTYKHNDMESLEKNLQRATKVAQENNGGILVITEGVFGMRGQQGKLKEICALKSKYNFRLLVDDAHGFGTLGKTGAGAGEEQGCQDQIDVYFSTFAKSMAGFGAFLAGDKEIIRYLKFNLRSQIFAKSLTMPMVLGGLKRLELLRTRPEIKAKLWENVNKLQKGLKDRGFNIGDTNTCVTPVMMQGTPVEATLLVKDLRENYRIFTSVVVYPVIPKGMILLRLIPTASHTDAEINETLAAFEAIHDKLVSGYYKEQEQKLLQEQGLSFKPI", "MKNAIIAVYISMMRKVTYIAFSFILCTTFTACKTAPQEADFLRNNVVHGINDQIITGKFVAKNDLNIQHEINITKLNRSKNYEVNFAAYDKNGIAYYSVTSKGTYNGYVLVVPFEYEHQKDDLYLNFNGEKMKINYDTDVKSIISNLGIKGNHLPKIYTHILTAVPENGKKPELFFLKNFENEYPKEAGLFNHPIIKNRLEKLLKDTYPDFIKNFREEAPIVQAEPGVYKTSGCSDRKCLDIQSFIEFNVPHNKINVKIFNKKKKIEYKEP", "MKHFINIKVVVISLVLTFISFNAKAQNSYIKENKDMAATLSKEYGIPSSIILAVAFVESGGGTSKNSKALNNHFGIVGKNTVNSSKYKSFSSVKESYRAFCELLSRKKYYSQLKGTDNHNAWVKAIASAGYSTQPETWKQRINSIINKFGLSD", "LKNQNKRVLALVSACFFIYLLVSIIFKVFSLSWEPFDRINLIADVFPDKEKQKADSLASQPKINVKETTEQDFDLYKKPEFITNFNKGEHSSLPKFMEKLNRLKKGENVKIRIAYFGDSMIEGDLLTQTLRKLLQQEYGGYGVGYLPISSNVAGFRQTATTSASGWNDTSFKTKGATNMYLSGHYFTGDGSGSYTDNTIKNDSLATPITKSVIYGRGGGSVSVNGTELSLGGNASVNKESITDSSHQIKLKGNAGSTPIYGVSFESQKGIFVDNFSFRGITGVELNKLDEDFIKAIQENNHYDLIVLQYGVNLLFRPNDTDYSYYAKLMKPVLAKLKNGFSDADFLVVSSADRAFRYDGTYKTAKGLPNLLETQAQLAIENGFAFYNQFESMGGTNSIVKWANETPPLANKDYVHPNGKGADILAEKIYHAIQNDYKKYLKKK", "MFEKLLADFDLGAFLHQFLYDKENPLLFNNGFFVYFFFLFIVLFYFLRKYPKPRRYMFCFFSLYFFYKASGAFVLLVILSAIVDFILSNAIYKTPDKKKKTILLICSIVFNLGMLFYFKYTNFFITVSNELFHTGFNPLNILLPVGISFYTFENLSYTLDVYRGEFKPATKFSDYLLFLSFFPKLVMGPIVRAHDFVPQINQSYVISERDFAKGFYLIISGLIKKLVISDYITLNLVNYIFDNPSLHAGIENLFAVYGYAMVIYCDFSGYSDVAIGIALWLGFKIPTNFLSPYQSKSITEFWRRWHISLSSWLRDYLYIPLGGNRNATVGSYIFVVLFLAGTFLMSVNLFHLSYMWAGGVSAILLLIFVLPPLINKNPKGIAANFNLLTTMLLGGFWHGASWNFIIWGAMHGVSLGIHKIWMMLTGKAFAGFNNSKWYNAIMVVITFHFVCFCWIFFKAENFDIAMSMIHQIFYNFDAGVWGPFYDNYKEVLWMIALAMCIHFIPDGYADKVIDKVGKVSLAYYILIFFAFLILYGYFKSSEQVMPIYLQF", "MGVADLLLKKKKALAEKNLKEGQEFQAAFGAKEGVVTLESGLQYEILEASEGKKPGAKDSVICHYHGTTISGQVFDSSVERKKPATFPLNRVIEGWTEALQLMSTGSKWKLVIPPHLAYGNEQISKEIGPNSTLIFEVELLGIK", "MHQYLKAISLAACISLSAQQIENPSGMASFAQKLKANNKVTNILFLGDSHIQAGWIPEVLRQRFTEKYGYAGRGLVFPYAVANSNGPLDITSVSNQAWQTFRLVYDQDVFSQMGALGFVMGNNKDSFIEIGFNNPNDSFDEVRILNDKQMAGESFTIYETNAPLNSYIAKRKTIVSYTVQQGETFPELAAKFNTTTTRLAQLNGNGIKFPQPGQVIKAEQTEPDFNPDFEQKLTIAGQGKYTEGGTVFNYPKATRNFLMRTNASKGNILYGFQFLKKNATSGIVFNGVGVNGATYADFLKYPLQLEELKQVNPDVVIISLGTNESLSTITKEEFQKSAQDLIQAFRKDNPTLPILLISPTDNKLKGDRIQEIVSWIKEISTQNNTAFLNMYEATGGKGYFVKSLARKEANGDGVHFLKPGYTQQAEMIWKALNEALK", "MMKKLLFCSLTCVMLVKVNGQLKLTGKITDSKSRKPIAEALLSNQSNTAKTDSNGLFELVVQDSIGMISVEKKDFLLQDVPFDFHKTSILDIALVSSVKTEQKNIEGVVISGKKKYKNKKENPAYAILNEVWKRKYRNAFDSYDNYKYDEYQKLEASLNNLDSTFTQKKIFKGMEFMFKNVDTAAVTGKAYVPIYMNEQISTFYKRNKPSSSEKKVLVAEKASGFEDYQFMVQTIQNLYKDFNIYDNTLNFFDKGFPSPLSTDGFSVYEYELMPDNVVDGEPCFTIKYYPKNTAALAFRGVIFIAKSSYAVKKVSLNSAKNMDVNFVRGVNAELTYYIIDEKSFRPEKSHIMIDMSLLNKKANSKGLYVDRTISYTNFEVNKPEISAEVDKKAEIGNPEVYKKDDAFWQANRQVPLTKMDSVTYNNLEKLWQVPKFKTAIKVAEMLGSGFYNVGKAIDIGNLYSVYGRNDVEGPRVRLGLRTFFSRNDMWRLEGYGAYGFKDHKFKYGIGGRYMFDKNNRFTIGYGFKNDIQQLGVEAIGDTNSSVGMRRSFASSSIASTGSNFYLSKVVENNLYFSIEPFRNFQIMLDGSYREITAADPELFKINYVNKNGIEKSQVNDARASLVLTYRPGARFAEYGVDRNTIKTLSPTFTLRYTKGISGFFKSDFNYDKLQFSYRHPILIGNMGRSDVSFEAGTIFGSVPLSLMNVLPGNQSYFSIPNTFAQLNFYEFVTDSYTTLFWEHHFNGWIFNKVPLLKKLKLREVAFFRGAWGSLKHDNVVMNRSNIFYTAPKDHIYYEYGFGIENIGFGNFRMFRIDFNWRGNYLDRPDARKFGVKIGMQFGF", "MIIKILTPESVVFEGQVDSVLVPGISGDFHIMKNHASIVSALKEGDVRIFSAGISDNYAKNFETVSADKGEFAYKIKSGVIEFNNDNGIILCEC", "MANQIQGKIAQIIGPVIDVVFQEVEELPNIYDALEVVREGQKGLILEVEQHIGEDTVRCIAMDATDGLQRGQSVIGHGRQITMPIGEEVNGRLFNVVGDAIDGLQELSKDNGLPIHREAPKFDQLSTSAEVLYTGIKVIDLIEPYAKGGKIGLFGGAGVGKTVLIQELINNIAKGHGGLSVFAGVGERTREGNDLLREMLESGIIKYGDEFMHSMENGGWDLSKVDSELMKESKAAFVFGQMNEPPGARARVALSGLTLAEYYRDGGESGQGRDVLFFVDNIFRFTQAGSEVSALLGRMPSAVGYQPTLASEMGAMQERITSTKNGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLDRKIASLGIYPAVDPLASTSRILAPEIIGEEHYNCAQRVKEILQRYKALQDIIAILGMEELSEEDKLSVYRARKVQRFLSQPFHVAEQFTGIPGVLVDIKNTIKGFNMIIDGELDHLPEAAFNLKGSIEDAIEAGEKMLAENK", "LKIVKNIAEYSDAMGLTMSLGMFDGVHKGHQEIIKKLKKHSETHQLESALLTFWPHPRKVLQPEVEIKLLNTLEEKLQLLERFGIQKIFLQEFNDDFRNLSGEDFVKQILLDKLHMKHIVIGYDHHFGKNKSGNFELLEKMASENNFVVEETKAVLVNDFAVSSTKIRNALSEGDIITANEFLGYHYPVSGTVVAGKKIGRTIGYPTANIDVDAIKLLPKNGAYIVDVEINGHMHKGMLSIGTNPTVNGTKKTTEVYILDFDQDIYGEHITVYFRDYLHDEIKFESLEKLIERLDEDKQLTIDFFK", "MFNLSLLTGLCMSAIMQAQAWTGEKQEKPKERVALKYGPITPAHRTDEAMQKFREYGLGQFIHWGIYAIPGNEWNGVSARGGAAASEWIRSWSGPTAPKDWKNTYDNLYKQFNPKDFDAKRWAKQAKDMGAKYVIFTTKHHDGFALWPTKYSAYNITKTPYKKDIVKQIVDAYDAEGIDVFLYFSILEWNNPDYLYKEPQTAEEKAKYSKFLKYTENQLLELLKNYPQIKGFWFDGTWDASWKSAYEFTYNLEKKLREKHPGLIIGSRFRNDENQKRHFDTNGDLLGDYEQGWERKLPKNYESLNGNDWDCVMTIPPNGWGYMKDWNGIYTKTLDDLIEMLMRSRSMGGNFVINFGPDGNGNFHPEEDKIMKEIGEWTKVNAEAIYNVEHSPMSLSNYGYFTKKGNNTYLTVFNRPVNQIVRLAVDKKSTEVPSSASLLTGNRKLELKQSDMGLDLDKNTYYDIILPKDYQTDKAFVIKIEMKQGSVKTDKLMDAKM", "MLNHFNKALLLTMLSSASVAYSQRVTEDFNKDWKFFQPRNETFNFNFDNGQDFKPSNIDLWESVTLPHTFNKDDMQRDNNFYTGNVLYQKKFTLSDAQKNKRTFLKFEGVGSVAKLYINNKFIGEHKGGYSQFAYEITNSVNYGKENVLTVIANNQARKDVIPVNQFLFPIYGGIYRPVSIITTNKTNFVVTDYAAPGIFISQKNVSAKSADIEIKAKLETTEKTIQNAILETSVINKDGKTVAIVKNPVNISPQGTTYVTQNINLKNPHLWDGVRDPYLYTVSSKIIVNGVDTDVLKQNLGVRTVEVIPGKGFFLNGKHYPMHGVSRHQDEWGYGSALSYEQHKRDMDLMKEMGVTTIRLAHYQQAPQMYELADQYGFLVWAEIPFVNAVSYQENDNAKQQMTELVKQNYNHPSIYIWGVHNEVYSKTKDEQVPVLSRQLSDIAKTIDPYRMTGAVNGYNQVDRPENLTTDIQGINHYFGWYGGKIGDLENWAQGLEKNFAQYKVILTEYGADGNMDIGAEEVKQPADVVSGKSFPENYQTETHIQQWAIIEKHPQIAASYVWNMFEFAVPMWNRGGVNARNLKGLITFDRKRKKDSFYWYKANWNPEPMLYLANRRDNMRKNPVTKIQAFSNLKDVKIIVNGKEYPAKNGVNAKHWVIENAQLQKGANTIKAIGKSGGKELTDEMTWTLE", "MNTKELQEYHDRFDVIRSMDSFVSEAVDDKLMDPDDCWQPNDFLPDMEREDALDEIKKLRERAANIPNTVITSLVGNMITEEALPSYQTYFSLIFNEDKEVTSDKGWARWSRAWTAEENRHGDLLNKYLYLSGRCDMKKVEQTIHRLIYNGFDPDAEKDPYQSIIYTSFQERATKISHVNTGKLADKAGDNVLSKICKQIAGDEARHENAYKSFMTEIFKKDPNGAIAAFERMMRKQISMPAMLMDDQTSKSNIFIDFSAITQKIGVYTTWDYAAIIDHLVKYWKIETLTGLQDGFTKAQDYLCRLSDRYKKIAERMKVPEEINLHWLSKPKFSF", "MKKFLLFALSVAGVLFITAQSKTIYSYKVEGLTGDEIDFSKFKGKKILVVNTASKCGFTPQYADLEKIYQDYKDKLVIVGFPANNFGQQEPGTNEDIHSFCEANYGVSFPMAAKVSVKGEDIAPIFKFLTEKKLNGVKDTDIAWNFTKFLLDDKGKLINSFPSKVKPTDEAIVKYLK", "MKKLILVVLVGISQMFFSQTAEQIIEKNIQSTGGLTQWKLLNSIMLQGKVVLGVSEEYPVKIFQQRPNLKKTTFTIKGKEYAIEGFDGEKGYSMNFATNKLVEVKDYVAESFDTDFIDYDSKGFVANYLGKEKIGNVDCFKVELVKNVNKTIYYFDANTYMLVREINKTDILTYYDYRKVGNLLMPFRIESSSQKKEGDYVLLFNKIEVNKVFPNNTFKF", "MKVIAVIPARYNSTRFPGKLMEILEDKTVISTTYKNVEETGLFDDVFVATDSEIIFEEVTKNGGKAVMTGEHETGSDRIAEAVQNIDCDIVINVQGDEPFLKKEPLGQLIKVFENDPNAEISLASLKIKLHHFEEVQNPNNVKVITDNNGFALYFSRSVIPYPREESFQAEYFKHIGVYAFRKQALLNFARLQQKPLEIAEKIECIRYLEYGMKIKLLETDFIGVGIDTPDDLKKAKEIIASKS", "MSENSIIGQKSFEFAICIVNFYKKFTAEKKEFIISKQIMRSGTSIGANVREALNGQSKADFIHKLSIAQKECDETIYWLELLKATDYIEDLSSLTYIPEHWNYLKLLEALSSQARRTYNS", "MKVSKLAANLIGSEIVKIGNEVNDMKAKGAEIANLTIGDLNSNIYPIPAGLKDEIQKAYQNNLTNYPPANGLLGLRAAVSKDLKSRWGLDYAAGDILITAGSRPLIYAVYKTIVDEGDKVIYPTPSWNNNHYAYLTSANAVEVKTTQENNFLPTADDLRPHLSGAVLLALCSPLNPTGTMFTKDQLSEICEMVLEENKKRGTDEKPLYLMYDQIYSNLTFEAEHFNPVSLFPEMKEYTIYIDGISKCLAATGVRVGWGFGPSLIMGKMAALLTHVGAWAPKPEQEATAKFYENQENVDHFVTDFKDKLQASLKVLHEGIQEIKNKGLAVESIQPMGALYLTIKLDFIGKTKPDGTKIENSSDLVFYLIQEGGVALVPFSAFGCEATEPWFRASVGGLSLDEIKNMLPKLEQALAKLV", "MTSLDKAKLWLGEGFDDETKKEVQALIDNNPAELDDAFYKNLEFGTGGMRGIMGVGTNRLNKYTLGQATQGLANYLHQQFPGEQIKVAIAYDVRHNSPEFGKLVTDVLTANGIKVLLFKEHRPTPELSFTVRDKKCNAGIVLTASHNPPEYNGYKVYWNDGAQVVPPHDNGIISEVEKTQFNEIKFNGNDDLIEWVGADQDDVYIDACIENSLYQNVGRDLLNIVFTSIHGTTYTTVPKALAKAGFTRVDLVTEQMIPSGNFPTVESPNPEEPAALSMAMDLAKVNNADIVIGTDPDGDRLGIAVRNLEGEMQLLNGNQTNTFLTYYILDQWKKQGRITGKEFIGSTIVTSDIFYDIAKKFGVDCKVGLTGFKWIGKMIREAEGQEKFVCGGEESFGFMTGDFVRDKDSCGSILLACEIAAWCKANGKTVYEYLIDIYKDLGMYYEGLVNITKKGKDGAEQIKQMMTDFRQSPPKTLAGSAVAEVKDFQEQTNLVISTNEKSVMNDIPKSNVLIYYTEDGTKVCIRPSGTEPKIKFYVSVKAQISSEQDFKDKLVSLEAKIQQIKTDLNL", "MKNLFYVFLVVSLASCSKVKPKGEIATKDITVQDFNKLDVNGKFKVFYVQNPKNMVSVETYPNVFDNLKIEVKDKTLYISEKSKTEGVDMYNITLYSKNNLESIAMADSTDITISSQMSVPAFKLKIKDNAKFMGSVLANKADITMTNKAKANLLGRTLDANIAISDTASIISPYWYINNLNITSKNGNYSEFSVDDELNGSIGNTSELVYYGNPQKKIKVQDKAKLEQKQKP", "MDLSIIIPLLNEEQSLEELFSRIDNVCKENDFSYEVWFVDDGSTDNSWGVIQLLSASNPQVHAIRFTRNYGKSQALHAAFEKAKGEVVITMDADLQDFPEEIPGLVSKLREGNYDIVSGWKKKRFDNVMTKNIPSKLFNASARKVSGVFLHDFNCGLKAYKKQVVKTVDVYGDMHRYIPVLAAHAGFKNITEKEVKHQARPYGTSKFGANRFIRGFLDLITLWFVSRFGGRPMHFFGAAGTVMFIIGFLSALWLGVSKLIDVARGMYGHLIADNPWFYIALTMMVLGTQLFIAGFLGEMLIRTNRERKNYHIEEII", "MTKNPVAIGLVLFGITMLAFFVVYYFFANADYYSTTMKVNAFGMPFLYAVGGFLSVYWYRGAGKITYPQAFKQAFVTLFIGGFLSVMSIFAFLNYVDTDARDLLNHQYIQTELTNLDEAYAKQKSEAVHLKDQSKLGELDKNYKDAKLAREAAIKENRNYFSFTFLSAVFGGFMLFYLLLSIVIAAFLKNKKRYE", "MKFTFLGQNCFLFTYRGKNILSDPFYNYQKEQSGFDIKAHKIDYILLTHAHGDHIADVEEVLAHYPEATIIGVPEVCGYFKNAKNTCDINLGGSAKVEDLKISMVPAHHTSSFPDGTYGGVPVGYIFRLPEGRNMYLAGDTGVMADMELFPRLFGKIDLSILPVGSHYTMCPRKAAFAAGELLKSPKVIGCHFDTFPPITINHDSAMKHFEEKNVELVLPKLGEEFEF", "MLIKKSEPKYHSELSEIAKKSKRFWGYSDEWMHLWDEDLTLTQEYIDTNEVWHIENESEEIIGFYSFYRENEDFIRLDFLFIKPEYIGYGYGKLLINHFFSQVKLLADKIVLDADPYAEEFYQKFGFKTVENKPTKIEGRFLPVMSKII", "MIEWIKAARLRTLPLSLSGIILGSLIAKWKLNSVGESWDVWVFVLALVVTLLYQVLSNYANDYGDGVKGTDKKRAGEAEARAVASGKITAKQMRNAVILFSILSLAFTILLLYKAFFPGHIQAFYVFIGLGVACIFAAIGYTVGKRPYGYMGLGDVFVFVFFGLVSVMGSYYLFTKTFDWQMILPATAVGLFSVAVLNLNNMRDIESDAESGKHTLALKMGFKKAMIYEIILLQLPLILILTYMMVYEIRSYYAYIFIILFLPLMALRRRIMETKEPKNLDPYLKQVAIMCFAMSLLTGIGLNLIK", "MIEWKTAKEYEDITYKKCNGVARIAFNRPEIRNAFRPKTTSELYDAFYDAYEDPSIGVVLLSGEGPSPKDGGWAFCSGGDQKARGHQGYVGEDGRHRLNILEVQRLIRFMPKVVIAVVPGWAVGGGHSLHVVCDLTLASKEHAIFKQTDADVTSFDGGYGSAYLAKMVGQKKAREIFFLGRNYSAQEAFEMGMVNKVVPHAELEDTAYEWAQEILAKSPTSIRMLKFAMNLTDDGMVGQQVFAGEATRLAYMTDEAKEGRNAFLEKRKPDFGEDQWIS", "MKKTLSFLITGFSLLGFAQQTISPGAITGIWKLKEAGFYENNKKVKKDFDMCRLQRHYIIKTDGTAVYNYYEGSVDNCYKSEPRETYWKITKDKIVFFIGNEIYQEEKIVNFSPQNMIFNSIPLDASNEKDPLVAKILQTVHYENLEKISEEQLQQQLLH", "MNTIYKSLLVLTSTVVIWSNCTAQKTKANNEMYMLAGTYTAKGSKGVYVYKLNTETGASKYISEVAVEDPSYLAISKNGNYVYTVTEKEDQQNSKVNAFSFDKKTGKLSFINSKPAGGGAPCHINISPDGKHIVTANYMGGSITEYTINNDGSLGDASQNIVFSGNGTDKERQTQPHLHYVEFSPEGKYLFADDLGTDKIYKYQVNKDASKLLVSDDSSSVKVKDGSGPRHLAFHPNGKYAYLITEISGDVIAFNYKNATLKEFQTVKADSLNAKGSADIHISPNGKFLYASNRLKGDGIAVFSIDQKNGKLTKVGYQPTGIHPRNFAITPNGKLLLVANRDSDQIQVFKIDAKTGLLNNTNQDIKLSMPVCIKFTK", "MRKILFLGLLSVSFLGFSQQVEFTTLLKDKISIRALEVSNGKVWYSGTESKFGFVNVNDTLDKRQIRLSDKNLQFRTLAQDKKYFYAINIESPANFFRVEKKSLKVENVFQDKGATAFYDALHFTGGGAAYAFSDTDESLKLKLAQMDKKGMWSIPSHNVQLNKGEAAFAASNTNIASSKNYLWIATGGMSSRILRQNLNTQKWEIFNTPFVQGKSSQGMYSVDFYGDRFGIAVGGDYTAQKENQDNIATTTDGGKTWEIQASGKNGGYMTCVRIKPGSEGKEIIAVGDQHISYSVDYGKTWKVISEEKNLYVCQWLDRNTVVLAGNNKILKMKFQ", "MASIWAKKPLSAYEADMKKSGLKKVLGKWSLTAIGVGAIIGGGIFVLTGTGAYYHAGPALAISFIIAGIACVFAALCYAEFASIIPVEGSAYAYAYGTVGEIFAWAMGWCLILEYAMASMAVAVSWSGYFTKFLKIFGVHLPAYLTSDPASYTGTGFSMNLPAFILVLLLTALLVKGTKEAAGANNLIVLLKTAAVIFVIISGAYIIFSNTDLYNAVDGVKNWKPFIPDETMIKNSEDKMVSAYGIKGIISGAAAIFFAYIGFDAVSTQAGEAINPKKDVPFAIITSLLICTGLYICVSLVLTGMMNYTDFNPAGKFPEAIKAPVAYAFEIAGKHWASNIVTIAATVGLISVVMVMMMGQSRIFIGMAKDGLIPKFFGELHPKTRTPYKGIIILGLVVAFIAALTPISTLADMTSFGTLFAFTLVCIAVWVMRKKEPNLNRPFKVPAYRLVVALGVIINIYLIFNLSAHALELSAGWLLLGIFVYIFYGKNNSKLNNPDKITED", "MDIINYLIAPYLSYSWQQILLEFIAALFGLLSVYFSAKKNIWVYPTGIISTTIYVYILYHFGLWGDMLINFYYTSMSIYGWIKWAESSKDHIHVEVSRATGREWKICAVLFALSMALVTLVYYYKPYINNGFSMIGIILDLSHLDWANYMDILTTSIFLAGMWLMAEKKVESWWFWIIGDLICIPMMIYKELGITAVQYIVFTIISIRGYIDWTNSIKEREKINQTNYI", "MKLLSKIALSLFTIGTLASCGVYTDGYGYNDGYYNRNPYYDGGYYMNNVYYSGPNYNYYGPGGYWGNDGYYYRRGVSYYYDNGRPYFYNNNRRKIYVEKRIVSGRDQNNGFRNNNSWNNNRGNSWGNTNNNNGFRNSQYGNGNNNNQGYRAENNDGRRRDNGFQNNNNYQPRPETRVETRSNNNMNNNGFRNSGSAEQRSGNENNGFNTGGRR", "MEFYKYQGTGNDFVMVDNRDLTFPKSTSLIAQLCDRRFGIGGDGLILLENDDQYDFRMVYYNADGNESTMCGNGGRCLVSFAHFLNIFENKTSFMAIDGLHEAEVNGDLVKLKMIDVEDINTFPEYTVMNTGSPHYVAFVEHVEDMDVYLEGKKIRNNETFKKEGINVNFVTQTSENELFVRTYERGVEDETYSCGTGVTASALTFMQNHNQTPVHIKVLGGTLKVYAEKAEKGFRNIWLEGPAKQVFKGNLEVK", "MKKVVLIAALAIVLVAGFFGWKFYKKNYGSNVKKSGFILVPHDATFQQVTDSLKPYLNDVESFTQIAKSKGLDNKIKAGRYEIKEGADNAQLINMIKAGLQTENTFRIKDFDDVYQMIGRVSRKTEADSLAFVKAFNVIARQKGLNNAEDLKPYFFSDTYNFYWTVTPEDFFKKFESLYNDFWTPENLAKEKNLGLSRTQVYALASIVQKESGGKPDEQKRIAGLYLNRYRKGMKLQSDPTVIYAINKDANFTKSIKRVYYKDLTVDSPYNTYKNIGIPPGPICLVNKSSVENVLNAESNDYIFMVADPFRPGLHKFTNSPEEHVQNAKIYQDWLNKKEIK", "MIKTEIKQRIVTRTLGLTLAFSAAAFAFAQQQKVAVSGKITDKNGAAVPYASITFANKADKSLSDGNMTDDKGAFSLNLTPGTYIIEIDAPGFKKTSVEKTVSAPGSLGAIQIVALQGQAAIDSKTQNIQGVTITAQSTKPYKVELDKKTYDVKSDLTSIGGNLQDVLTNVPSVSVDPDGTVSMRGSSNVRFLVNGKPSALLGIDAGANALQAIPADQIDRIEVVTNPSAKYDASGTAGILNIILKKNSKLGFNGSVIGSLGYLPRTNLNTNLSWKKGKVSWFLNGGGGYMESKNKNSNTTTYNSGDLLTSNQNSTGKSKNDTYNASTGLVYDITDHTSVNASGTVRYFDGTSNTPIFYTDNYKDGSTINSSRYSNGSNSNLGFQGDFGLDHKFDDKGQNISLSVSLQRNRMLNNSDVTGYTGNAFSLSNLINSKTVNKSLVGKIDYELPIGEKSMFNAGYKLDHNKNDYNYLVQQKNAGDADYSILDDYTGITHYTETFNAFYLQFKSKIGAFGYQVGVRDEYSNIKIDYPIKDPQNQLWRNDNKTKNYNNLFPSVFLSYDISKNNQFLLNYSRRIDRPRSFFLIPFMSYNDPKNIFRGNPDLNPSYIDSFEFGYNLSNNKFTLNPTLYYRNEKDNVQMLVSPNPDDSTSFTSSPINVGNDQRYGLEINGNYNPFKWWQLMGSIDIFGYKTTGNYLYKNYPDPITGNLIDKNINYDGSGMSARVRLSNTFKIDKTFSFQIQANYRGGQKNASTEQKDMYFVNLGATKTIWKGDGTIAFNIQDIFNTRSRETYAFGPTFVRNSYMQWQPRQFSLSLTYRFKQGEKVDQPKRKKDINNNYDGGDEQGGPM", "MKKTIVIVLAFSTMACTSRSLKGPRPENWATKVTEKPFHNLHKVSDSIYRSEKPDNAGFHFFQEKQMASVLDLRRQHKDLIAVEGSSYNGKLYSVPMKASKMSDKDIIEALRILKTAPKPIVVHCAHGSDRTGVTIAMYRIIFQNWNKDEAIEEMKRGGYNYHWFYPGLITYIQNADINHIREKVLK", "MKSNIIPFRVAPLAPAFNHTEEMYCDKKKCCKKFKKGKRCKKCPGRLKMA", "VKDYYYFLGISVDASDEDVRKAYRKLSLKYHPDKSDNDPFFENRFREVQEAYNTLSDEDRRRTYDHLLSLEQKNTKSNLPPRIKSFHANKIRVVKGEEIIITWQTFDADVVKIHPFGLEKAYGEKKFIVNDFDAEGKFQLILNATNTLLNKTVANGITITEVFEVEREEFPVAEEKTAEVRKATEAEEQLPVYIKWGIAVAAVILVILYLLLKKH", "MKLNTKLFITAGVVITTAMMNAQSATTKLPGDATLPSSKANLEQLASYDKGNFKYKVEDYFARPKASQFKISPDGQFLSYKEKDNNKKNHVYVKDLKSGKITKAIEEKEDLIKSYGWLSKNRLYFTQDKGGNENIHLYATDIDGKNLKDLTPFEGVKIGFAMPVKDTDFVVVTMNKNNKQIFEPFKINFVTGETTQLLENKDPKNPINDYIFDKDGNLRGYTILVNGLTTHTYYKDLQTGKFNLLKKTDWSDTFDIISFNENSKNKDEAYVVTNLDSDKAKIVLYDLKKNAVIKEVYSNPVYDVSSISLAGKNRNYELDYINYEGERGEIIPVSKFYKEVHNKLKSEFGDKDFSVVSSDDNDNKFLVVVSSDKLYGTYYEYDTKTKKTKLLYDLMPQLKPEDMAEMRPITFKSRDGLTIHGYITLPKAALEGKKVPLVVNPHGGPQGIRDSWGFNPETQLFASRGYATLQVNFRISGGYGKSFQKSGYKQIGRKAMDDVEDGVKYAIEQGWVDKDKIAIYGGSHGGYATLMGLIKTPDLYACGVDYVGVSNIFTFFDSFPEYWKPYKEMVKQIWYDLDNPEEAKIAKEVSPVFQIDKIKKPLFVVQGANDPRVNINESDQIVKGLRAKGFDVPYMVKYDEGHGFGKEPNRIELYTYMLGFFAKNFNK", "MKLLSILFSVFIIALLATKIIQGEWNWTFSGNLGMAVFIIFTGFSHFKFQKGMALMIPDFIPYKMFWVYFTGVLEIAAGIGLMIPQLRETTAILLIVFYVVVFIANINSSKKRINIFKADYTGPGMKYLYNERIPMQIILIVWTWYFGIYLH", "METLSYEIEISAPQQKIWDILWSEATYSEWTKFFGSDSVMKSDWKVGGRTLFVNSKGDGMVSTIDSLKEPHQIVFKHLGMILNGIEDLHSKEIMEWSGAQEKYFLTDLGDKTKLHVEVQVDEKWKDDMDKGFIKGLSLVKELAEK", "MEKLFYQIQINATPDKIWGVLWNDVTYTQWTSVFAEGSFYKGTLEEGSIVKFFDPKNNGMYSRVEKNIPNKEIKFLHLGEIYDGVEKAQNWGEATESYILEETGNGTILKGEIQTPEEFKSFFEDKFPKALEIVKNLAEK", "MNNDIFPCLWCNGDAKESAEFYCQVFGGKITVDTPVVINIELFGQKLMLLNAGPQFEKNPSISFLINCASEEDVQHYWDLLSEGGMALMELDSYPWSKKYGWIKDKYGTTWQLYFGEMQEQRLVPTLMFMHQNNGKAMEAMEFYTSTFPNSKIEGVLKYKDGGENGENPENVQHAQFVINNYMLSCMDSSLDHKFDFNEGISLVIMTNDQKETDHLWNTLISGGGRESMCGWLKDQYGVSWQIVPKKLIELMNDTDPAKSQKVVQAMLKMQKIIIAHLEEAYNS", "MEQITIETTVKAPVDKVWDYFNQPEHITQWNFAHESWFCPSSENDLRVGGKFNNRMEARDGSFGFNFEGTYDEVVPQQLIKYHMPDGRKVSTTFEAVEDGTKITTAFDPEAENPVEMQKDGWNAILGNFSQYTETH", "MPKLNPYLNFDGKAEEAFNFYKSVFGGEFLGEIHKMGNAPGTENLSEEAKNRVMHIALPIGGDLLMASDIVPEFGQSLQLGNNNYVSIFPESREEADKLFKGLSEGGSIEMPLEDQFWGDYFGCFTDKYDVKWMINYSGDKGYENK", "MEPHKAGYLDINGIQLYHEIYGEGKPVVLVHGGGSSIRLDFQEVINRLHSHFMLIGIDLQNHGKSDHREISETFEQDAKDIVAVLDKLNIEKASFFGFSNGATSIMKIAELFPEKVYKLIAASGLYKRKGMVDGFFEGMQNAAIEIMPNYLKENFLKLTPDEDKLYNMFQKDSQRMINFEDWENKTLQNIKNPVFLIYGDRDAIKVSHAAELHTLFPDSRLLILPSGHGTYMMADEHGHTNNRTIDFTILKVKNFLQ", "MNAPKSLKLEVIIPAYRMHTQTFLNVLDGITEEDALKRIDGRTNHIIWMAGNYVNVRYAIAHILGEATEDPYQDLFFMGKALDENFSYPSLKELKESFHAVSPKAYHKLLEATDEQLSEMFPINMNIPFIKEDKLNFIGMCIGRQDYLCGQMGLMRRLLDYPGMKYDTDNNILY", "MNPIYKEVYQHFLLSDEDIIQIAEKHQKVEIEKGKIFLHEGETANEYYLLESGLIRAFVYDYDNNEITTEFFTKGDIVIVPASLFQRTPSQENLQAVTDCTLLKIEFDDFQHIYHTIPGFSEWGRLWFSYQVFSMKQRSLDMVTLSATKRYLNLMEQKPDVVKFAPLKQIASYLGVTDTSLSRIRKELVSHKKEY", "MKAEAVIPVLRMFDYQKAKEFYVDWLGFEILWEHTFEENFPVYMEIQRANIKFHLSEHHGDGTPGTHIFIWCDGIEDFHRELSAKDYKYNKPGLQETFYEALSFTVTDPFGNSIIFNQKLERNYESDL", "MKRSGTADLPLHYGKVPAWLYERMSGLGLSIVEVILTDYGKQEVVRRLSDPFWFQSFGAVLGMDWHSSGITTSVMGALKRAINPNSQSLGIFICGGKGKYSRDTPQELLYIADKTGLNGTELVKASKLSAKVDNTAIQDGYQLYQHNFVVTDNGNWCVIQQGLNDADGTARRYHWLSENLTSFIEEPHTGINGISRGTILNLTANEASDNRKGILDISHTDSTKIMQDFARLILPEHHDVRATDVDLKRLGALLYFTREQQPQTFEDLLMLEGVGPRTIQSLALVSEVIHGAPSRFKDPARFSFAHGGKDGHPFPVPVKIYDESIQILQKGIEKSKLGNSDKLNSINKLHQIVLNTEKNFTPNFDIQQVIEEERNISWQYGGKSTMGDAKKPDKPNAIQLSLF", "MANITLKGNAISTVGNLPNIGEQLKDFTLVNADLSEKTLADYKGKKVVLNIFPSIDTGVCAASARKFNQEASNLDNTVVVNVSKDLPFALGRFCAAEGLNNVDTLSDFRGHFGDDYGVTLADSPLQGLLSRAVVVADENGNVVYTEQVPEIAQEPNYDAALAALK", "MKIAVLGTGNLGLSIIKGILKSGENYQIIATRRNTQSIAFLEKDGIEVTSDNAYAITQSDIVIVALKPFNILEVLKEYKSYFTPDKILVSMATGISIQQIQEAIENKTVTVMRAMPNTASDVGESLTCLVYNGQEKEKLERVKSLLSHVGSVIQINEDLMEAATVLGACGIAYVLRFMRAMVQGGIQIGFDAKTAVQIVNQTVKGAAELLIQNQNHPEDEIDKVTTPKGCTIVGLNEMEHQGFSSSLIKGINASFQKIEKKV", "MSEKSKIYYTLTDEAPMLATHSFLPIVKAFTKSADIEIAVPDISLAGRILANFPEFLKDDQKIGDALAELGELATKPDTNIIKLPNISASVPQLDAAIAELQGKGFAVPNYPAEPKNDEEKAIKAKYAKVLGSAVNPVLREGNSDRRAPKAVKNYAKANPHRMGDWASDSKTDVAHMNSGDFYGTETSTTVENATKFRIIFKGNDGSETLLKDFAPLQAGEVIDSSVMNLNALKAFVQQAIEEAKNRNVILSAHLKATMMKISDPIIFGAIVETFFKNVFTKYAETFKSLDINPNNGLADLFEKIKGNAQEADIKADIEAALANGPRVAMVNSDKGITNFHVPSDIIVDASMAALVRGGGKMWNKEGKEEDTVAIIPDRSYAGFYQAVIDDMKAHGKLDPTTMGSVPNVGLMAQKAEEYGSHDKTFQVKADGTVEVQDEAGNVLLSQKVEKDDIFRMCQTKDAPIQDWVKLAVNRSRLSETPAIFWLDKGRAHDREIIKKVEKYLKDYDTTGLDIRILDVMDAMTETLRRAREGKDTISVSGNVLRDYLTDLFPILELGTSAKMLSIVPLMNGGGLFETGAGGSAPKHVEQFLEEGYLRWDSLGEFLALQASLEHLAQTQNNPKSQVLADALDEANAKFLATDKSPARKVGQIDNRGSHFYLAMYWAEALANQTANAEIAAQFKPIAQNMQENEAKINEELIGAQGQPQNIDGYYKTDEAKTYAAMRPSATLNAIIDGI", "MKNRIIFSICLSFIYNIKAQSKIDQAIQVLDQKYSQEKVYLLFDKEKYISGENMWFKAFVFDGYNRSTISSSLFVELYDSNKNIIAKKVFPINNGEGNGSLNLSEKLKEDVYFVRAYTTWMANFSEEFQLVQPIPVYNPASPKSLVINKDSKWTAKAYPEGGTFIENLPTKFAVRLQTEGTPPSEWHGYVTDKDNPAEKITSFKGLDQNVGVFNLTPKKGKTYQVVVEDNNGVKQNIDLPVAKDTGVHIQIANTAKGIQYTLKSNNLSGLKDYKIIGTVSNLLAYKANISINNKEASSIIPSAISNKMNGILQLAVFDEKENLVAQRLCFIDPSQLHVTQPAVSYSQADKTPRAYNTIEIPPQENYSNYTVVVRDDAKNTEKNNILSALWLTGDFSSKITAPAQYFTKNANTEALDGLLISEKWTRFDWNAVMAGRTPDIKYKPEPYFSYRGKLTVNSRPLPNTSANLIFKTPDNTVINEVQTDDGGYFMLHNINTDEPIKVTYFLNTLNKTASNPPNLRIAFEPTVDFVTYRSSLPATQYHLEDRQAATDTPAPEIVRAIANKKNQKLIHDNEILIKEVQLKAKKRDEKRILNDKLSSGMFRSMNEQVFDLVNENQDAQASQNILQWLQGRVAGLTFQMDSSGNYVPYMRGGQAKLYMDEVPMDASMINSTPVSNIAMVKVIKGSGLLGNAVAIYTRRGDIQAATPAVKDPFMDNTATILGYDKVAEFYNPDYSKEAYKTIPNDTRDVLYWNPDLKAQDKAPSAIQFYNNDTPKNYQVIIIGFDKDDKPLYYNGTMP", "MGIQILHNSRCSKSREALQYLENQNIDFELINIIQNPLNKQEVVSVLQKLGMKAEDLVRKSDALFKEKYAGHELDEDGWINVLVDNPTLIQRPVVIKDNKAVIGRPLENIVDFLK", "MHIAVTGNIGAGKTTLTTMLAKHYGWEAQFEDVDHNPYLDDFYHDMAKWAFNLQIYFLGSRFKQIKEIRESGKNVIQDRTIYEDAHIFAENLNDMGLLTERDFNNYKSVFNLMKTFVSAPDLLIYLRADISKLVAQIAKRGRDYEAGISIDYLSKLNDKYEKWIKGYDEGKLLIIDVNDIDFVEKPEDFGFILDSIDSNLNGLF", "MNTKERAIEEKICPLEFAVNAISGKWKIPIVWQINEGKKRPSEFIKGIGKVDRRVLNQQLKEMESAGLLTKVSYPELPPRVEYSLTPLGEDLVKVLWQLNAWGEVLLEKSNRS", "MDILAKNIAQFNEVLASQIPAETLQAFQKSVQDLEEKQTGSKSLKIGEKFPDFQLSNFDGQMHSLKDLLKNKLVIAFLRGSWCPYCNMEIQALQNELHQFKAKDVNLIVITPQPSNINAEWQQQQNAEFEILSDKGNLLAKKLGIDIELQEFVIPHYKAMGIDLLQINQTQQYSLPIPAVYVLDSHATVTYKFVNPDYMKRVNIEELLNQL", "MKKNIVIGLMALTLLASCNKEKIVIKSIQEYNATMQDKGYHFGDKIALPSDVTGNAESISISFGDKESNTLTIDPKFFTLGENDVTFNIKMKDGKVVNQDATINVFASKPEQNLTYEQVAEYPHDPKNFVQGFQLDGNTVYESDGQFDQSRLIKYTLGQTQPIAEAKPNPAPGTQLVEKIFSEGSTIAGDKVFQLDWKNKIGFIYNKANLQQIGQFQYPTEMTEGWGLTYDGKNLIASDGSSNIYFLDVNDPSKVVRKIGVAGSEMAYGQINELEYYKGFIYANVWQQPVVLKIDPATGEVVGRYDFSNYVKLHTKGSDDVLNGIAFKGDNMLVTGKNWPKIYEVKLK", "MAVQSQKVTDNPNANTYFMVWNFKDTDNIKEAFQRVCALVSNLNNSAAVRFPNVRVSCVMGIGYNAWKKLELQEPLPKELEIFKEVKGEKHTAVSTPGDLHFHIRADQFSICFDMATAISDVLSPVASCIEEVHGFRYWDGRAILGFVDGTENPQGSDRDFFAKVGTEDEAYEGGSYLFVQKYIHDMKAWNSLPVPEQEKVIGRYKASDIEMTDDVKPANSHSALANVGDDFKVVRDNMPFRTSSETGTYFIAYASTFSTVQKMLESMFVGDPAGNYDRILDFSTAKTGSLFFVPTMDMLGDFSG", "MEIKFNTLTPNLWTTELDETIEFYTTNLGFQCLKKDEEWQWALLKKDDVEIMFSKPNEQIPFKSSMFSGSFYFNIQDVNSLWEQLKDKTEICYNIEDFPWQMREFAIYDNNGYILQFGQDVETLK", "MSVIVTETYNVPVEQVWRAITDKDEMKIWYFDIPNFVLKEGAVFDFFEPGDEKKYLHRCQVLRYEPNRFLKHTWTHPDHSTGSSELLWELEKTDNGTKLTLTHTGLDSFSDAGADFAKENYKAGWNEILGKSLKNFLENNGDKV", "MKEFLRLLKREFKLFVANDTLRSVFFLAPILYAVLLGFVYQSGKVENIPVLVVDRDNTPLSNQLTDMLDDNSSIKVIKYIQEPQSIKDEVIKNEAAAVVMIPAKFEADMLQKKYPELNVYVNTGNVLTANFASKALQLTIGTFSAGASIKGLQKMGMPAVKAATQYEPFKTNYITLFNTTSNYLIFMWPAMLAVVLQQVILLAMAVSFAAEFQRGSFIKEYYGMRRWAFPTMLIKVIPIWFFSILIVGIYYLMHMIFKVPMPEGIFNFILLTAVFVGSASFLGVFISILIPDALKATQILMVLASPSFIISGFTWPLSAMPAAVQFLANIIPLTPFLQAFKILLIQKGSVELTFPYLQHLIILLIVYGVLGWIALKIKLWKMFRYLKPEEVKSENELINLK", "MKKNIYIPLFSLFILGSCGSDKGNLSEFEGKTKKDVISFAPKVTGRIVKILVSEGQTVKKGDTLALLDIPEVTAKIAQAKGAVSAAQAQEQMAKNGATADQMRQLQAKYKGLKEQYDFAQKSFRRASNMYRDSLMSPQAYDEVYAKLQGAKAQFDAVNAELDDVKRGTRYEKIEMAAGQASQAQGALQEANVAYSERYVIATNDMEIETISLNPGELATAGFALFNGYIPSSTYFRFTIPESKIAKYKKGEEVKMQVVYNKEELEGTIVYIKQLTRYADITTAYPDYQLQDAIYEIKVKPKDMKKAQNILVNANIILK", "MMRNRLSLYGISLFLLPVLGWGQSAPDFKELLESAIVRDADLMMQKTKNKVTELDQHKLKDIFLPTLEISGQAGYLNATTRLSSPEINLEPFLTIPSGQYSNNLNISGFSGIAKADAKMLLYSGGKVKYLNKALEEKKKSEDILLEKTRDEVITTVSRAYDQLALIHQSKIVLDESKRRLDANRKTADKALGYGLITPYDHKKIELAQATLDAKVVEYEGKKELLLTQLEVLTGVEKERLRLINPVLVPVESLPLQKSIEERAEIRALDHGINAADYKIQAERTWWVPKVQLMASLYYIGLYSNRIKTSDNIIPAIPELNYPGRKLDWRPNNLAVFPLLTAGVGFKWEIFDGKEGKHAEELAKVNKEMLQTQKYDATRKLTLNLANNQSNYDIAYAQIALKKKQKELAQNALVQAEKEFRYGMAKSTQLIEAENDLEAAELDYQNAIFNQRRAAIELMKSTQELDVTKLYQGL", "MKRIVTIFLFLITSNFILCQKSDNRAEMKIIYEMKMGNISENKDTSIYYYDLIFDKNSSIYADSNAKAYYDYIAKERGNYRLLMRPPKGKGSAYKENGKLIVSQPIGRDMYSYDEPALKWVIINEKKKKIGDYDCILAKTSTDTGIIFYAWFTPKIPIPEGPFRFKGLAGVILEVYNEINTIHISAIEIRKSNAGIYPLQYPKVYHVSKKDFLDKRKTFIANPKVEAPLDFIIKETDSGFESKKTVHKSINPNYLLD", "MLKFVKDMFPRLDSYSFLNIKSLLIMALAYLLKKKYEKDSYNFLISYNF", "MKKNITTVFLAISIVFAKSMNIEKSAPINSKVATAPKSDLKSSRMDKFLTALIGYAYLYESTCGRTFGIVLSKPMYDLTNKEYDDLMDKLEQKNAEICEGYVPEARFV", "MQRIAKIFSLLLVILSGISLHAQNYYDQQWKKINDNYAKGMVKSNLPIVLDIQKQAMKDNNTIELINALKAEFVIVNQTRDDEKNDSASQFFNKIKKHEKNLKGDELLVYRALQIKFVDDYLKRNQWKIQDRTNIDNQDFGQIETWSKLDFKKYFTLGFNDLGKVKNEIRKISMTKYQKLFTNTQDIAYFPSVQDWVALQHVDFLKDNVLFTPNELKANNAKILEIYNDQIASNSGNPKLFYEYLKAQYEVSFGQLSEAEARKKYEAIVNSSTDGDYKVYILNDIAGGLSSANPKEAMSILKKAKEMYPKSEFQNNIKNTENSITSPVITVSYESFTLPQQPIHLSVNYKNADAFAMNIYQVTDINSFISHIKDSYRTPLLKVAKQLVKKENYQLKNTGDYKNHISSVELSALKNGLYIAEYIVEGKSQGSYWFSVTDSRIIYNKISNNSANNVLRLISRNNGESKKNTNLNFYDYSEYNKPVVKSSAKTDPQGIFVFPENKTRNYKRYLIEDSEKNISFIEAYGGNYYDQPRVDATEKTAQIFLDRAIYRPGQTVYFKVINTQYSNKKETVVVGMKQKIKLVDANGEDISEQSFTTNEFGSYSGNFILPKGKLNGQFMLETDEGSVSFRVEEYKRPNFEITFEDIKGEYKFGQTIHMKGKAVSFSGVPLSNATLNYEIKKQDIRYRYFYWFTPTSNENSILGTVKTNEKGEFDIPVDLKKDDKLKGIQVNEYVVNASVTDTNGETQENSSTFRVASVSHFIETEEVKPAFANEEIKVKVATKNYNNQKLGKSYQAKLSLLESPDRIFRNNFEDFVQDVPVFSKENFIQKFPHDYFDKSELANNRKEKQTVLQKTAADEEFSLGKLAAGTYKLVFYNIEGQDTIKTEKVFEVWDRKSLGNGQKPFFRLMNNQKDYARGEKAKVYLYSAIPEAKVYVYVQNGNGETKTEEKYIKNGVLEYEIEIPADPAVKQLNLQFTLAAYNDIQTLSTNLPVRNETEPMKIELTTFRDKIQPGSKEKWTVKITGKNKEKAVAELLANMYDMSLDQFAANNYTFRNFNFERFIQQNYGVGTESLNSVRFNKREKYLNAKTVFAPYFNWFDQYGALGGLATNVRIRGGSTLRKANVAYEAAVDQKSVMDMVPAPVAAAKKEVSADSAVITQSISGKVAGAQVQQKNLDKVPVRSNLNETAFFYPNLKTDKDGNVSFEFTSPEALTKWKLIFLAHDKNANAATLEQTIVTQKDFSVTPNYPRFLREGDEINMQVKLNNLVEKALNGSVQLQILNADTNEDISSKFGLNNLIQNFDINATSSKSVSWTFKVPNDISGIIIKTVAKAGQYSDGEQKAVPVLPNRMLVTDALPIFVKEGQTKTFVLDQLKSNNSTTIANVSNTLELTTNPIWEVLFALPSLKNDINNSADVVFNKWFADVLASEVFKANPRMKKIFEEYQEKGLLESNLEKNQELKQLLLEETPWVFEAKDEKQQMQMLARLFDANNMRNSILDDWSTLKQLQNGDGGFSWYAGSPSSFSTSLYILKNLGKINEWLKDKGGVNDYQNTPQNEMVSALTAYLDREINRYWKQKGMNPWNNLVLDYLDTRHYWEAQYPLKNTGAILKSQVIAKAKTAKITDFTFYGLSRAALLFNNYGLKDVSDKLMTYLKETSTDTKTQGVYWKQNLDAWGWYASKAINHALAMQAFNKLKPNDAIIEDMKIWLITQKEVNHWETSRATAEVIYTIMNSGKSWTTPEADKATVIWGGKELTKTDVQATGYIKSAVKSPELDKKLAEVTVTKPGPGIVQGGLFWQYYEDLDKVKSSETYISVTKELYKKVKTENGEELKKITADTPLRVGDKVTVRMILNTDRPMEYIHIKDMRAAGFEPTEVLSGYQWKNNLGYYQSTKDASTNFYIEQMPKGKYVFEYDVVANIAGKFSNGITTMQNYYAPQMNAHTQGTNVTVVPH", "VLNLLKKYIVDSQIYVSLMGTLLTLFFMAERIPFRSALILLIFLTFLNGYLYTKYQMTRRLFGYVLLFNTLTFIFCVTVIIHRHHPESLIKWLFIIILGFLYNTQFLNTFIRKIPFIKIFHVALVWALINSWLIMPVIQWDVFFITFFLVSGLILPFDIRDMQYDTVTTFPQIIGIQNTKYLAYLLLFFSSLIAVFSLQPDFAICYSLSVAVGFIFIYFAQPSRADAYYSFGVETVSGLPFLLHLLQKLF", "MLIQSIRLNNFKNHAFRQFDFSPQINCFVGNNGVGKTNILDALYYLSVGKSFLGNTDQNNILQGEEFFNIEAVVADDEKENIIKVQQPLNSKKIIKKNDKSYDRLADHIGFLPSVIISPYDNNLISDSGEARRRFLDGMISQTDTEYLFHIIQYQKTIQQRNALLKAFQKNRYFDADSLDIYQHHLIKSGNIIHEKRKSFNEKFSPIVQKFYQLISDGKEDIEINYQSDLSEQDFEAILIQNLEKDRVLTYTSRGTHKDDLNFLMRQFPLKKTGSQGQQKTFLISLKLAQMQMIKNITGKSPILLLDDIFDKLDDNRVSQLIALVNKENFGQIFITDTHKDRTQSIVQRINEESRIFEL", "MKKKKREYMSSELVQSFIKIYGLEEKMEAIAIRDFLEDYLDESLYQEITSVSLKNGMLDIKINSPLLKNDFRMRKTFFLQKFRSVIGEEKINDLQFL", "MGRLLRRNKDNFNNILKKYFVFKNETKSLEPLKDIFNSIVQEDFEVFLAYLKNNPEICDNFGYYIRNLFDGKPFNLSLTEADILSENAFYPELKKRILNKILPSVDNENSVSYLVDTVSVHTKKDLTYLKGISDAEMDELFRLLKIDDFIRNPKVKNELMFSMNILAWRVIGNALDVDVVRMAPEYKNFDNPFLALQNELDLLLEEFKKDPATELNSKSEIYKQMKVYLQQCQEFVNLAFKNASKYGISNKINQSLLKIRQQLERVSIILNLLVIDSPDDYLKKSRQLFFSILDFKSHKNNISDLVSDSTRLISHLITTHTSEVGTHYITTGRKDYFKMLLKSSGGGVIVGALCVLKMLYSYSHSSDFVHAFLYSFNYAMGFVMIYLMNFTLATKQPAMTAATMARVLSEGRNTKKNYIDFAHLVSKLFRSQFIAFVGNVLLSFPVALIIIYGLEIIFKQNLAIDKSSKLLLDLDPIHSKAIFHACIAGFFLFISGIIAGNVSNTGVFYQIPKRIAKNPFINYFFGEKFARNLSVYYSRNWGGIVSNFWFGIFLGATAPIGLFLGLDLDIRHITFAAGNFALGLYGKDFNVTTYAFWISFITVFLIGFFNFLVSFGLSMVLAFRSRKVNMGEVRLIIAEIFRYFFRNPLRFFLPIRSRLDERAMDLMKSTNTTKTEGH", "MWKFIKRVIFIVIVFNILAVIWGRFFNPPITFIQLGGLAEYGKLDRNYIPFDEMGDNVKLAVIASEDQNYFKHNGFDFKAIERAMKHNEKKNKKTVQGGSTISQQTAKNIFLWNGRSWVRKGLEVVYTFIIEHLWNKDIILERYLNSIEMGRGVFGVEAASKYYFNKSAKDLTKSEAAWIAAVLPNPKKYDPKNPSPYLQKKHSWIMKQMGYMKLN", "MNLKTIHQKISSSLFLRVSKSYIVNMQHIESFDSHTIYIGEHEAPYG", "MKKQQCKIGALALILFAEYGFAQTRDSLTKENSIKEVVVVAFGKQKKEEITGSVQSLKTKDLANLQNGNILQGIGGKVAGVQVISSGQPGSQPTIRMRGIGSINASSDPLIVLDGIPYNGNLNSIPGTDIESISFLEDASSNALYGSRGANGVIIVNTKRGKINGLRIEADVKTGINFRSIEDYPVYTSPKDYYTAFYNRARVGEIARLKQAGAVPTGASPHEVGISALNKLGYNTYNLPFNQLISQDGSFNPNAQLLYQDNWKKLLFKPALRREASVGITANNEQVKSYTSLNYLDDQGYLISSGFERFGIRSNLDYAITSKLKLTSGLSYTHSKQDFGETGGFSNPFQFARNIAPFYPVFLRNNNYQIVYDKNGKALYDYGDGQGPNGAARSYAVYENPVGNLQQDKSQTTSNITNINLGLNYEIIKGLDFTYNFGAYLENIKDLRFGNTIGGTSASVGGNLTMSSIFRNTLNHQQLLTYQKKIGKHNFNILVGHELNKTKSDRFSGTKQQLVLPNSLSFDNAVKITDLSGNGYEYAVEGYFSRLLYNYDNKYFFNANIRRDGSSVFSPDSRWGNFYGLGAAWNIAKEDFLKGNNTINSLKLKVSYGQQGNDNILLENSDRDYYAYQDIYGINNFGEGKPVLSLRKQGNKDLKWETSKNLNAGFEISLLKNRISLNADYFERKVSDMIYTLPLPPSNAGSYIKYGNIGDMINRGVQANLNIDILRSDELQWSFYANATHYKNKITKLPAEQRSTGLVSGLFILTEGGDRYTYYLKKFAGTDPNNGDALWYRTNINPNTKKEETTITNNYKEATDYNTGKSAIPKVYGGFGTDFTYKGFNLAVNFAYQFGGYGYDDIYRSLFHSDTYASNYSTDLDKTWTPENPNAALPRVDLNSTNQNGNSTLYLIKSDYISLQDITLSYQLPADFTQHIGLSALKIYLTGNNLYLWSKRKGYDPRASLTGVSNSYRYSLLSSVSLGFKLNF", "MKTIKYFITALSIGTIINSCSSDLNTLPNGDISGEQLNDDKTKAEKILGGIYLDLRSNGAGGTTLHSDFGIMAIKAGADLMSNDVIQSTNQHLGMFYNYDATNANNSAAEFVWTTFYARIFVINKLLDDLKNDNSTSNRAIAGQLHALRAYSYFYLIRFYANDYKDHKQDPGLPLVLTNNNQSQGLSRATVAEVYQQITKDIEESIILLGSYARSSRAQIDQRTAKAIASEIYLQTGDYTKAGKYANESRQGIALMTENDYNTTGFSNINNPEVIWGFHNTTATMSIGNYYASFFSMFDNTNRGYAGAAQIYKLIDKRLYDAIPETDYRKKVFNGSQKADYTFNGTQKNYPPYVSWKFKDPTLFEGDYIYIRASSLYYTEAEALARQGREAEARQVLYEITSQRNKAYTLSSKAGNELINEIILQKRIELWGEGYAWFDMKRLSTPLERIYPGTNHTFGRFNLAPDKFKFQIPNKEINNNPQIKQNES", "MKKHLFSTTLAVASIISCSRPEIHASTSELEAKQYTLQNKSTSEDQTYSFSVMQFNIWQEGTQVSGGYDAIVNEIAAREPDFVTLSEVRNYNNTSFDQRIVASLKAKGKTYYASRGDDNGVLSKYPIKEYSAFSAYHRLVTEVVPGNEVVIYSGHLDYTHYAVYYPRGYDPVTFKELPAPVTDIAKITEMNDQSKRPQQIQDFLTRAKQDIASGKVVILGGDFNEASHLDWTEAVKNLYDHRGTVINWSSTATLSKAGFKDSYRVLYPDEVNYPGFTWPAQSSWTPKSDERDRIDYIFYYDNGNISVSDSYIVGPKNTVVKNISVPETSKDKFSEPKGIWPTDHKAVWSTFKIKIPQSNAKIVLNKTSYKLNETIQASFSNGSSDPKAWIGIYKQGQTPGTNYSTKWQYTNNINGTLNFALDTPGSYYIAFFKDNAYTEIAPRVYFTCGN", "MKKKILTLTFLGGVIICFGQSIAGKYAESAIIAPDKVRNMMQNDVVIITDKSVSKKIWVSNLIGNTTFYAVRNAANDEKELYNIPPQNIGGYAVNIGCILFDKEEKQISIALNNKSSCIGMSQSDYGNISVGKDGIKAGSVKVGSNGEISAGGTKIGKKGVNINTKEALSGLQYVGTKM", "MEKYNYGVIGLGVMGRNLLYNIADHNFSVAGFDLDEVKVKELHDGATSGMKVKGSTTLEDFVSALEIPRKIILMVPAGKPVDAVLENITPLLSPGDIVVDAGNSYFEDTNRRIADLAAINLHFMGMGVSGGEKGARTGPSIMPGGDLEAFRLLQPMLEAISAKVGNEACTAYMGKGSAGNYVKMVHNGIEYAIMQLISEAYDLLKRGANLNNDQLYEVFKEWNNGEMNSFLIEITRDIFQQKDTLTDAYLVDKILDKAGAKGTGKWTSEQAMEIGVSIPTIDIAVTSRIISAYKDERVQASKLYSEKEITSPENTELFIKEVGDALYLATLISYAQGLALLVKASKEYDFQIPLQDVVKIWRGGCIIRSVLLEKFYTAYSKNPELSNILLDQDISVIVKEKIASLRKTASYAALNGIPALGTQSALGYFDAYTTESLPVNLIQAQRDYFGAHTYQRVDQEGTFHTSWLSANN", "MSEEKVLHPTTIIIFGATGDLAKRKLFPAFYNLYIDGRMPEQFNIVALGRSENTNKNFRNYVVENLENFSRRKGTPKDWSGFKSHITYFQHQLDQEDSYQALNQKLEDLDNTYGARGNRLFYLSIAPNFITTISNHIKNASLASDPAKDRIIIEKPFGHDKQSAIELNNLLGETFEEEQIYRIDHYLGKETVQNILAFRFGNSIYEPLWNHNYIESVQITVAEEVGVETRGAFYEQTGALIDMVQNHLLQILCMVAMEPPASLESGEIRDRKVDVLKSIRRISPDQVSHYAVRGQYGPGIIDGVESKGYRQENGIAPDSNTETFAAVKFYLDNERWQDVPFYVRTGKKMKEKHSYITIQFKPLPHSTFSNSSHHLSANRLIINIQPMMDIRLQFMTKKPGLSLVLEPVEMIFDNFACQEDTPEAYETLLLEALLGDLTLFMRSDQVEEAWDVVKTIQESWKNNKDASFPNYAAGSWGPEDSMELVKRQGHKWV", "MNITVFNDLEKLYKKAADTFIDLSKKSIEKHNRFVVALSGGSSPKAIFSLLATPEYAEQIEWNKVYFFWVDERWVPLDDEKSNARMTFEALLDKVPVNRDQIFPMYQNGTEPEEFAKVYEQQIKNVLGDDGIFDFILLGMGDDGHTASLFPGEAILNETQKWVDAYYLKPQEMFRITLTAPLINKAANILAVAFGESKKHALNEVLNGEYNPVLYPMQLINKKESFQFFTDEKAKG", "MILSDFSILFEEILQNPTKSAIIIANLILIESLLSIDNAAVLATMVMDLPEKQRKKALKYGIWGAYLFRGLALVFASVLISVWWVKPLGGLYLIYIALDWFIKKNKNSDGEEEQKEQHPKESGWLYRNSVGLLGKFWTTVALVEVMDLAFSIDNVFAAVAFSKNLLLVTIGVFIGILAMRFIAQWFVRLMQKFPFLETIAFIVIAILGVKLTLSLYEHFYPTTSLAEFLSGHTMEVLISATTVLLFVVPIITSYLFGFPKRSE", "MSSVSRQKTFTDTYVRSLSLYVFAAIICGALTGYYFPEIGKKLRTVSYYFFLVLEFLIVPVIFIAITYGVSYVFSIQNAFRVIMQMICYFLLITTISIALGFGFGFLLKPGADTGIDITSVGKYVSGSPVIGYGRLLYVNNYMILLLLSIAGGIAINFFKNKDRVLLFLDNSREIFYKLIKYLYFTLPIVVFCNIAYGISIYGINTLLPLSKLVATVYLACIIFIIGILGTVSYLFKINLWDFLISIKEEIVLVLATSSSKTSFPMIFEKMESQGYNRKILRFVIPLGYNFNLAGACIYLSIACLFLVQLYNIHLDYADYIWLFIVVSIASKTASGVPGSGFLALVFTLDRFGKIPMSEIALLYSVDRFMNEARAVTNFIGIAIGGAVISKINQKHINI", "MLTFLGFLMIAIFMGLIMNKKMTPLTALVLVPVLIALLAGFGPELGTMMKEGVKEIALTGVMLIFAILYFSLMIDTGLFEPLVQFILKIVGDNPVKTTIGTALLTTLVSLDGDGSSTYIIVVAAMLPLYKKQGMNPLVLTCIIMLAGGIMNILPWGGPTARVMSSLKLGHTEIFVPMIPVMVIGIIWVFIVAYILGIKEKKRISKYGKFTKYNKQDIVGDEDNTLRRPKLLIINFILTVILLCVMILDIIPLGIAFMIAFCIAAIINYPKLKDQQKIISKHAGNALSVAGMIFGAGIFTGILNGTGIMQAMGNSMIEIIPKSWGGFLNVITAVFSVPLTFFLTNDAYYFGILPIITATGTQLGIPPDVLGRASLVGQASHLLSPLVPSTYLLVSLAGVEFSDHLKFTLKWAIGSSIVMLVGALILGII", "MKTKLILTFIIMIFFAGFSKGQSRDNYNMWFQYLMTAQVSDKSTLTALTQYRSFDLAYDTRLFLLNAYLDYEVAKGVKPAAGAMFLVLESYNSDNTKRIRYEKRPFQQVTLESNIGRTSISNRFRVEERFLNNPDEFIVRIRYLLSIRIPFNRKGEKEKLYGILKNEIRMNMVKDEPFDSNRITAGFGIKVGRNSAIELAFINQLETGKTSNYGFLGFRNNFDWRKKEKQ", "MPDLIWSVILNSIFNIGVYYLVYYYLVPHFYLSNKYPEFILYALICFLVSSLFRILWEPALFNINFSNEVSHISFLYNVYIYQGIVILVASFLGITKDKFLIEQDVIDLGKQKDELYLNLLKSKLNPHFLLNTLNNIYANSFTPSIATSNSILQLSKLLQYIIYDSSKEKISVLQELSSVEALAALYQLKYNNRLDLVFDIQDKETLELIEIPPSLFLTLFENALKHSAIGENPESFIKLSCKTEDLVLVFEVINSFDKNKKSPIDTGYNGLGNKAIIRILEKYYPEKFNFSSEQDGDFHYKTVLKINLNE", "MSDLTVVNIDDEYPALQIIKQYCEQIEGIDLLKSFQNPHEALTYLEENKVDLIILDINMPGINGIELLQQLSYKPLCIFLTLETQYAVKAFELDVVHYLVKPVDFETFNKAINKAKDFLQFKNRSNNSPQKDFIMFKSDYVMNKVFLKDIRWIQGFGEYIILITPLKKYMILERMTNFEEKFKDFGFIRIHKSYIVLSSSISSYDSNNVYLKDGHNLPLGRTYKSTLKNIFTI", "LVFNRFISLESFCRESRFPNLRLACKLNDHNKLSILYNRRVDRPNEVDIRIFPKYDDAEIIKVGNPGLRPQFTNSIELGHKYNWNNGYLYSALYHRFANGTITRISSIVPESTLIYAVFQNAGRSYNSGLDMIWNQKVSKIYSFNINGNIYRNQIDAFSVENLYPQPVFFSADEQTAVSGNVKFNNTFRFSNGFDAQLTAIYLAPDIIPQGKIKSRFSIDLGMKKSVQNGKGEIFLNATDLLNTMVIKKQIQGSGFKYTSDDYYETQVIRLGYSYKF", "MDRRKKIILILASIGTFVEALDIAVINLAIPSIQEQFHITSETAQWLQTFYVLFFGGFLIIGGKLSDQLGRKKVFLFGALTFMLSSLGAGLSTSFEVLAIFRALQGLGAAFIMPSAVSIVTNTFLAEQERNRAMAIFGSFAAIGSGSGLSIGGIISTYLSWHWVFLINVPILLVTLIAAYYYLPADKPDQAAKTDMISAVFIVLGFLSLTYGVHELVHIKENPVSIIASLVLPVVFLKFVIYRLRTVSYPLVNLQIFRHKSLVISNLAFFLLGAFFIGFLFLISLMLQKDMGYDAASSGLMLVPFSILSALVAKYILPYISKRLNSFRMGALGWIFMLTGALLLLVSVFTGHPLVFVLMGAACISGIGMTFCFTALSVLGIQDVEPANYGVASSLGTTSYFLGAGLGLSFLTLISQFFSSEYAVGALNIIILVCYAILAVGMLSYSILMGTKQMKLLSKA", "MELHKKILLGSFISVALVSCNTSDNVDESTPQGLTELKAVPQADIPAGFEHTHMCKDVYLPGTDPRGAVIKSTKWPNGSVITVSLNGGTAKVRSKVMQYANEWSKYANITFKFITSGTAQIRVTFTQGAGSYSYLGTQALNRPSNSETMNFGWFNDSTTDTEFSRTTIHEFGHALGMIHEHQHPLANIPWDKEKVYTYYGGYPNYWSRAQVDSNLFAKYSTTQTQYSAYDTQSIMHYSISSSLTTNGFSVGSNTVLSTTDKQFIASVYPK", "MNKWPLLLLLSFLSSLANAQEKERQSYIIPYVGWNQEKLNWNIAGNENGQYPNVLSELKWQQLRGPEMGIISAVSISSRFQVRWNFSYQAITSGTVNDTDYAGDNRALKTAEFNLQADKGYTIKTRLELSYLLWTNQTFSFRPHAGYFGSYQKLYMLDGDTPLIPGKELKSTYKPEWHGAVLGLETNFKKENWNVNLDISGMYFPQYSATANWNLREELRRPVSFEHRSKGKGFDTGLRIGYQLGQRIQPFISARYTQIEAGKGTDKLYMANGDIYKSRLNEVNSTSISFGIGVKVLF", "MKTLFLASGLVFFLFSCKKTEQNFQKEDTQISQRLHFKEDKDNFRITSGKFDIELKKSVFPLKRVIFLNSTLIGYMTELGLEDKIVGVSNPEYIFSEKVQQLIKAGKIQNIGSEQKYDVEKILALKPDAIFTNYIASFENTYELLKKNGIKIIFLDEYMEQKPLEKSAYLKLYGKLFDVEKKSDSLYNLIENNYNELKLQASQAKDKPEVICNEMYGNQWFVPGGNSFAAQYFKDANASYPWQSLPNENSVPLSFEEVFAKSAKATYWVNVGEYKKKSELLAFNPVYAKLHPFKNGKVYSLYGSVKGKSNDYFASGVVRADKVLHDYVNIFHPNLLKDTAMVYMKELK", "MKRNLAFIFAALGWFAVIAQYYLVIENRTISVSEATIRFFSFFTILTNILVALYFSIIFISGNKSVSLINAPGTLTFITIYITVVGLVYQVALRHLWHPQGMQRLVDELLHLVIPIFVVLFWYLYEAKKSLKFRQTIKWLTYPLIYFIYILVRGNQSGFYPYPFIDVSVLGFPAVIQNAFFLLLFFLGLSSAFILIGKQLDKK", "MKIETEFYKPDNNTLNKYIEGFYFISKQEIENSFSYQTFPNNYNIVSTYLDSDVKLEKDKITVISSKNKFTSTLVKKYIRPIEIKVNAIVDEITIYFKPAGLNHFIDDISSLFENDFSYFNPFSDYEAEMTKILLTKERNIQLELLEKYWISKLIQKDLTPVESWLSDINSTLSIEEIAEKNQISRQYFNKMFRKYVGKSPSEFRKINKFRNSITQKNKNQNLIELSLDADFYDQSHFIRNFKELAKVNPGSFFNNVDTSKENIWLFEE", "MKKIFLALSLMTGFLYVEAQDNAIKTTELNLAKDYLKIAAPIAKEIGNNTIIGLGEGTHGTKEFNEIRAAISKNLITKQHFSIICFESPYGDMFYLNKAVNSDTDIKTAMKQYLLSIWQTKEIEELLLWVRDYNKKNKNQILLSGADFNFVSNSVKILESEFKNNSTLKALTNDLLSKAKYQDEMWSKRNDKNFRVDMPAVIKNGTQAYELASNIEETAKKDNISLSTEAKLALQNIKFGFKVMYEASKQNYDISRDQMMAEMVTKTHQLYNNKKVIVIAHNGHIAFTFPFSDDLGMGGYLKKQFGEKYYSLATLTASGTYSATLDNTDTNDNKYQVYPLPAPLKESWQNYFSEFKNENFYLNLRNNNGNLKGNFSLALWGYFYLDPVKFEKNIYTPKISNLNNYFDGIIFLKKTNASEHLN", "MSTKSLIIGVAAMGVVALASCSKKETITTKEVIISESKDQAAANKQLVLDFYQKMFGDKDLSAVDQYIAPEYIQHNPTVADGAKAFKEAAAKWFEGAPKTKIDVQHIAAEGDLVFIHLKNKNEDGSLKSTIDIFRIKDGKIVEHWDAQEDVPKEAANAHPMF", "MLNNLRFATAIHILILLEKNPEVWMSSEYIAGSINVNPVVVRKEIKNLKALGYIQSKEGKGGGAKLATDAGKITLAAIYRSVSEDQKGKLNSPNPACSVGRQINEHLTDLYEEINRKTEEVLGQYTLENYSKQFK", "MMKVAVIGATGFVGSHIVNELATRNHEVTAISRNTNENQLPENVKSVTADVTNTAQLAEVLKGNDVVISSYNAGWTNPNLYNDFLTGSESIQQAVKDAGIKRLIIVGGAGSLLIDGKKVVDGPDFPDAYKPGAKAASDYLDIIRNEQNLDWTFFSPALGMNAGNPGERTGKYRTGLDSPVFDADGNSYLSVADTAVALVDEAENPKHIKERFTAAY", "MDNYNNPGRLWFLPLITGILFIVVGIWIFKTPMESYLTLSIFFAVTFLISGLFEIIHALSNTHLRNWGWSLAGGLIDLLFGIIMISSPLLSATVLALYVGFIILFRSFMSIGFALNLRELQSRSWASPLIFGIIGVIFAIIMIVNPAFGGLSIIIYTALAFILVGIVQISFAFMIRKLKR", "MSVNYLILIFTGLYLAGTFFYYKYAVKKGIEFRYKPITLLVVAILFLVALYGIIVGKQLI", "MKTIKTKVIPLAIVVFVLLVVFYNNKSVKLSKEIDNSYTYDGQVIELEGKFKAPFLTRTGNTISMEFEVFNDFYIIQTKNKVITGIRMNYGEGKNTVLINVGSDNKFEQSDVVIFDKDGNKLKTSDKVKITGRIVYPHKGVKKESLVKDYKTGKETMKDEGLDYSYEITDVTVQKD", "MKEIFLKVKKLIDEENFAALNEIKYHKPESFNWVKEIFEGIHLQERPEKTALLWTDGSNTKEYSFRGLSNECNQILNFLRKKGVQQHDIVLTQMMLEPVNWTTILAVIKGGLQLIPAASILGSKDIAYRFEKTLPMVVFANEDNAPKIDEAEKLTGKSIKVKILVDGNREGWYSLDDIARESIEAEAADTSSDDTLFMFFTSGTTGLPKIVCHSQLSQPFGHLTTASWIGLKENDVHYNISQPGWAKFSWSSFFAPWNIGSTIFSFHTNDRFNAARTLEQIQKHKITTLCAPPTVLRLLINEDLESYKFSLRQCVAAGEPLNPEVIEQWKSGTGIVIRDGFGQTETSCIVGNLPGNIIKFGSMGKPTFMYNVVIADENGNEQPLHEEGNICIKMNKGDMNGVFKGYLYAKDKEEQVFKHGLYYTGDKAYKDEDGYIWFIGRDDDVIKASDYRIGPFEVESILIEHEAVLESAVVGSPHPVKGFEVKAFIILQTGIQASEELAEQLFSFSREHLAPYKIPRIIEFVQELPKTISGKIRRVELRARESENKTKGLVSSNEYIYQKK", "MSTEQKKEDTEELIKKTAMQLFFGEGHFKATTQEIADAAGVNRTSINYYFRSRDNLFKVVFEEAIEQMHQNHNAILLSELPFKDKLSRWLDDELASALKYPFLEIYIVTQLSSDKCAHMDEEHVESITKVLEKELKTEIEKGSIKPISTIQFMLNIASLVSFPTCMRPLLQESFKLSDSDFEQILKERKQVILDTIFIN", "MNRKNNVRIATFLLMLGAFQANAQQTVSLKNAIQYALQNKADAIKAQLNVRNAEYQISEAKAGALPTLTGTGALNYNPILQKSALPGDIFGQPGQIVMVPFGQKWNSSIGVSLQQALFNQQVFIGLKAAKTTKEFYQINKQLTEEQVIEKVSTAYYQVFSQQQKLEAVESSYESTLKARNIIKSLFENGLAKKVDLDRTNVNLTNLETNRSQLKNAVTLQENALKFYMGMPIEEPIALVKEDVQINPQLLTDQINTDNRTEVKVLEKRKQLLQYNVQATKAAYYPTLSLVGNYAWQGLGAKFPIGNGKSQGVYWSDYASVGLSLNIPIFNGFLTKSKVDQAKIQLETLEQDLKDTKLSMSLDYRNAKAQMENSLDAIKNQKANMELAQTVLDNTRSNYQYGLATLTELLDAENALVQAKNNYSNSLYDYKVAEVQLYKAQGELLNLTK", "MKIGKTLLYILIAAGLLGGGAYIISQNQKETNKQTAIIASSNAEIPVNIVTASFEHVSADYSSNGTFAPLQNMQLSSEIGGKVIRVLVKEGDFVHAGQTVATIKKDALEVDHSTAQATYQNAVVDNQRYENAYKTGGVTKQQLDQSRLQLKNAKNSLDQAGIKIGDSNVKTLISGYINKKTVEPGAVVSIGTPLFEIVNVSKLKLQVTVNESEVAKLRVGDQIKIKASVYPDKEFSGRITFIAPLADASLNFPIEITVDNNPNNELRAGMYGTAVFSAKETGMQHAYMTLPKNAFVDGVSNNKVFVVQKDNTVKLTKVVGGRIFGDKIEIISGLNEGDRVVTSGQINLTDGTKISVIK", "VKLAEISIKRPTLVIVLFTILTLGGILGYSSMGYELIPKFEINVVSVSTIYPGASPAEVETTVTKKIEDAVSSLENVKKVESKSFESLSLVTITLNAGADTDYALNDAQRKINAIIADFPKDVKAPSLQKFSLSDLPIITAGATSNLSSVAFYDLLDKKIQPVLSRVKGVAQVNLIGGQEREIKVNLDKNKLEGYGLSIPQVQQAILTSNVDFPTGNVKTRESSTIIRLSGKYKNVEELSNLVIAVKDGAQIRLSDVAFVEDAQKDAEKVARIDRKPAILLQVVKQSDANAVEVSELTKQTIEKIEKDYAQQGVKLNVVNDTSTFTLTAADNVTHDLFIAIILVAVVMLLFLHSIRNAFIVMVSIPASLVATFIGMALMGYTLNLMSLLGLSLVVGILVDDAIVVLENIYRHMEMGKNKVRASYDATAEIGMTVTSITLVIVVVFLPIAMSTGLVSNIISQFCVTVVIATLLSLLASFTIVPWLSSRFGKLTHLTGKNIFEKFILGFEKQLDNFTHWVSDLLKWCLKNRWTKISTVIIVIVLFIMSFGLMKFIGGEFFSKIDKGEFLVQIELPKDASVEKTNFMTQKAEDYLSKKSEVVKMITTVGQQSDGFGGAQATAYKSEIDVILVGKDKRADNSFVYAAKIKNELSKVLVGAKIKTVPVGLMGAEQAPLALVVTGPDLKSINEFASQAMAQLKTIKGASEVRLSSEGGNPEINIAIDRDKMSALGLNIQDVGLTMQTAFSGNTDGKFRAGDYEYDINIRFNQLNRSSVNDVKSIIFVNGKGEKIKLDQFATITEGSGPSFLERRDKSPSVTINAQTVGIPTGTVAQQWEAKFSQLKRPSGVNYVWSGDMENQSEGFGTLGIALMAAIILVYLVMVALYDSFVYPFVVLFSVPLSLIGVLAILALTNNSLNVFTILGVIMLIGLVCKNAIMLVDFANHRKEAGESTFNALLQANHARLRPILMTTIAMVFGMIPIALAQGAAAELNNGLAWVIIGGLTSSLFLTLIFVPVVYSIFDSIIARFNKGGKVDYAKEMVADYEPLEMSEDGFTPKHNH", "MKKITILFLIIAGSLIFAQEQTISLAKKEKIKTFLKLTNVLEVANQVMDNMINSYQTYYKQVPAEYWNELKKETANTKDFEELLIPIYSKYYTEKELDDIIAFYKTSTGQKVIKTMPDMTKESMQAGQVWGMKLGQKVMKKINEKYPVQVETIREYPPSK", "MKYPIIITCFASLLTFGQTAKAKKAESLIRLEESEANFKKDLSASITKLKVADNRNLSPIYYQTLESGLNYQSMLKSLVPVYEKYLTNEELDARIKNHKSKLQKPLKDNHTFDTERQKAVIQWQTQAKLAAEKAVSK", "MKLLSSIFQTKMFLVTLILLIIYSFIIAPTGFNRTISWGEFDKLIYFIKTYFQIILIFYLLCYGTLTLIKRKTNEYISMIHTVITLISMLLLKQQAENVFGLFSVLSFVCFLINMVFSLKIVNS", "MFLIFDTETTGLPRNFNAPLTDFDNWPRMVQIAWQLHDEHGNLIENQDYIIKPEGYDIPFNAYRIHGISTEMAMREGRDLKEVLNEFKEVLKKTKVVAGHNVSFDYSIVGSEFLRKELEDNLKDKPIVDTMEFGTNVCQLPGGRGGRFKSPKLEELSEKLFGHKFDEAHNAAADVNATAQAFFEMMRLEVYPLDKIFFDDVQMKAFKDHNPDVFKPFDIVIRRQVADSKKRKKTVDFGDTDDIDIGNFFHFYSHSIYSTLQATSGLADLVSVAEKNNFPAVGLVDLGNMMGAFKFIDEVEKYNGNLKQKKQEAEAAEEKPDNYDEINSAKFLTPILGLELYISERYQQRQFTKDDPDRRTQVVLLAKNFEGYKNLAKLSSIGFKEGFYAGVPRISRALISQYKDNLIALTGGLSCEVPSTILELGEQRGEEVFQWWLNEFGDDFYVQIQNHQMDEEDYVNEILLKFADKHNVKILAQNETYYTRKSDAKIQDIVACIKDGEKLSTPVGKGFGKRKGLNSEQFYLKNSEEVKQAFQMYPDAFIAYDEFLNKFESYKLKRDVLLPKFDIPEEFQDAEDLNDGGKRGEMNFLRHLTYEGARKKYEEITDDIRERLDFELDIIAKTGYPGYFLIVQDFCNEAKNMGVSVGPGRGSAAGSAVAYCIGITNVDPIKYDLLFERFLNPERISMPDIDIDFDDEGRDRIIKWVIDKYGQNQVAQIITYSVLGGKSAIKDAGRVLDVSIPETNNIAKLIPNTPGMNIAKVMKSDLKKFKPEDLPLVDEIKGILNNPDDGRFNVLDSAYKMEGCIRNTGIHACGVIITPEDISNLVPVTIAAKDADILVSQFDNSVAESAGLLKMDFLGLRTLTIIKDAVKLIKNRHGIEIIPDDIPLDDAKTYQLFKEGRTIGIFQYESAGMQKYMRELKPTVFADLIAMNALYRPGPIKYIPNFINRKHGLEEIIYDLPETQEYLQETYGITVYQEQVMLLSQKLANFTKGEADTLRKAMGKKQKAVLDKMFPKFIEGGKKNNLDEEKLHKIWKDWEAFAEYAFNKSHSTCYAYIAYHTAYLKANYPAEYMASVMSNNINNTKQITLFMEDCQAMGVDVLGPSVNESEYEFAVNEKGQIRFGLGAIKGIGEGPSEAICKEREKSGRFTDIYSFFERIPSSQLNKRVVESLVFAGAFDELDSYHRAQYFDVDTAGRTNIERLLRYSSSFQDSKNSVENSLFADFADEVQIERPKINPAPEWQNMHKLNREKEAIGFYLSAHPLDEFYYQYKFIQGALSKKSVVSKKLDEELEKAPENEKADEQDDKDEVSTDIDFPADMLEVDGDEMIEEVVKKVDPRGKFNFLNLDEVDPYRDFVLQRDFSNLTPAEISKLKEKKFRSKGNDNSKEYMVSGLITEYVIRDGYESGTKIAFITLEDYTGSYSFRLNDKDYMRLREKLGEHRFVIFKIKFAPSKDFDRLYVNVTEVIDLKEAFDRYASQLSLVVPFNEVDQDDIEFFRRTFAHNKGVHKLSFYLKDPVENHFVELNSMQTSVDISAETIKLLHEYKKYELFLN", "MEVDFKKHLDKKLLLQAFEDMMKARAIANLYDEKKQICKYVHSTSRGHEAIQLATAYLLQKHDWVSPYYRDESMLLGMGYTPYQLMLQLLAKADDPFSGGRSYYSHPSNLSDDYPKMIHQSSATGMQAIPTTGIAQGIQYIEHFSLKSYPTPPVVVCSFGDNSITEGEVSEAFQFAALHQLPIIFLVQDNNWGISVTAEEARSQDAYDFIAGFKGIERMRIDGTEFPESYLAMKNAFDYVRTERKPILVCAKTVLIGHHTSGVRKEMYRPLDDLAKHEAHDPGKKLWKHLLESGITEDQLKQIEKDTEIQVREDFEKALAAEDPKPKDATKHIFAPTPVTEEKGVRNPENGQKIVMVDASIHAIEELMRLHPEALLYGQDVGGRIGGVFREAVTLESKFGTKRVFNTAIQEAYIIGSTIGMSALGLKPIVEVQFADYIYPGINQLVTEISKSCYLSEGKFPVNNIIRVPIGAYGGGGPYHSGSVESILANIKGIKIAYPSNAADFKGLFKAAFYDPNPVIMLEHKGLYWSKVPGTDEAKTIEPSEDYILPFGKATSTITAAEENISKGKSICIITYGMGIYWAKEAAKSFEGQVEVVDLRTLVPMDEEYVYEVVKKHGKCIVLTEEQIQNSFAEALSSRISRECFRYLDAPVTPVGALNVPAIPINIDSEKEILPNPEKLKKTIEYLLNW", "MKKLLLLLIPILYSAQNKNSSIKSDSSTSYKNIREVVLSSRSNPQALAILAKANKNFKQNSPKSQDSYSFTAYSKLSVDFDKDSIDNYQAYIAQRNDSLERLGDNPKFSKRKRKDSIEDASYKNMLTTSKMFLWERAMEYKYNKENGEHIDILDNKVSGLKRPLYDAIALRSNIGQIPDEIQKENWTLYRYYLADSILLNGRETYVIGFRKTNITFSRKRKYSGYIYIDKENYAVAKIEDHGKDKTDMEHISIWKLINKSWFLEKEYMKSRIGGITFKDHDKKKKFNSYLYIENNYFNFKVPSNNLTPEDFKGYTYSIKNTTGTELPKYRKDNFDQRDNNTYYKMDSLFKAKKVEFKLNFLAGITRGDFRFGIIDFPIDRFFDINRYENFRFGLGMKLNENFNPYVSPDAYVGYGVRDGKWKFRVGVDVRTTLERDAVLRLDYTDDVGASGRFRQNLWVGKMKIMNTGAGLQTLNYYRYRGFKLSYLDSPINSFMYKIEAAKYKEEALFNYLYKGEDKIYDNFSTVVTLKYSPNSKYIMTPTGKDMVEQGYPELYFNWEKGWTDLKYNRLDLLALYQQESILGQTGLRVYGGYVGGDFPFWKTFEGGGLAPEGKKSFMSRFNLTTYLGFATMPSGKYYQDKFGAFYISHRIPWHFKSFGQNTSSFDLVYKGIIGDFKNPEFHQIKFETLNKLYQEVGVEWNNFLSTQFNLGFFYRVGHYQTNNFTDNFAIQLKLKILGF", "MKIQFLFPLLLACSVGAQQNSETTSVHQSIQSETDKVFNKLVEIRRDLHENPELAGHEVRTQKVLEKYLINLGLEVQKDLYGRSLIGILQGGKKGKKIAWRADMDALPGNNADKVSFKSKNKDIWHGCGHDVHMAIGLGIAEVLSKYKKELKGTVYFIFQPEEETFVGAKKMIDNGLFSKISPDEIYGLHVTALPVGQIMTKPEEVFAYQKRVKIELKNTLSDKEVKELSKKISASLSRIENNSKPWEIQYITDPKMGLMNPNTIFKNYRIIDENFLSGSDDKSFSMKAYIYETNADKLKEIIPQIKQVLETNGYKDQLLSVSYIQENPTVFNDKSLTNLATETLERIYGKMVMVKDYGQVPYFNDDFAFFQQKKQGVYFLLGGSNFKKGIIAMNHTPDFEVDEESIRNGVKSFSSLIYERLK", "MKLKKLITALFIGLFFSLHAQQEDANKILEKSLSQAKKENKNVLLIFHASWCGWCKRMEKNLNSDAVKPLIDKNYITTYVSVQERGENIKKYENPNGQDLMNKYQGKEAGLPFWVMLDAKGNVLDNSFDKNKENIGCPASPEEVTEFKEKLQKTSSLTPSQLQTVYDNFVQKKS", "MNAYFNNKVIWITGASSGIGEALVKELAVKSNAKIILSSRREDQLYAIAQNAGLDKGRYVVIPVDLQNYTAMPTIAENAISKFGKIDILINNAGLSQRSLAMETSIEVDKRLMDIDFIGTVALTKAVVPYMIKNKGGQIVVVSSLMGLFGAPMRSGYAAAKHALHGFFEALRAELYNDKVLVTIVCPGFVKTNISINAVTGTGTAQNTMDDATANGIPVNIFAQKMLKAIAKQKYQAVIGGKETFGVYLKRFFPSLLVKIVRKAKVV", "MENDFHPDAIIIGSGLAGLVAAMEITNAGKKVLLLDQETEQNIGGQAFWSFGGLFLINSPQQRKMGIKDSFELARQDWMGTADFDREEDYWPRQWAEAYLKFAAHDKYEYISKMGIKLMFMVGWAERGDGNASGHGNSVPRFHVSWGTGTGVVKPFVEKAYEAQRAGLLRMNFRHQVTELTKENGSIAGVKGNILEMDDKERGVATNRTVTGQFEYKAQNIIIASGGIGANHELVRKNWPERLGRAPENMVCGVPAYVDGKMIGIAENAGANIINRDRMWHYTEGLQNWNPIWPKHGIRILPGPSSLWIDAKGKRLPAPFLPGFDTLGTLKYIQDTGYEYSWFILTQKIIKKEFALSGSEQNPDITNKDYRLFLNRIFGRKAPAPVEAFKEHGKDFIVSDHLEELVKKMNELSGDHLLDYNSIRQLIEERDRELDNKFSKDTQINYIRSTRKYLGDKLGRVAKPHKILAPENGPLIAVRLNILTRKTLGGIETNLNAQVLGNDGEVLKGLYAVGEAAGFGGGGMHGYRALEGTFLGGCIFSGMKAGKFIGGLQSQ", "MKISIVVAIFNRKDELFELLNSLSHQTCKDFEVIIVDDGSKIELQPTVDMFKEMLTIRYFKKENTGPGLSRNYGAHRAEGEWLVFLDSDVIVETDYIEQVCKNIDEIPTDAFGGADKAHKGFNLLQKAISYSMTSVFTTGGIRGNKKAVSKFQPRSFNMGVKKAVFLDVGGFSEMRIGEDPDLSMTLWEKGYQTAFFDHIGVYHKRRTDLGKFSKQVYQFGVARPILNQRHPAYTKLTFWFPSVFLVGYCMGIIHYFFEHNGIILSLYGLYTFLVFAHATLITRNISIGALAVVTTYVQMFSYGYGFLESWIKLNVFRKEPRDAFPKHFH", "MQTGDLEIQVKTFFGLENVLAEEIKKLGGKNVEVKNRAVNCVGDLGFLYKINYSLRTALKILVPVLTFKAFNESKYYDKLFKFPWDEYMDADQTFAIDATVYSERYSHSQFMTLKMKDAIVDYFVAKHRKRPSIDTKNPDIKFHLHIDRELVTISMDSSGAPLFKRGYRKEQTAAPINEVLAAGMLQLAGWDGKGNFLDPMCGSGTLLIEAGMVAMDLPAQIFRKEFGFMNWKNYDAELFEKIKEFRINRVKAFEGKIVGYDINEDALDVAWKNIKAAEMDDIITVKKKNFFDSEKDMFPLLMVFNPPYDERLSISDEEFYKKIGDTFKTHYPNTLAWMISADLEAVKKIGLRPSRKIKLFNGKLECRFLQYEMYEGTKKVHKITEA", "MGKRNTKSKQLVLDILESEPCALCHEEFQNRLQENVDRATIYRILNSFCEDGIVHRIISDEGKQYFALCKPCDGKDHNHFHFRCLKCKKVKCMTEEINVNLPGDYQIAGVNAFVSGYCPNCKEKEK", "MDWFESWFNTPYYHILYKDRDFVEAENFIDKLLAEIRLPQHSTIIDLACGHGRHSVYLNQKGYTVLGLDLSEASISFDKQFENDTLSFRVHDMRNPIQGEKVDAVMNLFTSFGYFDQDDDDEKVFESVAQALKSGGLFVLDFLNADYVEHTLVPESLIVKDNISFSIHKKIDNKRVIKDIRFSDNGQNFHYEEKVKLHSFEKLKSLAEKHQLSYVTRWGDYQLGLFSDNSPRCIILFQKK", "MVYLLLILSVLSGITLGFYFGRQQKFAKRLLILSAGFLLSMTVMEVFPAVFQTPSHNIGMWILGGVILQLILESLTKGFEHGHFHHHEEEKQIFPMALIAGMFIHAFIEGIPLSKMPNEVTPYLQGILVHNIPISFVMGAFLLNGSYNKKIAWTVIGLFALASPLGMLLGQYFNPEYQIYILAIVSGIFLHISSVIIFEGNKNHKLDLEKIALVLMGIGIAYVGHLSHHH", "MHRKIVLLMSLPAALFMHAQDVSEIRNAATVYGNNMTQGTAKYMGMAGAMGAIGGDVSAVSVNPAGVGVYITGDFQGTLGINSYKNTSTLNNYNLSYKKNNTNLNQLGGVLSFPLYGSNWKFVNIGVGYINQNLDDYVETPGDQSISRSVQRIRQGQQFTDSEMYDGHGYNRTGHLTKTNLTVGGNYNNKIYVGMGLNFHTADFDQGDSYRGVFASDKSSVVYDKQYSPYTESSSGFSISAGIIGKITNEFRLGASLESPTWWNIDRAYTQYSVSQDNGPITSVDIYNERRDFRSPAKATFSAALIPSKDFAFNVDYTIGLSKPKYTTASATNDQLNNFFSDSYKSLSEFKVGAEYRFEGLRLRAGYAFANNPFDKGSLLTTSGSKESFNNLYVGKRNTLGLGIGYDFRSFYIDAAYQNVKYDYNNPFLGGSYATLDKSLVSGGTNLDASIVSSVKNQQNNVFITLGYRF", "MKNKTIIGIGKLLKSNVLLGASALGLLTSCGAYMNGYSETDGAYYDPTRDTVPQYDTRTAGNQVGGYYSYGDDEDETYDTSIVRQSQYNQKKQQAKYQDWGKKKTDSDWGDFTGTQTYYTNNSYYGGWGYPYYGWGGWRSPFYGPYYGGVGFGWGASYGWGYPGWGWNIGFGWGGYYPGWGMGGFYDPFWGYPYYAYRPHYWGGYYRPWAGGGYYAPRYRNRDADYIDRSRNGFRNNGGYNNGSFRGNNGNGYQDRSNNGFRNSGFRDRSQNQMPQSQQGWNNRPSDSGFRSNNGFSTGGRGGGGFGGGSAGSSGGGGGFRSGGRR", "MAKLTSRAEDYSKWYNELVVKADLAENSGVRGCMVIKPYGYAIWEKMRDEMDRMFKETGHQNAYFPIFVPKSLFEAEEKNAEGFAKECAVVTHYRLKSDPNNPGKLIVDPEAKLEEELIVRPTSEAIIWNTYKNWIQSYRDLPILINQWANVVRWEMRTRLFLRTAEFLWQEGHTAHATKDEAIEETEKMLGVYADFAERFMAIPVVKGYKTESERFAGADETYCIEAMMQDGKALQAGTSHFLGQNFSKAFDVKFTNKEGKQDFPWATSWGTSTRLMGALIMTHSDDNGLVLPPSLAPIPVVIVPIHRTDEQLAQIGDVADDIIAKLKAKGIHVKYDDRNEYKPGWKFAEYELKGVPVRVAIGPKDLENQTVEVARRDTLTKEIVPIEGLDQYITDLLQTIQQDIFNKAKSFRDEHITRVDTYEEFKKVLEEKGGFISAHWDGTPEEEEQIKEETKATIRCIPLDAEEEDGVSLVTGKPSKRRVLFAKAY", "MKTSLAIVIMLLSNIIVFSQNLVSPQIKADFEGTWIYKKKHYSSTIIIKFEKAKDYANFIDVGTGEAPEEHFRAQIKNNKLVIPPYYHRNDSKIEMEVIKGKLYFRQQLVLWDKNNNPVEIKDAPVVVKIFQRVKK", "MSVNIIDLVKNYLSPGVVSQTATQLGESESGVSKAISAFLPVLVGGIADKAGSTPGLLDQLKGLASSGILSSLGSGSGSGNSVVSGIISSIFGDKIGGILSSVSSFAGIKESSAQSLLGLTSDATLGTIGKYAADNNLDETGFTNLLSGQKSWISSLLPAGLSLGALGLGGVFSGLGEKAEDVKEAVTEKIEDIKNVFTGNDEAPEVTRSGNTYTPPPAGNNGGGGSVWKWLLPLILLGLIGWFLWKQCKAKEASTTTVTTTESTASGTGSQDSATTNTTTTTKETTTIDVKGTSLQGFKGGMEESMVNFLNSGKYATTDDEALKTTWYNFDNVNFVIGKADKLEAGSEGQIQNIATILKAFPDAKIKIGGYTDKTGNEASNLKLSQDRANFIKAELTKLGVGGQILEAKGYGSEHATVAATASNEERAVDRKMAVRFAK", "MTDKSLHEIHETIDTKKLTGWRRILSFFGPALLVSIGYMDPGNWATDLEAGSRFGYKLLFVLLLSNLMALVLQSLSARLGIVKKKDLAQINKAIYPRNLNFVLYILAEIAIIATDLAEVLGMALGLKLLFGIDLIWGVLITFIDTLLILYLQKLGVRKIESFILGLIFIIAGAFVFQLILSQPDIASITGGLVPKKLSTEELYISIGIIGATVMPHNLYLHSSLVQSRKINDDEKSIKESLKYNFWDSAISLNFAFFVNAAILILAASTFHNSGNQELSSITDAYKMLAPVLNNNFAPIAFAIALIAAGQSSTVTGTLAGQIVMEGYLNIKINPFIRQLITRLLAIIPSILVIIIYGDDKSESLLVFSQVILSLQLSFAIIPLIFSVSSEKIMKNFRINLPLRITSWGIAILICGLNLFWLVSYTFEGFSNLSFGIKLLHILGIVAFITLLFYTCYYPLKKEKSA", "MDENKEIQAEETTPNENIENNDTTSTENLSATPSAEDQLAEEKDRYIRLYAEFENYKKRTNKEKMDFFKYANQDLMVSMLAVLDDFERAIKEISKNGNPDDLKGIELIYQKFKGKLTEKGLVTIEVQAGDSFDVDKHEAITQIPAPSDDLKGKIVDVIETGYMLHDKVIRFAKVVVGA", "MAKRDYYEVLGISKSASADEIKKAYRKMAIKFHPDKNPGDKEAEENFKEAAEAYEVLSDDNKRARYDQYGHAGVGGAAGGGFGGGMNMDDIFSQFGDIFGGHFGGFGGFGGGGRQQVKGSNLRVRIKLNLDEMVNGTTKTIKVKKMKLAPGVTSKTCPTCQGSGVQMKVMNTMFGQMQTQTTCGTCNGLGKVADKIPSGANEHGLIKDDEEISINIPAGARDGIQLNVRGKGNDAPFGGTPGDLLVVIEEEEDNTIKREGDNLHQELYISFAEAALGTSKEIPTVGGKVKVKIDAGTQSGKILRLANKGLPSIDSYGKGDMFVHINVWTPQKLSKEQKEFFENQMESDEMIPKPTGKEKTFFEKVKDLFN", "MMLPQVLKNTSYLNYRNDDVLSYFSCLYNLPAEEIEELFQETKKYIAICTQPGIYINDDILIIEEMWNSFIVFTSAYTEFCQRFFNRFVHHTPLQKRDETAYINSQIICKEVKKDESTRKKELLMNTVYVLCGEKTVSKWFKEYPEKYTKEYIRAIQR", "MNTSSTFSSENFRIICDELANNDNHLKEIVDVYGYPPMWTRDNSFETFVHIILEQQVSLASALATLNKLKEKLIDISPEKILTLTDEEMKACFVSRQKSTYIRGLAQALINEEVRLLELEKITNEEIREQLIKLKGIGNWTIDVYLMFVLQRTDLFPIGDLAAVNALKRLKKLESSVTREEIISISENWKPYRTIATMMLWHYYLSTAKNK", "MEDNQKLVYKDMPSPLGVIRLVASDIGLAAILWEGEGYKRTKLSAPERDDQNPILLKTEQQLTEYFAKARTVFDIPLDFSGTEFQRKVWKALLDVPFGATTTYGELAKILGDTKAVRAVGGALNKNPISIIVPCHRIVGTSGNMVGFAGGIANKTILLNLENKYTIPSLFD", "MKRLLHKTIITSLTSVSAIYFAQDSLQKAKTLNEVVLLGTRSSSRTIVNSPVPVDIIELSKTLKEVPQNSVSSTLNFIVPSFTSTSHTVNDGTDFVDPAQIRGLGPDQVLVLLNGKRRHQSSLVNVTLTPGRGSVGTDLNAIPAFALERIEVLRDGASAQYGSDAIAGVINLELKKRLGFSGQVYLGGYASSVANNHTGGVDGENIGLDLNYGTRIGKKGFINFTSSLQYRNPYSRAGEQQADLFNGYNAISYRAAQDNINIDGLYKNINNTPNTNQIINYIHQYSNKVDYFSAGEKQAIQNAGSISALQQLLSKDYTTQELAYRGLERSDFNMRIGQSRLKSAQLYFNTEIPISDQWKVFSFGGYSLRNGNAGGFYRFPNNPRAVTSLYPNGFLPQIESTIYDYSLAAGVKGKWNDWNINLSNTFGQNNFKFGVTNTLNASLLQDSPTYFEAGSLGFLQNTLNLDFQKKFDVLKGLNLAFGGEYRHEKYSITPGKANSYQQYDIFGNPVTEKTATKDIPTDFFGNARPGGAQVFPGYRPENEVSKGRNSVAAYLDAELEATDWLFLEGALRYENYTDFGSTFNYKLASNIRISPRFNWRLAGSTGFRAPSLAQLYYSSTSTLINGGKTTQVGTFRNDSDAARQLGIPQLKQETSKSVSTGFNWKIPSINLLFTVDAYFTRIDNRVVLTDLFTRPQGNFADGTPERNLQQIFDSVGAEAANFFANAIDTETKGIDITVSQRSRFAPGISLENNLGINLNQTRKVGAIKASEQLQSQINSYFSEENRIYFEEAVPRFKAILGHNLKVNKFNFYIRNAYFGKVTDANIVDANLDGVTEPNEHVILSGKLVTDLSAGYQFNKNVLLTVGANNIFNVQPTQNPNINSLTAGNQFLTSRQVSQFGIGGRYLFARINFDF", "MKKLNYPLALSIVLVTLSANVKAQDKNFDNHSIAITIPEVAIVDIEPAASKNLTLAFTAPTEAGLPLNAPSPNSTLWLNYSSIKSQTDPTRNVTVKLNALVPGVDIKVTAAAATGSGGGTRGTPSAQLILSAADQTIISGIGSAYTGDGANNGHNLTYALNYGSTVGSVASYSDLEATATASAIVTYTISDN", "MLKRILYIVAFVMQLGFLHASIVVLNGLTHNYKVENGQIYKGKIAIENTGIASQNVKIYLQDFSYKSDGSMNYTAPLTNIKTNADWIKLNTNLITLRGKEKTEVYYEIIVPEKITQPGSYWSVLIVEPVDDIKPSDKKMGINITSVVRYAIQIITDYGTENIKPDLKFESIKMEHLENKRLLKIAIANMGNIYCKPTVSAELYNSKSGQKISTLTGIPMGLLPTTSKSFYIDISKIPPDKYNAVIIATDENENAFALNTELEIRND", "MTKNLISYLILLFPALIYSQLQPQQSISQNDSLVPGTATSVSFIIENNTTEDKIYSLQVNTSEKHITPIITQNELKVPAHNKSLYIVPIRIATETPQGPYTLSVKGTEKNSENRFTKSVTITITSTRNISLSTLNTPEFVRAGENITATFLLKNNGNTTENIKLESSHNSFIENDSSFSLASGESRVVSIIKKTDPALGRNEYQNLSLSALAAGYSKEKLTAYTSTRIIAIKPIEEDVYHRFPMSAAVSFIGMRNRGIYENGFQGELHGKGSLSKENKDMLEFRAVSPNPVEFSAFTRYEEYYINYKNQNFFVHLGDKTFSSSFLTEFARYGRGAELRYDFKKVSLGGFYNHPRFFRDIKEEFNIYSQFRFGKQTEITAGYLYKIPQTEINNNYYSSSTYLSSKAHLPYLVAKFKPFKNIAIQAETAYSSTNKTTGTAYTLQAQVSYEKISGNLLYMKASPHFSGYFNNTNSLNGNIQYRITKRLNVSASYIQDAKNFQRDTLLLASPYRKFFQYGINFRYMKSGNIMFYNGFQSYEDRLKDKQFNYNEKFFKVSINQQIGIFNLNMEGQFGRTDNYLTGFTGSSNFYLANINFEKFKTTFNLFGSYGTTSRYLEKNQKQIYYGARISNRLSQSNSLSLFYQNNYIPEEYYKDRNLFELIFHQQISRNHGLDISGRYALQRGELGDKDFIFSLRYTLRMNIPVQKTAEYTSLTGNINNMGVKKTDGIRLLLGNHLSVTDINGNFTFKNIVPGDYYLEIDRSTTEMNDIPNVRFPAQVSLVNKDNIFNFGLTRAANIKGHIRLNEQSNMSQQENTPLLSSQEKKKKESIIIEASNGDQTYRKICSIDEDFDFTYLRPGEWTIKLYRNGLDKRYKIATDNFRFTLNTAENKIINIYIVKQQTEIKFQKEPVKVEYNSSIKTR", "MKKLVFHILTIILTHTSCSIFSQVSTNKWVSVNLPIVTLLDIEPAGGIALSFIAPTEAGRPLTNPTANTTKWINYTSAIATGGVARKVTASINGPVDGVDIRLQAGAATGLGGGTLGTPTGQIILSTTPQTIISGIGGAYTGNGTNNGHQLTISLTTNIYANLQAQSNTTIVITYTITE", "MKISISTKYLYSLILITIGCFYNAQSIIANGTDWTVSPTITEAGTNYAGTYETSLVSPFQMSLTVTVPALLSSASISARYIPDPTWHNSLGIAVKRLNNGTSLCVLCSVAGGTDYISLAQADVPFFSVHTLLALTSFTDIGLQLRLTGVSVTVPAAAYKAKIQFTIAAP", "MVFLIQKSQNKDSKAIQLKLNELIAASKDASNRMVDIEDLTEKELDQLHQYFVALAKMTKNEIDIHQSHSIDAANKNHIKKQH", "MGSFRGALVIGAVILIPLFLIQRIAHPKIRVDIDSEKITVKQKGKTDLHILLNSIGKIDMNISVINRINMYNKQGQLITFFHDTNNSETAKLIFNEIERNLNFDCTSGSKNFFNTTIETKVCLEK", "MKNLLKVTGILLLTVFTIISFSSCSRSDDPADNDFFAGTYRGNVSYRNNSNSTTINNTNGSVFVTKIASGTRYNFSFSDKIPDLNGVEFEKKGDNTLVSIGANDSSYIRIDSNKLRIFYTKDGQTWTADCNR", "MLLTKEAFGKDFIWGVSTAAYQIEGAHNLDGKGPSVWDTFVQKRNKIFRHHTGDIACDHYNRYIDDLYLMHSMNIRNYRFSISWSRILPEGTGLINQAGIDFYNKLIDLSLELGITPWVTLYHWDLPHSLEIKGGWTNRDVKDWFGDYVAICVKSFGDRVKNWMVLNEPTVFSAAGYFFGVHAPGRKSIEGFLAAAHHAALAQAHGARVIKTLQPESNVGTTFSCSHVEAYTNREKDIKAAKKADLLLNRLFIEPLLGMGYPVNEIKTLRRIEKYIKQNDERDLKFDMDFIGIQNYTREIIRYAMFVPFLHAKIVNAKDRNVEMTAMEWEVYPESIYHILKKFQAYENIPPLIITESGAAFSDTLQNNMVHDPKRLQYIQNILQQVLRAKQEGVNVNGYFVWTFLDNFEWAEGYHPRFGLVYVDFQTQQRTVKSSGHWYADFIK", "MLPDTLLKQIDFIKEIDKLKYIQRRTKLFNSDRNENDAEHSWHLAMMAIVLAEHANEPVDILKVVKMVLIHDIVEIDAGDTFIYDAQKNHSNTDEERLAAQRIFGILPNEQAKELIAIWEEFEAGETPEAKFARAMDRLEPLLQNTSNNGGTWNTPGVNYTKVYAKKIAIKDGAENIWEFAEGLINDSVEKGILKKE", "MCNNENVKIVLKRFLGLNINLVLQFELTVKDLLSLYDYNLHFNYICFRIHCSNK", "MSTLFIKNMVCDRCTNVVQNELEKLGLEVKYIKLGEVTLANELTSDEKYAVENSLISFGFQIIDDKKSRIIEKIKNTIIDLVHHQNNNIKNNLSDILSDTLHHDYNYLSNLFSEVEGTTIEKYFIAQKIEKVKELLVYDELTLSEIALRLNYSSISYLSNQFRKVTGLTPSHFKQIREDKRKPLDKV", "MTTNNSNKGIIYLPLEDVESEHCALIVEKGLEQVKGTENHKVELNNRRAVITVNNNEVIADAVKAVKDLGYGVTTTKATYPVLGMTCASCAGSAENIVKNESGVVNASVNFATGNLSVEYLPNMTNTIQLQKAVLSGGYDLLIEDESTQHETLEAIHNRKFKLLKKKTLWAVLLSIPVVIIGMFFMEIPYANPIMWLFSTPVVLWLGKDFFVNAWKQAKHKSANMDTLVALSTGIAYIFSVFNMLFADFWHQRGLHAHVYFEAASVIIAFILLGKLLEEKAKGNTSSAIKKLMGLQPKNVIVIQEDGTERQMAIEEVEVGNIIMVKPGEKIAVDGIVTSGNSYLDESMLSGEPIPVLKKENEKVFAGTINQKGSFQFKAVKVGKETMLAQIIKMVQDAQGSKAPVQKLVDKIAGIFVPTVISIAILTFILWLVWGGQNAVVQGLLAAITVLVIACPCALGLATPTAIMVGVGKGAENGILIKDAESLELAKKINTVVLDKTGTITEGKPQVTGIKWYNNDDTAKNILLSIEKQSEHPLADAVVKHLNEAVTTPLSMFESITGKGAKADHNNETYLVGNKKFLTENNIIITKDLLKQADEWSKQSKTVIWFSNSKLALSVLAISDKIKETSVQAIKEMQDRGIELYMLTGDNEATARSIAEQTGIKHYKAEVMPQDKANFVKELQQEGKIVAMVGDGINDSTALATADVSIAMGKGSDIAMDVAKMTIISSDLTKIPQAIKLSRQTVATIKQNLFWAFIYNLIGLPIAAGILYPVNGFLLNPMIAGAAMALSSVSVVSNSLRLKWKK", "MKKLELSIPGMQSAHCQETVNNAIKTINGIEVQHMEAGKLSVSIESAEIKELVNAIEKAGYEVSNYDSQNFRAIESDDYAS", "MVLLIKGMVCFRCIYVLDHEISNLGYEILNIRLGRVVINSESNVTPDLMVIKSMLNKHGFELLYDKNEKIVEEIKIIVEDGIQQQFNQGIPVKFSLLISSILHKDYDSLSSLFSSLQGLTLEKYIIHRKIEKVKELLVYTNQSLSDIAYAMGYSSPSHLSNQLKKYTGFTSSYYKQIRRDKMSLM", "MMFYKYHTQKLGVLIPLLSVLLTACENKSPKGSATPVAGKLPVDIIIAQEKALEQQEIFVGSIMPYQEVAIVSETAQKITKVAFKDGSYVSQGAVLYTLNDADIRSRLRQVQAELQLARLNKDRMTNLLKTETVKQQEYDEALMRLNSLEAQQDYLRTELAKTVIRAPFSGKIGISKVHLGAYVPPGTPLVNLQDQNSVKINFTLPERYLSLIATGISVKFSTGLSEEQYNANISATEPGLDTQGRSLEIQAITSNHGGKFRPGQSVKVYFNTEQKGATGIMIPTEALMPGEKGYNAFIIKGGIAKPVPVSISNRTETEAIITSGIKSGDSIIVSNMLRLADGTPVQAVVKK", "MSISSLSIKKPVLAGVFSLLIVILGIVGWKQLGIREFPLTEPPVISVITFYPGASPDVIASKITRPMEESIAEASGIRTISSESREQVSVISIEFNREMDIENALNDVRDKVSKAKKQLPADVDPPIVQKASSADNLVAFLEVESDTKDIKEVSHIASTVIKDRVQSIPGINNVAIVGEHKYAMRLRFDPVKLAAYKLTPEDIRQALLRENVDLPSGRIDGNTSELSLRTLGRLTTVTDFEEMLIKQTGNSVIRLKDVGSAELGEMNERTAIINETGNLNRVGVGVAIQIQRGANAIEVVDEFYKRLEQLRKDIPSEYRLIVGFDFTQSVRESIKEVEETLFIAFGLVVIIIFLFLRDWRSTIIPVIAIPVSILSAFFIMYIAGFSINVLTLLGLVLAIGLVVDDAIVVLENIYKKIEEGMPPIQAAFKGSKEIYFAVISTTITLAAVFLPIVFMGGISGQLFKEFAIVVSGSVLISAFVALTLTPMLSAYFLKKKEGPGWFHRVTEPFFVRMNNGYARLLTTFMRFRWMAWIFLIITTVLIYFVGKKLPSELAPIEDRSNMNLIAVAPEGVSFDYMKKHMMEVGKYVNDSTDGLYQTYSMVAISFIPAPAPVNVAVQSIYLKDPKERKASIQDLYNQYGAASANFRGFLLFPYLPPTIGTRYGGGMPVQFVLQAQDLNTLTTALPKFLNAVRQSKKLMFADSDLKINKPEIKINIDRQKAALMGVSIEEVARTLQLSLSGLRYAYFLRNDRQYEVIGQMNRDLRNDITDLNSIYVRSNIGQLIPLNNLITTEEAVSPAAIYRYDQYTSATVSAAPAPGVSLAEGIEEIERIKKDVLGENFKTSLAGQSRDYRESQGNITFTLILALVIIYMILAAQFESLRDPLTIMLTVPMAVTGAILSLHWFGQSLNVFSQIGIITLVGLITKNGILIVEFANHLKDTGLSKYDAAIQAAEQRFRPILMTSLAMIFGALPIALTANSRQSLGIVIAGGLVFSGILTLFIIPAVYSYLSSNKRRKEVIEMDDHEIATNHE", "MKKASHILIITLLLLVGSRPLFAQKKELSIQEALTMARQGNKTLQIQILEEKRATEQIRESKGRLLPDISAGVVYSYYFDRQNIFLPGSFAGTNKAVQEVAVGGRNAFNGFVSLYQPVMDLGLHRLTEASRINEKIQTEKTEDLKSRIALGVSTRYLGILMMKRQLTLLEQSYERNLRALKDSRALLAQGKGLKADTLRSYIAVENIRSSVSYLKNNIEVSGMELKRLIGLEDTRELELTDQLESEIKTDRTDFLNVSEALEIAEINRNDLSVQELIIAMQQQKLYTIKAELLPKIALIGQYQIQAQADNLKFNNYAWPRTSFLGLQISVPIFNGGQSKSRINQARILTQQEQVRLNDLKDEIKTTLASIISKWKEATSQLEIQVTTVQSAELNHQMTEDRFKNGLGSRLELTDAELALTQAKINYLQAVYNLRILHTELQHALGVLEL", "MKNTSSIEQSTPYNKRWSALFLLCTAEFLVIMDTSIIGVALPAIKADLGYTQTGLQWIFNAYVILFGGFLLLGGRLSDLFGARKIFMWGFAILTSASLLAGVAWSESTLNLGRALQGLGSAFIAPAALTLVLSKFTDPKELNKALGFWGASAAAGGSAGVFLGGAITEWLSWHWIFLINIPVGLIVLMRSRSLLFTGDTRKGKVDMTGAILATAALILMVYAIVSSESEGWGSVHTIGLLVLSLALLFAFYVLQKQKSEPLMPLSIFKVPNLSAGNIVMALLAAAWIPLWFFLNLYLQQTLHYSAFNSGLALLPMTLAIMFLMVGVTGKLVAKFGFKSNIIVGLFILAISLIMFSKLPSDGTFITHVLPASLLGAIGMSLTYIPATVASMSGAKPEETGLASGLVNTSYQVGSALGLAIIVAISAAKTNALKMAGEAETAALNSGFQTAFFSAGVICIIAAIIAAVHIRSMK", "MEDKNFQFKTNLNCTSCVAKVESELNNTDGISEWSVDVANSNKTLTVKAEGISEEEVINIIKKKGFHAEPLSIKA", "MKAKINWSNVYILFFSQALYQTSSTLVITLSGIVGMQMAPDKNLATLPLAMTTIGAAIMMIPASLIMKKIGQRKAFMAGTIIGALSGIVSWYGIIQQSFWIFSVGNMLLGAYQGFTQYYRFAAADSVPDEAKSKAISWVIGGGIVAAFTGPNLARFTQDIGTVPYAFSYLSTILLGMLALGVVSLLKLQHIPASLISSQKQTGRPLKDIINNKNTILALFSSATAFTVMGMSMTATPIAMRDFGHSPDNTAMVIQWHVLGMFLPSFFTGALIQKFGVHRIILAGILILFIYLFIAFSGTDFINFITGLFIVGLGWNFLFIGGTSILAKVYKPEEKEKTQAFHDFTVFTVISISSFFAGALFNHWGWTGLNLVLLPLLIITLIITIRVMNHNNNQ", "MNTYHHTNKPEVTIKSEPKITEAGKLSFFLISVTENDKNIPLEVVHTMKMHLLLVNEELTWFDHIHPEEQTDGTYFVSETFPSAGKYLLFIDYKPIGGEATVETHTVEVQGKQLPGSPELQTKLVAAIDGYLVTLVNRNDLKTNRKQSLQFSVEKNGTRLQEKDMQPYLGATAHIVMINKADKDFLHIHPKSDYRFPIYAETYIEKAGLYRMWVQFKIDGEVRTADFTVVVSEGAKTEESLNNHSGHH", "MLNTQSPTAAFADTKPHYNILDGLRGVAAITVVCFHIFEAFATSHLDQRINHGYLAVDFFFILSGFVMGYAYSDRWGTMTIKDFIIRRIIRLHPMVVMGALIGGILFYTQVCAVWDVSKVSVIALLGATLLNAFLIPAPPGTEVRGLGEMFPLNGPSWSLFFEYIGSILYALIIRRFSTKALLALVIAVGLALGAFAIFGPYGDICAGFSLTGTEFTAGFLRFMFSFSAGLLLFRVFKPLKIKGAFCICSLILITLLAIPRIGGAEHLWMNGLYDTVCCVIFFPLLVWFGASEKTTNKFTNRICKFLGDLSYPLYMVHYPFIYLYYAWVKNGKLTFEQSFPGAAAVVLGSIILAYLCLKFYDIPVRKYLTAVFLKPKSSIKS", "MMKFSNFKTTFSKGVTVPSLIFIITVCVLSAVFPKLTEDLLNNVKEFIFVKLNWVYVWSVTIFVLFLIYLMFSKYGNIRLGRNDSKPKYSFFSWISMLFAAGMGIGLMYFSVAEPMQHYSNDVFTGNNHINRAKNAQLYTFFHWGIHAWAIYGVVGLALSYFAYRYRLPLSLRSCFYPLLKDKINGRWGNAIDVFALCSTFFGITTTLGFGVVQINSGLQTLHIVPENSFVYQVIIVSVLVTLSIISAVTGVDKGVKLLSNINVISVIVLLVFVLALGPTVYLIGSFTEGLGNYINNFFNLTFGTHVYEEETLPWFYNWTILYWAWWISWSPYVGLFIAKISKGRTIREFIAAVLILPTLFNFIWMSVFGNSAIWIDLHAAGGALSQLAGDPDALMFRFLEYMPFSSIVSFFVILIILIFFVTSADSGMFVMNSIATKNAKISPKWQTIFWGVLLAVLALLLLNAGGLKALQSMTLITALPFSIIIILFIVSLMKALIIDKNYYERDFSASTAPWSGEYWKERLKQIISLDDRQSIDHFINTTVKTAFTELQAEFAENGTEAKINTYENPTRIEIEIQYDLVNNFLYGVRNQSRTVSAYLLNEDNLPDADDNKAHFPGSYFGDAREGYDVRLFTKNELISDVLKHYERFIEIISEERNEMFISSNANKRMK", "MENQENESYSGTEVKHPKQEFQVERLAFFSDAIFAIAITLLIIEFKVPHVTRESTYDQVLGELSELKYNFLALLFSFGLISMFWIRHHFLFKHIHNYNGPVIRLNMLIMLTIIFFPFTTTFYADSVENLAVFTLAFRLFALNNILAALSIFALSYYVFVAHTELSYSIPEHYKRKFFSDNLFLASMFILLLILTFFTDSAKLLGGIACVTILVKNILERILKISHVKYS", "MRVFKYRSNYGRDLITLTCNQLFASKYEDLNDPFESMQFMDPIYDESFIDSLPYLTNKAELKAAYAEVVRLLKTQGVYSLSKDVDNEILWALYSDSHRGFAIEYETDILLKDFNFDPNIPCAFMFDIEYTNTSRVPKIIQQALNGKLNIQSIIGNKSTAWEKENELRITFEDWGLLTYNHTAVKSIIFGAKARKEDIKNTMNLLKGRGLKYKQIEISSKKYQLKIKAIEDLYPNSPQYYQNKAFFDKGLLLKQNLKEYYKYKKQIERIALKIVELPNIIEIQEIVLTGDSSEPMLQISCKNDLRKLTTRNFRFKYIKRKGFIEIA", "LNTKEYISSGIIESYILGLASPEEASILECVMKNNAEVKAAFEEAQKTMEDLATAQAMTPPEDLKSKTWSKIQKEQITEEKTSDVSKPSMNLRIEEKREIKGTEKNTNWKSFTVAASVLFLVSIAGNLYWLNRQSEAKKEIVKLETANQAQNLALQKMNEKWQTLSGSNMQIIALKGVEKHPEAKATVFWDQKTKEVYLNAESLPKAPKGMQYQLWAIADGKPVNAGMYTEEKDSKIALSKIPKAQAFAITLEKENGSEVPTMENMYVMGGI", "LYDNYSGALYGVIFRIVQSKEYTEEIIQDVFVKIWNSVHQYDQQKGRFYTWMINIARNTAIDYLKSKGFQNELKNQSIPDFVYNSTALSTSNNTSDFIGFNNVLESLETDKQELINMAYYQGYTQNEISEQLKIPLGTVKTKMRNALMKLRDLLKDYQ", "MNTILKTLTINLIILVSIQVSAQSEKFKIQNPYYSHTANNSLNVTNTEWKKILNTELYKVAREGATETAFTGKYYEFDEKGTYYCAVCGNPLFLSTSKFATTCGWPSFYQPIHKNSVKYRKDTSYNMVRTEVLCGRCGSHLGHIFDDGPEPTGKRFCMNSVCLDFVPNKK", "MQTKSKIAVLGMIILSFAYSGQLTAQVMKEKTVMVGGAPMYPSKNIIENAVNSKDHKTLVAAVKAAGLVETLQGAGPFTVLAPTDEAFAMLPKGTVENLLKPENKEMLTKILTYHVLSGKYNAKQIWAAVKAGNGTSMMKTVEGEGVTFWTKGKDLYIKDAKGNNAKVTIADVNQSNGVIHVIDKVLMP", "MKKTIHISNQGATLDTSRRNFLKLGGIGIAMAGLTMVGCNDGDGFNFMDDTVFDLGQGDVGVLNYAYALEQLEADFYTKVVNNFYTGISSIEKEIFTDLYHHEVIHRDFFKAAISGATSHVLPKLEFQYPNVNFNDRNSVLATAKALEDTGVAAYNAAGKYITNPAYLVIAGKIVSVEARHASAIRNLINPGSADFSGDDVIDANGLDVAKEPKDIVMAAGGFIKTRFTWKERGIS", "MNILKLLDMLSDDKFFTAGSSRSEAITNIYTFGKKAAIAAVPLGLGSMMTTKAETVKQSSMAVSMAQNDLTDALQLALVLEYLENEYYNIGLSAPGLIPAKDRTVFMQISKHESAHVSFLKNTLTSLGTTPGNKPTFDFTAKGKFSPFTDYSQFLVLAQAFEDTGVRAYKGQAGNVMSNKTVLQAALQIHSVEARHASQVRRMRANKGWIELADGGNMPAATNPVYAGEDNTNQAGYNTSTTFGAAAGSASYDEILTGDDAKAIASLFIV", "MQYQLWAIADGKPVNAGMYTEEKDSKIAISKIPKAQVFAITLEKENRSEIPTMENMFVMGGT", "MIDQFREGNELYVWRLDRLGKNLKHIIDLVLSLNGKCIIIKSLTNGVDTSTINEWLFLNLIVSLAEYERELIKKGTNTGLQSARARGRTGGRPKRYTKEAISILLIMSSVYQDPTKSP", "MTNKDKIEDNSSFEEFRNNLKGGKSVKKIVSFLAPFSSRAKEILDAFENFDEIEKQFDEISKSPDQFNNYFSELGWIAHESGNHDLIMECINLAESNNIKAAEEKLADYYTSEEIQWLVTSTYGVPEFRKRNNLINAAFEDTKAQRFHSAIPLLLMIIDGTVNDISKSKGFFAENTDLTAWDSIAAHSTGLSKLRDILNVTRKFTTTEEIFFPYRNGILHGRDISYANKYVAGKAWLTLFAINDWAKALQKNKKKPPKEIKKLTLKEALSDLKEATNKYKLQKEKRVETDKFMNHWKSRKPIVNIDFPAQGKEEEYTEFTPERDAVNFLTSWQKNNYGQMAKQICLFSDEFNMGKEAGRVRKVFDQKNLKTFEILSVEHQAPAIAEVKVKVIVLFNEIEYTKEIMLRMLYQNEQRENMVYGQSGGVWRYMDSFFFHKIEMLDWDC", "MNYTFIRPSYSNHNNINISMKMYFIPIYTGLVEKNYENLKTYFLHQPHHFLPLTSLRNEILQNLQLELFQTAIFGTNHFLERMVKLALIEKHTLGLDYSNHETYNQKTLEAIDLYDSLTLFKSLDSAEEQNLISESEKATLTILRQKIRNPFSHAEIKKILMDAPVNFTGFMFNINDVKESIIKGRSIRLGEKKEITTLSSTISQLYQQKYSEQMALDYFVTVYEIMINIDKKLLDLPK", "MKKLTNYLLTAAIAGVFFSCSENTTERDSEGLKAQLNATVINKASLASVGISNWMSALQDNVSLSQISVPGTHDSGATVEFPSGTAKTQNLTIAEQLSIGVRFLDIRCRHIDDSFAIHHGPVYQKLNFDDVLNAVYAFLDSHPTETVIMSVKEEYNASNTTRSFEKTFDAYVQKNPSKWDLGNNIPKLGDIRGKIRLLRRFPADSKPKGIDATNWADNTTFEISNDSPVKVKVQDNYKVDNNDTKWSQIQSVLTEAKADTSGKLFINFTSGYKPLIFGIPSIPTVSNAINPKLKTFFQSSTQGSYGILPIDFISAELAEPIVKTNFSNNP", "MKKRKTQFIPKQTGFGILTGVMLLLAVFSCRSNDTDNKVTAGGTANVSINLQGEAFDDTADLGGQASLTQGVSVSTSSVQRKEIPFNNDFTLVAELSPVSNFSGKQAQAALGGNLLAATGPTPLGTGIRYKVVVFRSTGEYVTERNYIRGQESSTAALNLDGGSNYTFIVYSVKSQTDLPDVTYTNTSNKTLSTASMSGLANTVDFMYYRKDMQVSGNQTNYLDVILRHRLSQITTTIDASATGYNITAVTANIDSHYPTYNVPLSTGTPAQTGTAGTAAVTFPTLGTQVVVANPVMLNGNTTTGKFTLSTITIGPLTQTVSSAALTGLKITPGIMYNLKFTLSPADVYLDNYNGQKAVRINGKVWMLYNLGDNRTDPNTGPFDQSNFYQFGRSTVVATGSTQTAEISGWNTTIAADNAWNSGTEAAPVKTGNDPCPQNYRIPTRTELQSLLDGTTKTEIGTFNDSPTNFSAAKVYTSNRNSSVKLTFPAIGARNAAAGRVNNRGISPHVWTSTASDASNAYANGRNAIVIHPKNTGNPIRCIAI", "MKFYHFKKALLVAVCLLFSWSKAQTPLYKDPKQPVEVRVQDLLKRMTPEEKFWQCFMIPGDLDNVPKSQYHHGIFGLQVSAGSQDGAVAGQMLKYNAKEDAEKLARKINAIQKYFVEESRLGIPIIPFDEALHGLMREGATAFSQSIGLSATFNPALMKEISSAIARESKLRGIRQILTPVVNLANDVRWGRTEETYGEDPFLTSVMGVSFVSSFENQGIITTPKHFLANVGEGGRDSYPIHWSKRYLEETHLIPFYNAFTKGKSRSVMTSYNLLDGRPSTSNHWLLTDKLKKEWNFKGFVISDASAVGGANVLHFTAKDYSDASAQAINAGLDVIFQTEYNHYKLFISPFLDGRISKERIDDAVSRVLRAKFELGLFEKPYTSEKEITELKKINNKPLAEKAAIESFVLLQNNNTLPINDNYKKILVVGTDATDARLGGYSGPGNKKVNILDGIKNFVKNKNIEVSYAKGIDWNLKTFNRVPSEYLSFKGEKGLRGLYFSNSNLTGKPVIDKQDERIGFKWTLYSPEPEKLQPDNYSIKWEGKLEAPTSGKYKLGLRGNDGFRLYLNGKLLIDRWEKLSYSTQTTDIDFVQGQKYDIAIEFHENRGEANIELIWNYGLNNYEKDFNEALRLAQNSDYIIITAGIHEGEFQDRSSLSLPGNQEAFIHEVAKLNKPVAVVLVGGSAIKTTNWKDKVGAIIDIWYPGEEGGNAVAKVLFGAENPSGKLPVTFPIEEGQLPLSYNHHPTGRGNDYHDLSGEPLYPFGYGLSYTSFEISDLQLDKNKYSENDIIKAQVSVKNTGAKEGSEVVQLYIKDLLASVSRPVIELKGFQKVHLKPGESKNITIELPVKELKFLDEKMNWIVEKGTYRIMIGNSSKNLPLKQNIEIE", "MLIKQKTKTLFLSAIFISTNFFSQAHNVSAGYEKPKDPLVVNNLEEWQDLKFGLFMHWGTYSQWGIVESWSLCPEDESWTQRNPEHGKSYYEYVKNYENLQTTFNPVQFNPQKWADAAKKAGMKYVVFTTKHHDGFAMFDTKESDYKITSSKTPFSKNPKADVTKEIFNSFRKDGFKIGAYFSKPDWHTDNYWWSYFPPKDRNVNYDPKKYPEKWASFKKYTFNQLNEITSNYGKIDILWLDGGWVRPFKTIDPTVEWQKTIKVEQDIDMDRIGEMARRNQPGIIIVDRTVPGKWENYVTPEQAIPEHNLSIPWESCITMGNSFSYVPNDNYKSSQKIVETLIKIISRGGNYLMNIAPGPNGDYDAVVYERLKEISGWIDINKTAVYATRSIAPYHENDFYYTRSKDGKTVNVFHINEVSNYQAPNDLTFTLPENFQPKKLQILGIESKVSWKKQGNKIKVQLPKECNKLKYSTVIQITQ", "MKKYFSEHYWADQDIQLLVGKILRMGVTIASITVLAGGIMYLINHGGEALPDYRYFVGESGSNTTLRGIVSGAFHLQAAQLIQLGVVFLVATPVFRVFFSLIGFTMEKDKLYIVITLIVLGVIFFSIFSGVKG", "MTILVFTLILLVGAYMAGFLGSLTGLGGGVIIIPLLTLVFHVDIRYAIGAALLASIATSSGAASAYVKEGITNIRLGMFLEIATTIGAVIGAFIAIYMPTNAIAVIFGVVLIFSAAMTVRKKHEAKLTKGSKLSEKLKLNSTYPVNGEKVSYQLTNVAGGFSLMTLAGVLSGLLGIGSGSLKVLAMDSTMKIPFKVSTTTSNFMIGVTAAASAVVYLQRGYMDPGIAFPVVLGVLAGALTGAKILPKINPKILRIIFAVAITAVAIEMIINGINHKF", "MEKKERLSDLTTSRIQEDILKGKYVVGEKLPTEKELMEIYQVGRSTIREAVKQLSISKILIVKQGSGTYVNKSQVLSPSDILKKAKFDEINDARRLVEGELIKRACQTATKKQLEAVDQSLREKKKAILADDKDTCIQADIEFHLNVAKAANHEVLLLLYQGFTEMITDFFHEREPKGISFFAMSYHLHEQLYMAIRDKKEKQAAKILDNILNNNFSL", "MRRHATAVWNGTIKEGKGNITTQSTVLNQTQYSFSSRFEDGVGTNPEELLAAAHAGCFTMKLSLDLTQAGFTPETLETKSVISLENGKITKSELTLTAKVPGISEGQFQQIAKGAEETCPVSGAFSFEITLNATLQN", "MQPKLKFIDRYLTLWIFLAMLLGISIGYFVPEVSNLINKLSIGTTNIPLAIGLIVMMYPPLAKVDYSLLPKAFKNKKVIGISLLLNWIIGPMLMFVLAVLFLKNEPDYMIGLILIGLARCIAMVIVWNDLAKGNREYAALLVALNSIFQVLMYSFMVWLFINVLPSKLGLASFNIHVSMKDVTVSVLIYLGIPFFTGFISRNVFTKIKGNDWYNRKFIPVISPFTLYALLFTIVLMFSMKGSKVLELPMDVVKVAIPLVTYFILMFFVSFFVNKFFKIPYDKNASIAFTATGNNFELAIAVAIAVFGIHSPQAFVGVIGPLVEVPVLIVLVRVSLWLKKRYYLG", "MYQSLFENIQGIQKAFNINEERKNVLWPLIGYIQKKINDKQRININFICTHNSRRSHLSQIWAQAAASYFNIPGVHCYSGGTEETALFPKIIETLKIQGFNILKISDGNNPVYAIKYSANTPPVIGFSKKYDNPFNPEDSYAAVMTCSQADEGCPFIAGAEVRIPITFEDPKKSDNTPEQTQVYAERSLQIAAEMLYVFSSIKI", "MKLSEIKEILPALENVEFQLENGTFVPEHFHVTEVGQIIKNFIDCGGVIRNERTVNFQLWNADDYEHRLKPGKLLHIIKLSEDQLGIQDAEIEVEYQSDTIGKYDLDFNGKTFVLKNKTTACLAQDACGIPPTKQKKNLSDLSVNQSSCAPGSGCC", "MGTTKTDIYTDDHNRLASLFKALGHPARIAILQYIISQKACICNDLVEELGLAQATISQHLKELKNIGIIQGSIEGKSVCYCIDEKIWRQFQKELNTFFNQEVKINQCC", "MNKEIFICHNCPMTRTMATIGTKWKPIIIYTIGLKRRRFGQIFTLMDIISKKVLTEQLKELVEDGIVLREEYEEALPRVEYFLSEKGIELLKILNQLSLWNMKYNDPENTSTK", "MKDVVKTRFSGVLFYVLLFVSSLIFGQMPVPYKVTVGETEVTALLDGVLPVDAEQLFFTNEPGKPTQLLENVFIKNPVEVSMNAYLVKTSGKLILVDTGAGELFGNAGGKLLQSLKQIAVAPEDITDILLTHIHADHSGGLVMKGKIIFPNAVIHVNKAETDFWLNEKNAKKAEEKAMGASPRTFSNAKEMLDPYLKAGKVKTFERIKQEIVPNIYTLPIVGHTPGHTVYVLESKGEKMYFWGDLVHMEGLQFVEPALENHFDVDHKSGIENRKKYYDDAAKSNYLIGASHISYPGIGRVKKEGSRYVWYPVPFSLTGRTR", "MLGIKQEALAFELGEDWNQKKVSLLEQKETVETNILAQVAKILKVPAEAIENFDEEQAVNIISNTINNNDNATMHNPSLYNHYPTFNPIDKMVELYERMLQQQREMIDKLEQLIKEK", "MLRKISGVKIWVFSLLLMAQNYRSQDFSFFPEPIKTSAEYYNKGEFAKAMAFNVEALKKYETLKDKEGISAAYTNIASLLFSVGKLKESMQYLDNAKAEINKDNALSQTRFYGEYARIYTKLGLVEQSNDYFDKALRYAGKINDPNQQKFCVLYIYLWKRLNYLNQEDSLRAIEKKLIKVMPSAITYSKIADRFIEKKKQLDSADYYLTKAMQTPDYAIVPVQGIVQFSYGNLFNAKKEYKNALDAYQQSLSAFQKTEYRTQIRNVYDSIASTYHYLDDPKMANEYLKKYKAVNDTLKNEEKEAVTIAVNKLLQEEKQDKQKEKKQLYFIFAGCIVLLLLMVSGILRMQRSKQLKKESLLEEQTLENLQLKKQLNASADQLIKLAETNSPSFLAQFKETYPDFIERLLSHQEDLSEYDLKLSACIRLGLSTKQIAQYEIIALRTAESRKYRLKKKLKLDSDVSLNKWILEL", "MENLRKITAFKYTPEIVEKLHQNSIKKVYKSGTIILRENAYANAIPIVTKGLLKVIRKEEEDREILLYYIKKGETCVLPFWGSLYNVTSKVKVEVEEDAEIFFLPIQKAKLFIREYPQWMDYVLLLFVNRYEDLLNVIDIAFKRTDERLLSFLRNRAEITQSRSIIITHEQLAKELLTARVVVSRLLKQLEEKGLVQLSRNKITLSDQTDLLRY", "MKKEMNNNKATQKKRAYLAPTLEVTIIEMEQGIAAGSASVRPSDQFNNRAKEEWNDEDQSGVIDW", "MNWKPNTNLKMETNNLTLTKNKQMKSNIKHIITRIILAIFSLVIISCRQSDDHITDPGTETGPASVKINLQGSDYNDGETSKTTGINGINSATVQTEEISFNNNNDYKLVATLTPVDNISQASSKTSARAAMTTPLPVNTRYKVVVFNNSGNYVTEANYISGQEATATPITGLTGGQSYTFVAYSIGSTTTLPDITYTNPANKTLTTASVNNVSENSDLMYFSKSLTLDGNKTNFLDIILKHQYSQIYAAINSAQTNYYTISSINNAAITPHNSVASLKLSDGSTTASGSSSRTLRFTSNAQNVWAPAVFINTAATTNGILSIGSAVLRKASGFLVTHENIAFRNLKIIPGTRYILELSFVPNDRYITNFRGYPAVSINGVIFMRHNLGANISLNPDAPSVNIAGNYYQWGRKTPVATATTGTGAIPGWNTTVAPQGAWNSGTRTAPIKNTLNDPCPTGWRVISREEFGTLVDNTTHSYTGNAATTNIGSAAAVLTSKFNTSVKVTLPFTGFREGTDGRFSHAATGGGTLIDGSYWSADNNSDIGRIFALFNTTESSFWAAGSGGVNTRATPIRCVAEYPY", "MPTSFNTHIRSAAKSIYLPFITGGLTLTAGIFILLCNVNYIELCGSLFILSGLSLGIFTIRNYKIVNGWGGYVLFATLIMIAGAYINIYKTSDFFIGWTALLRCGVLFGSALDFKRQGHKAWKNISVTGGIGILFSVILIAGPEALNLPTDFVITFLLLSVGLTSLLIFSELRKVNRLHGVIKTLMKKQDYNYSKSLLSQSSIK", "MKKLFLVWAAALAMVLISCSRDNDNNTDIPLTPSQILASTPWETTGAKDASGGKVELSDPNVINFVGFAYFKDNGTFTMYNLDDSPKLRGDWSVSPDGKTRTIVAKNANGEVIFTRVVDITVLTKAEFTYRVYPNADDKTVYYDIIHTPTNHKEPITINP", "MNKFIISYFLIIMAMLPVVYAQNNPFIKTTWKIDHIAPNGKAILVKAKWINLSNERAKFHFIQFEDNFKYQTGISCYNALGLYRVRENNIIELSTSDGAMSPDCEEPKNLSGSYYFKENNNTIELTPLYEEATSTIDAIAIKADVPEVKYFPANKAKQKIKRKLKHKKITR", "MDLKSNEPFWLIKNGLIQSFPSLMENKTCDVLIVGGGITGSLIAHQCIKDGYNTILIDKREIANGSTSATTSMLQYEIDIPLYKLSEMIGDEGAIASYKACSDSIDTIEDIVKQIHARAGYKKKKSLYFAARKKDVQWLQKEFEARKNAGFNVKWLSADSILKQYGIEKTYGGILSAQGASVDAFMLVHKILAYNQKKGLQIYDRTELKEVKSGKTFNEVTLNTKAIIKAKKIIYCTGYESTNLIKENFVKLISTYAIVSEAKPEKYKKFNNLLVWNTEDPYIYLRTTDDGRILIGGEDEDFRNPDKRDSLITDKEQTLLRSFHNYLPLTEFQCDFAWAGTFGTTKDGLPYIGEHKDFPNSYFVLGFGGNGITFSVVGMEMVSSWLKKEKHKLTEWFGFHR", "MKALFVISLCLSLTGCAQKQKPESITKPQYPKTHMMDLSKITNEQVKSAIEALQNGDKSWYTYFTDNPEMTDDGQQVDFKSFFAKALGNEKFLNIDKVDNNGKTVYGNFKAGQWGTFRVFFKFHENATGKFERLDIGQAN", "MVLKIINAILILFAVFMGFKQGSAMFAGKPEMLELFGKWHIGKSGVMVNGLVTMLSAVLILFPKTFVWGNFLMAAGILLIICFQISERDFKGAMIELPFLLLNLLIIYLQHPLKN", "MMSKNDLKNKVVLIAGGAKNLGGLLSRDFAVKGAKLAIHYNSDATKADAEKTLADVQAAGAEAFLFQGDLTKVENITKLFDETISRFGGVDIAINTVGMVLKKPFTETTEAEYDQMSDINSKVAYFFIQESGKKLNNNGKICTIVTSLLAAYTGLYSTYEGMKAPVEHFTRAASKEFGDRGISVTAVAPGPMDTPFFYGQESSDAVAYHKSASALGGLTDIKDIAPLVEFLVSDGWWITGQTIFANGGYTTR", "MKLYKKQLIYMAAIAALINVSSCKRDELLDRAPQDKLTEDVLFKTENDLKLYVNQFYTSLPVEFSDQDSQSDNQVPNSINSFLAGTDQVPGSGGGWSAGDWSGIRACNYFLRKNADPQLGQDIKNKYNAEVRFFRAMFYWDKVVRFGDVPIYETDLNETSPELYNPRDSHKKVMDFVLKDLDFAVANLAEPTAENRVHKYAALALKSRIALWEGTFRKYHSLGDAEVFLQAAADASLQVINSGKYDIYSTGKPDTDYNSLFIQDDLSKNKESILSRIYITNISTTNYSRGAGNGNGYSKSLIESYLCKDGKPISVSSLYAGDDTPENEVKNRDPRYPQTIATPGFIITINENGSTAKLEKPNIGTSATSTGYQVIKGRSSDVKLQNANQDNIDRFIFRYAEVLLNYAEAKAELGQLTQDILDMSVNKLRKRVGMPDMSLASLTADPNTPFPGISLPLQEIRRERRVELAGDGYRFKDLLRWRAGELINNPKTIMGMKLTDAYKATYPKDANGKSQVDNVLRDAQGYVRVYPNITARAWDNKMYLYPLPKDQLLLNKNFKQNPGW", "MTIFLQGVGKADWMPGGNAFWGYTSEWDVPTQAHVGQFWTPDNTNAYFPRLRFGGGGNFQTQTKYLQSAAYLRAKQITIGYTLPQEVLESIKLKHLRLYITGQNLFTFTSMFKNFDPEYLLSGATYPISKSISFGAQLRF", "MFRLFKKTKKIYEFTEKEVNFFREVIKLLPNRYHYLINQLNNDFLISFKPNDLNFKDWYSVQLNAKLEESYGNPNLGYFQLQNIFIFNKRSKKKEKIIFSFLEGMFIGFFLEDVKFENYVLSQYDTSNLIEKYFKNDNEKEELLKIIRKVDKQKESQFDLEDTFKIELPEGDFYTIKNLGDGNYLAVDTQGVVYELLHDPYSVTKKADSINDL", "MFNFFKKNKKSTQLNDWEKDLLLQVLSDLGKMYKQYTDQIKDGIIEGVRFNDAMPNYTGFCLNVKLLNKYEKKSQTPYILSNIFILNKNTGSSESLCIHLGFGLVLGYEVKNRNTFNPDFSKIDIHEIKISNETSKEFEEIKKLFSNDELRYINISDVYSLVLQNKKYYHLMDLEDGDFIGIDENKCIYKITHDPFLKHQLNTNLIDVLKNTGQAF", "MLNFLKTGFVTVYMSICFIGCSDIDKRDNITYGYLTATHVEAIEIKPAGELSKLQVTYSTNNTCQSFTQFRILKNMNNITNVGVIGSQIYGKQCTDKKEEKKHEFNFRPPQAGEYTWKFWAGRNNDQSSKYVEVTVTVAEKK", "MTEKQNIFKLIILMIVYNIFKTCDRFLDFLFMRNTQEYQE", "MKLLKLILSLSIIGLLFINCSSDNNREDEAINNNFPITNIDVGEINPNGSPTKLQVTYYKANTCMSFDKFNISKRENNVIDISILGSRQYGISCEPKQESKKQEFIFEPSTAGKYTLRFWAGKNSDNTDKFTEVNITIPENNQFIYGFLPSTKINSTEINPAGKTSRLMVTYKTTNTCQSFDQFQVVKNDNNIIELGVVGKQRGGNDCKEKEEEKIQEYAITPAKAGEYTFRFWAGKNTDNTDKFIEHKVVIPEK", "MAKILLIEDDDRLSRLISKGLQEADFEVSSAYDGITGMKLATQKDFDLVVTDIVLPKKDGLDFCKEIKTLKPNLPVVMLTALGTTDDKLEGFDAGADDYLTKPFEMRELVARIKVLLKRFSQQQQQKVFILRYEGIEMNLEQKIVSRDKIPVKLTPKEFNLLKFMLENTEKVLSRSEIAEKVWETHFDTGTNFIDVYINYLRKKIDKDFAEKLIHTKAGMGFIMKKDYESDIS", "MKIRTRLTLLFTLVTAMLLGIYSITVYYSSKEAREKSFYGELQNEAIAKADLFFKSSLSEQEMHKLYKNNNRTLNEVQVAIYNSDYDLIYHDDAKVDYVKENSEMLSGIFKKKKTSFFLGDLQVTGITYNHSGKTYAITAASYDKYGHAYVTNLLTVSIIAFFIILILIYLAGIFFAGKALNPVSEMVSQVKNITAGKLQLRLKTTKEKDELNELAKSFNRMLERLENSFDAQKYFVSNISHELRTPLAAIIAELELASEKKLTNEEYQQTIRLALEDARNMTRLSDSLMDLAKASYDPNEISFSEVRLDEVLLESYSGIIKENPQYKVALHIDDTVEEQQLTIQGNEYLLRVAFNNLIDNACKYSPEHSCTVNVTVNSGNLHIDFTNTGITIAEEDLQHIFEPFYRSKNSRNEKGHGIGLFLTEKVIHLHHAEIQVTSVHHKTSFTVVFRSGEIHKI", "MNIQTIETIDTSQILQVFNQSFADYLIPMKLTEEQLEFKMRSDKTDKSISVMVKDGEKPVAFILHGKQMIDGKLVVYNGGTGVIPENRKSGWVREMYDLILPVLKEKGAQKLVLEVITDNTPAIKSYQKFGYTINRKLKCYRGEIIAEAIKQEAEIREVADFGWKKMKTFWDVQPTWQNSVNVLEEIKEHCKILGAFIGGQLVGYLVFNPEAKKIYQLAVSSERRRKGIGTQLLVSLKEIVGASISVINVDEDSVAANKFLQKSGLKVFIEQYEMTRAV", "MKKSNTNIAISATLLAIICVQGGASIAKQLFPAIGAIGTVTLRIVLSAIILTLINRPKFSQFTKQMWIYCGFYGTGLAVMNLIFYMAIQRIPLGLAVTVEFAGPLFLALALSRKLLDFVWALLACVGILLIVPWKNDHVDLLGLGFAFLAGVFWALYIVMGGKVAKIMDGKDAVTTGMLFASLVIIPFTVWDGAVFNITPVIFLKGLGVAILSSALPFSLEMVALKKLPAKTFSILMSLEPAFAALSGLVFLSESLSFLQWISIACVIIASIGTTIFSKVSNH", "MSELIREANSEDYADVIRVWEASVRATHHFLDPEDITFYKSIIPEALPQVKLFIYESEGHTAGFMGISGNTLDMLFIDPDHRRKGIGSKLFQYAQSTFGISKVDVNEQNQQAVDFYLKTGFVLTGRRATDDFGKAYPILEMTLGTEE", "MAHYAIGITFAILLLFIYGKNWMEDPTLFSAIFIGLSTTVAPFFMMQPAFGFGIAASKMPNPHVARIRSLCTHFVYGIGLYFSALLISILIK", "MSRSSDIKEISNPQEFKEFYLNDAYAVILKKFFNIPSLDYRLLG", "MHKVREISFTEFSDIYNRYLVEDFPEEEVKPLYVVENAFSANKYTAYVLEEDSKVKAYATFMWKEKDLLLLDYFAVTQEAGRGSGIGSAFLQELAGSIKAKGFIIECEAPEKAINEEDKLMREKRIAFYERNGVKMTTVVAEVVEVDFCLLYMPIEAGLESIDMETDFLGIYHNLEPREFYRKFVKIIS", "MDLKKIAHQYAKSKVLNDFIEYGGVAAAIRTAAGNVYTGISIDTACSMGFCAEHSAVAEMLKHGESVIQAVVAVDNDGNAVPPCGRCRELMSQLSRENLKAIVEVKNGIFKNLGEILPYDWKEDLGREW", "MHLAKINIGDHNYTLAIGYHEDEILRKEFNRLTQEVWKFDFENYYQLGLWDDNCIIYSLFDKKRIVSHVTVSLFYTEIRGEKKKILQFGTVMTDPEYRNRGLSRFLMEQVIADFGEKTDGMLLFANKSVLDFYPKFGFQAAKEYQAFKNIISENLTSSLEIRKLDMNRREDIELLTRRIENSIPNTQLVLQNKAVSLLYCYAYPKFGYTNSVYYIENLDTAVVASVEANVLEITEIFSANIIDLQDVIGAFSHEKFTSARLGFTPLEKGFEYELLVHDDLVLYISEELQNLFAKQQLTVPFLSHT", "MHLTIEKYNPDWKKRFEELKKELKELTVLVDTDIQHIGSTSVEGLSAKPVIDIMIGVNSEKDLDKIPPLLAGRQYVYYEKYNEDMPYRRFFVKLKAKPSEWSFPEQINKNDEIPEKLHDHSLRLAHIHVIPTDSEHWLRHIAFRNYLRTHPAVLNEYQKLKEELGKKEWKDGNEYNEAKNDFIQNEEQKAIRWYKEK", "MKNVFDILENYIKKIKFNGNIMIAERRKVLYAGSFGNNYHPEEKRELSRSSVFELASVSKPFTAFAMLKVMDVYHLSLHTDVKYFLPDFPYEGISVFQLLNHTSGLPDYMELFEEFWDKTIIADNSDVLGLLIALRPKVYFNPGERWDYCNTGYVILAVILEKITGFSFPEVLKKYIFRPLDMKNTMVYNRRKKPQLIPDYAFGVSSDPETGKLKLPDKIKGEEYVYYLDGIQGDGTVNSTLDDLLIWNNAILEQYLVDEKYLDLMFEPTVNNDGQVFPYGLGWELDEKKNGEKQVYHTGGWPGYFTYNSLYLNSGISVILLCNKPDSEDVENEMLQKLEDAAFGKKSPRTLYS", "MKKRIIGFDLARAYAIFGMFIVNFNIVFGKDDNSFMGNFLKLFSGHSSTVFVMLAGMGVALMTNRTQEYTAEDRKRLRATILKRAAFLFVFGVLFYLWWPADILHLYGGYMSIGALLVFMDKKYYLIAAAVTVAVFHLLLLFIPYETGWDFGSLQYLDFWTVKGFLRNTFYNGWNPVFPWFAYFAVGLYLGRQDWTQKQTQRKMFITGLILFVFIEIIRFISMYLDLSSEVMEFIHADYIPPFLPFVINTISFGMMLIAAFMYVSQYISNKQWAIDLAKTGQMTFTHYVSHLTIGLVILALLRGDYYSGELGGQPPLQPVYILLFSVGYFIISIYFSIFWSKKFKQGPLEMLMRKISN", "MTEHIEKIRVLVESFDEETKNVMDRLTTIKHLKKGELLLQENEVCRKSYFMVNGIARKFFILDNKEVTTEFFFEEDIAVSFESLVNQKPSKEVIECLTDVTVEAVDYNAFYQIRGQYPQLMEYVILVTELYVIWLEDRIFDFHARSATDRYLNLLKKYPEYIHHIKLTHISSYLGISLETLSRIRAKI", "MQTIHLKRVYEKPSPEDGYRVLVDRIWPRGISKQDAEWDKDLAPSTELKKWFHHDPALWKDFSEKYKAELLDKNPGIAFLHRLENEEVITLVYAEKDEKHCHPLVLKKYLDDIQEEKANQNKPQP", "MNTLEFTLRLFIAFALGASIGFERQWRQKSAGLRTNTLVCLGSAAFVLLSIRIGGDATGRIASYIVSGIGFLGGGVIMKDGLTVRGLNTAATIWCSASVGALCAMGLPLEAAITSGFIILTHLILRPLGITLSKNINSRSHYTEYLLSIKCKTEVENHVRVLLMQSLGGNDKVLLKSLTSDDNGTPENAIITAEVHASVPQDSFMEKTASRLTIEDKVIKVSWEIVGTENDL", "MLKRSTNKNLNSAALVKLKEAAVLNEKMVYAMLETSEEGLSDNTVKDRVKIYGKNEIATQKAPSWLKQFAHSFFNPFNYILACIAIISLFIDAILVPSEEKDFSTCIIIAIMLLFSTILRFIQEFRSNKAAEALKKMVKTSCLTKRKFKDSEEIEIADIVPGDIILLSAGDMVPADCRILKSKDLFISESILTGEALPVEKNAFAIKNAKEQNPLTLRNICFMGTNVVSGSATVVVANTGIFTYFGSISRNLVSKRPETSFDIGVNKVSFLLIQFMLVMTPVIFLINGFVKDDWMQALLFAIAVAVGLTPEMLPMIVTANLAKGAVNMSKKKVIVKRLNAIQNIGAMDILCTDKTGTLTLDKIVLETHLNVRGTDDDEVLKWAYLNSFHQTGLKNILDQAVLDHAEVHNLMKADELYMKVDEIPFDFERRRMSVVLNTSKGKHLMISKGAVEEMLSLCKYALDPGDDHSLHIENDNIVPLDEAMKQKILKMSEKLNAEGLRVLLVAIREFEGNHPLNYSVADENNLTLTGFIGFLDPAKPSAEPSIKALHKLGVEVKVITGDNDIVAKKICHDVGIPINNIMLGEELDHVSDEELSKNTDLYSIFAKVSPLQKQRIVKVLKSKGHTVGFMGDGINDAAAIKEADVGISVDTGADIAKESADIILLEKDLMVLRSGVIYGRRTFGNIIKYIKMTASSNFGNMFSMIGASAFLPFLPMLPLQILTQNLLYDVSQSSIPWDTMDKDFLEKPKKWDAGSIKKFMLYIGPLSSIFDYITFAVMFFIFKANTPEHQSLFQTGWFVEGLLSQTLIVHIIRTKKIPFIQSWAAAPVVALTSLIMLIGLSIPFTPIAGYLKMQPLPLSYFPYLLGILTGYCILTQLVKQWFIKKFQQWL", "MRRTVLAMALLVFVISCKDSKSEAPQDQDLIIKGDNVIVQESNPVFRKIKTETVSEQEHSDGVISAGTIQAIPNHYAEIASPFSGRITKSFVRLGQNVSAGSPIFEILSSDYFSVQKDYTDAVNDVQLAEKNYRRQQDLVKHGVGIQKELDEAETDFKNKKTSLSNASSALKVYNSKGGGLGSPLIVRSPINGEVISNKIVNGQFLKGDADPVVIIAELSKVWITGEVKEKDIRFVSTGDRVSVKVGAYPDRNITGKVYHVNEIVDEATRSVKVLIECDNPDRKLKPGMYATVNFSTAPVNSIMIPVTALMQKDSSQYVWIKTGKNQFAKRSVTVGETDQKLVRVTSGLKSGDVIMTEGGIYMLDAK", "MKQLLTLSIQKRWLMLALFLLLGFFGYYSWTKLSVEAYPDIADVTSQVVTQVPGLAAEEVEQQITIPLERSLNGLPGMHVMRSKSTFGLSIITIVFEDGVDDYWARQRIQERLSEVNLPYGAQPGLDPLTSPIGEVYRYIIESNNHSLRELTDLQNFVIIPRIKQVSGIADVTNFGGITTQFQIELDPHKLEQYGLSLSEVTETISKNNVSAGGSMLPRGDLSYVIRGIGLVKDLNDLGKIVVKTENGVPVFLNDVGTLKYGNLERKGILGYSDKKRNYSESVEGIVLLLRGQNPSQVLEGVHQAVDELNNETLPPGVRIHPFLDRTDLVKTTLNTVSHTLTEGIVLVIIVLIVFLGSWRGALLVAITIPLSLLFAFILMHFTNIPANLLSLGAIDFGIIVDGAIVMLETILKKREDDPEEELEEKSITKRVIEVAKPIFFSTIIIITAYLPLFAFERVEKKLFTPMAFTVGYALFGALAVALLLIPGLAYVIYRKPQKLYHNKWLEKISVVYGKRIEKIMQAPKKVILPVSIVLATAGVLSYTVGKDFLPELDEGSIWLQVQLPPGISLAKAKEMSDTLRARTLKHSEITYMMVQAGRNDDGTDPWTASHFEVSVGIKPYKEWPKGKTKADLIQELAKDYKDMPGFTVGFSQPMIDGVMDKISGAHSELVVKVYGEDFKETRRIAENVLSTLDKTPGSADLAIDQEPPLPQLQIIANRDKIAQYGLNVSDVADLIEVALGGKAISQIFIGNKVYDISCRYTEDSRDTPDKIGNLMLTSASGAKIPLSQVAEVKLSTGESTITREMNKRHLTVKLNLRGRDLSSFLKEAQTKIEKDIKYDHEKYHIKWGGQFENQNRAYSRLAFIVPLALAIMFLLLYGAFGDFKQALVLMSIVPLALFGGMLALNIRGMSLNVSSAVGFIALFGVAIQNGVIMISHINDLRKKGHELKDSVIKGARDRFRPVLMTATVAVIGLFPASMATGIGSDVQRPLATVIVYGLMFSTILTLFVLPAIYYMAEHRFGKKKNLKKKTDEMLE", "MKFNIRFTIVTATLLSMTGIVKAQQKELLSFDEYLSMVGKKNLTYAAQKYNVSMAEASIQTANMFPDPQLDMEGSNNGVYKNMGYTYGSSLGWTLELGGKRRARVDLAKNQSELSRILLLDFFRNLRADASLGYVEALKSKALLDVQQDSYKNMLQLSKSDSIRYRLGTISLVTSKQSKLEAASLLNSVYQAESAEQQAITALYVFLSDNKLTGRDVDGDFNAFNRDFGIEDLLTQALNERADLLAAKQNTQVAKSQINLERANRKIDLGLTAGVSHNTTATNEIAPSPAVNAVKLGVSIPLKFSNNRNAGLKIAEMAYSQSQLEYQQVEQSIRAEVMQAYQQYIATQKQVKQFHNGMLTEAKNILDGIIYSYKRGESSILEVLNAQRTYNDVRKDYYQALADNATALIELERKTGIWDISF", "VVDINVSLNYYNDFMKEDSITNEEFNRYSRQIFIEEIGVVGQRKIKNAKVLIVGAGGLGSPVIQYLAAAGVGTIGIADFDRVELHNLNRQVIHTESNIGKLKTESAAAFVSAFNSSVKFITINEKIEPENIQSIFQNYDLVVDGSDNFTTRYLVNDTCVALDKTLVYGSIFGFEGQIAVFNYKGSKNLRNLFPEPPNQDDVPNCDKNGVLGPLPGIVGTMMAMQALKIITDLPVITNQLTIVDTLNWNFMKIGF", "MKTFKNTFLQYDWDCIKNKIYTATSHQVESVLNKRKRTIEDFMVLLSPAAAPYLEIMAQMAQHLTQKRFGKVIQMYAPLYLSNECQNICTYCGFSLDNKIRRKTLSNTEIIIEAMALKTMGVNHILLVSGEANKTVGIEYFLNAIKLLRPYFANISIEVQPLSQEEYQSLHDAGVHSVLVYQETYHEAVYKEYHPKGKKSNFDFRLDTPDRIGLAGLHKIGLGVLLGLEDWRVDSFFNALHIDYLQKQYWQTKFSVSFPRLRPAEGIIEPNFIMSDRDLLQLICAYRIWNEDLEISVSTRENENFRNHVIPLGVTTMSAASKTNPGGYAVDPQSLEQFETSDERSMEEVKNIIRNSGYDPVMKDWDKVF", "MSNLQIADRIYTSRLFLGTGKFGSMSQMTEAVKASGSELVTMALKRIDHQSDSDDLLTALHLPDVHLLPNTSGARNAQEAVLAAQLAREALETNWLKLEIHPDPRYLLPDPIETLKATEELAKLGFIVMPYIHADPVLCKRLENAGTAVVMPLGAPIGSNKGLRTLDFLEIIIEQSNVPVVVDAGIGAPSDAAKAMEMGADAVLVNTAIAVAGDPVQMAIAFKEAVIAGRRGYEAQLGAVHSGAVASSPLTSFL", "MLSKLQYISQGINAEEQERNILKALLNGADWIQIRWKNSDVELLQELCIKVQKHCREFGAQCIINDHVSIAKAIDADGVHLGLTDTTVTEARSILGDHKIIGGTANTIEDICQRIDENCNYIGLGPFRFTTTKEKLSPILGLEGYKNIFKHFQERQISLPPVFAIGGIQLEDISALKDVGLYGVAVSGLIADNPELVSTIKIIFNE", "MIIVLSPEREPEQEVYWINELLAGGLDYFHVRKYRLSEEAMCSYISQINEDYRDRLILHSHYNLAEEFGIVRLHFREESRLNKEQVNFQGKYILSTSTHSIEEFNTLGKEWTYAFLSPVFPSISKKGYGAHCNVLNDLKRRTNKNVQLVGLGGIDEHNIDIVLKSGVDGVAMLGNIWQSSNPLQVFLNCKNKFLNQLQNQNNVE", "MKTITQTSLPNSKKVYIDGQLFPIKVAMREITLSPTKLSSGGIEVNPPVTVYDTSGPYTDNQAVIDVRKGLPRIREPWILDRGDVEVLEGITSEYGKKRLADTKLDDLRFEYSHKPMVAKEGMNVSQLYYAKKGIITPEMEYVAIRENQKIEQLETSTKGMAAQHHGDSFGANTPKGKITPEFVRSEIAAGRAIIPNNINHPESEPMIIGRNFLVKINANIGNSAVTSNIEEEVEKAVWACRWGADTIMDLSTGKNIHETREWIIRNSPVPIGTVPIYQALEKVKGVAENLTWEIFRDTLIEQAEQGVSYFTIHAGVLLRYIHLTASRVTGIVSRGGSIMAKWCLFHHQENFLYTHFEEICEIMKRYDVAFSLGDGLRPGSIADANDAAQFAELETLGELTKIAWKHDVQVMIEGPGHVPMHMIKENMEKQLEECDEAPFYTLGPLTTDIAPGYDHITSAIGAAMIGWYGCAMLCYVTPKEHLGLPNKKDVKDGVITYKLAAHAADLAKGHPGAQYRDNALSKARFEFRWEDQFNLSLDPDTAREFHDETLPADGAKVAHFCSMCGPKFCSMKITQEIRDAAEQGMNEKSKEFIEAGKEIYL", "MELTINHEKKHYTHLPSTLEALIHLEARGKSKGIAVAVNNQVISKADWGNTILQDKDSVLIITATQGG", "MATKVQKAPMKTFYFSLRQYDPDQVLRVKSQPASLQTPLKSITKIEKNR", "MKKFIFPLLVFGTLTFAQKKYVMVIHGGAGTITKANLSPEKEKEYREKLTEALQKGYAEIKNGKSSLDAVSAAIMVMEDSPLFNAGKGAVFTNEGRNELDASIMNGKDQKAGAVAGVTTIKNPILAARAVMDKSEHVMMAGPGAEKFAKEQKLEIVDPKYFWTEKAWNSLQKVKAMETSKKTSLNNKEQYPDYFIVDHKFGTVGAVALDKNGNIAAGTSTGGMTNKKYGRIGDSPIIGAGTYANEQVGISGTGWGEFFIRTVASKTAADRMKYLHKPVTEATQESIDEIGKLGGNGGLIALDKDGNVAMPFNTEGMYRGTVTDKGEVEVYIYK", "MLKAINVTKTYNAGKKVALNNFSIEVPTGSIYGLLGPNGAGKTSFIRIINQITQPDSGEIFIDGQKLSPEHIQKIGYMPEERGLYKNMTIGDQLTYFGELKGMSKHDAIIQAKYWFEQLNIDQWWKKKLSELSKGMAQKIQFVVTVLHQPKLLILDEPFSGFDPVNANLIKDKILQLKEQGTTIILSTHRMESVEEMCDYVALINQSNKILDGKVFDVREQFKQNLFNVVLTDLQSENFEGFKARYTPDNIIQENGLISFQIRNESNSNILLEELLKTGKVRVFEEKIPSMNEVFINAVK", "MKNIILITKREYLTQVKKKSFVVLTLMAPILLLLFGAVITYMFKANKTHYNIAVVDNSQSFSKMKNSGDISYQYVSEKSAGTIKGTLTETEAIDGLLVIPKLEDNDYSKLEAQTKLYTNKQIGFDSRKEISSAISDEIRKLKIKELGIKESQILNLNQNFTLNTENLKEKKQDDDAFLFGVKSALAGILMYATFMFIIMYGVRVMRSVLEEKNNRVVEIIISSVKPFELMMGKILGVTLVALTQFVIWIAMTVSAAVFFNQKYSVAAAGPMDKLGNIQEIFTMVSHSLLGMDYVTIIGVFIFYFLLGYIFYSSIYAAVGSAVDNETETQQFTLFAILPLMLSLYGSFSIMNNPEGPLAFWLSIIPLTSPVAMIARIPFGVPMWQLLLSMALLAASALFMIFVAGKIYRVGILMYGNKASVKELWKWIRS", "MKKLLFTSALALSTLSFAQIDFNNTRFGVTAGLNRSGVSNAHRPSGARYTFQAGGLALIPIGTANQFFLQPEVLFYGAGETGKDSDFKNGDRNANGYNAVYANNYISVPVYFKAYFSEAPSEFFAMAGPRFNFLVNQNVKNVPQDRPYYDPDYNGTSNFNGKAASFNFGIGLGLGYSLKREWEFTIKYDLGLTNTYKGLVNELSSKSKSEQVASIGVSYIFK", "MSVLVNKDSKVIVQGFTGNEGTFHAGQMIEYGTNVVGGVTPGKGGSEHLGKPVFNTVAEAVEKAGANVSIIFVPPAFAADAVMEAADAGIKVIVCITEGIPVADMVKVKDYIQDKDCRLIGPNCPGIITSDEAKIGIMPGFVFKKGRVGIVSKSGTLTYEAADQVVRAGFGVSTAIGIGGDPIIGTTTKEALELFINDPETDAVVMIGEIGGQLEGDAAKWYKASGSTKPVVGFIAGQTAPKGRTMGHAGAIVGGDDDTAQAKMKIMAECGINVVASPADIGATVAKVLNK", "MKFKKAQNLKTIADLIGARYVGDAEFQVLGTNEIHRVTAGDIVFVNHPKYYDKALNSAATIVLIDQEVECPEGKALLISEDPFRDFNLINTHFTRTQDFKEERHNLEVGDATIIHSSVVIGNDVKIGDNCMIHPNVVIGDRTVIGNNVIIQSGTVLGGDAFYYRKTANGFEKLQSVGHVVLEDDVEIGVNCTLDRGVTDVTLIRKSTKIDNQVQIGHDTIIGERCLIASQVGIAGCCNIGNEVTLWGQVGVASSVTIEDKTVVLATSGVSKDLKTGTYFGAPAEPAKEFYKKAVKLSRL", "MATTADIKKGLCIEFSNDIYKVIEFLHVKPGKGPAFVRTKLKSVTNGKVLDNTFSAGHKIEEVKVITRKFQYLYDDENGFHFMNNDDFTQIYINKEMIENAQFMKAGEEVTIVLKEADEAPLSAEIPPTVTLEVIEADPGVKGNTATNALKNAIVETGARVLVPLFIEPGEKIRINTEDGSYIERIK", "MIHQLAVVDKRAKIGNQVIVEPFTTIAGDVEIGSGTWIGPNVTIMDGARIGKNCKIFPGTVIAAVPQDLKFEGEDSQVIIGDNTVIRECVTINRGTKALGYTKVGNDCLIMATSHIAHDCTIGDHVVIVNGCGIAGHVEIGDFAVIGGLSAVHQFSRIGKHVMVSGGTLVRKDIPPYIKVAREPISYAGINSIGLRRRGFTNEKIFEIQSIYRAIFQMKKNATQAIEYIEKELLPTAERDEIITFIQNSPRGIVKGYGNSSKD", "MSDKQKTLKEEVTLSGIGLHTGKNVTMTLKPAKENTGFVFVRTDLEGHPTVEADVNYVTSTERGTSLEKKGVSIHTSEHVLAALVGMDLDNVIIELDSSEPPILDGSSKYFIEAIESVGVEEQELQRDYLVIKEVMNYVDPASGSEITIIPADNYEITCMVDFGTKVLGTQNASLKHISEFKEEISSARTFSFLHELEMLLDHGLIKGGDISNAIVYVDKELTPETIEKLKFAFGKEDVSIRPNGILDNVTLKYPNEAARHKLLDVIGDLALVGVKIKGKVIANKPGHFVNTQFAKKLNRQYKLQKKKNVPDFDLKADPVYDINGIMRLLPHRPPFLLVDKILELSDSHVVGLKNVTMNEPFFVGHFPKEPVMPGVLQVEALAQVGGILVLANVPDPENYSTYFIKMDNVKFKRKIVPGDTVIFKIELMEPIRRGIVHMQGYGYVGDTVAIEAELMAQVAKNKTE", "MEFTAEQIANLVKGKVIGDPETKVSGFSQIEEGRKGNLSFLANAKYLPLMDNTEASVVIITENLIDKNKEYPSTLIAVEDGYLAFQVLMNLYQDLQSKKTGIEQPSFISESAKIMDDVYIGAFTYISHKSVIGEGTQIYPQVYIGKNVKIGKNCQIDSGARIYDDCVIGDNCVIHSNTVIGGDGFGFQPTADGFKKIPQLGNVIIEDDVEIGANSTIDRATIGSTIIGKGTKLDNLIQIAHNVKIGKNNVLAAQVGIAGSTTVGDWNMIGGQTGIVGHISIGSNVKIQAQSGINSNIEDNQVLYGTPAISASDFRRSYVHFRNFPDIVQRINNLEKTNSKDSTNE", "MVNKLKIVNDPVHGFIKIPYEILFDVIEHPYFQRLRRISQTGLLSLVYPGAMHTRFHHALGATHVMFTALETLKMKGTEISQEEEKAALLAILLHDVGHGPYSHALESVLMNDCHHERLSILLMEKLNEHFNGQLDLAIQMFQGKYHRKFFNQLVSSQLDVDRLDYLKRDSFYTGVTEGNVNTQRIISMMNVHKDELVIDAKGIYSIENFLTARMFMYWQVYFHKTSAVAEHLLIKVLKRAKELAAQGEDLIATENLSYFLKKNKFDKMTEEDLRRFTEMDDSDIMFGLKNWQNHSDFVLSHLCKSITQRHFPKNIISEKAFDEEIVKKIIQKTNEFYGIDNAEWLVDQIERTLLPYDTNKQPIFLKSKSEDVFALDKSENQILTQHLKTPSTKYILSFPREVLPVVIQDLKR", "MMIETLQILFKRDLLKLKTEIESYQSEENIWKISQHISNSAGNLCLHLIGNLNHFIGAITGKTGYIRNRESEFSLKDVPRTQLTEMIDNTILVIENTLNNLDEDDLKKEYPVVVFEDKMSTEFFFTHLTAHLSYHLGQINYHRRLLE", "MSGKILWVDDEIDLLKPHMVFLEAKGYALTPVNNVNEALEILEKEKYQLVLIDENMPGISGLEAIPMIKNIDSTIKIVMVTKSEEEHLMEQAIGSQISDYILKPVNPNQILLSLKKNLQSEDLVEQKTILEYQQEFRNLSMELSYLNSFQDWAEYYKKILNWEIKFDKVLNNEFSDLLLSQKEEANIQFAKFIENNYADWLNSNEKPLMSHTLFKEKVKPEVEKDKVLLLMIDNLRYDQWKVIEPLFTRFYNKTSEDYYFSILPTATQYARNSFFAGLLPSEIEKRFPEYWFNDNEEGNKNEHERDFLEDQMKRLGLSGKHLKYLKILNADFERKIYEDFNQHKNNDLLVIVYNFIDILSHAKTDNVIVDQLIRDDKTFRSLTEHWFENSSLMKIIRLAAENKFKLVITTDHGTIYVKKPSKVIGDRETSTNIRYKTGKSLTYEDKDVWAIDFPEKLFLPKGNLSSKYIFAKNNTFLAYPKNYNHFVNYYKDTYQHGGISLEEVIIPIAILEPK", "MLEIEISSIEQWQEVAEKIKQLLKHNILFLKGNLGAGKTTFTQQLVKSLGSNDEVTSPTYSIVNEYESPDGKIFHFDLYRLRNLEEVYDIGIEDYLDNAFLSIIEWPEVFQDEISDLPHHEMEITNSDNIRTIKFK", "MGTTIFTPFTEKELIPKEEKLEIVRKEKKFSIGIPKETCLDEKRLCLTPDAVQVLVQAGHRIIMENGAGEGSFFTDLQYAEAGAEMTTDTQEVFNQNIILKINPPTLEEIEFLKPCSYIISALQINLSSKEYFKKLSEKKINAIAFEYIMDEYKQYSLVRLIGEIAGTVSILYSSELLAQTNGQMLGGITGVRPTEVVIVGAGIVGEYATKAAIGLGASVKVFDNSLSKLRRLNVLVDSRVPTSIIDPKELKKSLRRADVVIGALPRLNMLPIVTEDMVMHMKKGSVIIDVTVDNGKCIETSELTTLSKPTFIKHDVIHCGLPNLTSRMSRTTTKAISNFFLSYLLDFDQEGGFENVLVKNSEMKQSLYMYKGRLTKQAIANKFGMQYHDINLLIF", "MRRFRFYLIGLIPGIIIVFFILNQKGTSCSYFPNDRVVAETLTKDFVLSPDFQQELKALNLNEKFLKDSIVSKGKIDFDRSEAQKQPCPKYLLSYPSKKPVYEVQYEKCKENAQFINIKKIN", "MKILNSGQIKILDQETIAIQNISSWQLMERASEAVTNAILDKIKGQQPTFSIFCGKGNNGGDGLAIARILFRKNFEVNIFLHQAEQYSKENLENQKRLKNMGINVTVFNESTTIDIHESTIVIDALFGNGLHTPLDQKWKTIFQQITDSSPLSIFAVDLPSGFMADRAMDEDYPCLKADHVFTFEIPKTGLLFPTSQKWLNDFSIVKIELDKNIRESFDSFYYFIEKRMMKKLLKPASKFSHKGTFGHILIMGGSYGKIGATVLSSLAALKTGSGLVTAYLPKCGYNIIQNSVTEAMCITDPNEEYLSKAPDLNTYQAIAIGMGVGQHKETEKIILKCLKDNPNQHFVIDADALNILSKQKKPFQYIPKNSILTPHPKELQRLIGDWKDDFEKIEKVRAIAIKYEINILIKGAYTASILSDGNCYFNSTGNWGMATAGSGDTLSGILVSLIGQGYSSHEACLLGTYLHGLAGDLASEKIHPHSLVASDISNFISTAYFDLTK", "MNISIIGTGLIGGSMALKLKQKGIASKIIGIDKNEEHLKEAKSLGIIDDYMPFEDGVKSADLIIVAIPVDAARIILPSILDLLNDQQTVMDVGSTKNGIIKAIKNHPNRSRYVATHPMWGTENSGPKAAMADAFTGRAAVICNQEESAKDAVELVQKVYDALEMNLLYMDSEDHDIHTAYISHISHITSYALANTVLEKEKEEDTIFQLASTGFSSTVRLAKSHPEMWVPIFRQNKENVLDVLNEHISQLRKFKSALEKENYEYLEELILKANKIRGILK", "MNTNFKIQGLDYEEFSSLFALSDEELAKRNIVRKTVDKAYAFPCRVSLEDAEIGERVLLLSFQHVKNDSPYNSAGAIFIRENARKREIAENEIPDVLSRRLLSLRAYNKNGIMIQADVLNGTELKNVLHQWFENPDIDYIHIHNAKPGCYSCLVKRSV", "MLKKIKISLILALGLTSLQAFGQENPDVKIEKLKDNLYVYTTYNTFNGTKYAANAVYLVTDKGVVVIDCPWGEDKFKSFTDEIYKKHGKKVIINIATHSHDDRAGGLEYFGKIGAKTYSTKMTDSILAKENKPRAQYTFDNNKSFKVGKSEFQVYYPGKGHTADNVVVWFPKEKVLVGGCIIKSADSKDLGYIGEAYVNDWTQSVHNIQQKFSGAQYVVAGHDDWKDQTSIQHTLDLISEYQQKQKASN", "MQSISVFEIIKVGIGPSSSHTMGPWNAAEMFLDHIRREYKIADVKEVFVEFFGSLAKTGIGHGTDIAGMMGLSGEDFKLIDTSKIDDKVTEIKESQKLNLGGEHVIPFVYGHHLILNMEKSLDFHPNGMIFRAVFNNGEEISKDYYSVGGGFVATQEENSIENNCVRTLYPCHHADDIKRYIDKLSLNRISDLILLNEESWRSEEETRKQALYIWQQIKECIYKGVNREGILPGGLNVTRRAAGINRKLLGDKIYKNINDWFQLVVDAEETFSTINKWVSCFALAVNEENASFGRIITAPTNGASGVIPAVLMYSQCFTEHTSEDDIVRFLIVAGEIGTLFKKNATISAAMGGCQAEIGVSSAMAAAGLTEIMGGSPKQVLQAAEIAMEHHLGLTCDPIGGLVQIPCIERNSMGAIKAITASNIALESNPDNARVSLDQVIKSMWETALDMNTKYKETSEGGLAVAVNVAEC", "MQLQDSKIKLYIISGLGANARVFDKITFNEDIDPVFIDWLMPERDEDFDHYISRMAEKIDDTKAFYLLGYSFGGVLVQEIHKLKPAKKIAILGSIKSCHEKSKFFNWNQLLRLYKIVPMSFFSNKKAISYAFFRKANDKRIDKLYEYFTVRQPYYLKWCIHQILNWKGEEQKEVVQILADKDVVFPVKNSAPDYIIKGASHLFPVTRAKEVSDILRKVFG", "MILTRPQLLKPGDTVATLSLSWGGAGTFPHRYEAGKKQLEEVFGLHVIETKNALKSADYIYKNPQARAEDLMEAFSDSSVKAIISNIGGDDSIRTLSFTDLSVIRSNPKIFLGFSDTTVTHIACYKAGLTSFYGTSVLVGFAENGGMHRYQIDDIKRTLFSAEPAGQILPNSDGWTTERLEWGKPELQNTKRSLVKDSQWNFLQGTGKIKGQLIGGCVDVLEFLKGTDFWFSESDWDGKILFLETSEEMMSPLQFCWALRNYAAQGVFNKINGLILGRPYDNKYVQEYNEILLQVIRDEEGRDDLTIVTEMNFGHTCPVFTIPYGVVAEIDNERKTFSILESGVTL", "MKKLGFLLLMTAGLAFGQTKKVVGSDIQWWGYKVMKSDASSHYGKVNLKSGNIVLKNNQVAGGTFVLDMTSINATDVAGEEQQKLNGHLKNGDFFEVEKFPTASFTITSVKANNDKVYNYVVNGNLTVKGKTEAISFPAKINNSKGQVSIVSNKFSFDRQKFGVNYKAGMKDVVIKDDIDMLVKVTAR", "MRFPLHLPGWKNADNDGLSVIATDIGGTKTNLGWFVSENHKMVLKEEATYPSRDYSSFSDIIKDFIKNYKLELPDVLSIGVAGPVVDGKCITTNLPWSLDVSLLKNELRINRVEMINDLEATAYGLAEVNDGYLATIHKGNPNIHGNVAILAPGTGLGEAGLFWDGKALRPFATEGGHSEFSPRNKTEVEFYEYLNSIYGIVSWETVISGPGIFNIYRFLRDVKKHPEPAWLTQKFEEEGDPSAIISHTAMRELDPTCSLAMEMFVDFMAREATNLVLKLKATGGLLLGGGIPPKIFNLLNKDKFHQNFIVSDKMEHILKDIPIYLILNSKTALMGAAYYGAYGRA", "MKMIKQAALVAGIFAAGLVSAQSADMNNMLKVGVNGGVSTGGNTSTNVGFDLSYQNIVTPGFGLGIATGYNQFFGKTKTFSPGGDVKYNDFGVIPVAALLRYYPARTGFYGGADLGYGFIVGKDNVAKDGSPYNAEMPNGGFYLKPEIGYHNQNWNFFVHYTKVFTGSKGQIGDVKFNAGTIGAGVAYNIPLGK", "MLTNFVKNKHLLWRAGFGPKSDSLNLLNFDTLKLWKQILADSTEADIPVIKVVDESNLLVDGNIKNDPEARKLRNQQLNRQTNQIALDWIDKMAYSPQQLREKVAFFWMGHFASRIQNSNFNQDLLNIVRQKALGNFGDLLKAVSQSASMLSFLNNQQNRKGHPNENFAREVMELFTMGRGHYTEKDIKEAARAFTGWGYDKTGTFQERPKLHDDGEKIFLGQSGNFNGNDILNIILQQRATSKFIAAKIYRFFVNEAVNDQIVDEMATVFYKSNYNIAKLFDYIFTSKWFYNEANIGTRIKSPIELFVGIQRTLPLSFEKPEVIINYGNLLGQVIFRPANVAGWPSGTNWIDSSTLLLRMQIPQIWSGIIPMVYKPKEDDDTYMGQKQHFNPKNAKANINWAQAETVLKDQNLADLLLQKKVSSSSNVIKEYSGKSFEADVINLMSVPEYQLC", "MLINRRNFLKISSLASASLMMPKFLKAMELPQALPTQNKILVVLQLSGGNDGLNTIIPVRNDLYYKNRNGISIRREDALSLTDEASIHPSLTFFKELYDNGELAALNNVGYPDPDKSHFRSMDIWQSASSSKDFWETGWLGRYLDEACHTCAHPTQALEIDDMLSLALKGSQNKAIAVTDPKRLFNSSNEAFYKKLNDSHSHDEQVVDYLYQTLGNTISNASYILENTKVKPASSNYPTTGLGKDFKTIASLINSDINTQVYYLSVGSFDTHVNQLQTQKNLFTQINDAVKAFINDLKANGRFQDVLLVTFSEFGRRVTQNASGGTDHGTANQMFLISGGLKKKGLLNPLSNLEKLNDGDLIYTEDFRNVYATILKKWLNADDQKILSRQNTFYDFI", "MKKVVRAALAFLIPIAIEFVIKKITEKRKEKRDQSPETLPNA", "MSIPQAITEKIVLKDGREITIETGKLAKQADGSVVVRMGDTMLLATVVANKEANPGVDFLPLTVDYREKFYAGGRIPGNFFRRETKPSDDEVLTMRLVDRVLRPLFPEDFHAEVQVMISLISYDKEVMPEALAGLAASAAIAITDIPFNGPMSEVRVVRIDGKLSVNPSYENLLKADIDIMVGATKDSIVMVEGEMQEISEQEMLEAITFAHEEIKVQIEAQERLAAKVGKAFPKREYSHEEHDEEIREKVWKECYDKVYEVAKTPSNKEERGEKFKAVLEEFLAQYTDEEELARVTPFVKVYYHDVEKEAMRQMILNENIRLDGRDPKTIRPIWSEVDYLPGAHGSAVFTRGETQSLTAVTLGSSKDANMVDSVITQHDEKFFLHYNFPPFSTGEARPLRGTSRREVGHGNLAQRALANVIPAEVPYTIRIVSDILESNGSSSMATVCAGTLALMDAGVQIKQPVSGIAMGLITDPKSGKWTVLSDILGDEDHLGDMDFKVTGSENGITACQMDIKIQGLSMDIMEKALMQAREGRLHILGKILETIDAPRTDVKPHAPKMEMLEISKDFIGAIIGPGGKNIQQLQKDTDTVISIEEIGEIGRVEIAGTNREKINEAIARINDITFVPVVGEVYKGKVVKVMDFGAFVQLKKGTEGLLHISEIEWKRLDTVPYKEGDEVEVKFMGYDDRKKMKLSRKVLLPRPPKPEGKPKAEAVEAKTEGDKPAEQA", "MNLTKNNIEKLSTLEKIANGAMWWIGSIPSLIAHTIFFIISFALPILGIVDFDKMLLVLTTVVSLEAIYLAIFIQMSVNRSSENIEDLKEDVEIIQEDIDEIQEDIEEIQEDIDEIQEDVEEIQEDVEEISEDDDDDDHNGRARAVMLKSKVSSNKSDIKILKDKIAELESLIENLKKEQGE", "MYLTKEKKAEIFAKHGQSATDTGSSEGQIALFTFRINHLSQHLKKNHKDYATEKSLVKLVGKRKRLLDYLKNTEIERYRAIIAELGIRK", "MKKVLLFGTLAIITTGLLVSCANFGDSLRYVNNDIKLGKIGKVVYLNPEIYPEFEGIEEPTYQAFFSAVTDKMYSMGNIKVNRIDTPMPYDTIDVPTLKTLCNNNMADLIVVPKVKYFKVGLGKYVLSNQVIVSMKAYNKMGDFVMEVAYDTYSGNGRLVGSAENSVKIGTTGAIQKMFKEFRKRKMLGVYIS", "METILNPADVAEKLSELHADERLLEFLKVPKEYKAEVFAHLDPSFQEETIRSIGSNDVADILNAMTPDDRTQLLEDFPDELIKYSINLLNPSERSVALKLLGYKSNSIARLMTPYYIQVKKEWTVKHCFQHIKKVGQKAETMNFVYVVDDHNRLIDDMIIGTLLLADEDQKVSELIDNHFVAITTTTTKEDAIHYFEKYDRAALPIITEAGVLVGIVTIDDIIDEIEQQTTEDIQKFGGLEALDLPYTQTSWTEMIKKRATWLIILFISEMLTASAMGYFDHEIQKAVVLALFVPLIISSGGNSGSQAATLIIRAMALQEITLKDWWYVMRKEIISGLCLGTILGIIGFIRIYIWQHLGLFDYGVHWLYVALSVSLSLVLIVLWGTLSGSMIPFVLKKLKLDPATSSAPFVATLVDVTGLIIYFTVAGLLLTGKLL", "MRIISLVPSLTESLLDFGIMDIVGRTKFCIHPKDQVKDIEIIGGTKNIHLEKIRALKPDLILANKEENMKEQVEALMPDFKVWVTNIENIEDNYYFLKNLGNMFNQKEKAQAFNLKIYDIFNHYKLNKRIKVAYLIWKKPYMTIGSDTFIHHLLHQLGFDNIFSGQKRYPVIETEDLADAEIIMLSSEPYPFQEKHLKEFRAIYPDKKIMIVDGEAFSWYGTHIAKCEAYYKELVTTIEAR", "MLELRTICENCGKKLPPDSEEAMICTFECTFCKDCVEQVLENVCPNCGGGFEKRPVRPQSFLEKYPVSEKVIFKPVDPEKFKVILEKYRDIKPSER", "MKNLKQRLSYLLAADESVENRLKKVCVLLDQEIPYYNWTGFYFRNGDKEELVLGPYVGAETDHTVIPFGKGICGQVAVSGETFVVPDVYSQDNYLSCSIDTKSEIVVPIFKDGKNIGQIDIDSHTIDPFTDEDTELLEWLCGEVAKVL", "MSLIKQILTPKQKALNINLDPSVYGTFAEIGAGQETVRHFFRAGGASNTIAKAMSAYDKDFSDAIYGKETKNRYVTQNRLRKMLRYEVSLIEERLDREKNPGRKFFSYANTVTTINYDKTQKGHGWVGLRFQLHENEAYNEIVIHVKFRENDATLQQETLGNLGVNLIYGAFRYSDNPRRLIESLYDDISIDKLELDMIDFSGPAFEYVDNRLMSLQLVKMGMTDAVIFNSEGNNMLPADILYKQNIFAVRGSFRPVTLVNVDMFENGLKMFLEDNNTTIEDTEVLFEITIANLRAAGDIDERDFLDRVDVLAKLGYNVMISNFSEYYRMVDYFSNYSNGKIGVAMGVNNLLDVFDEEFYELLPGGILEAFGKFFKKGMRVYLYPYKDTTTGELLNSENLKVHPNLKELYKYFKLNKRIVDINSFKPEYLEIYSREILKKIAHCEHGWEEQLPNGVVEIIKERGMFGYKELAFEE", "MRLKFLGTGTSQGVPTIGCTDPVCLSENPKDKRLRSSVLVTTDDNRKILIDCGPDFRQQMLTQQEHNVDAVLLTHEHNDHVIGLDDMRPIIFRNKKDMPIYCRQRTGDEVKKRFPYAFSDEKYPGAPSFEMHFLDNNPFTLLDTEILPIEVTHYKIDIFGYKFKNTAYITDASAISDAEKEKLRNLDYFIINCLRKDSPHPAHFILPQILELVQELQPKQTYLTHLSHHIGFHDEMNQELPSHIQLAFDGQEIVF", "MQKCNRIQNHISWETSKKSVSKQWIVRYEYTDKSGVIHPKALRGMNHIKDHGERVLYTKFLLKQEQKLLDKGYTPVTEEFEGVTETLILTPRTPFMRANAWITKSSAVSRTY", "MKNLFVLLLSLCTSIYCSAQLTLPQGFSYPSSKYKAENLDDSNQNFYYQLSFVRDKKNPQRKLVTLFILQLGEKFSKFTEFNALKMDSLQEKYSHQSTVGSKEINEMLNFRSKISIVLIKDIAKGTYVFQDRVKNTYQYEEKQPSFNWKLEKGTKDILGHKCNKASTEYKGRKYTAWYATDIPINNGPYVFQGLPGFILELEDEDKEYHFIAVAIDKNSKPIYIRTESDILKVSREQFKKVEKSYYDNPGFFTNGAYNEDGSEIKVKSKPYNPIELE", "MKKILLVAAIAGAGLVSAKSSVKHVSVESKSVKKEFVKKTKKAQAAKALFYRWGKRVSPCGEVYYLDLDKYADAVELFKAVDEFDYAKCGYTE", "MAARRIMAGIKTGTAEGYSTILNYYNKLKAT", "MSEVNLSKSSTKKILPVILATAIFMQMLDSTILNTSLPSIAKDLQESPLNMQNAIISYVLTLAVFMPVSGFLADKFGTKKVFISSLILFSIGSLFCALSQNLTQLVLSRVIQGIGGSLMTPVGKLALIKTFDKNELLKAMNFAIIPALIGPVLGPLVGGYLVDYFSWHWIFLINIPIGIIGIVLGSKFMPDYKSESLDFDLKGFMIFASASLLLSVSLELFGDLQNITPVLFIFILGFLFLYYYYWHAKRDENPIFPLNLFKVRTFRVGIVGNMATRLGISSVPLLLPLMIQIAYKQSAVTSGWIITPMAITAIFAKSYVIKILDTFGYRKTLMTNTFIIGSLICLLAIPGINTSIYWFTPIIAVLGFFNSIQFTSMNTISIADLRNFQTSSGNSLLSVNQQLAIGFGITFGLIVLKLFENSALIKGDVHNAFRYTFLTIGILTIISGFVFRRLHISDGKNMKSKQN", "MGILTWIIFGLIAGAIAKAIHPGSDPGGWIATIIIGIIGAIVGGWLGSMIFGVDVTGFNISSFLVAIGGAVLCLAVYSAIRK", "MKVLKFGGTSVGSPERIEQLFPIITSQTADKHLVVLSAVSGTTNDLVTLSELYAKKEDKAVQKHIDILYDKYKEFVKELFRTEPGTLEALAFIDNIFQLLYKFDSANFTTKEERIILAQGEIISTTLFHLHLKEIGISSVLLSALDFMLIDKEGEPDVEYIREHASTEMAKFSDEKLFITQGYICRNAQGEIDNLRRGGSDYTASLLGAALQVEEIQIWTDIDGFHNNDPRHVPNTKPIAHLNFDEAAELSYFGAKILHPQSVFPARKYNVPVRLLDTMNLSAPGTLISGETKNLNQIVAIAAKDNITAIRIESSRMLMAYGFLRKIFEVFETYKTPIDMITTSEVAVSLTIDQTEFLSEIIKKLESFGTVDIDYDQSIICIVGDFKKNNHGLATIVSEAVKHIPVRMISYGGSENNISLLVPTSYKIEALRSLHNRLF", "MNTNIYDYIVKTEQKEWQPLIEKGIHYEGIFVKSLKFDPEKNRSTTILLKFEPGASYPYHNHPAGEELYIMEGKATIAGAELEKGDYLYTPPNFKHSVKSENGCMIMFIVPEEVEIL", "MKVRQDIAVFLLRIALAAGFLSAVSSRLNLWGVQSSGWSKFVRYTAEVNSFLPYSWIPSLAVLSTIAESSIGILLLIGYRVHKTALCAAILTVLFGIAMSISFGCKEPLDYSVFVFSAGAFLLSTFSHYIWSLDQLLHQ", "MIEIIRYSHETGHPEPGRVVKYTLFWCKEGSAEIMIDENIFILKASQLVTITSGQFHQLISVEGELMALEFTLDFFSKSDSDIELIFHNGLFCHFGMNEIITIYHPSFFTETLNLIEKEIEEKPYQYLISTHSMVELLLVEINRSKIANGDEIWKPDALFLNFLESVRNHFSENYPVSRFADLLSTTEAKLNEVSKLHTNKTAQNVIYSLVISEAKRLLLYEKLSIKEIAYQLGFNDPFYFSNFFKKHTSHSPKDYQKVVRN", "MSKYNTYIFDFDYTLADSSKGIIMCFRYVLENHGYHDITDHQIKFTIGKTLEESFSILTGITDKEVLTSYAKEYVKKADGWMTANTVLFPETASVLNTLKERGSKIGIVSTKYRYRIMEFVDKEFPKGFFDIVVGAEDVTAHKPDPSGLILAIKHLQSDLEGCLYVGDSIIDAKTAQAIGADFYGVLNGITTREELLVYPHVKIADNLNDLI", "MRNFKKTTTFIGLSCLFLVTSCRSTDNITDNPGDNLITNGSATLKFNLSEGDYTAETLDPTASLQHNKASLSTVKVQEVKFVSDDQPFIATLTPVSSISKQAGVKNTLADVVNNPLKGPNVKYRVIAYRRSDGTKASTKVYTIDAAGNSTPDDGIAMALDGDTETVNKYDFVVLSYNSSVAPADVTGNISAASLTNISGNDDLMYFRADNVRVTKGDNLLSVVLKHKFSQITTIVDASAVASGNGIKVIDTPAITNQRATGNSIKLSNGVVTYGTTGSSKSLDFTGNSTANPIWTSKPLLIANPGAAASDMATLTFNSMTVGTKVKTNISVPNLVISPEVRYNLNLKFACTAISTPSYDFNMYEPATSTKDRISQGFTFPAANAGFTFEVYELDNSFNLKINGVDLANQEIQFESGISGLPQNIRFKSDKTLWGSSGNSQIYNINGSTTNPKTAVIRIKIGPDGSVSMMGRRNLSSPLELLELFGGAQFNRITWKSDTTNDVIATMKVTRLTQLVGYGEGRKVVPCP", "MNDLKKQEYVTPRVGSFLIEMEHGIAAGSARVLPPNSGGQVQEEWTQDPDDNRTIEW", "MQAYTYLLINFFTIIICFIASFDRRIRFNRFFGIFLLSSTIVAIPFILWDVWFTKMGVWWFDTEYTLGIIIAGLPLEEWLFFFCIPFSCVFTYFCLDKFFNFSWAGALNNVIVFLSFILLTVCALLYYERTYTLVTALITLLTVFYLHFIVKSEWIGQATFTYLILMPGFFAVNGILTGSVIESPVVNYNPNEFLGIRMFTIPVEDAVYGYSQFLLNIFFFKLLKNKLIIRD", "MNFLIVLLVFILMEGATWLIHKYVMHGFLWILHRDHHDHSNEGPLERNDLFFVIFAIPAIILLYKGVNQNLNYLFYTGLGITIYGIAYFLVHDIFIHQRSKIFSNTKNPYLLAIRRAHKQHHKHLGRKQGECFGFLWVPVKYFKMYFNKK", "MKFTVLSDLKNTPIYEGMEIDYLVSPVLGIPLKWKTMITQVNYQKSFTDLQAKGPYRYWNHYHEFIENDKGVLMKDSVDYELPFGFLGKLAHSLFVHKRLKSIFEFRYNFLEGYFNRKN", "MIKDLQQTDNETLPLAYLGGLETVWAKHAVNPISKLKTFNKGKRKIEQAVQKEPENIEIRFIRLSVQKYAPSFLGYNKNIKEDLSFIQKNRQEIKSQILLNNVDKLLKYSK", "MKKLFDELSYEVSKCTTRKYSTSFSLGILALKPSIRSAIYAIYGYVRLADEIVDSFHDYNKEKLLSRLKKETYNAIEEGISLNPILQAFQQTVHDYRIDRELIDTFLHSMEMDLQKIDFDSKLYNEYIYGSAEVVGLMCLQVFTEGNKEKFEELKPYAMKLGSAFQKINFLRDLKDDYQILGRTYFPGINMSVFDNSVKFKIEDEIETEFKQALIGIKKLPGSSMFGVYLAYRYYLSLFYKIKKTSSQRIMQNRIRIANSQKLLLACESYIRYKVAYL", "MERKKIAVIGSGFSGISAAAYAAKAGYEVHVYEKHSQPGGRARQFRTDQGYIFDMGPSWYWMPDIIESFFNDFNRSSSDFFDLVSLDPQFEIIFSNDKIQVPEDSEDIRNLFEKHEKGASVQYDKFMESSRFKYEVGMQEFVNKPCYNWGEFISLKIAKSALKLDLLSNFRSYVSRYFSNPKLRTLMEFPVIFLGASPKNIPALYSLMNYGGYALGTKYPMGGFYQLVLAMQKVAEEQGVIFHFNQNVQSINVENLDIKSLTINNENYSFDAVIASSDYHHTETLLSPEFRNYSEKYWQNKTFAPSCLIYYLGIEEKLPNLKHHTLFFENDLDDHIDCIYGDKKWPDKPLFYCCCPSKTDPSVAPENCENLFLLMPLALGLEDGENIREEYLGKMLLRIEKHTGVKDLVSKVEYKRSYCINDFMSDYNAYGGNAYGLANTLSQTAVLKPKIKNNKVKNLYYTGQLTVPGPGVPPSIISGKIVANQLENLKYKRYEKVV", "MSKILKCIIIDDEEGAHLVLRHYIKDLKQLQLKESFYNPVEAMDYMYANPVDLVFLDINMPGMSGLQMLKALHNPPLVILTTAYKEYALESYEYRVVDYLVKPFDLARFMAAIENVFSRFPKLVESSENLVNNLISKEPFIILKVDGHVIKVNYNEITHIQSWGNYIKVFTTNGYFLSPTTTTETEQKLDKKLFMRIHKSYIIALKRISKISGGQVELDTGLILPVGNTYRRSLLEYFQ", "MAKVEKWYKKRYFDEIIFFSAIFILTMLPDFIKPVSMMYLVKNLVFLALLYGQAILHRYFIFPFLMNRQYLRYFISGILFIVLGAGLLLAVDYYWVDPDYYRAEDVILFKDFLYNVVLCSISIAAFLSLFLIRNYSKELEKKNEAQLMLSEMNIKYLHAQLNPHFFFNMLNNLYGVSLAEPSRTPELILKLSDLMRYQLENANKEIVNLKEELSFIQNYIAMEKERVGKRCLIEYNFEDKKTEAINYQIAPLVLITLVENAFKHSLTTQREWFVKILVHLGNGVLSMSVHNSMSDQSLKMSSTGIGLLNIRKRLELLYSGHYMLDIIEEGEKYQTNLVLSLKHYNHE", "MKTIKMFWWYCLILSFVVSPGKLFSQTAPGKVVKVKGTVVNSTDNKALSGSAIILKTEDGKALHTITSSADGSFSISIPKQLKINIKISYLGYNDYNSETMSVEDEDLDLGRISLEEKSSNIKGVVIAGTRKKPLIQNGKDKIIYNADSDISNKSGNATDVLRKAPMLTVGANGELKLRGNSNIKVLMNGIPSGIMAKNLKEALKMIPASSIVSVEVITNPSAKYEAEGAGGVINIITKKKLKGTSGSLDLSGGNLEQSGNLALNIATGKFNISATGSYSEEREESTVQTERTSLSGQQQIGRLFQRKNSLQTDKGGSAGLNIKYQPDSLQTIETSFSYWKGSWPQKGNLYNRYSNHSATDEYHQKIKQSGRFNYKEWVLNYQKKFRREGQELQLVAQTSYSAEFSDYLTEQYKMDGKLAFRESGPNRGSEKEWSIQADYAQPLNTSGKILLETGVRYYKNRSQSSYEVMNSHIPVDPSRLGNIKYIQDVFSAYTTLNFETDNEWTFRPGIRLEKTFVNANFQSGSPFKRNFTNWIPNLLIVKKLNENHELKFNYTERIRRPWIMDLNPYSNASDPLNITSGNPYLEPEQTRNIELSHVFTTAKKASLTSSVYYNFNKNSIEPVTVVNKEGISYTTPSNIGENNRLGANVSTVFSPVKKWTVNANAEVFYLQFRSKSLGLNNTGTFFTTSLSNTIALPDNLNLSISGDYGNGFITLQGKNSANYSYRFAISKQLMDNKASLTLAITNPFQKAFRENVYAFAPTFQSTGINRYYNRAVTLTFSWQFGGLKPAHDKESRFSDEGNDKHIRGKKLK", "MKKISVLALVAVGLLAASCNKAKTDTSVATEQTVAEGKGEKLAVDTVASVVNWKAFHKGGFAPRWGTLNVKSGDLSIEGGQVTAGDFIIDMTSIKVDPASVTEADKKPAELEAHLKNPDFFNVEKNPVSDFKITSVADLKETPKDAVAGANKTISGNLTLLGKTMNVTFPAKVDITDATAAIQAKFTVNRADWGIKFGTTESDPAEWMISKDIEIAIDVKAKK", "MKRSYLGIGIFSAALFFLGNFETVSAHEPLAVTLEKVQNNSDSVKVENLAKELKKLKPISSNDFKAKFKKEINGFKLTEVEAYEDPETGSSATANYKKGSQNIYLMVTDGAGAGSEQVKSSLLNYLELQKYEEPTDKSKVKNFKGWWVSFDWSMFEGDGLTSIQYLEGNRYGVVSSANKVPIDELESFLKNFSL", "MITTKYINYRQILNLSGLHVIILTIWCTLVAALFYFFKWQWMVIPWVPVALIGTAEAFYVGFKNNQAYDRLWEARKIWGGIVNSSRSLTSMLYAFNTAKESDLELEKKRKKIAYRHIAWLYAFREQLLVPTEWEHISIEKHGVNVDQRRNRLIKAGFPDYGRTPIFLNKYLSEEEAALQSEYKNFATFLISQQAKDVNELKNSKDISDFNQMQLQECLNLFYDYQGQAERIKKFPSPRQFASTAFIFNVIFMMLLPLGLVNEFAKLGNWGILLSIPFCVIIGWIYIVMELVGDYSENPFAGLMFDIPMLSICRTIEIDMLQIIGENKDDLPEGITSKNGVLV", "MQVGGVTRIINGDGDAVSFHMFSDWLPTVYGKFPSRSIALENVDIQYSDKHGLATYTEIQITGDTINKRKSSAVFLIVEDRALWLHLIEEWV", "MNNTTTYKSCSRIFSALFSTLLLTFSVASCSPDNNETSSVGGEGMKMVIKVDGVIGTEVIQPVSKTAVASVSSSMPLTGNASAINTTTTTASGFIADAKAEQVPMNAAAGNQVLRSAVASTGNLNNNLIAATQPMPAGYTYRILIYDKATGLLWKTVQATSGTPVSLDAVKGGTYTWYAYSYNNNETIPEPANTANPAIDTAIDKDLLYATGEVVVAKTPQNQQDTYNVAITFQHKVAQVSVKVDASILAEYATINSFKASFAQNNYLKKGTFDIKGGSISNLQVIPTTDIFTTLSPTNVWEANYYTADPAALTSYKVNIDDLQVHFTDAAPAVADRNLATYNGAANKPSFTYNFTSPASGQRLLGVANLWYMLTSKRILHISNNTTYGYALEQGRSWAFLNAKENFGNLSTSLVKMAPWTPGGGAWIGGNATDDKTENWVNYSASTTGDNNIINKINPADASKKPDIIILGYDVLYIRPAVATALLDYINNNGIVIMLLQDSVGPENRSFFNGLFGVSNITLDSNGSAGAMYPLVGTDPNDKILNGQIGDARGQYWGEDAGTTLGIVNVPLSQVTVYSYGQAINRTGSNSGITMFKHNTKNFFYIGDGGFVSNGDLTSAVICPFNYDATTKRPLPKPYGDAGNGYTSRSKSAYNSIVMGNIMVWAARTSEFNGFKPWKYAAPPTP", "MRKNDKQLMNQTEKKDYKPPVLGVVYVTMEQGIASSSAQIVPGGSTNTPTITDWDEKKDEQNWEF", "MQNRIINEEGSIHSLYINLINKYLYFLFCVFLIFSLFIGLFLKDIPISLFFIFISFSFLLIGKIKKSDCSKKVLNTLVSSIIIALTFHISFFHVYNYKDVGDEYFYFSLLFAIPFFFDYKTQRNIVYVLVLFILLNFVVVESFDLNFIPRNRFLKDTDYKVLRLVNVMMSVTTFFFHIGFIVDKDHKIDLLIKDINSKKIRIEDLAAANKELNKKTTIIQDLVQNKVKEISELAEQKSPLFLEKFQLFFPDFIPALLKINPDLVPSELQMCALIKLEFRTKDIAICTDSTVKSVESRKYRVKKKLHIPGDVNIDFFLSQL", "MKTGNSYFSRTLQGIYEKISFWGIDHNASEIEKDFTILINQYLALLTLIFFSHGIAIYTFIGLTVDSLFLLSISLLFAFSYVIKEYRKNKYVILITFVLLNLIITYYSSFCGVESCVFLFYFPLLLAIPFFLNYPENKVEIIIISIIILGSLYISAINNFSLIPQSDLVLKYHYQNKLLIMNITFSLLIFRITYYFVGEKKREDYMLVDFNVTKDQYIKDLQVKIEYLEGQHKKEKLSESDISEIIRLAQTNDSIFIERFDLYFPNFFNIIRSVSKSPLTISDLYLCAMLKLNFNNKQIALYSNSTVKSIESKKYRLRKKIEIPDDQDFTIWITSI", "MKKVVFILSLIFFTASTTIQNQYKKSQLIILGTIHSGAENINTNSVYKILSDFKPDIILLEAESNIFEKENVLKSDFDGINSNEFQATLKYQKENPGVQIKPAELEGRNNYRKELGIYSEAGFVFNKIEELDKKGELKLSDQEKKHLLQFDSYWEAVDNIAKQDLKTVNSKQSDAIVDSLMQYQYIRTRAIVNNHNEFTKFRLLSSKSKTDTITYKEYFNNWAHFEGNLRNEGIAKNVIRYYKENPGKKIILLTGFKHRFFIKKYLENQNIKTIEFYQ", "MKIKAFFLYTALILLAFSGCKQIPVQHAAVSPPQWLAKAYRHVIMIEDEHMLKFGENYLIDIPVVEKPDSTYVFFVNADIPVERLKKSSNFYPSINEFILIVPDRKYYQIIAEESTKQGIQIEPLITNNYYHIIRNGGEVKTDSTHISGNGHPHISYTKPEVPKDMLKVYYADSYGSVCCPRDPKWDRKQDDTSFIKEYERNNKVKIKDTYRQNNGKEGEHAIYYTLSGLTSLQRLGFMLEKRSEEIANKEKKDLVFAPQIFTPHLVKIEKEGFRKMIKVN", "MNIKLKISYIFFFLVIGNLLVNGQSTASAKEDEAYRLHENKEYTKAAEIYEELLKTDYKNTYLLSMCGSSYFAQKKYEKAKEKYSLAILYSSPDDKKNKALYYSNLSACYSNLDNNEKAYENAMRAYRLDNSQLWNAASMAQNSHKYEECLSLMDKAAETTTLNIAYKSLYGRCYYNTERYRESVENFRDFFDHYKPDSFFADFDMQQERGVFLQAYINLIASEKDMSRVNRDIKDLQNILNSFDDPYYRNVILWSITENKNICDKYKLSVDACTKIFSTLVNEPSLKEEFWFNYNTVKNYEKAFQLSGKILASGHDREIKLYQYLSSLHLFIIDYYKHNEKADEKKLNHLIVLFKDLFEKNKIYSDKEFTDFSDAYAPVMKTFNIFNLYFRNKEQIKAVPYVKMIMENVPNEKARSGIMKILTAGYIDN", "MEEKQPQRLTKNKIFLISGVAALLLIACVFIFFRKSEPVPAKNQKPLRKDSILAKRNDSLVKPKDTIDNNGAEGEEESPYTEYQVIANTVPLSSGKLNFGDKVFVDESKSDTDYKTITLQNPFQFPNAAKYRVNTSFFIESYRFDEYKTNFSLPPFSTLYTGVKKLLLNENYSDGNKYNITQNKERAKSCVAFGDFDGDGVRDVAVIMDNNEKQISRLLIICSNEVTKQPYIAFAENYSDKVKIHTDMTEYAPPKPNAVIVSSDDISLSVGYDKESQKFKTSTWK", "VNIGKDLLGESQYNKRITDAGKYALVMAGIFSIFLALALIIINRFINNYIRILKSLDECDILKLKEYNDVQLSLNKYTVPFIFEKNTLHIFKLGKVTSIRGSNIVSYAIEKIYSRGRVFYRLDINTRNGKYHYTMYNIDKQAKMLQRDIASIMYKKNC", "MNRIIILLLFISGFSFGQNTEFFSDSKTVIKPGKYKINIIQKNNKTESVVSFNLLRKSGSNWSKIQSGSFKKQTNFPLLVATDEDLNNDGYNDLKISYAQAARGANEIEKLFVFNPKKQKLTEIINSQEYPNLHYNARRNCITSYMFYGGNVTYFLNIKQDKLEGFGKVEFSNDSIYSYKIKSKQEILLKKEAYKSNDGAVFFSNFDPVEE", "MIQKAFTITILILGTLLFSAQSKTNMEGKSFTCFITSLCVETIPPDPCAGYADEMELHFRKNMVEIVSVRSKCNKTQRKSVSSKWRWVSGNQIKIENFNYENQPFISGNILYVKNNQLIGKHGNGFTRDFTFEPLVKKRK", "MKTGIFSLFILTANIAFAQQKLDNIRIDLPTSGDTGNAYINTVTLKDFDKKTTALTDGNYSIDNSKQKANISVKKGLISGTVTETEEQVKFNFTIENSYITAYNMYNGSDLALDVRRDKEKAYFKSYHPNKALKSEGWVSLDKNKHFGRGISKQYSENGTLTGIENDITVSYTAFYPNGNKKEVTGVNLFESYNEDGTPENKQYTKNNVRYDDYYYKGKLSTSSYKNKQGNDVKDYYENGQIQKKEVVTSVNGELWLSTYDKSGKLINKQRYSETGARQASPSN", "MKKTLKIIVSVIIFLGVFLVAGNFIKVAPNETLLYKIQQYVTYSKADWENYERNKQLLAENPVPASQITDVAQAVQQDDIYPVDTNFATPAVKAQEMERIKKAKFENLVVAKIKPDDEDAQAALIRFTRDRLTDVIINQKLNIKVGKCYVNANTEGNFNCVSCMILLYNRDKKDWQEAPDGENFLKNSYDFYQSSEGTRWEAKDLSMQIPFDYTLRKKYSAR", "MKAIKILRNIMVFIGILLLVFDFLLVLPEYYACKNAYEGEDATTIWGYKVDCIGDSAEFTLVFFQLVGCWILGIFIIIIILHLVYKKQKKNVRSIQR", "MFDQFRDKFRLDDEKWNRYINYFNKMKVPAKTVLLNEGEISKKLFFIEKGCIRVCFNHDGKDITSQFFFEESVVASIESFRKNIPSPTTLETIEPTTLWWIQKDDLNQMLEEIKEIPYLRDMFIDKIFERTFNYMKYFISSIKYTPQQRYLDLIRERPEIIQRVPQHYIASYLGITTVHLSRIKNKLLKNKE", "MKAAVILSKGALPTYVEDFAEPVAQNENEVLIHVKASAVKNLDKMRASGKHYSVQNENFIAKVVGGDGVGVLEDGTRVYGIGASGMIAEKAIIEKDKIVKLPNGISDEIAAALPNAVMGSAMALRFRANLQKNETVLINGATGVTGKIAVQIAKHYGAKKVVVTGRNEEKLKELLALGADDIIVLKQDDDVILSQIKALHQQSPFDVVIDYLWGHSAELILSAIKGNGGFSHRTRYVTVGGMMNDNMTLSSSILRSTDIQISGSGLGSWTPAEMKLLIHEILPEMFQLAADGQLKCDTVSVMVNDVEKAWNMNIDSGKRLVVIIGS", "MKKYLLKIYFTLSLLLAADIAVYCLYKISLRGYYADIILFWLWFFGSIFVIIVYWKKLLAKLFLGVIILAFTLSMLPMGLFFYAFIFSSTPAGLWMNKDLNENYRAQIVSYSVMVPPMLQIVEKKGLFEKQIIQCTDSELRDRNLEVSIRNSKDLILQKDTDRSITLTLFYGGPNTTLTFDKATGKLIKIEK", "MKNIVRCALIFLFTVSFSNARAQKQPNIIVVLTDDMGYADIGAYGNPVIKTPFLDQMSRNGLMATNYVVSSPTCTPSRASMLTGRYSSRYDLPWPIAPGSKQGLPDDEVTIAEMLKANGYNTGMVGKWHLGDQKAENKPNGQGFDFYYGILYSHDYKAPYVNTDIPIRMFRNTKVEIEKPADSLLTRLYTKESINYIRQQKRDKPFFLYLAHNMPHLPVYYAAQSSSLKNKQGGALGAVITEMDQGLAAIWKALEEKGMADNTIFVFSSDNGPWTNFPERMEGDGVTIASHVGSAGIFRGSKAWSYEGGARVPFIVYWKNKINPGTVLTSAISNLDLLPTIARWTNSPLPKNRELDGQDISQLLESKIKESAYNHRPIFIVNGSTKPEAVKYGNWKYREVPDLKHPQTGAVVPATTELFNLDEDPKESVNLIAKYPEKLKEMKQIFDSFKAVGK", "MKETQTLHVKKNVVQKSALIRQKEDFVPVKKGRFGTIEYGILAVTFILLFSSIYAVYLLQPEFEHLHWEQMNSSVGIVVIGIGLFLLSVAVCFLVYLLVLYLRYKPIASVTDDQLPTCTIIVPAYNEGKLVYETLHSLASSDYPEEKVQIIAVDDGSKDDTWTWIKKAKEELGDRVMAYQQPRNMGKRHALHRGFQLGTGEIFITVDSDSIVKKDTIRNMTSPFVVNKKCGGVAGNVRVLNNQKELIPRMLNVNFVFSFEFVRSAQSMLGSVMCTPGALAAYRREQVMNCLDEWVNQTFMGRPSDIGEDRAMTNMILKQGYHILFQRNAYVYTNIPKRYKNLYKMFIRWERSNVRENIMMSKFAFGDFREGPKVGTRILLCMQWLKLVMSYPLMLLMLWFIIKHTMLFISSTLLGILIFSSVQAFFFAKRNKNTPEAFWAYTYSIFYAFTLFWITPYAIATASKSGWLTRELAVKES", "MNNHRIAVIGQGYVGLPLALEFAKYFPVYGFDINTKRVQELNSGKDHTLEADLTLLSKVINEANTSGFSKGYIASDSLDTIKDANVYIVTVPTPIDKFNAPDLRPLLDATKMLAQILKKGDIVIYESTVYPGCTEEDCVPVLEKISGLKFNEDFFVGYSPERIVPGDKVNTLTTIKKVTSGSTPEIAETIDNLYKKIIKAGTHKAANIKVAEASKAIENAQRDINISFVNELALIFDRVGIDTNDVLEAAGTKFNFLKYKPGLVGGHCISVDPYYLAHKASQLGYHPQVILSGRRVNNSMAEFIASKVLKLMIKKDISISNADVLILGVTFKENCPDVRNTKVVDVYRELKEYGLHVDMYDPWVDRAEVKQKYKIHMLDSINYDKKYDAIVLAVSHDEFLTLDLNKLRKESSVVFDIKARLDREVVDARL", "MRKLLFAFAVFCITGIKAQQFDAYKFYNKKGKAVKTEKIVKQLSDYDVVLFGELHNNSIVHWLQLKFTEALYQQKNSQLILGAEMFERDNQPQLDRYLSGKLDPKNLKDSVRLWTNYITDYKPLLDFAKAKNLKFIAGNIPRKYASQVAKQGLESLNTLDTKEKTYIATLPIKVTLDTPGYKEMKTMMGDHADDLKVMNFISAQAVKDATMAESIINNLEPGKTFVHYNGNYHSKEYGGIYWYLKQRNPNLKIAVISVFESETPKLSVPEKDYVPTDFNLIVPADMTKTY", "MNTLVGDLKEKWAQLKAETPHLRIRNAAEQLGVSEADLLVTNIGEGVTVLNPDFAGILTDVQQLGKVMALTRNDECVHERKGIYLNGDFSSPHAQLFVGEDIDLRIFLSSWKHAFAVVEGDKKSLQFFGKDGLALHKIYLTKDSNADAFDTITDKYKADVQNQEFVFEALAPKAAEKPDTDIDVEAFRKEWSELKDTHDFFAMTRKYGVTRTQALRLASDEFVTKIDPSKVVTLLETASERKLPIMVFVGNRGIIQIHTGEVNKVLWYNTWINVMDPDFNLHLDTEKIAGAWVVKKPTEDGLVHAVEVFNKDGEFIAQFFGKRKPGMPELQEWKDLVAELEK", "MNTEDLKLLAKNLANPQGEKGIEIAEMMDATNISMTMESVAALELDDSDRILEIGHGNAGHLEQLLKLADDLNYTGLDISETMRDHAQRKNIKFENQSQFFVYDGQNIPFGEQNFDKIFTVNTLYFWKEPNAFLEEIYRVLRNNGTFVLTFGHKDFMSNLPFTQYGFQLYDTEDVEKLVAKSQFVQVKLLQKEEWIPGKTGDGPVKRNYTILTLKK", "MIKAHHISYLHRKFHILDGVDVSVEYGEFLAIVGPNGAGKSSLLSVLANEVKQDKKQQILFKNKLISDWGIQEISKHKAKFSQHNSNDIPLQVKDVVMMGRYPYFDGQPKKEDWDAMSKMLLETDIVHLKDRDYNTLSGGEKQRVHLSRVMAQLENEVAHKLLFLDEPLNNLDIKYQYRTLESIKSFTKRANSAIVVLHDLNLAAQFADKILLMKNGRVAACGKPNEVFTKERISDTYNFPCSICKNPVNDTPMIIFG", "LKTQNKLYFYLVSGGLLLIVLAVIALYVGVYNFGGNSPFTVLWKVITQDPQLPLSDKYILWDVRLSRIVMAILVGSMLAVSGTALQGLFKNPLATGDLIGLTSGATLMAAIAIVLGSSFRAYLPEAVQFSLVGLSAFVGALLSMLLVYRISTSSGKTNVVMMLLTGVAITAIGFSITGFLIYISKDDQLRDLTFWNLGSLAAATWTKNLVLLFVLLISYFVLMPKGKALNAMMLGEKDAQHLGINVEKLKKQIVVITALMVGTSVAFSGAIGFVGLIVPYILRLLFKSNYYFILPLSAVFGAVLLLVADTFSRSIVAPSELPIGILTALMGGPVFIAILMKHKKSL", "MKKAILMLSVVLALYSCKKETDKLSSGTTEQTSENPKSANKIVSLSGGITEIVSALGHESEIVGTDVTSTYPETLKTTAKDLGHVRSMTIEPIMALSPTLILASDKDLNPELLSKIKSSGIKANLLQQEFSVEGTKKLIEQVAKAVGNTDYQKLNDKIDADMKQVQPLTKKPKVLFIYARGNNLMVAGRNTPMEKLITLAGGENAIHEFDDFKPLTPEAVVKANPDILFLFKSGLMGAGGNDAVLKMPGVAQTNAGKNKKVISMDGGLASSFGPRLGEAVVELNKLLIENTK", "MIIQPRVRGFICLTAHPEGALQNVKSQIDYVKSKGEIKNGPKKVLVIGASTGFGLSSRISAAFGSGAATIGVFFEKAPSEGKLATAGWYNSAAFEKEANAAGLYAKSINGDAFSDEVKQQTIELIKKDLGQVDLVIYSLASPRRTHPKTGVAYASVLKPIGEPFTNKTVDFHTGVVSDISIQPVDKDEDIQNTIAVMGGEDWKFWIEDLKNAGVLAEGVKTVAYSYIGPELTFPIYRNGTIGQAKNDLEGSVTAINDMLKDLNGISYVSVNKALVTQSSSAIPVVPLYISLLYKVMKEKGIHEGTIEQMQRLFADRLYTDNGEVLLDDKGRIRIDDWEMREDVQKEVAALWETISTENLAEISDIEGYRKDFFQLFGFDVPGIDYEKDTNEVVNIPSIEA", "MKIKLLLIAACSPVLLFSQKIFTQDVDNFWKTYDKIIKTKDSAQKLSLIQTMYINKGTQGLHDIMKARRYSAQEYIDVIGKYPKFWSSIRSRTLKYKKQAKNVEKSITALKRIYPEAKPANTYFTVGLLRTNGTIMNGNLLIGTESAFADKDVDISEMDKSYPQLKAYFATNPIDSFPFLAAHEYIHTQQKSTIGNNLLTQVVMEGVAEFIASLSMNQKSPTPAIDYGYAHETEIKDVFVKEMFSPYTWNNWIWNATNNRFKMADLGYFMGYALIKKYYDKQADKKSAVKKMIELDYNNQAALSAFVEESGYFEKSVSYYKDEYEKNRPVVVGITEFENGSKNVNPGIRIITLSFSEPMMFFTNFELGPLGKENLMRIEKVLGYSEDKKKLRLQIEKLLPDHQYQIIVGEGFRSVNNLPLKSYLIDFKTALK", "MFKKLIFLSISAFMFSQQTEEWDLQKTIDYAMSKHPTVQQSILKVDQRKQEITASKGMLLPSVSASTSQNYSFGSTINPGTNQREALNVGTTQFSAIANWELFNWRNFMNISLSKMNKESSDYRLKAVQNDIALNVIQLFFQYQNDKALLGVLKTQLDGVEEQIKRTEKEVEIGNRPKSDIYDIKANMGTLQEQWISAKNQKEISKNNLLNALAINSDNIDFVQNTTDTSSALAFSDENFVKEMLEKNPAYLAAAKDIQASAEKIRVERSGYLPTLNGQYSWSTFYSKVLGGNQPTTAFSDQFNQNKNQQVYFNLSIPVFNKLQVKSNVEIAKLNKINADLEKEKTVNNLVTALKAIKIQYQNSEEKYRLLQQNFENQKLSFEKSEEKYKEGLMDAYTFFVVRNNWLQANFNLIKSRYDVMLQEELWKIYNR", "MLHNWIKIAFSNYYKNWLTTLINLLGLSMGLTIFLLVFLNWQDEKSYEKWVPNKENIYFVELQTAKNNYASNVNYPLLHTAPKMFPEIENYSVVANIGEDDKTKLIADGRSVYTIPIAASEDFFKVIQLPKVAGSYNNILVDRHSAALSEETAKALFGNDYLNSIGKVVVADNDGSKYVVQAIYKNPADAENTIFRGGFIVRQSNIDNNQNWTNYSFYGFFRVKPNTNIAKLEEKLSKWDDDNERADRSKYGWADDRDPIKVHLTNVRDMKLEAKGSGIMKGDQKSIIILMTLAGLILILSGINFINLNTAQASQRAKEIGIRKALGSSKGKLVLQFLLEAFIVYITAFVISLVLLELLLPVYGKFLKKEIKVEGIHVYLYTFLIVISFAFFSGIIPALYLSNFKPIQTLKGNFARSRHGVWLRNAILSLQLIISSFFIISSLIIYTQVNYMMNKDLGFSGDQVFQINFKKTNFIDENYNQRKYERYRDKIKHFPGVIDITGSSHTMGGGITSSSGAKYKRDSTKSTSAGIGAIDFNYFKFYKIKFIAGHEMNPKMTTDTTRGLIVNESFVKKMNWSPSEAIGKEISSGLDDKSNNMLIIGVVKNFHYGSVQYDVFPMMFFNYQRYWTKNQMNNLQIKLSPENIAENTERIKKYWETEVEPGYPFEGNFVNKNFARTFDKFKKQRLLFSILNSVVLAVALLGLFALSSLMIEQKLKDVAIKKTLGASDGILIKDLTRKFLWITTVAVFISIPFSYYFMNEWLKEFVYRIDMPWWPYILSLIILLLLTFLVTSIKAYRATKVELVKYLKYE", "MLRNWIKIAFTNYKKNWLTTLINLLGLSVGLTIFLLVFLNWQDEKSYEKWVPNGGNIYLIELQNGKDSYVSQVNYPLVHTSPKAFPEIETSTIVNIWMDVKNRLTRDGHSVYTTPIFATENFFKVIQFPKVAGSYENILIDNTSIALSEDTAKQLFGKDYLHSIGKTVVLDNEGSKYVVHAIYKNPEESENTIFRGGFVVRDPFVNESKESWTNYSYYGFFKVKPNTDLEKLEEKLSKWDDDHERIENRKNGWPDSGDHIKAHLTNIRNMKLDAKGWGLMKGDKKSIIILLSLSGLILVLSGINFINLNTAQASQRAKEVGVRKALGSSKRKLITQFLLETLIIYVTAFVISMVILELLLPMYGKYLGKEIRIQGFGVYLYAVSIVLVFTFISGIIPALYLSGFKPIQTLKGNFAGSKHGIWLRNAILSLQLIISSFFIISSLIIYKQVNYMMDKDLGFNSDQVFQINFKKINFKDGNYNQRKYELYRDRIKHFPGVLDITGSSQSIGSGLRNYGGARDKRDSTKSTSAGIGAIDFNYFNFYKLKLVAGRDINPKMTTDTARGLIVNEAFVKSMGWKPSEAIGKEISSGLADNSNNLLIIGVVKDFHYGGVKNEISPVMFFNYQRYWTKNQMNNLQIKLSGDNIFQNIERIKKYWETEVEPGYPFEGDFVNKNFAKTFDKYKKQRLLFSILNSVVLGVALLGLFALSSLIIEQKLKDVAIKKTLGASDGVLIKDLTKKFLWITGIAVLISIPISYYFMNEWLKDFAYRIEMPWWPYLVSLVILLLLTFFVVSIKAYRATKVELVKYLKYE", "MLRNWIKIAFSNYKKNWLTTLINLLGLSVGLTVFLLVFLNWQDEKAYEQWVPEGDNVYYVERVFNNKDFNAVCSYPFLEISTKMFPEIQNFSVINYWKINKSRLLADGRSSYNSSAEVSEDFFKVLPFPLAAGSYNNLFVDENSIALSEDVAKQLFGDSYKESIGKTVTKDENGKKVVVQAIYKLPAEEENTIFRPGFVVRNSNIDYNKNSWSNNSFFGFFRVKPGTNISELENKLSAIQTRQQNIELKQSGWPEMKKPIEIRLVNIKRMRLDAKSGGLEGTDKKSILILLSLSGLILILSAINFINLNTAQASQRAKEVGLRKSFGSSKGQLVVQFLLETYIIYITAFFISMILLEFLLPQYGKFLNKTIRMENPMIYLYTVLIIFGFAFISGIVPAVYLSGFKPIQTLKGNFSRSKHGIWLRNSILTLQIIISSFFIISSFIIYKQVDYMMQKDLGFHGEQVYQLNFNKISWENNYNMKKYQLYSEKIKNFPGVIDVTGSSQTLGNGVNSTTGIKYKRDSTKSVDAGVGAIDLNFMKFYKVKFLSGRDFDPKLTVDTTKAIVVNEAFVKKIGWNNQEAIGKEMTSNTDTKARNMVIVGVVKDMNFGDVQYKIEPIMFFNYDRLWTRNNLISLQIKLSGENIEENIARIKKYWETEAEPGYPFSGEFVNKQFARTFEKYETQRTLFSILNGIVLLVALLGLFALSSLMIEQKLKDVAIKKTLGASDGILIKDLTKKFLWITLIAVLISIPISFYFINEWLKSFAYRIEMPWWPYILSLAILLLLTILVVSIKAYRATKVELIKYLKYE", "MLQNWTKIAFTNYKRNLTSTMINLLGLTIGFTVFILVFLNWQDEKSYENWVPGGENIYLVENNSAIFGNMSVSSYPELHVSKEKFSEIEDYTIAGLWQSNYKLIYKDRSAYAKEADAIDSYFEFFPHEAIAGSFKNAISDESKIALSEKTAKSLFGAEYKNCIGKIVKKDSDDKSYVVTVVYKYTDKNSVFKSDYIIRTRGLNNSTNWTNYSYIGFFKVKPGTDIKNLEKKLTDQMTVEEKKNSEKYGEEYNEKEKTIISLVPLNNMKLDAKSEGIEKGDKKTILILLGLSILILTLSGINLINLKTAQASQRAKEIGVRKAIGGSRFGVVLQFLFENANICIVAYLLSFVLIEFLLPSYNKFLGKEMSMANTNVFVYSALLLILFIFLSGIIPALYLSNFRPVNTLKGNFSRSKHGVWLRNSILTLQLIISSFFIICSFIIHSQVQYMLDKDLGFNGNQVVEIDFKKTDYRDNYNYKKYLRLKSEISKISGVQDITGSVLSLGGGVRNSSSVKNALDTTKSINNVGNGGIDYNYFQFYKIKFASGRDLDIRKASDTISGVVANETFVKMMGWNNQQALGQEVYPGWDSKKKYKILGVVKDFYVTGVDKPITPILFYNYDRTYIKNSLTSLQIKLSGNDINGTLKRIEEFWNTKAEPGYPFEYTFIDKAFARTYAKYEKQKLLFSILNSVVLVVALLGLFALSSLMIDQKLKDVAIKKTLGASDSNLIRDLTKKYLWLAALAVLLSIPFSYYFMNEWLKEFAYRIEMPWWPYVLSFIILLLLTFLVVSIKAYRATKVELVKYLKYE", "MLRNWIKIALSNYKRNLLSTVINLFGLTIGLTGFMLILMHWQDEKSYEAWNPGKENIYFLENGMGKNFGIWSSSTQAEVRYGKEKVSGIEDYLLINPFQNMERVSFGSKTSNPVKYTTSDNFFRFFPFKKLAGSYKDIFKNTHVAAISAETAQQLFGNNYQDAIGKTIVSDQNKYVVSAVYELPKENSVIKPGLLVRDGFLNGNEENWGDYNYAGFFMVKPNADITKVNADLNKMLYDYKVAKDMKIMNKTLKEYEAAIGGKAELFITRLDQMKLEAKGGGLQKADKKNIYTLLGLSVIIVLLSAINFINLKTAQASQRAKEVGVRRVMGGTTWQLTGQFLLETLLICMLAYLLATALAEIILPAYNKFLGKEITLSNANVFVYSFGMLLITTAISGFIPAVYLANFKPINTLKGNFSRSKHGIWLRNGILTLQLIISSFFIISTLVINTQINYMLNKDLGFNGDQVINIDFQKQVDKPYQKYELLRQQLPKIKGVEAVTYTKQRMGMGSAGNSNVNYRDISIMANHGSVDLNFFKFFKIKILEGRDFDPKLSSDTLTSAIVNQAFVREMGWAQKEAVGKEVRPGFDSIGYKIVGVAQDYNQESVASKIAPVIYFNYGRNWNKSNINNIMVKLSGNNISEAISNIQDYWQKEVEPGYSFQYQFLNKQFAKTYDNYKKQRLLFSILNAMVLVVALLGLFALSSLIIEQKLKDVAIKKTLGASDIVLIKDLTKRFLWIAALAVFLSIPLSYYFMNEWLKEFAYRIEMPWWPYILSFIILLLLTFLVVSIKAYRATKVELVKYLKYE", "MLRNWLKIAFTNYKKNWLSTVINLFGLAIGLSSFMLILIHWQDEESFENWNPKKENIYFFQGYYKKDNVYGNNTSYLVAKRAKDIIPEIEDYVLINGSGYAGVAVSDKKSAYIEGGMSVSPSFFKLFPFKILSGNGEKALLETNSIAISNTISQELFGTTDAVGKTLTYENNPLVVTAVYELPKDNTEINPDFLFLSKQYANSVKDPGDAWGNNSYGCFFLFKDDASTEVVRRKVVKDIFEYRAKMFGDKGMSAEKYLELYGPNDVEFTPLDKMKLHAKASWFGGGDYKLILILFSLSVLLLLMSAINFINLKTAQASQRAKEIGIRKALGSGKLQLIVQFLLETFIITFAAYLLSLALTEAVLPFFGKFLNKEIHFQNDFYLYSAIIVIAISLLSGIIPALYLSNFKAIDTLKGNFARSKNGIWLRNSILGLQLVISSFFIIGSFIINDQVTYMMNKDLGFDGSQLYTINFNQDSKKPWMKYELLKSELKKIEGVKSVTFGEALPGFNGRSSSNVDWHNESVDAQHCSLDFGFLEAMKIKLLAGRFFSPKLSSDTINSAIVNEAFVRKFGWKNNEAFDNQVSPGFDTVRYNIVGIVKDFNVFNPRAEIEPMIFFHYKDTDWKRYNLNRVILEFDPNNMLATQERVKEYWEKHIEPGYPFNGEFINKKFAKTFVKYQKQQTLFTILNTLVLIVALLGLFALSSLMIEQKLKDVAIKKTLGASSSVLIKDLTRKFLLITFIAVLVSIPVSYYFMNEWLKDFAYRIEMPWWPYLLSLVILLALTFFVVSIKAYRATKIELVKYLKYE", "MITIEKLSKVYRTEDVQTTAINQVDLTIKKGEFLAIMGPSGCGKSTLLNILGLLDFSTSGSYKFNDLETTSLSERKKSDVRKQNIGFIFQNFNLIDELTVYENIELPLIYNGVSSSERKKRVEEIMEKINIRHRAKHYPQQLSGGQQQRVAVARALVTKPKLILADEPTGNLDSTNGNEVMNLLAELHREGSTIVMVTHSSYDASFSSRIVNMKDGEIFNEEHAVKRTDVFDKAKPEDYAVSGE", "MKKILLPLVIAGSIVTSCIIVKASDDGYTGRNSSYIEQSAESTVSETKTFNVQNFNGVKTSQAIKVEIVKSDVEKAVATSNYMEFVRVENRGGVLNIFYEIPKGNNGLRNANTTVVVYAKSLSDLKASSAGKIVVKDQFSTSTLNIDVSSAGKIQYDNIKAGRLNMGLSSAATFNGSADAQSVNADISSAATIYISGKAGEVRVDASSSADFNGSDFRSGIVKAQASSAGKIKIGVTEELTAAASSGAKIYYKSPSGIRLSVRKSSGGKVEAL", "MKTQLNKYFLIAAFAGTQVFGQQKIQPYVDERVELMSTIFRLIEAREYSDRNNELYVQDIEKYFAPVKSDPFLSTLKNIRNENGIGYDAVMSMAVHLKIKDQKIGLVKERNNTVEKRWKTVDLPVFLKGLNSFYKNSKYNQFFQSHQADYKQAAKAYSDSVLTKFQQDWYVKFYGKEPNEDYKIVLGYGNGGGNYGPKVSPEKGKDIVYAIVSGGKFTGRTVGFSGNYAPTLIHEFNHSFVNYILETKDYKSQLQDAGEKIQKEFKKPMADQAYSSWETIINESIVRAAVLVYMKENHFSQAEINNEMKEQISRSFIWTPDLVKLLEEYQANRKQYPDLEAFYPRIVSFFQNIGKNMDKVLSDFTAKRPKVKSLSPDINGKNNIDTSIKEIIVEFDQPLSGRGLSIHWGELGRDAVPISARSVYINDNKGLKIPVDLKPDKEYEFVLVGGNFESIEGYPLQDYTIKFKTK", "MDTKIEKKRSKLKIILLALAGVVILGLFLGYFFRQKKTFNVKAEDLQVEKVTRGKFEDMMMITAQTQSLNSSLVNVMEGGAVKEIFTEDGKMVTKGEPLARVYNPNTEFNFMSQETGIMQQISQMRNTLLELKNQEFTQDKEILQAQNDYNTAQQNYNLQKRLYDAEIGKKTDYDMARQNLAYQQKRKQIVEQSIVNEKHSRASQIAAVNNSIAQMEKSLDVLRNNKNNFLIMSPATGRLSSFSISLGQSLTTGQSIGKVDLMGGYKLVAKIDEYYINKLHAGIKGTLESNGKEYNVIVSKVLPEVVQGQFSAELNFADNNKPDDLKIGMTFGVKLKLSADTQSLMIPKGNFFKDTNGKWIFVTENGKAVRKNISLGRENPLYYEVLSGLKEGEQVIVSDYSDYKKYEILDIKK", "MRKKTAKILIVDDDEDILFSAKVWLKKFFTDVKTLNNPKKIIPALTEDVFDVILLDMNFRKGFENGQDGLYWMNEVKEVSPDIPIILMTAYGEVELAVEALKLGASDFILKPWNNEKLYASVNLAVDVSRKNKKLHQWESIQQTDQNYILESNSEAVQNILHTIDKIAPTDANVLLLGENGTGKYVLAEQIHRKSPRSKEPFVHIDLGSLPEGLFEAELFGYKKGAFTDAIADTAGKIENAQGGTVFLDEIGNLPLHLQAKLLTLIQNKKLSRIGETRERQMDVRFIFATNENLQQKVNEFLFRKDLYFRINTVEITIPPLRERLEDMPLFAQYFLAKYQYKYHKTLSLSEEDIKELCSYNWPGNIRELEHAMERSVILSDHRILQLSLPKPMENTSEGLSDVLNIEEMEEILIKKALKKHQGNISSAAEDLGLSRAALYRRMEKFGI", "MKTKFYIIQIAILLLGLVFGILAFDCYESGKWITALLFLCLSVFMIILNIRNAQQSGKETEQILQAINHKDFSLFPDKKQNDPLKQKAVDLYYQEKEKNTDVLSFKILYENILNQLDIGIMILKENTNDWEVFYSNPKFIEILKVPKYNRWSLYEEKSPEFFKLIKDTDYRESQDFMEVSINQAGFQTYSLRTTRLETPREDFCIISLESVQKIIERKEKMAWNNLMKVISHELLNTLTPINSLIRNMEYITDQEEISRDDQEEIKESLKIVNNKSEQLLNFINNYRQVAELPKPKLQKISIRPVIEKVLRLMESEFQNKSITVSANIRDYMVMADEKMLERSLINLLTNALHAVEDLDNGKIKINTDQQNTRTVIQVEDNGIGISDQISDKIFLPFFTTRNSGSGIGLTLTKSIMEAHNGYINFRKQQQGSVFELWFT", "MKKIVLNLAVSLDGFIEGPNGETDWCIMDDDMGFEDFLQSIDTIFYGRVSYDT", "MWNEIHSKQKIVFSHQDRSDENANFITSDIIDKVDEIKKQNGKDIWLYGGANLIKTFICLGLIDVYKISLHPVVLGKGKPLFEDLKNSIGLKLLDTRIFKSGVIELTYQPE", "MNKNVKSLLALVLTGCITQAAFSQKTKDTVKTKVIDEVVVTALGIKRMNKSLGYAAENKDSKIFEDTQNNNWANALEGKVAGLKIQTAGAGPLGSARITLRGEKSFDMDSNNALIVIDGVPMSNNTTGTGASAYGAGAGGDLPIDYGNGINSINPDDIASVTILKGASAAALYGSRAANGAIMITTKSGAGSKKLRVSFSSDTSFDTVLKWPDYQYEYGQGTLNKDKSGKFYYSYGASEDGVNTGSTSSAFGPKFNGQSYFQYDPNIIGPSLERQLWRPYKNNIKGFWQAGETYSNNLSLENSNDKTSFRTSLGYVKNNWMMPNTGFNRFNFSTSLTHQLNEKLKITTKVMYSKMMSDNLPATGYNNQSISYFMIFQNPNVDLAWYRPIWRPGKEGIDQIHPFSSYIDNPYMIAYEMLNSANNNQLNANITLNYKINNNFDVMFRSGLEWQNDIRSQRRPFSSANYPQGYYREEHITNQEYNNDILFTYKNSQKDSKIGISASLGGSIRYNEYRYEMTRATGLRKPNIYTLSNGLSLFSAIPSPKDRQENSIYGLVSLNYGKYVYLDLTARNDWTSTLRKGNNSFFYPSASTSFILSDIFGIKNTFDFWKLRLSVAGVGNGTKPYRLDRYYSIGEISGSVVAPNTLNNINLLPEKNTNYEGGMDFSMFKNRLGVNFTFYKNITKNQIVEIPALTESGFRSRFINSGSVENKGLEITLNATPIKKPNFTWNINANWSANRNKVLSLPAEFEGNPYTVASVGDVLFYQVRVGGSLGDMYGYKLQRTPDGQVIYDPSTGLPTRPADIEYVGNAFPEWRAGLQNEFKIKNFRISFSFDGQYKGMAYSQSHHKMSEQGKLGHTLWGRENPDGMVIGEGVIKNADGSFSPNTKKVLLTNYYGDYYRRANVETNSFDTSFIKLRDARVSYTFPDASIGKLKLKELTIALYGKNLWMWTKFPLFDPEAATLNNSTITPGAEVGQLPSARTIGMQLNVKF", "MKSYFKFLIPLSLSLIAGSCSRNFEQINTDDSRIKDPTSGSLLNPVLYNMSSYGYNRANDFTFDLMQVSIDFPNEGNITSRYYMTDKTGQGMWNTSYLWLKQVRDMMDIAKQEGDNNNLAIGIILNAWIYANLTDSFGDIPMTQASQLDTGVKQPAFDRQKDIYINLLDQLNQANSLLDVKKKLAESDILYKGEASVDGIVKWKKFANSLSLRLLTRISAKNGEINVHERIRKIVNDPVNYPVFTSNADNAALDISGIAPFLPPIARPQDFTTARAAGEFFVNTLLDNNDPRIPVILTKAKDLKNKDIGYKGAPAGYPLGTYFDYQPSQLSQNLAKAPMRLFMMTYSEVQFIMAELSYKGIITGNTKSYYETGVKANLEQWGTEMPANYFSNPKVAFNNSFEQIMLQKYVALFFVDHQQWFEQRRTGLPKLPNNGGLQNEGKLPQRYMYTTQSRVLNSENYQKAVQQMGGDDINIKVWWNK", "MKIQLLSAALLCGMLSAQNIAKGYVFEDKNNNGIKDNNETGISNIAVSNGIQVVLTDNKGAYQLPASEENIFFVIKPSGYRTPLNGNNTPKFYYHHNPKGAPAQFKYKGIGPTGNLPEAINFPLVKSEDKKDFNIIVFGDPQPYTQKQIEYFKRGIVQEVKNSKGIQDYTFGISLGDLVGDDLSLQPHYADAVKEIGLPWYNVMGNHDMNYDATTDEQSDATFKKNFGPSNYAFNYGNVHFIILDDILYPDPRDGKGYWGGLRPDQLSFIENDLKLVDKNKLVVISFHIPMIYDEENFRNADREKLFSLLKPFKKSFLMSAHTHIQQQLTYGKEQGWNQENKLYEFNAGTTAGDWYSGTVDELGVPASTMRDGTPRGYAFIHFNDTDYKIKYKVAGKPSDYQISIFAPKVIPYPGKTSAQIVANFFMGAPNDKVEYQIDNGDWKPMHYLKDYDPKYVTEVLKWDINPQLLEGRRPSNPEFSTHLWNAEFPKKLEKGQHKINIRATDRFGQSFQATETFRAEEAKLIP", "MENNNQYAKAEMLIRRPIAEVYEAFINPEVTTKFWFTKSSGRLDEYKNIIWTWEMYNVDVDVEVTELQPNQKISVIWGNQNEKTPVVWTFSEHGNNTFVSVINSNFSGDENAVISAVRDSTEGFTLVLAGLKAYLEHGIELNLVKDRFPK", "MEIFYVYALRSLKDLRIYVGMTQNIEIRLSEYNKENQIHKSIQTLGTDFCKRSQRQKRSPNIRKTL", "MIPVLNTISVISAFVFGVGVLYWIMDATVGKIKFFHSHFEWNGMQIKDFIFLAISIFVILSFLDFYKPY", "MSLENINSFLDGLVAKLEEIPASKKYWLVRTQSGTLYDMFRDNGFVGLEHIEISLKDLEDIRKTTTDDLVFNKVVKNRIANYYTNTHPDSEMTERKTGLISGQITRFYNSMKKGDVVVIPSESSELISFGVVKESHIANFTEEEKRKFEFDGFLCKKVEWNAEYSKRRLDPNLFKMLVSHQALSDVSSFAEIIERSLTDFFILEKEAHIIVNVETEYQIKAKELFGLGYNFLEIIDDFARMHNIEGVSSDDIEVSINLNSPGKIDLKSITKKTTVLAGLILLVAGGGYKSPNGHTLKTDGLPGVINAISDYLDRKNNREIEAKKADQEMDMKKAFFEKYKDNLQVKTPDDMIKLFKQFSENKDKPK", "MVLLFKGQQLQSYIYYSDFNRLVIAVVHPEKDLDQFLYLYDRDKNLSQRLEFFKSMKRRYEYPTCKNDYCCPRF", "MTKLELRNKLQKIGANMANVPEDLFEQMEKYGLKTDEDKFRFLANCLNETGGFKVFKENLFYTTPSRLVAVFPSAFRSKYKPNEYLRDSVKLANLVYDDRKFTKGLGNIYDGDGSKFIGRGAIQTTGRNNYTQLSKDTGIDFVSHPEWLEKPPYNFISALYYWKKHNLSAKPSLLATRQVIAGNYTNNPFGFKEVLNWYNKLKA", "MKTKNTIIGILVLACIVLIANTFYGWFNPTSKEKEYAELLKYKDKPLSETLPKTKYMDKDSINHIQMPEKPSLSYDNTVTPSTKQEIQEKTLKALNAKSEDLQEFTKVKAVISGTIPSADVKIDNKIVTITYQNKYLKIVSRQNPDGKSEAEYQYNAELNYAKVEKKNKIFFWQKPETVLDFSSPDPNFTPTDIEHFKKFVEPQRDRLQLVLENQIQFGIKNPNMNSFSSGVSLRLNPDGFVSPNVGTGLIWQFNNGQMMKYFKVGADINIMRIKK", "MQTKFTAIEKLISNFQRRPISTMATLMVVVVIVCYYVIKGTYEARIKEVTTEKKDCVDENKYLVYSLLEKNNIIEKKDQALREQKDSAKINDSLDSDYIKKAQPLINKILKH", "MADNNILAFIIPKNIEDLPLAEVPSLVGNFVVEEDGHAKRLPSDILNKIINTGISGDLDKVTAAEIPTTGYYKYDMFAAKTYTNITPNITVTQDELDANFVYAVILNGVAYKAKSPKPNSKTVTWEAKAYPAGTQVFHNEKTWEALRATLATEEPGKSDAWIVKSGGGTAGIEGSYPASIMKSNPFYYNPLNSDGVKQVPLVGTGDVNLSGEFIASSEGAYDGSKSVFFGNAGTGAVNLDLGGANDIMVEFWFKPAYNSDTNSTVLQLGTGISQVGAFSIFPLASSDKGGCWYVGSSQVSDTRYARSYTYHDWVHMAFRITNKGLLYCIINGQKIIPTGSGTGEGDTSVFVNGVLHLMSGNGAYFMRGNMQDLAIYKGTIPSDDDILNHYNARFRTSEVIANKTTLIENGNFTPATSVTPRMQQVMDKKIRWGIHYNMDTYAGECFNSPKAITPPSPAKFDLKNADFSSVVKAAVEHKVDIAYINAFHETGFNLWKSDVKVSDAYFPGAGHLYGVYKQDTYNSGYNGDPDVVKKFCEAMTSEGIEPWLYVNFSAHWNLFGTMNTVPNIAGPESNLRKEWVHYVCLLCQELLLKFGKSGLKGLWIDSYAVLTTAEYQKLYNAIKSIDPDCWVTQNMGATNGFHNFPADAMSYEGYVIFDHPDVDQWKNIDTVVNGVTYKIPKEFIVSLNIHQGAPHNWYNYDQLVDIPPVKDNKYWQNQQLRPKVLHGDQSFYTPTATLQQVYNTAKQYNVGFMTSPSLDRRGQIMEEQLVQLKGVNYN", "MQKFWYHSPVRFYRSLSDLEDMTNPQNTQYFGTRRPYPLELNSYHRFQLPDVDNDVLTTNLSLWLVNDRKEYNIPVKFGIENGKLRRLTFGYYENVSGRLEIRDNDGRKLFFSNCIQFLDSTDADGRKFIRVATKHLYNRNLFNYQTDYDWMVTNLPARCLGDISVDVDVNTTRNGGVSTLRVRDSYIDEIVNYEFIGDGDGNILNFIEVHATNNLFFIDGTQRTVKDKMDREDFAMSGNMKFTNVKNKQGLNVTIKEEDILKDVFIEVLATELGQPILFTDTLIKTNTENG", "MEGINNIVYQYEIGQTFQLVVIDSKHAGTYEIEEPDGFDDINSYIDINEEFFNVDNFILGDSTSLEFVRYNNKDAYDIIDKVYKEKGGDGQIIFKWIVDGDDILGDDFQLNLNKVSYQYEKSSKKIVTEIKKRESQNKILSREDTSVNLFSDKNLDNKPVNPFVASEFYFKEIRSKAENLYFMLDPLREGIQVTSIQSGLQGWQWMYKLTSEGQNIGDNTNTESGFYMPDNRPYMYIGPMLTTKTDIDDLEIRVSNVEMYGCKTSDKGTYHTMTMVALIKDSSGNIVRIEKLADSEPFQQGPNKLAQVKVDSTTYTNKDRFPVNGVNPLSLKAGQSIEVQFFMDFSPGETWDPNASYTWSLDPNLGGNPVKTDSSIAISANILSPLRKVKVVPLKEAINQVCKLYSDGQITVESNILGEGGVYENTGIGTGMFFRSIPESFAKYFKDKLTTSLKSILYDSASPLLALGYDIVGNKFIVESVDYFFKDIQAFDLSDKGFDEDGYDLSNDLENSLNNLLFGSQKFSTNKKDDLSGFNTTAEFLTPIKSSKNKFDKKTNFIIDEFKIQDVINDNSSSTSDSDDDLILIDMVNVDTFTDNGIIMGCIHDESEGHLVLRSYKTPFDVLPLKVGDKIRITTGLNSGEWTILKIGKTALDLNKTTGIEKGTSNTPISYTITNFIKNRSDEGFVYVSQWPDGIYSRDTTSNLRHNPKFQMARWSGFFGSALVKKLASEEILVTNYKNNGNVEIEVNPAELPNELSGRVKLNSNETVGRLRNFKYPYFTNQTIEITIPYVTFEEFFRLHNNWRFGIDGDRNKSRGYITVDTPEGVMDVYPFGQKGLEHNKGYNTLTIRGKVKGSSLKNKILNAVFTGPTIVQLQIFTPDEFKALPMRIKATKDNGATWETIYNGANSSNVQIDDAFFFTNIPKNRKIGFRVFSIEDGIEQYKSDDVFIPYPFGEIVVNTTKIITNPDESNCGYTYVEYEVIGEGVLEVHYKLFSEQGNGSIVVLDENDNIIVEIHAPVNAENYEHTEIVNLTLTGKSKFKATLRAASTVNEGFYTCFDNGNPFILMYATTGIRFFDNGKDFAGIGIAASGRKYRIN", "MSDKLAIVQGDETINELNKINAALDPLIVNFNKLVEVAKKAGNAFNSGKPKEYNEATKESKDIIDKLTKAQNDLALTNKRLAEAEQKLANAILARAKAQVEVSKASIQEMKAEEALNRALIQEAKLRGANADAARKEIAAEAAATRAKAQGEKQAKNTASAYFNLSQQTKNYRDKARDSGAQVFQLNQALKSGSISQQEYNQKIKDATRDFNDAIKKAKEYNNAIKNINSQVNGSSPSGGNKSQGIGKQVSTYFAGLFSFDIFQRISSGIYSMGESAIETSKKIETLRLSQLAVFKTQEEVGRQNEFLTRIANRYGVEILGLSNQYTQFQAAAQGTILEGKKAQNIFESVTRASSMLGVSADDTQGILKALGQMMSKGKIQAEELRGQLGDRMAGAFKLFADGMGITTSQLDELLKKGGVLAESVLPKFAEQLDKKYFLGLGEEIDTSQAALARMNNAWTNFVEGIDSGSGIISGSIKMVTNSITNMLKAFTPDAALIAIDKEQQALNVLGLQLRQNWNDENARKDIINQIIQLNPYFLDGLDKEKVTLSEIEERLRGVNAQYAQKYILQEKQNEISKLYKQEAEALKNVADMITSAAPIYNSLSSSSKAVFDNFSAGRIPIEKATEAIKKNGDYSKALESVMISINDQYKTGTKSIFGYTRSINDVRKDLNKMPGEYQNLINVTNNLISKNGQLIDFNHLLAGSFMGVGREADIAAARITTNYNAALKRSRQLGDNFFQADTMKQKDGRGKDGRYWFDSKTGKNTMKDTSEWDLIDGKLVKRKPLTTPDKEKKYTGAKLSGEQKDALMNIQADRDNQLAENKRARIEGLKTEEDYWKRYEQIYKVYGDRVQAYLKGLNAKERQVSAAASKKAIDELEKAQDEVLKIYDDKSKQSQRNLATDNKERRDIILNDDELTNVERTALLVAADQEYYKNLNQSYEEQIELTKSYNRSIIKLAEDRNNDLQTINARLREYGKKLLTDAIADLEVDQSRLDSQSSSAEAEAKIAIYADKKLTIQERSYLLTKLELDTLLLTLTNRKYTLENEKRIYEEQAKKAPLNEKEIDQYNKINDQLDDTNVKLAEATTRKRELVSTKELNSFKATNTMFDFLSKGFDDLGLGSVADQFKTMYDAILLSQDEFNKKYGEGQDKWKVAEIAAIQAVGAISQSIMQDNLNQRLAIYDEELRASQSRTDAELAIIQSRLDFLNSVNNASTEQIEQRNALEDEYRVIKEQQLEREKMIAMQKAKAEQQASAQQALINGSLAATATLAQTGFAGWPMALAALAFGVLQSSLIMSRNPVPQYFTGTDYAPEGMAITQEYGREIIADKHGRIKSLGNERGATKTWLSEGDKVFNAKQTKEIMKSLTDEPLVMGQNIYARALSGQKLDIPPIINHNSVNADEIADKVGQKFDKTMAKYSTASVYEVDGFVYKERPGKYPEVVGKSKKQSINVKISKNGRD", "MKIYRDSKDLPLSRYERIMTTGSYLFLLRDYQDGDEVNGIDEKKLEAHFKEIIQEYIVSLNNISIDFSNYGKLQACRLEIPILYTLIEFLNTIKRLNIQWDIVNESHKSDHLDKLFENIQIKRTYDIDEQIKIVYDRIEKFENDIASIEAKIKKTESKDNSEPTDINDIITNVELVLETNIDIEKISLYRFGVKVKQARKRIDEQIKIQNKRK", "MACNCSKPLEQSDCERIKEHSKDGRAFIFHIFDDDRGLRIALVPPGESPNKIAVNQNFFTPDGNLEWFNVKEHPCAYENL", "MLITPIELKARIERVKDVVPTLVQNSLVDANLVQLNINNLMQGKDSRGVNMPPYGQPEYAHFKTSINPRNRGFWDLRVTGNYHKNIVVDISPTKVYFHNLLKGPKYTWLENQFEKKGVQPLGLPEKQIKEVQIKNNKDLSKKIIYMINNGL", "MKLQLGAHTFEQVENFNSIEEAVNTIKQLFPDVEEKLIRDKVKPFVNKPVTKTQDKKKG", "MLKINACSSEQLIPLLGGLRCGNRRMVGFNVFKRNVEIDPSTFGKTELDTLVSEEKFVGLISPYNLDNNNQDADFATSTQKERSERIGGVKGWIFTFDEGNCFQNELQKFHKSKEWSIVPVLDDGSAMFRIKTNGKLSGFDINMFTNVFDPALTADISGPTLQVDVTPLAMIAWQESSGLFTPTEFSFLEIQPIAGLNIETPILVAAATTTVLTITALCADSPVIGLTDPTNWAVDVDGTRTSPTNVAYDANNKKYTLTHAALTAGAKVSFITAKNGYNIYVKDGNYYSGQSVAKVVTA", "MAIYTKDNPVGIDAIIHTAQKNLFEKLSQKWGNDIDAYPRCYVIEREDDNGVYRSIEHYKGNNEYTGTLITSEGNKLFFLAENEFKRVSNTSFETKVDTFFILDLRTVYKDINHRSDAEVINDIYKVLNSCFKFYPVRIITDYRDVFNSFNYRFDNIQPYLILKIESKVVFDTNQQVC", "MVLGFVEDFTSNVILDNELKTNSSKGIALNSGVHPSLTMDNLLHFLPYNDLQLSSYKPDTTYNNFSKSKDRQDIVLFNGDIFQCIKDGTLGIEPGTESNWLKTNKESLLLKSFIDKVKEKVLTDLRLTKRLVNSQFLYEVGQNKTMLPNDYAAWVFEAKGTDYVKITLNEIVLQALTDQPVELYVINQGVLVDALILHPQNGRVQFEKFEYTFNGPGRWLFAIKSQYVLTNNGYIDVQKYDGFVCYTATGTGNTPESSTWSYNEYGNGLGFNVSVSLDSDRYIDYNFMSLGNFIKAAFELMALQTFLHNSNNRSNRNENFQLDKELLMFETKNIEANTVAKRYFDTLKEAKNIIHKTFDTQLSLNNDDLEVEITSV", "MNLDATMWLDFQDTNTKNEKRFAELGVLDLVKDSTKYADYVSPTAKAKLAETSSLRNVQIPIIKDQQVSVVTTPGFTNIPINQEETAQYYYVAYDVFSGFRHHPALYGNNTVDSDYALKEKMKNVSYAMGNKIEQILLTVMDGRKTQLLDYTDQVSQGDGTFAYNATTKALEVSKAAQKETMFFNLEALMAANEIGGQYSIVTSRAGLSVQKSEMAKYGLANEKNLQALNFPTMERLHESGNIAPAGNVFNGYFVRDGAIGIFENYPFDFRNRTEFAGKKWSVSDIELPFTRMRANIYTDNQATKATSLVGVGAPTEDSNLIMTHFQEMAIWHRFYVVYRYNSDLATRTNDIIKVSGLTA", "MDFITEDLAKEANLTPEQVAALNPKFSNYISDQKKEWDGKANENAESILSGAAKYFMEKAGVNEERLQGEKFGDYFNRLSEKALTSKKSEVDKLKSDYEEKLKNFKGDETTKQELQAAKTKLDDVLKKYADYDQLKEKADKYEDASKTLSSLKVEVAFSNVKPNFPDSVNTYEAKAKWSDFQKGILEKYNLELVDGEVLAIDKENQYKQLKLSELVANDPVISALLENKPAGTGAKSSLDIKDLPFKVSEEALKNTSERAKAIKEQLAKEGISETSSEYASKFAEYNKKIMEAKPA", "MNRTKLAVHLLVFYGKVLYDEKQKLISKSAPVQLIYGSNEWDRFFDNRVLNGISKATVERAFYLDKPNKDIPVESLERYEELNEKEIALLQDEVDSYFKAPEAELTPDQKKIKELEEKLEQIMAGGAKVEQVVTTKVPETPANNEALNNAKEQYEKLFGKKGGPLWTVEQYNAKIDEKLLADAREEYERLFGEKADDTLTLEDITLKINEKQ", "MQEVDLSFFTQSKLQDDITITYLKEWANRNYASNEKFLNWVKSAFKVSNFLSFFKYFRNPVASAKLVNDEVKPQLQRVFHAEDSYFKYSVKGKDISNPEELHTEYFDKALFDSILFRHNDVVVSDIDEVNKDHREIVSIQCVIAVDSKKGKIQRIAYASSLNGLNGYSYIDSQRYVFLTRDNFTVVLDVPHDLGYCPADWVSSENMFSDNDIIKKSLFSYVKVDLEEYVFLKTLQRMTEPNGAIPITTKLKTTDSKTPNKLQSTISDQEPMSALSIGSQKASIDTPPSTSDSELQAGTIVNVSVPRDTTGKIDMEVVKSYFQFHYIPVEALTYLNDRINELQNNIITSILGDYQEQTVQAVNEKQVSKSLDNKKDKLRWMSDELTRIKKRSDFTTLALIYGKDNISVDVFFGTDFFLESQDKLFFDFAKAPNAIERRNILLRLSQNRNKYNTNKANREKILYKILPFASDLDFDKAQARPNLIDDTTFKLQTRFDYWIAKFESQYGDIGVFFQSLENMDDNGRLLLINSLIKTIIQNEQN", "MKQIFALFKFLSAIIIFAVVFMISIKKVHEFIIQLFNISYNKDDPSSAYVLTMFLSVFISLFVLLSILIILEKFYKKKIEKAVFKIFDSFFED", "MELTKIICKCCDKEIGVLTKDGPSFGNMKAISKLEVDFKSGLLNVKCHNCHNWNLIDSDNSVSKNMKRKAKEHLHF", "MSLTDSEILELEKLLHEDRVEKLFYSLDHITPDTSPNYKQLYEALNSQKWGLDEQGRPVLKSGYIGVALEGSSRSTKTWSGIYFIIYLATIKHKKSGCSINIYRETYNEFKTTLYDDFKRILDLFDLPNPFHNAKEVHSFKIFNTTIHFLGDGKHGGGCDYAFFNEAMMIKKTVFNQVVMRCRIFWWMDYNPSFTDHWVFDSVITRSNVAFLRTTFRDNKFISPTELNEILGYEPWLPGIYEVSEDGIFYNDLPVTEEHQPPPHPVNVEQGTADEFMWKVYGLGLRGAMKGVIFQNVRYIEKFPDMGYIYVNDFGFTSDPNAFGKYAETETDIFIELLIYEPVETPDLLDTKFQQLGVEYEVPIVCDSSDKRVTEDKGTIQMVQDLQEYGWSAQKVVKNKSVMYWILSMKKKRINFVKNHLYKYVKKERENYKFMEINGILINQPIDKYNHIWDMGRYGHMAWNSMGEIKAEWN", "MEKKTTRGRSKATTKETRPKAKPGDKRIGNQFWKFRSKHGRDTLFSTPEILWEAACEYFQWVEDNPLPETKVFQYQGKVIKEIVPIMRAMTMQQLCFYLKCDESYFRKFKERLGEDDKGFFTVITDIENIIYTQKFQGAAGGLLVANLISRELGLVDKKEIEVDAKLSPEERTERIAALMAKMNELNG", "MKDLQTEQFSLDPLLDFTILDEFGNSWDGDFEPLQCDIALGSGGDCATLLEINISDYDNVESWKVTQIADNRKEEDAISFVKENFEYFKDILNMKLENTEINGEC", "MKKDNLQPHQQRVVEEHKELKERHSKLWDFIMENPTYLKLPEEEQADLKIQLDAMATYVDVLERRINRF", "MKLTKENLEDIAREVCLRLEKEYYFYEVQSGEKDLFLGTDCLVSPPGKDEFYMFHGEKKVETFIVHNVAHSFANKQAGYIYLKRLEEVPL", "MSTRVKDVADRKGITYGDIAKQMNVSRQSVTNWTTGQRKMKLDDLQEIARILKCETAELLPVGEGFDHFYNERGEWLGIRKK", "MKIFKNMSWDEKLELGFYASLLIVAIWLILTGFEIWE", "MKKIMEVIENCTDCKFSREYQELNGNTSFVLICDYEKSYDDGAVEKNPFLIAQSSCKIRTYNSIPIPKECPLEDYNENNT", "MEGKAKEAFQAYLIETQYFPRPEMIMVGKLTTCSFTEVQPFIFNAKIIEWLDTVNLNVYVVPVKDGWFSCIKNGDNDLTHGLFIDRQSATEAAIKKAVEIYNEKYKEQ", "MEAKELEIGKIYSGVGYCGMTKDIEVTGKLISANKMHNGAEILCEKRNIPCAVWYRSLKKIK", "MGTLRQSIGRLVQVRKGAGVAGTDLFLISESDGTLTSHHNQCLWIIPEEYKEELDEMFKNVKLDNPDNTEYSIEGKNKCTGFMIYTETQPDRNRNKTDIWKLKN", "MELEFNYSKEWQPYLGYDKQEYDIKLKDGRIFENCYPNGGYFNPLDHEKVHEDEVTEIRYSVNEKMYINHNEVDNGK", "MTKNEAIKAAYGERKKYIKVPVSDRLPEKGINSILLWNENPELVAKGYVSKFGNLIIHGNVDYEGKPDLYLEEVPDHSEEMLSLLEKSRKIIQSLKLSMLVHPDCEEGSEFDDYTTSAQETENQIEELINKVKDNGTRI", "MENTLENKAKFFALYYGQEVKRSYLPEQTSLQVIDRDVFWIGHLIINGYLELKSIEDITDEDAYFIGALFGWEEVTPNRDIIIYNGRQIKDYINYHPNSHCDIQKYYQLLDYFRSKGYALPWMGLSIENLVEYGWVKFKNKES", "MIEFKGTKGKWEFHESKGLFITTVGAIFRKDKSRLEQVSNARLISKAPEMLEMLSRVLDCQAQAHTLPSWLNEEIESLIKEATE", "MSESEATIRLRKREQLKKKYSLSDLEYDYLWTLFMEYGMTRGEATHRSPANHYYLQGISEHNVIEWHSWKSKMTPELKKIISEKYPQLMVTDKTLL", "MKTLEQIKDEVAKEHSSSSWEDMMFKYGGVGDTIIDKVVHRYARKVAQASLEKAAEKAKVQCEDSFDYFKVEDEFSMRGHSFKVHKESITNQQNIVML", "MKNADKPIYPAVAEKINGTEFTEYSLPHSQLQLSGLSKREYFAGMFLQGILSSQTEKRSYGSGNSSSEIRSHCEEAIDFADELLKQLENTK" ]
[ "TTTGGGGTTATTGTTTTTAAAGAACAACAAATATCATAAATAATATTGAAATCTATTTTCTTTGTGTGCAAGGACTCGCTATTTTCAGTATTTTATTTTAGTTTTTAATTGATAATCCTTCTCACTTTTTATAAATTTGAGAATCTAATTAATTTTTCAATAAAAACTAC", "AAAACACAAAAACACTG", "ATATTTCATTACTAATAATTTATTCTCATAGAATTAGTAAAAAAAACCTATATTTAACATTATTATCAAATAATAGTATATCACCAAATTTTTAAATATAGAAACT", "AAAACTAACACTAACATCAAATAAATAATAAATATTATCAATT", "GAACTAAAAAAGGCTCTGTTACTTATGTAATGGAGCTTTTTATTTTGTTTTGTTTGCAAATTCAGAAAATATCAATATCTTTGCACCTCGAAATAAAAATAAATATTTTATCATT", "TTAACTAACCCCTAAAAATCTTAAGACA", "GACAAATTAATAAAAGAAGCAGGCTTGTCTTGCTTCTTTTGTTGCTGAATCAATATTAATTCTAACGATTTTTGGATTCAAAATTTAAATGAATCTAAAATTAAAAACGGACAACAACA", "TCTAAGCAATTTCTTAATCATATAAATCCTTCCTTCGGGGAGGATTTTTTTATGCTC", "AAACAGATGATTTAAATAAAGTTACTCTTTTTTTTCTTTGCCTCCGGAAAATAAAAAAAGCATGATAAAAATAATATGTGGCAAAATATTCTTTTGGGATCTTTTATATTTTCAGAATCTTCAATTTAGAAAATGAATAAAAATGTAGATTTTATCGATATTTACAGCGATAAAATTCTTTATAAATGAAGGATTATTTTATATTTGCAGTCCAAAAATTAATCAT", "GGAGAAATCTTTTACAAAAAAGAATCCGGTGAATTGCTTCACCGGATTTTTTATTTTACAGATAAACTCAGTTCTGAA", "TACAATACTTTTTGTTTAAAATTAACCTCAAATATACCTAAATAAAAACAGTAAAACCAAGCTTTATCATTAAACGATAACCCCGGAGAATCTTTTATAAAAAAATTAACATTCGTTATCATTTATTTACTTTTTTCAGCACAAACTTTGCTTATACAGAGGAAATAATATCTCCTGTTTTCCGATAATTCACGGCGAAATATAAAAAAACAGGTGATTTATTCCTAAATATCCTTAATCATAAATCTCTCTACCAAAACTTCTGCATTTATTGTAGAAGTTTTTTTATTTTTACTCTATCAAAACAAATAAGTTT", "ATTTTAATTCAGCCAAAGGATTATTATTATAACATTTTATACAAAAACCAACACAATGGCACAATTGAGCTATAAAAGATTCTCAAAAAATTCTTAAGGCACAAAGGAAAAATCAAAGATTTTTGCTAGCACAACTGATTTTTATTGTAGGATAAAACTCTTTGTGCCTTAAAAACAATATAAGGT", "ACCTGATTTCACTTTCCGAAATTTGTAGTATAAAATATTAAAC", "TATTGATGCATATATAATTTAAGGGACTATATCATAAAGTTATAGTCCTTTTCTTTTATCTCCAAATTCAGAAGTTTTCATTAATTTTGGCTTTCAATTATACATTGGATATCTACTACTATTATTAT", "AAAAGTCTTTAATAAAATATAAATGAAACAACACCAGAAGTAAAACTTCTGGTGTTGTTTGTATGTATTGTTTTACTGCTGCTC", "TTTTACTAAAATTAAATTCTATACATAATTACGAATTTAGTTTTAATTGAAAACTGCGATTATCATTAAGATTTTGTAAACTTTTGTAATTGGAAAAGTTAATTCGTAATTAAATAATT", "ATTG", "AAATTTAATTTACCCAAAGATAGCGATTTTCAACCTTGTCTATTCTTCAAAAATATTATGATTTTACCCTAAAAAATAGTATTTTAGTGTAACTTAATTGATGAAGGAA", "AAACAATGT", "AATTGAAATTAAAAAAGATAAAAAATAAACCTTATAGGCTTTAGAAACCTACAAGGAAAATATTACAAAAACAAAAAATGCCCTGCTAAGCAGGGCATTTTTTGTTTTTGTAATAATGATATT", "A", "GTACGGTTTATTTTAATTATTTGATAAGTTGTTTGGTTACCTATATATTACAAGTTTCAGTTTTTACCTGTAAATTATATCACCTCCCATAAATCCTACGAAAATAAAAAAATCCATTCACTTTTAATGAATGGATTTATAAATATTTTATATCTAACTTC", "AT", "CCGTTATTTAATAATATTTTTTGTTTTCTACAGAATCAAATGAACTTTCATCCATTTCTTTCTGTAAGAATGACACGAAGATATTAATTTTGCTACAG", "CAATTTATACTTCTGCTAATTTATCATAGCAAATAGTTGAACTGGT", "ATTATATACTCGTTGTACAAGGTATAACCTATCGTAATATTTCTGTATCACTACAAAAGTAACAACAAAGAATGTAAAAATAAAGCTGAACAGCAAAAGCCTATAAAAATGACCGGAAGAAATTCCGGCCACAACTACTAATTATTCTGCACTTTTATTTGTCGGATATAGCTCCAAATA", "TCTGATAGCTTTTATGTACTGTTAATTATTTTATACAGTACTTATTCTCTTATATCAAAATTATAGCGATCTCTCTTTTATTCCTAATACATTGCTTAATGTATGTTACTAACAATTTAGTAAGTATTGGATTAATTGGTCTAAAACGGATATCCTTTTTTAAACTTTCAGACTTATTCTTATTTTTTTTCGAAAATGAATTTTGCGGCATAGTTTATGTGTTGGGATAAAAGACATGGATACAGAGTTATTCAGATATAAAATAAACCTTAAACAAACACTTTTTCAC", "ATATGAAATAAAAAAGGAAGCAAATTGCTTCCTTTTTCGTTTATCTAAATG", "AGTT", "TTTTATAAAAGCCTGTTTAAATTTTATTGCTAATACTTTTTTGAATTAAAATTTAAACAGACTCTAGTTGTCATATTAACTTTAACGAGGGTATAAAAATAAAAATGACCGGATATTTCTTCCGGTCATTTTTGTATTTCTAATTCTTCAGC", "CATAAAAAATTGTACGAACAAATATAAACAAGTTTCAAATTTGAAACCTGAAGTTTAAAATAAAAAACATGCCAAAAGAGCAAAAATGGTCAAAAAGAAGATCTGAGCATTTTTAAGTTCTTTAATCAACTGGAAAAGTTATTAAAAACCTCATAGGTCTCGGAGACCTATGAGGTTTAAGGACAATCAGTAAGTCCATATATTTTCC", "GTTTATTTAGTTTTACTGCCTAAAATTAATGAATAAACTAC", "TGTACTATTAAAAAAATTATATCATTGCAGGAATTCCTGCAATGATATAGCATATGTTGTTATATAAAAAA", "CTTTTTACTTTTAAAAA", "GGCATCCATTTTAATTCTGAATGCAAAATTAGAACAGTACTACCCCGTGTTACATTAAGGTTTTCTTATGTTTTTATTAAGAAACATTAACTATTATCAATGAAAAAAGTCAATGTATAAATATTAATCATTTATTTATCAGTAAGATAGCAGTAAATTAATCATTACACCTGTATTCGGGGCATAATTAAGATTAATTTTGTTTTAAATTTAATC", "TACTGCAATATTTTAAATTTAACCAAAAATATAAAAAAAAATTCCAAAAAAAGTTTTTAGTTTCGATAAATTTCATATTTTTACAAAAAGCAAATAAAACGAAACAAA", "CACGCT", "TTAATAACCGAAAAAGTACACAAT", "ATTTTTGCATCTGACTAATTAACACACTCAAAATAAG", "AAGTAGTTCGATTTTTCTTAGTGCATGGAACAAGAAAATAGAAAAATTATCGTTTACAGATAAGAAAAAGTAAATCTAAATACAACATCAATTAGATAAACCCCGCAGGATTTTAGACCTGCGGGGTTTTACTTTTATAAAAATGCCGGAAGATAAATCTTCCGGCATTTTATTTATTCTGTAACAACTAGCGGATGA", "TGTAAAATTAGAATTAAATTGATTAAAGTCTCAATATGACTAAAAAGTCCAAATTTAGTTCAAATTGTTACAATAATTTTATAGAAATTTTCGATTTTAAGGACGTTTATGGTAGATTGCAACTATATTGCATTTAGGAAATCTTCACATACAAATACCAAACAACTGAAAACTAAATAATTAAATCCATTCTTAT", "AGTCACGTATTT", "AATTTTAATTATTTTTGATTTCTGTTATACAAAGTTGTAACAGTTAATCTTAAATAGCAATAAGTCAAAAAAAT", "TCCTCTTACCGATTAT", "GATTTTGAAAGGCCAAAGGTACAAAAAAAGACTCCATCTCTGTGAAGTCTTTTTGTTTCT", "ATTAGGT", "ATTGTTATAAGTTTGGTGCTTAAAGTTAATAAAAAAACCGCTGAATCAGCGGTTTCTATTATTTTTACTTTATACTG", "AGTTTAGATTGTTTAGAATTATTAATTAAACCAAATATAACTATTTTAAATATCAATAAGAATATTTAAAATGAATTTTTCATTAATATTATGCAACAAAAAACCACCTTACGGTGGTTATAT", "TCTTTATCTTTTCTCTAAAGCACAAAAATAAGCATAATGTGGCAAATAAACGTAATAGACCTGCAACAGGCTGAATATTATTAA", "GGTTGTATGATTTTAAGATTAAACTCGATTGATTTTCTGTAGCAAATATGAAAGTTACACAATGTTTTAATCGGTAAAAAAATATTTATAGTCATTTGTAATCGTTTAACTGAAACTAAAAAATTATATATCAAAAAGTTACGATTTTTATTTTATATTCTTTTTTATCATTAAAAGATATAATCATTTAAACCAAATAAGTCAAATAAAAATAATAAATTATTATATTTGAGATAATTAAATAAAAAAACATGCAATTCTGTCTGGAATGTGATGAAAAAATATCAGGCCGATCGGATAAGAAATTCTGTTCGGACGGATGCAGGAATGCCTATAACAATAGACAGAATAAAGACAGTACGAACCTGATGCGGAACATTAATAATCGGTTACGGAAAAATTACCGTATA", "CTATTTCCCAACATTCTCTTATACTACAGCCGAACATCATCTTCATTCGGGTTTTGTTTGCGTTTCAATTTTTATATTTTTGTAACATTCGTTGCTT", "CAG", "ATAATCTAATACAGCTCTGTGAGCTTATGTATTTATAAATTAAAACCTTACCAAATTGTAAGGTTTTAATATTATTATTCTCTTCCGTCCAGATTTCAGAATCTTCCAAAATCAAAATTCTAATCTCATTTTAATCTCGTTAATCACATTTTAATTTGTTTCCAAAGAAGTTCTAATATGGTGGGTATAGTTTTGTATCATCAAAATAAGATAATACAGAACCAAACCCAAAAGTT", "TTAACAACAACTAAAATTCACACAA", "ATATATTATTGGTTTTAATTTTGTGTAAAACTAGCTGTGAGCCATCCTCTATTTGTGTAAACATTTAGAGGATTGTGCTTTTTACAGGCTGTCTGTAAAATAAATCTAAGATTATCCGGAAACAAAAAATAAACATTTTGAAACCCGCTTTCTCTGGATATTTCATTTATAAAAAATTAAAATATTTATGTAAAATATCAATCAGTAATACTAAATAAAAAGGCTGTAGATTTGTTAGAAACCAACCAAAACAAAACATT", "ACACAATTCTATTTAGCCAAAAGATTTCAGCCATAGAAAACGAGAAGCATTTTTGCCTCTCGTTTTTTTATTTTTTTAATA", "AAAAATTGTTTTTTTAATTTTCTAATAATTTAGACCAAATTAGATTGGTCCATTAAACAATTTACAAGGTTGTATAAATTTATACAATGTGCATTAAATAAAGCAATATATTCAACTATTAAAATATTGTATCATTACACAACATCAAAATTGTATAAAAACAACTCTCTCAAAACTTCCGTATATTCGTGTTCTTTTATCAATTTT", "TATTTACTATATCTAAAAAATTTAAAATCAGATACTAAAAAAATATAAACATCACAGGTTTTTGAGATCTGTGATGTTTTTTAATTTAGCACTTAATTCGCTCTTTTGTCAATACCATATAGCTATAAGCATGTTATTGATATACTTTTTTATTGCTCACCTACAAAACCTTTATTAGAAATCCATGCATTCCGGTTGCTAAGAATTGAAAA", "GCTTCTTAAGTTTTACAATTTCTCTAAAAATACTAAAATAAAACATTTACCTCTGCTTAACTAGCAGCTTTTGTATACTTTTTATATTATTCAGACATCATAAAAGAATTTATAAAGAACTTTATCATTCGATAAAAT", "AACCTTATAATTTTTACCGTTTAATGAACACCTCAAAGAACAGTTATTTTTTCGGTAAAAAAATTGGTCTGCACCAACA", "AATACATCCGGTTATCAAAAAAATTATTACCTGCAATATATAGCATAAAAGAAGGAAAATCCATTTTAGACTGCAATTAATATAGTGTCTTA", "AGTAAAATATTTATTGAATTGAGAGTAAAGGAGCTAAGCTTTCAGCTTTGACAAGCAAGCCTCTAAAATATAAAAAGTATGAGTATCACGCAATTGATACGAAAAATATCAAGTAAAAGCTTAACTACAGAAGTTAATTTAAAATACTATTAAACAAATTAGGATAGAATTTGATATATTTGAATTGAATCTTCTAACT", "AGCGGTTTTTTTATGGGTATTAATATCATTTATTTTTGTTGATATTCAAAAACCTCACTTTT", "TGTGAAGTTTATTTAATTGAATATCACAAAGGTCTGTTTTATATAACGGGTTCGGCTTGATAAAAATCAAGAAT", "GTATCAAATATAGCAATTCTATTTCTGCTACTATATTAGTATAGTCACAGATTGAATTCGAATATATTTTTTTATCTCTGATACATGAATTTTCATTACCGATGATGCAAGAGCATCTAAAACTATTCATAATCGGGACAAAAACATAGAAAAATGGCCTGGTTTTACCAGGCCGAATCACTTACTTGTATCTAAATATAGGTATCCCCAGTTTAGACAGTTGTTTGCGAAACTGAT", "ATCATTATGATTTT", "ATCTGTAT", "TTACCAGTTTTTTAATATCATGTTTTCTAAGATTAATATATCGTAAAATAAAACGCCGGTACATTAAAATTTTCAAGAAAAAAATTGTACCTGTTATTCATTGAATAATTTCCTGGTGACTAAGATAGAAGCATTTGTGTTAAGGTTAATTTAACACAATAAACATATATTTGAACTATATTAATATAATTATTATTTTTAGTGAATAAATGTGATTAATTGA", "TATGCAAAAAGCTGTCTTCAAGAATCTTGAAGACAGCTTTTTTGTATTCTGTTTTATTC", "ACTTTATTTTTATGCAAAAATAGGCTTTCTTTTACGGAAGAAAAACTTTATTTAATTTTGAGTAAACATCTGTC", "TAGTCACCTTCTTATCCTGATATTTTTAACAAAAATTTATGAAAATTATTATTATTTCTGCCTTTATAAAAATCTAAATTTGAGAATAATTAAATAATTGAT", "AAAACAAAAAAAGCAATCCGATTGGATTGCTTTTTTATTTTATGATAATTATATC", "ATTTTAGTTTTTAGATTGACAAAATTATTTTAAGGCACTAAATTACGGCTTT", "TTACATTTTTATTTTACGTTCCAAATTTAGCTTATTTTTATCTTTTATTAAAATTTACATCTGAGAATTATTAAACACTATGATTTGACAAAATGTCTGTTGATAACTTTTCTCATTGAGAAAAAAATAATCAAAAATTATAACTTACTAACATTCAGTTTATAAAAATATTTATATAAAGTCTTTTTTTATATTTACTTTAAGTCTATTTTAAAGACAAATGCCCATAAATGTGGATATATCAGTTAAACAGACAGCGGTTTTATTTCCCTTAAAATAAACTTAAATTTACATTTGTAAAACAGTGTAAATTATTGGTTTTTAGACTATCTTTGCGCATTACATTGTACTAAAGAA", "AGGCATTTATCATCCGTATTTAACGTTCATATCAGCATCAGCAATCGCTCTGTATTGCTGCAGATATTAGGGTATATCGGATTGTCTCTTACATCACGAGAGATACAGGTATGCTATGCTTAGCTGTTGTTTAACAGCATAGAAATTGTCACAGGATACACAGGTATCAGCAGGATTCCAAAATAAGGCCGTTTAAAGCCTTAAATTATTATCTATATTCCGATTATGAAGTTAGGAATAACTAAATAATTCTGCTCAATATAACCGGTCATTACAGCCTTTTAAACACTTTGTATATTTCCTGTTCTGCTTCTTGAAAGTATTAGAGCATAAAGAGGTCTATTATCATATTCATCAGTTACGCCCCTTATTGAAACAGAAACAGCATTTAGCAGAATAAAGTGTAAGAATGTTGTTTATCAGATTGGTATTATCCGGAAAATAAGGCAATTTTGTATTGCAAAACAGCTAATTAACAAAATTACATATCTAAAGTTTACATTATTATACATTTATAATACACTAATTAACAGTATACTTATGCCTATTTATTGAAGTGTAGGTTAAGATCTTAAATTTTACAAAAACAAAACGTGAATTCGATAAAAATATATTGAAAATTAATATTTCCAAAAATTTGAGTGAAAAAAAGTTGTTTAGCGGTTAAAACTGTTGATTATTGTAAATTTAAAATCATAAATTCCTGTAAATCAAATGCATAATCACTATTTACAATTATAAACTTCAATATTTTAAATTTGTTTACATTTGTATTTCACAATTCTAAAATTTGTTTTACATTTGTAATT", "AATTCTATCTGAAAGAATTAATAAAATCCAAATACAGCCTTTCGAAAAAACGGAAGGCTTTTTTC", "GTTGTTATTGTTCTTTAATCACAAATATATTAACCTATAATGCCTTTTACCAGAGAAATTCTTGAAATTTATAAATTTTAGATCAAAATACGTGGCGGAACCATACAATTAACAGTACTTTTTATATCATTGTCATTCTATTTCATCATGATCACACCTATAAAATCACCATATAAAACACTATTTACCAGCATTTTATAATTATATATTTTTACTATTACAATTATAAATCATTCACAATTTATTTTGCAGATTTGAAAAATTATTCTAAATTTGCAACCTGTTAATCAACCTCTGACGATAGACGTGTATGTTGTTTGATGTAATTATTTATTTTTAATTAACA", "TCTTTGCTTACTACAATATAAAACCTTCTCAATTTTGGGAAGGTTTTTTCTTTGTAATGCAGCATCATAATCATTATCATTAAAAT", "TAACCTATTCCCTTCAAATAACCAATCACGACAAAGATTAATATTTCGCGTCTTGTGTCTAAAGTCTTGTATCTTGCGCCTTATTATCCCA", "GTCCTTATTTTGATATTTTTAGTTTTTATATCATTATAAAGATAGTGAAATATTGAAGGAAATTTGCAAAAAAAATA", "AGTGGCTGTTATGT", "AGATATAAAATTATAAAAAATGAAAAAGATAAGTATAAA", "GATTAAATTTTGATAAAAATATAAAAACTATTGATTTGTGAAATAATTATAACAAAAAAATCCGGAAGAAAATACAAATACATAACATATAATCTGATTTTGAAAACATTCAGCAAAACCGGCACAGCGTATTAATTCAGATTATTTTATAAAAACAGACAAAACAACTAAATACCTCTGCAAAAACATATAAATTTCAATTCAAAACACAAATAAAAACAATTTAACTATTAAAAATATAGGGTACAATTAAAAATAAATACAATATTTTAATTTTTACCCCTATATTTGACATACCAAATACTTATAAAT", "TTTAAAACATAAAAAATCTCTCTTTAGCAATCCCAAAAACACTATTAAAATAAAGACACCTAAGCGTAAAGCTTAGGTGTCTTCTATATTTTAGATTCGTTGATCATTGAA", "AATTTTTTCGTTACGCGGGCGCAAATTTACGCATTTAGGACCAAAGAAATATCAATATACATATTATCACTTTTTTTATAAAATTGCATTATCACTCATGTTTCCGGGAATATCATACAGAAAAAAAAATAATACATGAATAAAAAAATCATTTTCATAAAGAAAATCCATTGTTGTTAAATAAAAAACATTTATATTAGCATAAACAACTAATAACCACCAAATTATATTAAT", "TTAATAAATATATTATTTCAACAACGAAACCGTCTGAATTCAGGCGGTTTTTATTTTTAGATTGATATTCACTTCCATGACAATATTAAGATAAAGCTCCTCCGGAACCCCTGAGGTTTCATAATTATACGCACTATACAGATAATAAAACTCCTTTATGGACTTCTCTTTATTATAATGCAATATATTTTTCGCATAATTTTCATGATATGAAAAATTAGAAAGACGTTTCTCAGAAGCCTGATCTTTGTAAAAACGAATATATCAGAAAGTAAGTATTCCTCCGTAAACTATCCCATAACCGAAATATTATTTTTTCATATCTCAAGTTACTTATCCGGTAAATTCAATGCAGATAAAAAGCCCACCTCTTAAAAGAGATGGGCTATAGTTAAGAAATGAATTCAGGA", "TTTCTAAAAATTTTGTATTCCGTTCTTTCCTGCTCTTGGCCTAATGTGATGGCAGAAATTCCGGAAGATGTTAATTATTATAGAGTTACTTCGCCAAATATAATTCACAAAAAGTGCTGCAAAAATACAACTTTTTTATGATATAACCATGCGTCTCAAAGTTTTTTTATGCAACAGAACTTCACTGATTTACAGCCCTGTAAAAACACAAGAGAATGTTTAAATCCTAACTCAAAAATATATAGGCTTCAACCAGCTTAGCCTGACACCTCTAAAAGCAAGATATTTTGAATAAAAAAGTCACTCTAAGCTCTATTTGTAGTGAGCCAGACGAAGTATCGAAGAGCATTAAATATAAAATTTTAGCCAGGCTCTAAGAAAATGATCTAAAATCTGAGTATTCAAAAACCTATCTTTGCAAC", "GCTTTCGAAAAACATATAAAACAAGCCCCAAATTGGAGCTTGTTTTATTATAAATTATACAAATAACACTTGGTGGTTA", "AGCTTGAGTTTCCCTCGTTTGTGAAAAGCCTGCAAAACCTAAAAAACTAAACATTAATGATAGTATTATTCTTTTAATATTAT", "ATTTTAAACAAGTAAGTTTAAAGACCAAAGAAAAAAAATTTCTGATTAATCTTCTAAAAAACGAATATTACAATCTATATAAAT", "ATTAAAATAGTTAAGTGTGTTAAACATGTAT", "ATAAAATTATTTTATTCATTGACAGGTAAATATATAAATAAATTTTACTTAAATTATTTAACATAATAAATATTTTAAATTTAAAATCAATTTTAATCAACCATTTATAAATAAAAAAGCTTATAAATAAAGGAAAAATTTTAAAAGAACATGAAAATTAAAATTTAATATTCATGCAAAATTTATAATAAAATATTTTAATAATAAATATTACTTTACATTAATTTTCCTCTTATATTTTGGTGAATAAAAAAGCCGGAAGAAAAATCTCCCGGCTTTCATTAGAAAAGTTAATATGTTGATATTATTTAAAC", "AATTTAAATAGTTATTTGATTGATAAGTGA", "AGATTTTTTTGATTTGGTTAATTACACAGGGGCAATATACAAAATATTATTATTACAACAATTTAACATAATTAAATATTCTTCGACCAACAAAATCAATAAAAATTAAATGTTTCAGTACTCAAAAACTTAAACCATTACACAAACTACAAATCATTATATAATCATTCAGTCACAAAAAAATATTTAACTTTGCTGTAACAAGAAATT", "CCCCCTAAAACACCACTCACACTAATTATGAAAAAATTTTCGAACAGTAAAAATGCTATGCTTTTC", "TGAAACATGAAATCCATGCTCTCATTTCAT", "TACGATTACAAATACGTAAATTCGTGTAACCATTCGCTTATACTCCTAAGCAACCAACTAATAACCAACAACCA", "AACACTGAATATCAGAACTATTAAAAAAATACAATTTTCTTATGTTTTTCATTATATTTGAGAAAAATAGACACCT", "AAATTAAGCCTGATTTCTTCAGGCTTTTTTATTGAGCAAAAGTTTGGCATGAAATATTCTATATACAGGAAGTATTTGAAGTTTGAATTGCACATCAATATATTTCAAAATTTGTAATTCGCTCTTCGTACTTTAAAATAGGACATTGCTTATATTTGTTTAATAATCTATACCACCA", "ACTCTATTTCATCAATAATCATTAAAACATTTTTTCC", "TTTACAGGCATTTACCTAAAACCACTATATCGTATTACAA", "TGATTTCTCATTTATACTAACGAAAAATTTATTCGTTCTACCCCCTCACTAATTAAAACTATAATAAACCTCATAGGTCTCAAAGATCTATGAGGTTTTATTTTTAAATTGATAGTAGTCTTTAGAATATAAAAACCATTAAAAGTAGAAAGACCCCCGAACATGTCGGAGGCCTTTCAAAAAATAAACCCTAATAATAAATCAACTTTAATAT", "TTTC", "AATGAAGTTTTATTTAATTTTGACTCAAAAGTAGATGAATTCACTATTATCGGTTTTTCAGATTCGCTAAAAACTACAATATCCATTTCATTGAAAACTTTTTATAAAAAACTGATTATCAAATAAATAAACTTTCACTTTTTACA", "AAATATACAGCGTATTTTTACATTTTTTTGTAATTTTGCGTACATTTTTTTACT", "GACCATAGCACTAAGAAGACCAAACAACAGACCACCG", "GTACAATGTAACAATGTAACAGTTTAACAATATAATAGTGTACAAATAATTATTGCATTGCTACATTGATAAAGAGATATTGATAACAAAATATAAAAAAGCAAAACA", "TTTTTATAAATCTAATATCGGTTTATAAGAATTCTAACAAATTCAAAACAAGTTAACCCTGAAGCGGAGCCCATTAAGAGTAATATAATATTACCGCCCTCTTCAAAAAAACAATTAAAATT", "TTAATAATTTCTGTTATCAATATAGAAACTCCCGGTTTTTCTGGGAGTTTTTTTATATATTTATGCCTTTAAAAACTGAGACGAACTAATAACTTATAAAT", "ACT", "GCCGGTTTTTTATTTTCAATTAAAAACTTCACCTCATCCCTCCCTCCTTACCATTCATCAAATAAAGTTTTGGATCAATAGCCTTCTTCGTTGTTTTGCGTCAGATTTAAACAACATTTACA", "AAACTTAAATTATCTTTACCAATTAGAAACTACGAATTTGTAGTTTCTAATTTTTTATAAACCGACTCTGCATTATTT", "GAATCTGT", "AGATTAAATTTTTTGCAAAGAGAGGTCTTTGATAATCTGATACAAAAATTACTTGATTAGTTGCATTTATGTTATGACGAAATGATAACATAACCGGACAAAAAAAGATCAGAGAATATACTCTGATCTTCTTACCTTAGTGATATTTCATTTAAGAA", "TTCGGTACCAAAATTAAATCTAATTTTAAAGAAAAAGAATTTTTAATCCGGAAAATTGCACCTATTCTTTTTCTTTATCTGTTTATAGCTTTTATTAAATAAACAATCTATTTAGTAAAAAAATAAATCAATCAGATTCCCACTACTTACATTAATTCTTTTATTAATTATATTTAGTAAAAATTATACCCAAGCACATTAATAATCATTCACAAAAGCCATTAAATAAATTTAATATTCATAACAAATATGTATTTATTTTTTCATTAACTTCACTAACTGTAACGGATTATAACTCAATATTTTTTGATTTATCTCGTTATATAATCTGAATAAAAAAAGAAATTTA", "TTACCCGAAAAAAATCTTTCCATTCACAAACAAGAAAAGATAAAAAGGCCGTATCATCAACGATGTACGGCCTCATTTGTGTGAAAAATAGT", "GGCTG", "AGTCATCAGTTTTCAGACACGAAATTACGAATTATATCAATAAAACAAGTTTTACATAAATATTGAATAATTTTAACACCTAATAAGTATTTATTTTATTCAATTAAACCTAATTTATTAAAAATGTAATATAAAACTGTTTTATTATAATGATTTCAATATTCAATTATCATATATAAAAAACAATAAATTACTGATAATCAGTTAATAACAATTTTAAAACACTTAAATTTACTTTAAAATAGCATTATAAAACAATCAACTACAAAGCTTATATTCAGATTTGTCTCAAAATTATTTTCACGTACGTCAAATAATTTTATTTATTTTTTATAAGCAAATTAGATGTAAAACATTAAAAATATAAAGTTTTTAGAATCATTATAAATTACAAGAGTAAAGATATTTTCACTACTTTAAGATATAAATACAAATAAAAAAGCCCCAAACTTAGTTTGAGGCTTCATTATTTTTATGTATAAA", "ATAACTTTTATTAGATTTTTCAGAAATTTTGGAGTGTAAATTTACAAATTTTTAAAGGATATTCAATGCATAAAATTCAGCTTATGCATTCCCGTTTTCACAGCGCAATAAGTGATATTTATCATGTTCAAAATACCAGCTCACTGGAGGCTCTCACCACTTTTTCCGCCTCATTATTATCATTTCGTAATTAAAGATTACATTTGCTTTTTATACAGTCTATGAAAAACTCT", "TCCCTACTTTTGGGTAAAATAATTTTT", "AATAACTTTCTTCCCGTCATAAAGTATACAAGCACCCTGAATTTTGAAAATTCAGGGTGCTTTTTTATTATTCTAAAACTTATATCAGGCACAAAGTTTAGGAGATTTCGTGTCTTGTTTGACTATTGCAAGTTCAGAGCAAGTATTCCTCCGGAATACTTGCTTTCGGATATATTTATTTTTACACAGATCAGGCTCCTACGGAGCATTTTTACTATTCTTCCCGCTTTCTGTTATCATAAAATTGATACCTAAAACATACATATTACAATAAAGATAGGGGCGGCGCCAAAAAAGCAATATCCATTTTTCAGGCTATGTAAAATGAAAAAACCGGAAGATTACTCTTCCGGTCACGACACGACAATTGTCGTCTTTTT", "TATAAAAAATTAAAATTGTTGATAAAATATGATTTAAAGTAATCAAAAAACGTAATGAACATCCTATTGATTTCTGTTAAATAATGTAGGCAAGGTACAACAATT", "TTTTCCTGCTT", "CCTTTGATTTAAGTTTACTAAATTTGCATCTTATCAAAGATAATGAAATTAATATAACAAAGCAATATCTACA", "ACC", "ACATCTCAAATCACT", "TAGAAAAAACACTCCATATGGAGTGTTTTTTTATATATTATTCATTATAAAAAGTTATTTTTCATATTTTTACACTCAGGAATATAATTTAGAATAATAAGAAT", "AAATTTAGTAATATTTTATAAAAATACCGGAAGATAACTTCCGGTATTTTATTTCAATACGCT", "TTTATTAAATATTTATTTTTACAATTATCTGTATATTACAGCTACTTTCAG", "GCTTACAAAATCTTCTTCAAATTTACGAAAGTATTTTGTTATAAACAGCCTGTTATGAATAGTAAAAGAA", "TTTATAAAATTTAGCGACACAAAATTAATGCATGCATATCGGAAAAAAATTTACATATGATAAAAAA", "TCAGTATATTTTTGGACTTTTATATCATTAAAAATAATAAAAACTACCACATTGTGGTAGTTTTTATTATTTGAATATTCTATTTTT", "GCTAAAATTTTAAATTGTGTTGCGAAATTAAGGTTTTTAAAATACTTTTAATAGTTTTTTTTCATTTCAGGTAAAACATGACAAGAATTAGGCTTTCTATAAATCACTATAAATTAATGCTTTTTAAGTTTTTTTTAATCTCAGGATTGTAAATCGCAGATTTTGGCATAATTTTGACATATTGAAACCAAATATTTTTATATTTTTGCAGATAGTTCTTTATTAGAGAAAAATGATATATA", "TTATTGTAATAATTTAATACAACTATTCTCCCGATATTCCATCGGGAGACTTTTTAATAAAAAACCACAATTAA", "TAGTAAGCTATAATTCAATATAAAAAGCTGAAAGTGTACTTTCAGCTTTTTTCATGCCTTAAAACTATTATTATTTCG", "AAAAATGTTAAAATTTCTTAAAAATTTGAGACCATAGAGTTGAAGGGCTACCTTCGCACTCAAATTTAATATTT", "TATGTCTCTGTTTTGTGTAAAATTATTTTTATATTTGGCATCTGTTTGCTAGATACTATTTAATAATTTAAAACACATTCT", "GCACTACAA", "CTGATTAATTGTTTTTCACTAAATTCGCAGTCTAAAAAATAAAAAT", "AATACGAAATTTTCACTTCTAAATTTAAAATATAAAAAACAACCTGTTTCATTTTGAAACAGGTTGTTTTTTATATTTATGATCGTACTTCGTAATCCTAAATTCTAAC", "AATGTGTTCTCAGAATTTGAAGTCTTAAAGATAGGAACTATGACTGAAAAAACAGAGAAACCAATAAGAAATCATACATGCCCAGATACAACATTGTCTATAAAAAAACTCGCAGGTTTTGAAATCCTGCGAGTTTTATATACTCAAAATTATTTTCGTTCTATATC", "AAGATTTCACATCGTCAGAACCAGCATTCCTTTTATAAAATAATTTTGCAAATATATAAAAGAATACCTGTGTTTATAGCCAAGGGCGTGCCAATTAACTATTTATAACAATAAAAAATCCCGGATCATTAATGATTCGGGATTTTCTTATCCTTAAACAAGGGAA", "TTTTAATTGCTTTAGGCTACCAGCTAATAGCTATGGGCTATCAGCAATTAGCAATA", "TTCTAATTTTTTTCTTGAGTGCAAATATAGTGATATTCCTCATATCTGCATTGTCTGTAAAAAAGATTTTTATCATATTTGTAATGCCTAAAATTTTTCACC", "TAAAAAAGCGAAAACTGGGTTTTCGCTTTTTTATTTA", "TGATTTTCTCATGGTATTAGTTGGTTGTTAGTTTTTATTTCTTGGTTATTGGTTGTTAGTTGATGGCTGCTAGTTACTAGTTGTCGGATAATACTTGTATAACTCATACCAGTTTCATTGTTACATTGTTATACTGTTACATTGCTATATTATC", "GATGTTTGTTTTGGTTATTAGTTTTCCAAAAATAGGAATTTTTATCGAGCATTTTCTGCTAATTAATTATATTATAAATATCTATAAAGTTTTAATTAAAAACTGTATGCAACACATAATTATTGAAATATAAATAATCATAAGGCCTCTTTTTTAACAAAATAAAAATTAAAAGCAGAATATAAAAATGATATACAATCAAATAATAGAAATTTTAATAGCTATATTAATTTATAATAGATAAATTTGCATTAAAGAAAAGAGGAAA", "GAACAATACAGAAATACAAAATGCAGCCTTTAGAGCTGCATTTTTACATTAATAACAGATCAGATACAAATTCTGGGAGATCCAGAGAAAATATTACGTGTTTGGAGATAGTATTCCTCCGGAATACTTACTTTCCGATACACCTATTTTTACACAGATTAGGCTCCTCCGGAGCTTTATTCTGATTCCTGCTCCTCTATCTCATATGGAAAATATAGTTCATCATAATGAATGGGGAGCTCTGTCAGGAGCTTTATCTCTATAGATTATAAATATGAAAATTCAGGGCGTTCCGGAGGAACGCTATCTTAATAAGTAGAGTAAATATTATTCAGATAAAAAATCAAAAACTTCAAAATGCAGATCAAAGGAGTAAAGGACAGTGTATTTTTCCTATTCGGATTAACATAACTTTTCGCTTAGTATCCAACTTTTGCGTCTGATCTTTAATAACAGAAAAACAGCAGACTCAATTGAATCTGCTGTTTGTTATTTCTTTAAGCTTTGCTTTTA", "CTGTAAATATTTTAAAAATTTGATCGAATGAAACCCGCATGATAGTTTCTATCCGTGAAATTATTAACGAATCATGCTCGTTTTATAATATCTATATTTTA", "AAATATTTTTAAAGTTAATATTTCCGGAGA", "GTTTTAAAGTTATAAA", "AACTCATCATTTATAACTTATAACTGAATG", "ATTTTTAGTGGACTGCTATAGATTAACGGTCTTTTATTTGGTTAA", "ATA", "CTGTGTTAAAATTGAAGTTTCAATTTAGTTATTTTTCAA", "TCAGTATTTTTAATT", "ATTTTTAATAATAATAGATGTTGGAAGTCCGATTTTAGAAAAAAAATAATAAAAATATCAATTTATAAATCAGCTGTTTACCCCATTTAACGGGGATTATTATACTTTTTTTCTTCGATCCTTCTTCCGGATTCGTAAATAATTTCTATATTTGCACTGGCAAGTCCTACACAACCAGCTCCTGAGAATCCTCCAGGGTGGGAACGCAGCAAAGGTAATTGGTCGTAGCGGTGTGATGTAGGTAGCTTGCCATTTTTTATTTC", "AATACT", "AAGGTT", "AATATTTAGATATTAGAGAGGTTAGAAATTGGATTTGAATATCCGGATTCTAACCTCTAACTTCTTATT", "AGTCTGAAAAATTTTCCTCAAAGATACGGTTAAATTAGGAATTTTAAGAGCTGAACATCCACAATGATTTCCTGTAATTTATAAAATTAATGTATTTTTGGCCATCCTTTTTAATACACAGTTT", "TTTTCTTT", "TTTTAAATATA", "AATAATTCAATAAAAAACCCGCCGGAGCGGGTTGCGTTAA", "ATATTTTTTCAGTTTTTCTTTTAGATTTACGAAAGTAGAAATTTTTTACAATATGTTAACATTTTTTTAGCAACAAAAACTCATTGTTTTTGACTTTCCCCTTCTACATAAGGCTAAAATGTAAATTTTCATTTTTCTACTCTCCTATAAATAATAGGCCGGAAAAGCTTTATCTTTGGGCATT", "ACACATC", "TTTAAACATTTTTATACAAAAAACAGCCACAATATGTGGCTGTTTTTTGTATAAATTATTCACTAATTTCGCGTTATTAATTCGGGAACTATAACTACCAATTTTTATATATAACATTT", "CAGCATT", "GAAAT", "GTATTTTTATTTGTACTTATTCTAAATTAATTTATATTTGCAAC", "AATGGGAATTGTGAAACAAAAATAACAATTTATTTCCCGATACGACTAATGTAATATAAAAACGTAAGACTCATTTTCTTGTTTTTAAAGGTTTAACTCTAATGAAAATATCTCGTATGTTTTTGTTTTATATTTCTGTACTCAGAGACCATATAACCAAAGAAACGAAAAGCAAATACTTTTCGTTTCTTTATTATTTGAT", "AACTCATATTTTAGTGATTAGGACAACTGAAAAACAGCCGTTTACTAATAAGACTACTTATAACGTCAAAATGTTACACTCAATATGATTTATCTTCAAAATTTTATATGTTCT", "AAATATATCTTTTAGGATTATACAATTCAGTTT", "GAGATACAATGTTATATATTTAATTAT", "TTTTCTATTTTTTCGTTAAACTGATATAGTATCTATTCTAAATTAGCAAAAATGCATTTC", "GATGTTTAAATTAATTAGTTTGTCTAAAATAATCAAATTGATTTTAATTCAGATTAAAACTTGTCAGGGTATAGAACCCTGACAAAATTGTTTA", "AATCGTAAAATTTAAAGG", "AT", "TTGTAATTGTTTTAAGTCGGTTTTAAACTATCTCATTTCTTTATCAAAATTAAGAGTGAATGATATAATATGGACTTGCCATAGGACAAGAAAAATAAAAGTCGTCTATACAATTTTCAGATTACACTAAATAGCTTTTATAATCTGCTAAAAAAATAATAGCATGAACAAAATGTCCATGCTATTATT", "AACAGTATTAT", "ACCTCTAAGATAAAAAAACGACTTCGAAAAAGAATTACGAAGTCGTTTTTTATGATTTTTTGCTTTTAA", "TATTTTGTCTATTTAATTTTAGATAAAAATACAGAATTCTTCTAAATTTCTACTGATAGTTCATTTTAAATTTATTATAAATTTAATTAACTCTGACTAATAATCACTTATCATCTATTC", "AGACATTTGGTTTTTAGTTTATTTTTTTATCACAATAAAAGTAGTTCATTTTTGGCGATTTGTTCTAAAAATCATTCTAAAAACAGTAAATTTGTTACTCTAAAAAATAGATCATAGAGAGGGGCTCTCTTTTTATAACTAAGTTTAAAAAAACAAAAAAGA", "TTCCTGATAAATATATAATTTATACAAACCGGATGCTTTTAGTATCCGGTTTTTTTTATTGCTGATTATGCTTTTATTATATTAAATAAAAACTAGTGCTACATATCTGAAAAATCACTAAATTTCTAAATTCAATCAACATTCATTATTAAC", "TCCCGAACACAATAAAAAAGGACTGAAGAATATCTCTTCAGTCCTTTTATTTTTTATATAACCAGTCTGGA", "TGTCTGGTTAAATT", "ATCTTATTTTTTTCGAGGTGTAAAGATAAAGCTTGTTTGTCTCGATTGCAAACCCATTTTGAAAACAATGACTAAAGTCCGGAATTCCATTATGTAAGATATATAC", "AAAATTGACTTTTTTATCCCATAGGGACAAATTTATGCGTTATTGCCTATCTCCGGATAGTTATAAATCTTTGCAAAATTAAATAAAATCAT", "ACTAAGGCTCCAAAGCCATTTTGCTATGAGCAGAAAAAATTAAAGTAAGCTTTCGC", "TTACCTATTTTTATTTTCAGGGGTATTCATCCCCTTTTATAATTGAGTCAAAAGTACAAAATT", "ATTATTTGTCTAAATAAACGATTAAATCAATATAAATTTACTCCAGTAATAA", "GGCCCATATTTTCCAATAAAGCTAAACAATATTTCAGTTATTCTTAGCCTATTTATGAAAGTTTATGAATTTTCATGAGGTATTTCATATTAAAAGGTGAGCAAGCTCACCTTTTAATATTATTTACTTAGACCCTGTTTAAATTTATTGTTAATACTCTTCGACAGGCTCAGAGTGACATTGCTCGTATCAATTATCTTCTTTTTAGAGATGTCAGGCTGAGCCTGTCGAAGCCTAATACTTTTTTGAATCAAAATTAAACAGGCTC", "AGACCTTA", "AATGTTCTTT", "TCTATTTTTCACAAAAATAATACAAAAAAAATGGAAAAAAGAGAAATTTTAATCCTCTTTTTTCCATTAAAGTTAGTTTAACCCTCAATTCCCATAAAATCAAAGCTTACAGGAAGTGTATAAACTGACAAATATCTTCTTCTATTCTAACAATTTGGGTTAATTATCTATAAAGCTAAGAGCCTGTTTGAATTTATTTAAGAAAATTCAAACAGGCTCTTAAGCAAATCGAAAGCATA", "AATTAATAATTTCAGTTATAAAAACATCAGTGTTATTATTGCTAATTTACATATTTTTTTCATTAAAAAATTATATAAAATTAACATATTACATTAAAAAAATAATATATAATAATATTTTACAAAAAAACACCATCATGTATGTTGTAAAAACAGCTTGTCGGCTACGTTTGCTTCCCGGGGAGCTTTCCGAAACAGCAATTGTTTATTATTACTTTTTAAAATAGGCTGAGAATAAAAAATTTTGATTTACTCCTCTCTAAAGTATGTAAAAAGTTAGATTAAAATAAATCAAGATAATGGTATAAGTCCGGGAATTATTAACACCCAGACTAGTTAGATGGAGGGGCAATCGTTCTATAAATAACTTCGACAGAAATAAAAAGTGAAAGGAATAAAACGACATGTGATGAGAAACGATAAAATAGATTTAATAATCAAAAATTATTAAAGCAAGACCAGAACAAATTTATGAATCCGTTCTGGTCTTAAGCCAAGGTATTTAT", "AAATATTTATTTTTGATGT", "TTGTTGTATCTTTACCCAAGTTTTTTCCACGT", "TTGTCCACAATTCTTGAGACAGTGATAAAAACTAAAACCACATAGAATACAAATATCTATAATAGTCTTACATAGAAAAATAAATTTTTAAACATAGAGACCTCTATATTTCTATAGTGCATCAGAAATATCTCTAAACCACGTTAGAACTATATTCCTCTGTGGCTAATAAATTTATTATAATTAGAGATTACACAATTTAAATAAAATCAATAGATTTAAAAA", "AATCTGTACTGCTAAGATA", "AAGTCGAAATATGTCGCAAAAATAAGCTTTTTAATTTTTTGAGAAAATATTGATCATTGTTTTAAAAGCCGATATGTAAAAGTTATTATAACAGCCAATATTTTTTATATAAATTCCAGTACAGGATTTGTTTATTATTTTTTATCTTTCCTTTACAACATCCAAACGATTGATATTGCAAAAGAGAATCCCTTTGTTTCTCCCTTCATTTACTTTCCCTTAGTTGGTATTTAGACGCATTTACAGAAGACTTAAAAGATTGTGTCGTTTACAGATAAAATTAAAAGCTTATCACAGCT", "GGTATACAGAAATGATTTTTTTGATAAGTATTCCGGCGGGCTTATAGCTGGCAACTAAAAAATTATGTAATCAGAAGAGCTTTTGTAATAAAAAAAGGAATACTGACATTAGTAATTTTGAAAGATACAGAACAATATCTGCAAAAAAACATCCTTTAAATCGTTCTAATTTGTCATTTATTCTGATTTTTTTTCAAAATTTTCAACTATTTAAGGAATTAAAGAATTACAAACAAAAAATGCCCTTCAATTAAAAATTACGTAAAATATAGCTCAATAATTTTTACAAATCACAACAGTACACTCTTTTTATTCAGGCAGTAATAATATCAAAAACAAATATCTACTAATCAGATAGACTTTATATGATATAAATCATATCCGCTACTAGGGACGAATTTTGATTAATTAAATACTTTTGGTGCAGTAAAAAAATCAAATTCGTTTTATGAACACAAGTTTATCCGATACTGTCCCGGGAAACTTCCCAACAGTATCTGCCCAAGAATCTTCTTGTACAAAAAACTATTATACACTGAAATATTTTACCTTTCAATGTATGTGTTATATGTATTCCATGTAATGCATTATTGAAGGGGTAATAAAAACTGGAGTTTAGGTTCTTTCTCACACTAAAATCTCCTTTTTCCATTCAATCTTCAACACTTTTAATGCAACCTCCCTCATAGAAGGAGGTCGGGTATTTATTATCTCTTTTCAGCAATAATGAACAGGGACTAAATAAACTATGTGTCTTTTCAAGACTTAAACTAAGATTAATTATATA", "AAACAT", "ATAAAGTTTATTAATCATAAAAGAGCAAGAGCACAATAAAAAGGCAACATTTCAGGATGTTGCCTTACTTTGTTAATTAAAATATAACCATATGAAGCACCTGAATACTATTTTCCAAATATTTTTATATCATCATGATAAGCTATTCGAGTACCGGAAGGCAGGACTCTGTATATCTTCCACTTCACATATCCGAATTGATGAATATAGCACGTAACGTCCTAATACTTTAATTTTTCTCATAAAACAATATTTAGGTTTGGTATTACGAATGTAAATATTCTTGTCACAAATTATTTACAACACAATAAATTTATTACTAATACGTTTTCGTTAAATTTTATCCGAATTATCATTTTGTAATACTGAATTGAAATACTGACATTAATTTATATTTTAGCAAAACCAAATCTAAATATTAATAGTACC", "TGCTGAAATTATAATTCACAGGCTTAAAAAAAAGAATAATAATTCCATAATTAAATTTTAATATTATCTTCATGTTTTTGCAGAGAAATAAAAAACTCCATTTTATTTAACGGCATTAGGCATCTGCTTACATTTAAAATAAAAATATATAAGGTACATTAGATAATCACTTCTGATAGACAGAAGTACTATTTGACAGAAAAACAATAATATTCTGTTGAATCAAACAAAAACAAATTGAAAAT", "GGGAAAATTTGATATCATCTTCATTATAGACAATTTCTCAAACAATAATCAAAAGGCCACTAAAACGTGGTCTTTTTTAATTGAGTATAATATTGATTTATTTTAATATCCGAAGAAATAAAAATTATCCGGACTTATTGAAATAATTATCTGCCAGAAACAAAGACATTTGATAGATAGTCTCTAACAGGAAATTAATAATATTTCACATTCTATTATTTTGTTCTCCATACTCATTTCCGTAATTTTATTGTCTGTAAAAACAGAACTCACATAACATTTAAACA", "AATCAGGAAATA", "ACTATAATAATAAAAAAAAGAAGAA", "ATTCTATTGACTAGTCCTAAAATAGGTTGACTATTTTAGGACTAGTCACTTAAAAAAAA", "CAGAAAGTTACCTTGTTAAAGTATATAAGAATACAAAATTATCTAAAAA", "AGCTATATAGTCGTTATGATG", "GTAATATTTGGTTAACATTATAGTTTCTCAAATATATTTATTCGGAAAAGTGCTTCCGTAATTTTTCAATCAATGGTAATTTTTATCAACGAACAGCATTTTGAGTCGAAAAGATATTATATTTGGCAATTCCCTAAACAGGAGGTATAAAGATGTTTTTAATAGCCAACATAATTATAATATTACTGATTATAAGGATTTTATTTAACCAGAAAATTCTAAAATCACTTATGGAGTATCGCTGGAAGCTTTTGCTAAAGTTTCAGCATATTTTTTTCTTTTTCGTGTTTACCTTAATGATCTTTTTTACCGAAAACTACTTCCTCAAC", "TTAAACTATTTCCCTGGCATATTAAGAGTTCGCTATACAATATGCCAAGTCAAATAGCTATGC", "TTAAAAATGATT", "CATACTCTAATTCAATAATTCTAAGTAATAACCTTTGTCCAACTACAAGTCCTTTACCACATAGTGCCAGCTAGAATCAAACAAAGCACTATATAACAATTACTTACAATGAAAAAAGCTATTTTAATGCTGCAAAAATCAAAATAAAAGTCATTCAGAAAAAGGACATTTGTCCCAACTAGGATAAAATTTATAAGTAACAGGTCAATTTAGGATATACTTTTATTGAAGTTTTTCAGTTTTTTTTCAAAAAAACTCAGAAATATTTACATTTCTCAAATCTTCTCCAACAGGCTGTAGATCTTGATTTCTCTCCTATTTCAATTGGACACCCATTATTTATTTGCACATAAAAACAATATTTTCTTTTGGATTTGATATAAATTTGTATATTAGCATCATGATATGATAAAAATTATATCATAAAACCAAATCACGCTTAATTAATTATTATGAAAAAAACATCCATTCCTCTATTGATGGTAGAGATACTATCATACACACCTCCAAATTTGTGTGTATAGAGCCAAAGCACTTCAATTTCAGAAAATCAATCAGTACGGAACTTTAGCATGAACACTCCTAAATTGGAATTGTTATATAGCTATTCAATAACAGCTCGATTTTACAATCTGTTTTAAAAGGTAGTTTATACTGATTTGTCCATTCTGAAATAATTAAAACCGATTTTTTACAGAAGCGAGGTATTTTTATATAACAGTTTAATATGATATTTATTAATCTTATTATATACAAAATTGATAGAAATAGTAGTTAGTTAAGATTATGCTGTCAACAAAGTTGCACTGTAAATTGTCTAAAATATGATTGACATATGTTTTATTAGCAATGTCAGAAGAATTATAAACCAAATTTAACACTAAATATT", "GAACTACATTTAATTAAACAATTGTCTACCCTGTAAGATCAGGGTAGACTTTATTTATATATGATT", "TATACAAATGCTATATAAGTAAAAAAGACCACTAAATAGTGGTCTTTTTGTTTTCGAGTGAGCGCGGGAGGAGTCGAACCCCCAACCTTTAGAGCCGTAATCTAACGCTCTATCCAATTGAGCTACGCACCCGTTTAAAGGTTTGCAAATATAATAATTTTATGCAATTATATACACTATTAATCGACATTATTAGTAATATTTTTTATTCTTAAATTAATGGTTATAATCTAAGCAACTTTTACGAATATATTTTTATCCTAAATTATAATAACAAAAAGACCACTAAAAAGCGGTCTTTTGTTTCCGAGTGAGCGCGGGAGGAGTCGAACCCCCAACCTTCAGAGCCGTAATCTGACGCTCTATCCAATTGAGCTACGCACCCGTTTAAAGGTTTGCAAATATAGTACTTTTTTTCTTTCTTTGAAGA", "AAACATCATAATACCAATTATAATAAACCATTCCA", "ATTACATTTTATTAATTATGAAAACCTTCTTATATTTGGAGACATAAGTGGCCGTTAA", "TTTCCATTTGTACAATTATAATAGTCCGGCACATTTTATTTTTGCCCAACACTATTATAAAGCAAC", "TATCATAAAACTATAATTAACAAAGAAGGAGCTGAATCTTAAAGATTTGGCTCCTTTATTTTCTATCGGAAATTAAAAATATTTAACTG", "TCTAATTTTTTTTCAAATGTATAAAAATATTCTGTAACAAAAAATATTACAGTTTAAAATATTTTATTACCTTTGTATCATCATTACAATAAAAA", "AAAC", "GCGCTTTTCGATTCTGAATAAATAAAAAATCCTCAAATATTTGAGGATTTTTTATTTATTATTCCTAAATTAAGGCTTGAAAAACACAAATACAAACCAACACAAACAAATAATCTAAATTAAATTTATATGACT", "ATACTCTTTTTTAAAATAAAAAAATGAGATAAGCCTTTTTAGGTTGTCTCATTTTTTTTATAACATGTAGTTTTCGCCTTTTAGGAGTATCTCTATTTCATATTTATTAAAAGTC", "ATTACATTCTTTAAT", "TTTGAATATAAATTTAATTTGGTGTTACGCTATACAAGAGAATTTTGTTTCCAACAATATTGAACCAATTTAAGGGTTAAATAAAAATTCACAAAACTTTGATTTAAGTTGATAAATATAAAACAAAATCTTGTATGTACACTATCAATTGCTTTTTATACTCACATTCAGCTAAGAGCCTGTTAAAAAATAATGCTGGATTTCAACAGGTTCAGTCTGGCATCTCTAACATCTCAAAAGCAAGAAATTTGAATAAGCAATGTCAATCTGAGGTGTGTTTACAGGGAGCCTGACGAACTAAACTATCGAGGAGTATTAACCATAAAATTTAAGCAGGTTATAATTCCAGAACAACATTATCCGACTGATATGGTATGAGAATTTGTTTTAAATTCTTAAATTAAAACATTGAAAAACCAAACCAATTAACAAT", "CGTCTTTTTGACAAGATACCTTTTACCTGTAAAATAGGCTTCAATAATACTCCTTATACCCCATAAGGAGTTTTTTTATGACATTATTCACAAAAATTTAATCCAAATTTTATAAAAAAAGGATTTGACAATTTTGTTAAACAAAAAATTCGAAGTACATTTGTTGACGAAAATGTCAAACTATA", "GATAATAAACTAAAAT", "TAAACTATTAAATTATAACAAA", "GCAGGCAGTAGCCACAACTAAAATTAAAAAGAC", "TATGTTGTAAATAGTTTAATTTATGTTTATAGGATCCCGGCAGATATTTTTTTTCTGTCGGGATTTTTTTTATTGTATTTTTGATTTAAATAAATACA", "TATAAAACTAT", "TTAAAAACGTCGAAAATAAAATTTATTTTCGACGTTTTATTTTAATCGGATTTTGCCTGGCATCAAAAACAGCTATAGAAAACAAATTTATTTTGATTACTGACTAAAGCATTTTTTTA", "ATCCTTTTGAATTAATTTATTTTTTGATAAACATTTAATAATTAGTCCAAAATTAAACAAAAAACCTCAGCATTGACTGAGGTTTCTTTATTGA", "AATCCATCAGAAATTTGAGTAAAATTACGAAAAAAGTGAACGTAAAAAAAGTTTCGGTTTCAATAGATTTTCAATACGACATCATATTTCAACACGTAGTTATTTTGCATTGGATCATCACAAAATCTATATAAATAAAATTTAACACATTGATTAACATTATTTTACATACATTAATGAATCAAAAATATTTTACCTAACGATCGTTAAGTAAATTTGGTTATATTTGTCAGAT", "TACAGAATACTTTATAAATCAAATTTTGTTTCATAAATTTGACTT", "ACTCCCACAGCAAAAAATATATCAATCCTGTATTATGTACAGGATTTTTTATAATACCAGCACATTTATTATACTTTGGTTTATTAATTTTAAATAATAATAAAAGTTTTTAAATAATTTAATAACAAACACAGAAATATTTTGCATCTTTAGAACTTGTTTATCCTAAAGATAAAAATGCTGAAT", "CTTTTATAAAAACCATATATTTGTGTATACTAACATCTTATCACATTCATGACT", "TAT", "AATCTGATTATTTT", "TTTAATAAATTCTGATTTTGATGAGTACAATAAAGTCCTTACTACGAAGAACATAACTATCAAATATAATAAAAAGTATCTGTTTATATTTCAGGAAAAACAGAACTGCTCTACTATACATATAAAACGTATAGCCTGTTGATAACAGGCTATATTATATCATTTGAAATTGTGTAACTA", "GTTTTATTTAGTTTACAGATTTCGTTATCAGCGACTAATAGTTAAGCCTAATGGTAAAAATCAC", "AATATTGTAAGTATTTTGCAAAAATAGACATTTTAAACCGG", "GAATAAAAAATTAATGCGACAATGTTGCAATATTAGCGATTTTTTTTAATAAATTTGCATACTAATAAAAATTAAAACAGA", "ATTTAAAGGGTTTATACAAAAAAAGCCTGCAAATTATTTTTGCAGGCTTTTCTATATCTGGAAAATTTTA", "CTTTATTTTCTTTAACTAATTTAATATTATACTATATCTGTAATATTTGAAAAGGCTGTGGTA", "GATAATTACAGAGCTTAATTTTATTAATATATTTGCAAAATGAATTGCTAAAATTATACCAAATTATACAAAATCAATGGTATAAAATTACAATTTATTATTTAGATAATTTTTTCTAAAAAAAGTTAAATTAATTGAGATACGCAAT", "TACTTTTTGCATATCATATATAAGGCACTTCTTCGGAAGTGTCATTTTATTTTTATTCCGTCCAAAATCCGGGTTCCATCTTTTTAAATACAAATTTTCAGGAAGTAAACTT", "CCTATTATTTTTTACGGGTTTGATACCACTATCATAAATGTACAAAAACAAAAATATTCTCAGATGATTACCATTTTCAAACTCATTAAATCTGAAAATTTTTCTATTTCTGATTGTATTATTTTATTCCTGTATCAACAAAACCAAGATGACGTTTCAATGCAGGCTGGCAATAAATATTTGTAAAAAAATATCAGCTTTATATATACAATCCTGTATTAAAATATTCTTAAAGTTTCATTTTCAGCAATTTAATTGAAAAAAAATTACTTATTTTTGATATATTAACTTTTAAACTAAACTATT", "TCATCTCATTTACAATATACAACCGCTCATAATGAGCGGTTTTTTTTATGTTTAATTCTTTATTTTAGATTATTTTAGTAAATTTGTTAGATTAAACTAACTTTTAATTTTCA", "ATGTCCTAAAATATATTTTGGCGTTATCTTTGTGAAAAAGATTTTAAAATATATCAATA", "TTCCAATATAATTCTGAAGTAAGAAA", "GTTATACATAAATCAACTTTACAATAACCTGCTTTTTTAGCAGGTTTTTTTATACATAATCCTTGAAGCATTCAATCATCATAAGACTTTTGACACTTCAGCCTCATTAAGAATGTTAAATTTATCTAAATATTAATGCGACATTATTGCATTTATAAATAAAGACATTATATTCGCAACAAAGTTGCATTTAAGTAAAATAATCTTATTTTAAAAATCGATCTGTT", "AAGCTTATAATCTAATTTACAACAAAATGAAAGTTTGACATAACAGGATTTTATTCTTGTAATTTTTACTAGTGACTATTAACCTATTGCAAACCCATA", "TTTTACATTTA", "TTTTTATGATTTACTCACAAACTCAGCTCTGTCTATTTAGTGTATATATAATAAATTCAACATAATAAGGCTGATTCAAATTTATTAAACTTTTTGTAGTTACTAAAATAGCCCCCTTTTTCCTTATCTTCTATAATCTCAACAGTATTTTTATTATTCTAAAGAGCGCAACCTTTTTTCATTATAAACATCTTATATAGAAACAGGAGCAATCCTGTACAGAACACCAACCAAATATTTAGATATGATACCAAAAGGACAAAATATTTTTAAAATAATCGCATTTCTAATTGTTTATAATATACTGAAGGTGTGTCATAAGATATTTGATCTTCATCCTGAAGCATGTGAATAAATTATTGTAAATCTTAATGATTTGTACATTCCTCTTCAACAAAATTTTCATTAATATCATATATAAAAGTACTGATAGCCAAGCCTAACAGTAACAAATAAAATAAATCCTATCAAAAAAGTATAATTTTATTACCCTGATGCATTTTTATTTCAAAAAAAGAAATATATTTGCACCATAATTATCAAGAACTTCAAGAGAAGTAGCAACCTGCAACAACAAGCAAGGTGCTGAAATAGATAAGCCGATATTTCGGAAAAAATGTTGTATTCTATTTTCATTTTGTTGAGTTCTTGTGTTAAATAAAACACATTAATGAAATATCAAAAAAGAAATTTCCGATTACTTATCCCGTTTACCGAGTTAATACTTTTAGGAGCTTTTATAGCACCCTATTATTCTTGTATTTTCCTCACACCAGTTACGCTGCTTTTAAGCGCTATTACTATTCTCCGATTGATTAAAGAAAAAAGAATGATGCACAGTATGTGCTGCTGCTATTCAATATAAAGCTACAAATCATTCCTACAGGAAATCTTTCTTGTTTCTTATGATGATGGGTCTAATAAATCTGTTGGCTTTCATCGCTATTAATTATCAATCCATAACGGCTCTGAAATATCGGGAGCTCTTAATCATTATCAACCTT", "TGAGCGTAAAGCTATATCCGGAACTCAATCTAAACAAGTATTAATAAAAAACATCATAGGTTTTAAAACCTATGATGTTATATACTTCTGAAGATATAAACTTACAGTTTTAATGACCAGGTTGCTATAAAGCCCAGCATATAATCTTCTATGAGAAACCTTGAAACCGGGGCAGAAGATTCATGAAAACCTTTAATTAGAAATCCGGATTGTAACTGCCCTCCTATTAGCCGGGACAAACTATGCCCAAAACCATGATACGAATACTACAATTACTATATATTTAAGCTATGCTTCTGTAAACGTTCTGATTAACAGTAACGTTTCTTCTAAACTTTAGTTAATCAGGATTAAATTAGCATGCCCTTATGGGTCTTTTTTAGTATTAAAATTCTTTTTAGGTGTATTTCCTGCGGTTAAAAAATCTTCTTTATGTCAGATTTACACTGCAATATTAGACATAATTTTCAACATAGCCTCTCTACACCACTATTTTAGGGATCTTTTATCTCTCAATAATAAAAGTTGGTACCCAGTTTCATATAAAGCTTCAATCCTTTATGACTACGATGATCAGGCAAATAAAAAGGCTATAGCTTTTTTATTTTTCACTAAAAATAATTAATAAAATTTCACCCACAACACACTACTAAAACATCAATAAACAATACATAAAGCAAAAAATAAATATATAATTCAAAAAAAAATAATTATTAATGAAAATTTAATTATATTCACTTCACAATACAATCAAATACAAACAAT", "TAGCCAGAAGCACAGTTACGTTACTAAGAAGAAATTGCCAGAGCCATTTCTTCTAAAATTTTTATGTCGTTAATTTAATATTCAGCGT", "A", "GCTGAAAACAAGAACATTAAGCATTTAATTTTAAGTATATTGCAACTATATATTTGTTGAACGAATAATCTATATCTGCTATGAATTTCAGGACTTTTTCGAAACTTTTGCCAATAAAGCCACGAGTTTTACAGGCAGTTCATCGGCTTTTACAATAGCACTGGCCATTGTTATCATATGGCTTCTAAGTGGCCCCTTTTTTAATTATTCAGAAACCTGGCAACTGATTATCAATACCGGAACTACCATTATAACATTTTTA", "TAATAAAGAAAATTTGATTAAACAAGTATTTCTTACACAGAAAGTTATATTTTTAACAAACTAAAAAACAAACAAATGAAAACATTCGAATTCAAGCAACACCAGGTTAAAAAGGCCTTTCTTATAGGGATTCCATATTTGATAGTAGTTTTCCTTATCTGCTATTTTCTTTTCGGGGGGTATTAACGGAATGGCCGATAAAGTAAATAAT", "AAACTGATAGGCTAAATTAAAAATACTATCTGCTTAACTCTACAACAAATAATTCTCACAAAAAGATAAATTATTTAAATAACGTAATTATAAACCATTTTCATTACCAACTAAATATTAAATAACAAATATTTATCTACTCTTGGCATTTTATTTGTAAACAGCTAAGAGTTAACCAAAAAAATTATTGTT", "GTTCTAAATAATTATAATAAAAGACCACCTAAAGTGGTCTTTTATTATAGCCAATTATCACAAAATAAATATAATTGTAAAATAAGAAGTCTATAAATTTCTTATAACAAGAAATTTAATATTTTATTAATATACAGGAAGTTAAAACGATGTAATTTTATAGTAGAAAGTTAATATAGTATTGTAACCAAATACATTAACACGATGAAAACTATTGTACATTTCTTCCAAAGACTATTCTTACGCCTCTTTTTAACACAGTTTAGTTCGTTTTATTCACCGCTGAAGTTTCACAAAAACTAAACGGCTGAGTGAAAGGAATACTAATATTGTTATTAATCCACTCCGAATAG", "CAAATCTTTTGTAATATTTTATATTGAGCTTTTCTGAAAACAGTCGAAGCATTGTTAGTTCACTTCTCCAAAGCCAGGGTTCAATACTGTTTGTTCCAAAACATTACTTAAACTGACAATATGATATAACGAACTTACTTATACTAAATCTGGCTTGAAGGAGGTAAATCAATTTCGCG", "AAAAAACATTTAAATATCAACCAATTAAATTTTAATAGTACATTTGTTAATAAGAAAGCATGAAAAAAATATATTTTTTTAATTTAAAATGAGCACCAAT", "GTAAATCTTACAAATCAACTCCATAATTTCACAATAATCATACTATTGATTCTTGTCAACTTTGCATTGTAAAAAACAATCTGGA", "ATATATAGCACTTTTTATTCCTATCAATCTCATATTCAGTAAATATTATAAACAACTGACGGAAAAATGTAATAACAAGATACCTGACTGTATTGAACTTTGTATAACAATAAAAGCAAGTCAAA", "TCTAACTTCGGGAGTACAGTGTACTCCCGAAATTTAAAAAACATGAAGTTAAATC", "GAAAAACAAATATTCAGTAAATCTGAACTACACTCCTCAACATTTCTTTATCAATTTCTATAATTCCTGTTTTTTTTCGACCTGTACGCAAATGTGTACAGGTTTTTCTTTAATCCATAGAATTTGCTCTTAAATAAATTTTACAATACATCTCTGTAATTATGTAAAAAAATCAAACATCTCCAATTATACATTTGTCAATAAAATCAACTGTTTGTTGCATAACTTAAAAAAAAG", "CCCCTCTCAATTTGCAACACT", "TTATAGATATAAAAAAACACTTATCTCCCTGCAATTGACACTTGTTCAGCTGCACAAGAGAGATAAACATGAAATAAGAAATAGAGAATCTTGGCTAAACTATATATGATTATAAATATTTTACG", "AGCAAACACTCTTAAAATTTAGATAATAACTAAAAAATAAAT", "TAAAATTTACTTTAATAATAGTGCTTATAGTTTAGTAGTTTAGTTTAGAAGTGGTGTTTGAGAAGTCCGCCACAGACCTCACCTCTGAGAGGTGAGGTTTTATAATTGGTGGATAATATGAATGATGAAAACCAGTAAATGTCACAAATAAAAGATGAAATATTGCAAATAAAACTCCACCCGATTGAATGAAATTTGTAATAACAATAACGTTAAAAACAAAAGTATA", "TCTGAAGTAAAAAATCATTAAGAGCGATATTCCATAAAAAATCATATAAATTTTCAGAATAAAGCAGACAGAGCAAGAATTAAGGGTTGCAATATAATATTGTAACCCTTAATGTTATTAGAACTATACAATTCAAGAAATATT", "ATGTTACAAATCTAAACGCAAATACCATAATAATGGCGGCTATTGTTTTATGGAAATTTGTATAACAGGAAAATAAATCATCAGAC", "TTACAATATATAAAACATAGGAAAGTACATCTTTATTTACGCTACAAAGTAAAATCTGTACATCACTTGAAAGCCCTGTTTTGATAAACAGGGCTTCATTATTTGGCTCTCATCTGAATTGACGAATGTTTATTAGTTCTGCTTTCAAATTCTGGCTTTTATTTCTATATTGTCCCTCATTTTCAGAGAAATGCTTGTTTATTTTATTACTAAATCTAAATAGGAGCCTCTGAAGTGTAAAGAAAACTAATAACCAAAACTTATTTC", "TCATTAAAAACTAAGTTTATATAAAAACAGCAGGCTTTTTGAGCCTGCTGTTTTTATTATATAGAGTATCTT", "TATTGTATTGATTAAAATGCCGGTACATTCCTTATACCTTCGTATGGAATATAGACATGAACATATAAAAAAATGGAGCCTCTTGTTCTGGAATTTCCGGAAACATACGAGAAAACGGTACAGCAGTAAAAGCTGAAAACCTGTATGCTAAAAAGGGAAATTAATAGTGATAGAAAAGACTATAATTACGCTGCAAATATACGGACAAATAATTAAACGCTCTAAAACCACACGCAACCAACTGTATTACAACCATATACACATGGTGCCAAAAACAGAAAA", "ATTAAAGTTTTCGCTTTTTGGTGTTAATCAAATTTAAAATAAAAAATGATTCACGTATATTTATTCATCAATACATAATAAATATTATTAAAGATTATTCAATTCAGCAATACTATTACAGATAGAAATGTTTTATTATGTGGAATTGTAATAAATTGTTCAATTTTTAAGTTTATATTGTTAAACGTAATTTTAAAAGATAATTAAACACCAGATTAAGTCAATTATTGT", "AAGAAGCCCTCATATGAAGGCTTCTTTTATGCTATTGTATAGATATATCATGTTATCTGA", "T", "ATGATGAAAACCCGTTTCGTTTTTTTCTCTTAATAAAACGATAAGCTCCTCTTCCGAATAGTTTGTTTTAATTGTTCTCTATTTTTAATTTTCCAAATGTAACAAAATAAAGAAGATAATAATACAAATCTGTTTTAATTTTTATCTCGTATATGTATTTATGACAATAATACTTAAAATTTATATTC", "TTTACTGACTTACAGTAACTTAAAATAAATATGACAAAATTAAAATCTAAAATAAAACCGAACTCGTAAATGCCAATAAGAACATATTCAAGTAATGTTTAATTAAAAAAATCTAAGAAA", "TTGCCCGACTTCTATCCCAAAAACACAAATTTTCACACCAAATATTAACACCTAAAAAATAATATT", "TTATTTCATTTTTAAAACCTACTGTT", "GATACACATCCACATAACAAATTAACAAAAATTGCCCTCATAAGAGGGCTTTTTGTATCATAAATAGCTTTTTAAATAATCTCCTG", "ACCTTTTGGTGCCTTTGACAGACTCTCTGCATTCAGATAAACCTCTTTAGTTTTCTGATCCCAGAAAACTGTGGTATTTTGAGATTTTAAAAGATGTGAAAATTAAATCTTTATTTTGTGAATTTATTTTCTCAAAATCTCCTATCATTAACTAAAGTTCCATAAATTTACTAAGTATGAGTTTTATATAAAATAGGATATGCACGAGTCTCAACCAAAAACCAAAATTTAGATTTGCAAATTGAAGCTTTAGAAAAAGCAAGTTGCGAGAAAATTTATTAGGAGATAATTTCAGGCACAACAAAGAATCATCCCCAACTTGATAAA", "GAAATATATAAGCCACTTGGATAAACAAGAGCAACATTTTATCGATATGCCGAAATTCTTAAAAATCATACAAATGAAGAGATAAAGAAATGGCAGCTAAAAAATAATATTTACGCAAATAGACTGCGTAGTAGGAGTACATTTTTGTATTAAATAAATTATAATATACCTAATATTCACGCAAA", "AAATTGATAATTTTAATTATATGATTCATTTACACAACGATTATCTCAAAAAAAAACGATT", "TAAATCTCAAAAAACGATGCTTTTTTCTAAATTAATGAATATTTAAAACTTCGATAAAAATAGCATCTAAAATAAAAAAAATGTAAATTAAATACTTACAGGGTTTTTAGCAGAAAGGAAGGGATTCGATCCCTCGATAATTATAGGCTTTGAGATCAAAAGATTAGAAACTAAACAAAAATTGCCCTCATAAGAGGGCAATTTTATAATCCGGGATTTC", "ATGTGATATATATTACCTGATATAAAAATACATAGCCACTGTACAAAGCAGATGAACAGAGTTTTAAACTCTGATTAAGTTATGGTAAACTTGCCCTATTTAAAAAACAAAAGCCCCATAACGGGGCTTTATTGCATATATAAAAATGTAACTATCCTGTAT", "CATCATTTGTTTGTATGTTTTTATTAGTATTTAAAGCTTTATTTCATAGACATTTTATTTAAAAAAATTATTTACAGAGCATTATTATATAAGACATAGGCCAAGCAGCAACATTAAAAAATACCGATAGCATTTATCACGCAGGAAATCTGACTATTAGACAATAGCAGAAACAACACTTGCTGAAGCAGTGCTTATTCTTATTCCGCATTATACGGATTGCTCCATAAATAAAAGGAGCTTACTCTTTGGGGGAAGTATTGTTGGGTAAAAAGTTCATTTGTTTCATCATTTGTTTGTTTGTGTTTTTATAAAATCACCATTTCCGGAAATATCCGGCAGGATTATTTATGGGACAAAAATAGAAAATAAAATCAGGAAAACAATGAATATAAGACAATTAACATTAATTTTAAACTCTGTTGGTATAATACAGTAATTATATATAAGAAAATCACTATCCAAATGATGTAATATTCATAAAAAACCTTGATACAACTTATTGTATCAAGGCTCTTTAGTTATACCGGGCTATATTTTGCTCC", "GGAAATAGTTTTTATTAGCTTTCAAAATATAATTAATGTATGAAGATAAAAGATTTTCTGTTATTTATAATTAACATCTCATAAGAATAATTAAATAAATTTCTAATAAAAAGCGGATTACTATATAAATAACCCGCCAAACTGCTAAATAACAAAAATAGTAATGATGATTAAGCGCTATTCAAATCGATTAACATTTTTGTATAAATTGTCTATACTAAAAACCACATAGGCTTCTTTAAAGATACCTATGTGGTTTTTTAGTACAAAAAATCTTTTAATTCGTTATTC", "AATTAAAAATTACGACACAAAGATATGATGTATTTATTAAAACATCAGATGAATTCAAATTGATTGTTTAAATAATTACTACAGGATATTATAGATAGTATCGTATTATTTACCTGTTATTTGACTTATAAAAAGCCTAAATCCAATAAGAGTTAGAAACGAAAAGCCCTGTAAAAACTCAATCTTTACAGGGCTTTTATCAAGATTATAACAAACGA", "AAAATTTATCTGTTATTTGATATTTATAAGCTAAAGTTAGTCTTATTCCACGGACATTCAATATTAAAAATTGTACTTCTTATTTTTTGAAGCAT", "AGA", "GAGAATTCAATTATAAAT", "AATATTTATTTTTTATGGTTTAAAAACATCTTTAATATCGCAATATTACGATGATAATAATTAAAAAAATGTGT", "ATCAATTTTTATTATCGCAATATTACGATAATAAAATTTAATACACAATATCCTCTCTTAGAGAAACTACTGAGTATTTCTTACTTTTGTATATACATTGCAATTATACAATCTGAATCTCGCAGGCTGTACAAAAGCTTATCTCCATATAGCCATGCGAAATAAAAATTAAAAT", "AAACTGCTTAACCGAAATTCAAATACAGAATTACCAATTAAGCAGCTTAGGTATATTTAATCGGTTATA", "ATGACACATAATTTAAATTCTGTTGCAAAATTAGACTATACCGGTATACATCCGAAGCCGTTTTGGATAAAGTGGTTACATAAAGGTTATCAGTTTTTTTCCATACTCTGTTATGCGTTTTTTGGAACTATAAGCTATTTGGATATTATCCATCAAATCACAAACAATATTTTCTCTACCTTCGAAGAGCCATATTAGAATAAGAAAAATAAAAGCCTTCAAATCTCAGGATTTAAAGGCTTTAATATTTTTAAATATCTTCTGTTTTTCAGCA", "CTCACGAAACCGTTTAATATTTCGGCCCTGGTGTATCTTTTTGTGGGGCGCATCGGAATATGTCATAACAACAGATTTTAATTGAAAGTTTAAATATAAGCAAAATTAAATTCCTGAATTATTCTGTAAAAAGAATAAAATATCTTTTTATAATTTCCGGGATTTCCGAAAATGGAATCTCATAATATTTTCTAATATCCATGCGTTATTCTTTTTCTTATGCTTATAATTAAAAATAAATATTAAATTTGTCTAAAGTCAATTGCAGTAAAACTATTTATTATAATAAATGATAATTTTTGTCATCAGCTAACTTTAAAAAGTTGATGACTTCTATAAAAATTTATGGCTTTATCTGTTGATATGAATGTGAAACTAATTGAAAAAGCCACAGCCCGGTTATAATAGCCGGGCTTTTTATTTCTGTTCTGTTTCAAAAAATCTGCTTAACTGTAGCTCATACATTCAAAAGTAAATTGTG", "TCGTTATGATTTTACTTATGTTAAAGATAAACAGAATTTTTGTTCAAAAATCCAACATATCATTCATTTTTTTTCTTACTGCAATCCTACTGCAAGCAATGCATAAATACACTGAAAATGAACACGTTACACAAATGAAGTAGAATTACAGTAATGTCATCTTGCCGGAACACTGATAGATTTGTACCAGAAAACACACTACAAACTTTACACAAATCAATTAATGTATAACACAAATAATTAAGTACGATGAGAAGCGACACTAAATTTATTCTGACCTGCGTAAGTTTTGTTTTTTTATGGTTACTGGGCATATCACTATTGTAAACAAAACTTGCCAGATATCGAAAAGACTTTTTTAAAACAGCACCTTTTCTAAGAGATTATACTTAGTCATTCTGATGCAGGACACCCTGCTTTTTTGTCCTCTTTAGTTACAGAATGCTACGACCCAAGATTGCCTGATAACAGCAGGTTATTATTTAACAATTGATAAAGCTACAACTATCACAATACTATATTTTATTAAAAAATAATAGAAATAAAGTAATAACAAGTAACATTTGTTACAAACAATGCTGAATATCAGCCACATCTTTGCGACCGCTTAAGCACAAAAAAAACACAACACAATTTTTCCGAAATAAAGATTGAATAATAAATATGATGAACTAATGAAACCATCAAAGAAGAAGAGAAAAAATGTAACATTGGAAACAGAATTCCAACAAAAATGCTGTAATC", "ACAATCCAAATTTTAAAATATAAAACTTCTGTCTGATCAAAAAAAAACGGTTCAGAGCAGCGCTTAATTGACCAATTCTAATCTTTTAAGGTCACTTCACTTACACTGCCAACAATCCTACAAGTATCTAACAATCAATAAATTAAAAATTTGAAGTAGAGTTGCAGTACAAGATTTCCGGCAGAACGCGGGTAGATTTGCAATAGAAAAAGAGAACGAGGAAATACAACCTCATAAAGCAAAAACAACAAAACAGACAACA", "AACTTACAAGAAATGAGAAGCTATATAAAACTTTTCCTTACCGGTACAATATTAATTCTGCTCTCACTAATTA", "TCTTATAAAACTATTTAAAAATTAAAATTTTCATCATTTGTTTGTGAAAAGGCGGGCAACTCTGATTGCTCAGACGAGTCCGCCTGTTTTTTATAGAAAAGCAGAATAACATCTATCTGACTAATAATCCTCAAACTTCTTTAATATAAATTATC", "ATTATTTACAAATAAAAATACGATATGTAACAAATGTTACTAAAAATCGCAAAAATTACCTACATATTTGTAAAACAAAAGCACAATTAGCAGTTTATAATTATAGTCTGCTATATCTACAGAAACAATTTTAAATTTTTAAACT", "TCCGGAAATATCATACAACTAAAATTATATTCTCTCCGCTTTTTCAACTAATAACCGACTCATATAACATAGATGTTCCAATATATTTCATAAGCAATTTTATTCAGATGATAACATACTTTTATCAATCCGGCGGAGAGGATTTTATATAAAATTTTCATCATTCATTTGTGTTTTGTGATCAGCTAACTTTAGGAGGCTGGTCACTTTTATAAAAAATTAAAAATCATGGCTTCATCTGTTGATATGAATGTGAAACTAATTTTGAAGCCACAGCCCGGCTATTATAACCGGGCTTTATTTTTCTCATAATAAAGATAAAATGGATGATCAATTTTTATTCGTTGAAAAAGAATAAATTAGTCTGAAAATTTTTATAAGTTTCTGTT", "CTGTCAATTATAAATTCATTAAATTTACATACAACCGCTTCTTAAAATCAACATATAAATCTATTCTGTCAGT", "CCTAATAGATCAATAGGAAATCATCTGATCTTAAATAAATATCAAAAATGGATATTCTAAGTCCTGTCAAGGTAATATCTAAGCTGTAAATAAAAAAGGTCCCGATTGCTCGGGACCTACCAAATCACAAAAAATTTTATAAAAAAAATTTATTCACTTCAAAAGTACAAATTATTTTAATATAAGCAACAGTGTTAAGAAAAATTTAATACAAAAAACATATCTCCCTGAATAGAGAATTTAAAGATTAAGTAAAAGAAAAGCCCTGTCTCAGGACATAATCACAATACAAAAAATATTTATTTTCCATTTTTCACTTTTGAGACATTAAGAACTATTAAGAAAAAAAACTGACATACAGTACTGTATATATTCTATGCTATATTCAGAAA", "AACTTTATAATTTTCCGGGTTT", "AATTGTCAGGAATTAAAAAAAAGAAAACTCTTGATTAAAAAATTGAAGAGTTTTCTGTTCTTGGTTTAG", "ATCTATATTTAAAATTAGCATTACAAAGGTGCATACTGCTCACCTATAATTTATGGTGAACTTTTCGGAACTTATCAAAGTTAAAAACAATTTGAGCCAAATAAATTCACCTAAAACAATTAATCAACTCTTTTACAACATATGTGGATGCGTGTAGTATATAAAAAGGGAAGCCATCATATCGACGCTTCCCTATTTCTGTAATTATTAGTCCTTGTATAAAA", "GATATTAAATT", "ATCGAAGTTCTTATATTGAAAGTCCAGATTAATGCTGTACTGATATCTTGGTGTAGTATTACCTATTATCCTGCGGTCACCCGAATTGTAAATTGTATTATCACCCTGATCTATTTTACCATCCCCATTCAGATCTTTATACTTAATATCCCCATAAAAAATGCCCCTAAAAAGTTTCTAACTTTTTAGAGGCAGTCTCTTGGTGGTGTTTTTTATTTATCCAGATTATTGTTTAACTACTTTTTTCACACCATGAAAGGATTCGTGCTGTAGCGACGCTTATTTTTAG", "ATTATTTCTAAGTTAAATTATAAGCATCTTTTACCCATTTTGGAGCAGTTCTTGCTCTATATAGATCTATCATAGCATAATTTA", "ATTATTATTTTTTATTTTATTTAGGAAACATTGTAAACCAGTTATATTTACCAAACCAACCAACTTCCTTTTTCAACCCAGCCCTTAAAAATTTAAATCTATAGGAATCAATAAGTGCGTGCTGTACAGTATTAATTTCCAAACGTTCAATGAATTATTAACCATCCAATTGGGTAAATTATACGATCGTTGCATTGACTGAGTTATAAAGGTATGACTAAATTCAGTACTTATTCTTTGGTAAACAGGAGTTCCCGCTTTGTTAGTCGTAAGAATATAGTCTAATGTCTGAGTTCCTCCTTTTAACGCTTTGTATAAAGCCTTTATCTCATATTATTATTTCTTCATTGTTTCACCACTTTTATTGACCCTCTCTTATTAAAATATAGAAAATAATATAGAATACGTAAAAAAACATTTAACCATTTATCCGTATACACTAAGTGCAGAATCTGTTGAGTGTCTAAACACCAAGTATATTACGTGAAATTCATATTAAAACATGAAATAATATAGCTAATATAGCTATTTGCTAATAATAATTATAAACACGAACGCAACCTTTAAGAAAAATAAGCATCTTATTAAAAGAACATCAAATTACCC", "ATAGTCTGACAACTTTCTGTTTAACAAACTTAAAAATCAGAATC", "AATATCCATAGAATTATATCAAAGGCTACTGCTAAATTTTACACTTAATCTTTCATCTATTTTTTGATAAGCAGTAGCTTTTTTCTTAAAAAGGGATCAGTTACAAATCCTTGGAAAATACAGAGAAAACATGACTATTGGAAGATAGTATTCCTCTGGAATACTATCTTTCTGAGATATCTTTTTTATAAAGGTTTGTAAAAAAGAATTAAATACTTAACTTAGTCGTTTTATTATTATTTATTTTCATAAAATAACATTTTTTCAATAAACATATTATA", "TATAATATTTTCCGAGCTCAAAAACTTTGTACTCCGTTTAATCTGTGCAGATTAAGCGGAGTAGTTATTTATACTAGTCAATATCCTCAAGTGTATACATATGGCATAGCAAACTTCAGCTATTGCCACTTGTTTCTTTCTGCAGGTTTCGTGATATTTGCAATACTGGTAATACAATCCCTGATCTC", "AATTCCAAAAAG", "AGTAAATCCCTTTAAAGTCTGGCTCTAAAGGGATTTTAAATTATATTCTTTTTAACAAAA", "TTTTTTACTTTTTA", "TTGAATAGTCATTTTAATTTATAAAAAATATACCCCTGAGAAGCAGGTTCTCAAGGTATAAAAAGTGTACAAACACCTTAATATTTGTCTTTTATTAATTAAAAACTACTACTGATTTCATTGTGTAAAGGTTTAGCATCGAATTTACTAATTTTTTATCTTTAATAAGCTTATATTCTATTGATTATTTATGAATTTCAAGTCAGTAATTGCTTTTTTGCCATAACTAACCATTTCATTCATAAAATCTTCAGTTGTGAGATTT", "ATCTTTAAATTTAGATGATTAACAATATCAATATAACAACCTGATTAAAATACTCTATATCAAAACAATTAACGCTTCCGGGAATTTATAATCCAGGAATTACCATGTAATATTTCGGTTGAATATAATTGATTTAATAGCATTGCCGTACTTTTCATAACCTGTTTAGGCTAACATATTCCGGCTCATTGCTGAAAGTAATCAAAGGTACGAAATCCTGTGGATTTCCAATCAGGAAACACCTGTTTTTTAAGGCTTTGACTGTTATTTTT", "CCATCCTATCAGTTTTTCATTCGTAACCAGCTCTGTATGAAGAATACTTTTATGAAAGAAAATGCCTTTTCGGAAGCTCGCGATCCA", "TT", "CTTTTTTCTATCTTTCTTGTCTTATTAATCTTCTAATCATCA", "TATGGTTCTTTTTATTTTTAGC", "TTTTTATATTTTAAAAACTTATTGGGATCAATTCCCTCTA", "GATTGGTAAGTA", "TAATTTT", "ATTGCATATATTCCGCAGCAAAAATCACGATTACCAGCTAACCTGCCTTTGACAAATGTCAAAAAATACAGAAATTACAGGATTTATTGATTATAAATATCACTGAATAAAAGAATCTTTTTAACCACATAGAAATATAGCCTCATATCCTACTGTAAGGTATCTTCGATACCAGCATAGATCACATAGCTGATGTGCGAAAATTTATTTTCCTATATCTAATCTCTCCTGTAGTATTAACCCTATATTTCTATGTGGTTTTATAAGGTATCCATCTGATT", "AACTGTAAAGGCAATGACTAAATTTATGAAAAATTATACAATATTTATTCTCTAATGGTTTTCTAATTACATTCTAAGACCTTTCTAACAGCTATTCCAAAGTACTCAGCCTACCTTTGCAGCAAAGAAAAACAGATATGGATACCGATCCGCATTATTACAACAACTAAGCATTGTGCCGCTTCACTTTTCTGTAAGCCGGCCGGATGCTAAAACAGAAAGGTT", "CATTAAAAACAGCATTGAAACA", "AATAATGCTACATAGAGCTTTTTAAATTCAGACAGAGGTATCTTAATGAGCTACTCTATGTGGTTTGACAAAAAATCAAAATCCGAGTATATAATTAAGTCAATTAAAATTATTTATTTCCTTTCAATATTAAAATAGTCTCCCGCAGTTTTCACTACAGGGGATTGTTTTTGTTTTATCAGAAACATAAATAATAAAAAAGCAGAATTATTTTATTATCGGAGGAGCTATTTATTTCAACCTGGAATCAGGACTTTTTCTAATAGTTTTCTAATAGTATTCTAAAGGTTTTCTAACAAGGTATTCTAAGTGTTGAAAATACCTTTGCAACAACGAAAAAACACTATCTGGGTTACTCTGAAGATGGTATTTGAAGTTAAAGAATAAAAAATTAATCTATTGTCATAAATAACTACTATAT", "TCTATAAAATCTTTATCATGAGACTATTTCAGTTTTCACAATCTGGTTGTAAATACATGAATGGTGAATAGTCAATTTAAGGTCCTGCAGGATCAGGTACAAAAATTAAAAAC", "AAACCTGACGAACTTGGTTATGATAGGCTTTAGCATTCTATCTGACCTATACAACCAGCCGAGAAGCGGCGTATTAAAAAAGAGACAC", "ATCACTTTACTTAATTATATAGAAATGCTCCTGTTGTTTATTCACAGGAGCATTTTGCTTGAAGTAACCTTGTCAAGGTTAATAAAGCAATAATCATTAACCTTGACAAGGTTTAAAATACTATAATTGTAC", "AATTGGTTTTTAAGGTTTAATAAAACCACATAGAAACATAGGATTAATTCTATAAAAGAGCTGATGCCAAGGAAAATAAATTTTCACACATAAGCTATGTACTCTATGTTAGTATCGAAGATATCTATTTCTAATATAAGAAAACTATGTACCTATGGGGTTAAAAAATTAGAACACAAAGCCACGATTTAACATAAACTTCACTATTTTGTTCTTTTTGTGATCAAATAAAAATTCATATTATCTGCGGTGCAAATACTTCATACTTCTAAATTCTGACTTAGTACT", "GTATTCGTTTTTATAATTCAGTTAATAACTACAACAGCAAAGATTTTATAAAACCACATAAGCATATAGAATGAAGCATATAAAGTAAAGTTTGATACAGGAAAGTAAACTTTCGCATACGCTATGTACACTATGTATGCTATGTTAGTATCAGAGATACCTTTATCCGCGTTTCAAAAGCTATGTATCCATGTGGCAAAAAATTATTTTCTAAATCCTTTGTCGCTTTTCACGCCGTAGAATTCTGATTTATCATATAATAAGTCAAAAGCCATTC", "ATTTTCTGTCTTTTTTTGTTTACTTAGTA", "TCCTATTAAAATTTTAGATATAAAACTTCAGGA", "GTTGTTTATGATCTTTGAAATTCTTTATTCAGTTAGTCATTAATTACTCCCTGCTATTATTTTAGAAATAGAAAATTTCATGTAAATTTGGAGTTATTTATTACATA", "AAAGCCAAATAATCATTAAATATGAGGTTAAATTTTTTACAGAGGTAAACAATATAACTATTAATAGCTCTGTTCTGCCTAAGCATACTAACCATTGCTTTTTAAAATGAAACCTATGTATCTATGTGGTTAAAAATGAGATCTGACCTCTGTAACAAATTCTGAAAAGAAAGACTAACAAGAAAAAATAATA", "ATCTCGAACCCAACGACTGAACTT", "CTAATTGTGAAGTTAGAAATATTAAAATTCAACAGACAGATCTGTAAAATAAAAAATCCCGGGTGACCGGGATTTTTTTATGTCTTAATGTTATTTGTT", "AAAATTTCTGTTTTTTTAATTTTATGAGGCAAAGATAATGAAAACTTTCACTACATAAGCTTTTTCACTGTCACATATATACTACAGTTCCTAGAGGGCATAAAAAAATCCCGAAAATTCGGGATTTTATTTATGTTTTTAGCAAACAA", "TGTTGATTTTTTGTAAAATTAATATTTTTTATTAGATTTTTGAAATTTAA", "CCGTTTATCTTTTATTTTTTTAATGGACGGACAGAACTTCGCTTATAATAATCCACATTGTTAGTGGAAAAGTCATTAAGCTTCGTTTCATTCGTATATTTTTTAAGATCTGATAAAATGTAGTTATTAAATTGTAATCAACAACTACATTCTATCAATATATCATC", "TGAGTATTTTTATATTTTTTTGTTTCTTAATTTT", "CCTTTAT", "AGTTTGCAAAGATACTAAAAAAGTGAATAATT", "TCAGAAAATTTTAGCATCAAAAAGCTATACAAATGTAGTCAATTCCATACAGAGTTAACGGTTTTTAACGCTATCAATTGTCTTTTTAGATATTAATTAAGAACTTTTGGTCGTATTTTTGAAGAGCATAAAAAAGTT", "AAAAAGAAATAAAAAGAAATT", "ACAAGACAAAATTTAAAATACCGAAACATACTGTAAACAAACGTCTTTACAGTTAAAATGATAAAAGCCGGATAAATTATTTACCCGGCTTTTTTGTTTTGTAACATTAAAAAATACAAATTTGCAGGATTAAAGTAAAAATAA", "TCCTATCTTTGTTATAAGGATTGATATAAAAGTTAGAAA", "CCATAAACAAATGGCCGGAATTTCTTCCGGTCATTTTTATTTATAAACTCGACGGTGTCGAGGTAATAGTCAAAAAATATTATTTCTCTATGTTCTTTCTCTGGTTTTGTATTTGATTGATATTAATCATCACAAGACTCCTCGATTTAGGGACCTACATCATAAAACACAGATCAAAGTCAAAGTATTCAGATTAAATTTTGCTATCAATTACAAAAACTCATCAAGGCTTTATTGGATTAAAAATTTGATTTACTTTAGGTAATCCTTACCTTTAGGTT", "AATGAATTCCTGACAAATTTTAAAAGGAACTAATATTTTTTGAATAAAAAATTTTGTCACCTCATCTGTAATTTCTAATTTAGCCTCGTGAAAATGAACAACGCATATTATTATTCTAATCTTAACTGCCGTTAGGACAAGAATGATATGTCAAAATATTAATAAACCCTGTCCTTATAAGACAGGGTTTATTTTTTTTAGTATAGTAAAGAAAACAGAATC", "AATATCTGAATTTAAAAAGGGTAAAATAAGCAAGAATTGGGTTGTTAAACATTCTGTTTTAGATAAAATTTGTCTGAAAAAAGA", "ATTAAGGAAAAAGGCTTCA", "TTCTTATTCAATTTTATCA", "AATCTGAATTTATACAAATTTAGCATTTTATCTACAGGTAGTCAATGATAAATATGGGATATAATGGGGAAAGTTGAAAAGTTGATAATAAGCTAAATTATTAAATGGTTCCCGACACACTGCATTTCATTTCACACTCGAACCGATGAGATTTTATATTAAACTTTACTTAAAGTGATATTTCTCGTTCAAAATATCTTCTTTTAGGAGTCTCAGGCTAAGTTGAGTCTATAGAGAGTCTGACGAACTATCGAAGTCTTATTTAGAAATTATA", "CTAACTACAAATATAAGATAAACCGAAAGTTTCTCCT", "GTTTACAAAAATATAATAAAAAACGGGAAGTAGAGACTACTTCCCGTTTCATTAAAAATACTAACTATATTTTAT", "GTGTTGAATTTATGTTGATGCAAAAGTATACCTTTTTTATAGACGATTGCCTTATCCTATGATAAGTTTTCAACAATGACTATAAAAACTGCATTTTCACCTT", "TAACTCCATATTAAATAATTATTTTTCCAAAAATATAAAAAAAGCACTTAACATTTTGTTCATATAATATAAAAAAACATCATACCTTAAAAAAGCAAACAAACAACTGACTACAAACAGATTACATCACACTAGAAAATAGATTTCAAGCTATATTTATTCTTATACAACAAAAAAGCTCTCCCCAAAAAGGGAGAGCTTATACTATTAAATATTAAAAAA", "AACATCTATTTTTTTAAATTTTACTACCATACTCCTGTAAAAAGCGTGCCAAAATTAACAAAACCTTAACAAAACCTTTATACATGAGGATTCAAACAATGTTTAAGTATTATAAAAAAGACCTTTTTTTATATATTTTTATAAAAACTTAAAGCAATTTAATCTTTAACCTTAACGTTTAATATTAATCATACCAAAAACAATAAAGCGAT", "ACTCCTGATATC", "ATAAATAACTCCGGGACATTTCCCGGAGTTATTTATATTTTATGAA", "AATATTTTGTTTTTCAACAAGAAGTTACAACTTTCGCGCCAAATACAAAATAAAAGAATGTAAGGCTTCATAAAAACATATAACAACATAGAATAGTCAATATAAAAGTTTTACATAGGAAAATAAATTTTCACACATTCACTATGTGTATATTATGTTTGTAACAAAGATACCTTTAACCTTAAAGCTTGTTTAAATTTTATAGTTAATACTCTTCGATAGTTTAGTTCTCTAAACTACCGAAGACTGATATTATTTTGAATTAAAATTAAAGCTATATTTCTATGTGGTTTAATAGATCATAAAAAAGGCCGAAGTAAATTTCGGCCTTTCTACATGTATAATGTAATTCTATTC", "AAAAAAATCTTCTGTGTACTCCGTATTGAGTACGTTAATATTTAAACTCTTTAAATTTTCGTTCGCAAAGGTAATGAATTTGTTTTGTTTTAACTGAAAAAGCTGCTGCAATATAACAACAACTTAACATAGGTATTATTGAAAGGTTTTATCCCTATCACTCTAGTTTTACGGCTACCTGAACCAGCCTGTTTCAACAAATATTACCAGGTACTGAAAACATCAAATATACA", "AAATGTGTTTTTGTTACAAAATTAAAAAAGCAACCCTTTCGAGTTGCTTTAACAGAATTTCTTGTATC", "TCCAATATTATTTAAATGATTATTATGTAATTCGGGTGCAAATATACGACTAATTTCTTCATTCACAATATAGATTCTGTTTTAAAATTAAAATTCATATAATTCAAAAAACTTTATATTTTTTTATCAAAAACTCAGTAATTGGCTGTATTTTTGTACTCTGTAAAAGAG", "GGTTACAGAAAAATAATATTCCATTATAGTACTTTTTTGACAAATTCACGTTACTTTTGCAGCGAAAAATTTTGCTGTG", "ATTTGGGATAAAACCCAATC", "AGGCATATCATATAAGACTCAGAAAAAATAATCCCCACAGCTATTAAAAGCTATGGGGATTGTATTTAATAAGAGCATTATAACCTGTAAGAAATGGAATAAATATTCATTCACATTCCTG", "TATTATT", "TTTTATTTTTTTGTAAATTTATCAAAATAAATACCATCTTCAAAAA", "TTTTTTGAAAAAACTCTTTTCAGAAACAAAAATATGTCTATATTTGCACTCACAATTTCGAGTGGCCCAGTTGGCGGAATTGGTAGACGCGCTAGACTCAAACTCTAGTGCCGCAAGGCGTGCCGGTTCGATTCCGGCACTGGGTACAATTCCTGTAAACCATTTTATACAAAAGGTTTGCAGGTTTTTTTTTGACAAATTTTCTTTCTACTGTATTTCAATC", "CAATAGGACAACAAAAATATAGGCAACATCAGGTTGAAAGAAATAAGAATATTGACATTAAAATAGGATAAAAATTAAGGAGTACTTAAGTACTCCTTTTTTAATAAAATCCTAATTTCTCAGGACCAACCAAATCACATTCCAATACAATAACCTTCTGGAAATTTATAACACTATTCAAAAGTAACTATAAACATTTCTAAAGTTTTACGGGATACCGTAAGGAAAAGAAAAACCCCGAGGTTAGTCGGGATTTTATATTCAATTAT", "TTTATAATATGTATAACTTAATTAATATAAAACCTAATACAATCAATGTATTAGGTTTATTTTTAGTTTAATTTCTGAAATTCAG", "TTTTAAAATGTTTTTTGGTTAAAGATTCGAATTTAATTGATTTTTACGAATAATTTATTTAACATAATATATTTTTTATCATAAAATTTGTTAAAAATAAAAAAGACCCGATTTCTCGGAGCTACACCAAATCGCATTCAGGAAATAATCTTTTCTCTATTGACATATCAAAAATAAATTAGATATTTTTATGATTTTTAAGGGAAACCATAATGACATTTAAAAAGTTTACTGTAAATAAAGTCCCAGCAATTCGTGCCGGGATAAAACATCTCCTTTTTTGGTTAATA", "AAGACTTCTAGTCGTGCCTATTTTTTTCGGGTTTTCCAATAATATAATGCTGATAATAAGGCAATTTCTTTTATGGCTAAAAGATCCGGATAAATAATAATTATAACTAAATCTGTTTTTTGTTTTAATATACTATGAATCTTCTCGTCCTTAATGATAATACAATCAGGGGTATTATTTTAAAAGAAAAGACCCTTGAATTTGGGGTCAGTTAAGTAGTTTTTCAATAGTTTTATTTGATAGAAATCAATGTTCATTTCAAATTTAAAAAAGAATTAAAAAAAAACAAAACCCCGGAATAACTCCGGGGCGGATACTATAAATAATTAGACATTGGACTTAACTAATTGACAAATGAGCTACAAAATAAACATAAAAACTATGACCTTAAACAACCTGAATGTTAATTATTTATAGTACAATGAAGTAATGATTTTAATTGGTTGGAACAAAAATAAAAAGGCAGAAAAAAAATTGCAAGAACGAAGATGTTAAAAAATGTAAAATTTATTTAAAAATCTGTAAAAAAACAGGTATATTTTTTGATTAAAGCCTAGAGAAAATTCTCATTCATTTGTATGAAATTCCTTATTAAAGAAGTTGTTATTTATTCTGATAAATAAAGTAATAGTTATATCATAGTAAAAATGCATTTTAATTTTAAGCTTTTATAGAAACTATAAACTAATTTTGCTCTCCACAATTGAAGTTAAATCAACCCGAATTTAAGTATTCCACCTACTGAAA", "TAAACAACAAAGCCTTAGAACTCTAAGGCTTTGTCATACTACCAGAATTAATTCTGGTAAAATTAAAATAATCTATTTTGAT", "AATCGTAATTTTTAAATGTTAATAATTGGTGTTTAATGCTTATAAACTTACAATTACGATGCCATGAAACCTGTTTAATTATTATTTTAATAAAAATAATAACAATTTTAACATTTTATTAAGTTAATATATCAAGCATCAATATATTCATACACTTAATTACACCAACTTTATACACAAACCTTAATCGACAAATAACATAAAACAAATATGGCAATTATAAAAATCAGCTTGGTAATTTCTGTAAAATAAATAATACAGAATTCGAAAAAAAACCTATATTTGCCAACAGAAAAAATAAGAAATGATATTGTCTAACTTAAAAAAGGGAAAGAAACAGAACTTGCGCAGAACTTCGTGAGTCATTTTCCTTTGGTTAGAATTGAAATATACAAGGAGCTTGTCATCACGACAAGCTCTTTTTTTTGCTTATAATCTAATCATTAACAATTTAAATATATTT", "AAATAAATCTGTAACAATAAAGCCCGTGATCAAATTATCATCACGGGCTTTTAGCATAAATAGTTAATCGGA", "GATATTGAATGTTAAATTG", "AAGAGTAATTTTTAGTTCTTAATGACAGGGACAAAGTTCAGAGAAAGGAAGATCTTAAAGAATAGACAATTCTGGAAAAAGCATATAATATTTGAAGATGGCACAAATTTCCATGATAAGGATATAACCTCGGAATCTATATAAATACCAGAAATAAGCAGGTGCTAGGTTTATTGACAACCTCTTTCACAGG", "TTATTAACCTTATTAAAATAGGAATTTCTGATATTATTTAGCTTTAATTCACTAAAACTAAATTACAAAAAATGACAATTTAAGGTACATATTTTGTAATAAATCCAAAAATTACTTTTGTCGCTTCATATTAAGCATTTGTCAGCTCTTTTCTTATATCAAGTCACTATCTTTGCTATGGCTGCTACAGCTTTATTAGCTAAACCACTTAATTAAAAAGATTCTCTGCT", "GATTCATAACTGTATAAAATAAAAAACGAACATCAGATAATATTGATATCCGATATTCGTTTTTTTATCTATCATTAACTATTTATAATCGA", "TTGTTTGTGAATTAATAAATTTACATCATACTCAAAAAAATTTAA", "TTATATACATTTTAATCTTTTTTCGTTTTCATTAATAATAACAAACCAAATAACCAATTATAATATCAATATTAATTTTATAAAAGTAATTTTCAAACAATATAAAATTTATAACTATTTAAAAATCAACGGTTTTTATAATTAAAAAAACGACTGCAAATTTACAAATTTTATCTTATACTATTAATAATAAATACGTTACACCTAAATCTATATAGAAATAAGATTTTACTAATTAAGAATTCATAATAAACAGTAAATAATATCTACTATTTTCTTTTTTGAAACAACAATTAATGTTAGTTGTGAAAAAAATAAGAATTTGATGATTTACTAAGAA", "TGTA", "ATCTTTTGGTGTTATCTTTGAGAGATTTAAAGGTGAAGAAAAGAAATCCCACACCTCTTCTATATTACAATTCAGCTGCTGTTCTCTGTACAAATGGTATC", "TAGAGCACATAGGTCTTTATCTGTCACAGCTTTATTATAATTACTGCGCACAAATTCTAAATCGCTCTTGTCTTTTTGGATATTAAAAAACAACAGAATTGGTAAACCATATGTAAATATCTGCTTTA", "AGATCTTTGTTTTAATTGCCTTTATAGGTTGAACAGCTAAAGAGGCAGGGTGTACTTAAACCAATAATTTAATTGAATTATTAACTCTTTTTTATACTTAAATTTCTTATGTTATTAGTATAAGAGATTTGATTTTTCATTTTCTATTTCATTTTTTTCAACTCAATCAAGAAGATTCTGTATCAATTGATAATTCATAAAGAAATATTTAGTACACAAATATACTAATTAAATGAAATAAAAGTATATAAATATACTATTTATGATAATTTTATATTTTCCTTTTCTCTTTGAAATAATAAACCCATGATGAAAAATTTCATCATGGGTTTAGCATAGATTACTTGTATATCCAAATATTAAAATTGCCGATAATCTCAATACTCTTATCCAGACAATATAATAAGATAATGTAGACTCTTTTACTAC", "CAACCTTTTATTATAAAGCAAAGTAGATTCATTTTCACGGAATATGCAAATACTAAATGACAAACACATTATGACACGTTTATCAGACTGTTCATCATATAAATTTCAATTTCTAAGGGCTTTATGATTGCTTTGCAGTTCTAAAACAAGGCATT", "AACAGGCAAATTTCATACTGTCAGAAAAGTACATATATATCATTTTTCACTTCTTTAAAGAGGATTTTGTTCAGTTAGTTACAGATTTCCTCCTTTAAAGACACAGATCCTGCAAACAGTCATTTGCAGGATCTTATTTACATCAATAAAGTATTTCATACCAGATTAAATATCTTTAAAATCTTACAGATTTTAACAATACAAAAGGCTTAAACAATGTTTAAGCCTTTTCTGGTATATAAAATTTTAAATATAATCGC", "ATTATTATACTGTTTATAAATTCTGTTGTTTTTCGGAGACAAAAATAGAAAAACCAGATTACCATCTCCTTAACATACATCAAGAAATAATGTTTTCTGCTTTTTAGTTCTTAAAAAATCTATTGCACT", "GTAGTTTTATTTTTTTAATCGGTTTTTCCTTTCAAAAAATATACCATGTCCCTTTTTATAAGCAGTAAGGTTAACTAATATTTAAACATTAAAAAAATATATTTAGCGATGTAAGATTATAATTTTTAATTTTAATCTGTAAACTATTATAATCTGACAACCTGAATAATGTGCATATTACAAGTATGATCTCACCAGACTTTTAATATTTTGGTATTTATTTTGTTTGCACCCGATACACATTTATCTTT", "TCCTGAATAAACTTTAAACATTATATATAAAAGAAGCTGTCTCAAAAAATGTCATCTTGAGTTTGGTCGAAACATTTTCATTAATAAACGCTAATAGTTAAAGGTCCTCGACGGGCTCAGGATGACCAAGTGTAATACCTTTTGTTTTTTGAAACAACCTCTTTATCAATTTATTGAAGAATACA", "GTTGATACTAACCAATGCAGAAACCTGCCCTGCTTTGTCTTTACTGACACAATAAACGGTGATAAATTCTGATATGCCCTATAATATAAAAATCGGGTAATCCTTATAGGACTACCCGAAAAAACTTGTTAACAAACAAAGAATGATGATAATATTGTCATCTATCGGCTATGCCGGAAATGAGTTAACAGTTTTACAAACAAATGAATGATGATTTTTTTTAATTGATATTCTCATTATTAT", "AATCGATTGTATTTGTTGTGTTT", "TGTATTTATATTCTTTAAAGGTTTTTCAGCAATCTGTCAGCAAATTATCGGTACTTTACAGCACAGAGGATTACTATTTTGAGGTGAAAATTTATTGTGTTTTTGAATTTCAGGGCAGATTATGTTATATCCTGTGTAATATCGCTGTACAAAGTTATATTCATTAATCAGCTAAGTATTATTTACATACCCTTATATTCACTCACGAGTGAAAAAAGGTATATTCCGGTAAAATAGAAAGCAAGAAAATGCTAACAATAATAGGCGAGAATCTGGTACACAGAG", "ATTTTTTTATGGGCAATGATTAAAATGGAAATGAAAGTAATAATATAAATATTATACCTGTAATTAAATATAAAAGTAGATTATGAAATTTTTCAACAATACTTTTACATCTAAAATAATAAGCTTAAAAATTAATTTGGGATT", "CTTTTAGTCCTTGTTTGTAATAATTAATTGAAAGTAGCCCTCAATAATTTACACAAACCTATTTATTAAGCCTGAAAAATCAACAATATGTCTACACTCATTTACACTCACGAGTGTTTTAAAGTGTTTTGAATAAGGCTTATTTTCAATAATACCAATAATATATAACGATTAAAATATGAACAGCGCAAGCAAAAAAGAAAGATAAAAAACTAAACCAATTTTCCCCCTAACGATAGATTTAATTTTTACAATATGATTATTAATTCATAAGGATTATTTGTAATAAAAATAGCTGCTCAGTTGTCTATATATTAAAGCTAATACCA", "CAGAAGCTTTACTTTGGTCTTTGCATTAATGTTACGGGTATTTACAGCTTTGCCCATCTAAATTATATCAAAAAAAAGTGAATTATTACAATTTCTTTATTTACAATTATTATTTTTACACATGTAAAGATTGAAATTACCATCTAAACAAACACG", "TGAA", "ATATCAACACCA", "TGGCAACGCAATACATTATTAATTTTATAAATCAACTCCGTTTAAAGAAGAAGATTCTTATTATCTCGGTTTCAATAATCTTTGCAATAATACCATTGGTGTTTTATATACAAGCATATATAGAAACA", "AACATATTGGA", "ATATTAATTCCTGCATCTATAAAAAAATAT", "TTATATTTATCAATAAAAATAAACAA", "AATTAGTATTTTACAAAGTATAGCGATATAACTTCTGATTCATGAATTCTAAAATATGGTTGGTCATCCGCATCTTAACAGCTGTACTATGTCTCTGTATGATGGGACTATGGTTTGCTACACAGGTCCCGCGCAATGATTTAGACCAGTTTATGCAATTGTCTGGCGGTCGTGGATTTCGGATCAAATATCCTGTATTTGTTCTAATGGCTATTTGCCTTCTTTATTTTTGGGCAGTAAGACCACGGAATATTAATGAAGCATCAAAAACAAAACGGCTTTTATTTGCTGCTTTTTTCTTTATATCAGCCTTCATTGCCTTGTTTGGAATTGGCTTTCTGATTTTGATATGGTATAGCCCTAAACCTATATACTTCTAACAAAATACTTTTCAAAACTACTTTACAATATCAGGTAAATCAATCTAT", "TTTAGTTTTAAATATTTGAGTAATCTCAAA", "CCTGTATTTAATAACAAATGTTATCGCCTGCAAGAAGAGCTCATTCTAATTTTGTTTTATAAATTTTAAAAC", "CATTACAGAAGCATAGGCATCCAGACACAACAACTTATCGGTGATTATTATTATATTGCCGTCAATCCAGATCATCAGCTAC", "TATTAAAATAAGACTCTTACAAATTTTGTAAGAGTCTTATTTATTTTCT", "GGTTAAATAGTTGTTTTCTGGTGGTTATTGATGATTTTAAAACAAATATATGACATATATATGTTTTAAAAATATACAGAATTAGCAGACTAAAAATAGAACGCCCCTACAATTTTCATTACAGAGGAGTTCTATTTTAAAATATTTCTTAGG", "ACATTCTATAAAAAAATTCCCTACTGGTATTCCTTAGTTTTTTGATTAAACGGAATATCAGTATCCAATAATTTATTATTTCAACTTTTAAAATTGGAAGCAAGGTTTCCAAAAAGAACCTGCACACTCTTATTTTGTTGGAAAAGCCCTATTCTGAAACAGAATAATAAGGTTTAAACTTTTAACAAAACAGAAGAGAATAGTTTTATATCATGTATTAATTGATCAGTATTATCATGAAGGAAACCAAAAGTATGATCAGAATCCATACAGTATGATAACGTTATTTTTCGTTACTTATTGCTTATCAAATGAAAACATTATGCCATTTTGTTTTTAAAATCAATATTTTCGGAACATTCAACATTTTCCACCTGTTAGCAACAGCTTATTAAAATACGTTATTCCAAAGGCTTTCAAAACATTACAACCAAATTAATCTTGTATTTTTTATTTTATTTTTTTTAAAAAAACAGAAAAAAATGATGGTTTTTAAAGTCCCTACATACGAAACAGCGAATTTCAGCGTTATAATACCGAAAGAAATTTATTAAAGGCTGAAAATACTTGAGCCTTCCCTACTCAGCTCATCCCATAATTATAGACTATAATGAAGATTATAATAACTGTGGTATTGTGCTGAATACAATAAGCATTACATCATCCGGCGTAGCTGAACTTGTTACAATTCCGGAATAAATAAAGAAAATTAAATT", "GACGCTTTTTATAAGTACCTCACAGATTTGTAAGTATATGAAGGCTTTTTTAAATAAAAATCCTCATAGGTTTTGAAAACCTATGAGGATTATATTATAATCTAAAGTTGAAAACATCAACCTCTGTTCTTGACAAATTTCTTAGTATTTGGGATCTTTCTGTACGACAAAAGATTTTAACAT", "CTCTTAAATAAAAGTATAATTGAAATACCAATTTACAATAAAAAAGGCCGTTTTGAAATGCAATGATTCTCAACGGCCTCAACATTTAAATTTAGCTTAGATATT", "TATA", "AG", "ATAGATTTATTTTTGTTCCTATCAAATTTTAATCTTCATACCGAACTCTTTGTTCATTTATATGATTTTACAATATTACACTTTTATTTAGAATTAATAAAAATAATATTTAATGATAAATTTCAGTCAACAAAAAAAGCGGTCCCAATGGAACCGCTTTTCATATTATTCTTATGTA", "AGTTCTTAATTTTCAATATTATTTACTGAATACAAATGTAGTGAGACATGACAAAATGCACAAATAATCTGTTAAATCGTAGTTTGTTTTTCATTCTTTTCTTGGAAAAAAAGAATCGGGAGAGGTTAAAAAGGTTTTCCTGAAAATTTAATCTGTTATTTTTTAGATTCAGGAATCCTGATAAGATTAATAATTAGTTTAATATCGATCTTGACAAGGATTATAAAATAAT", "ATGTTACTTTTACTATGCTTAAGTGGTGTTAATTTATATTTTTTACTT", "AATTCGGGTTAATGGTTAATTATCAATTATTAATAGTGAATAGTGAATAGTGAATCGTCAATTTCTAATTTCTAATTTCAAACTTCTAAATTCAAACTTCTAAATTCTAACTTCGTAATTCGTA", "AGTTTCTTAGTTAAGTGTGAATTCGGTCAATTGGTCAATTGGTGAATAAGTTAATAGTGAATTTTCTAACTTCTAACTTCTTGCTTATAACTTCG", "AATCAGCTAATGGTTAATGGTTGATGGATAATTTGGGAAATAGGTCAATGGGAAATCAGTCAATAGGTGAATAGTCAATTTTCTAATTTCTAACTTCTAATTTCTAACTTCTAACTTCTAACTTCGTAATTAGTA", "AATCAGCTAATTGTTAATGATTAATTGTAAATGGTTAATTTCCTAACCTCTAATTTCTAATTTCTAACTTCTCATCCAGTA", "AATCAGCTAATGGTTAATGGTTGATGGATAATTTGGGAAATAGGTCAATGGTAAATCAGTCAATAGTCAATAGTCAATTTTCTAATTTCTAACTTCTAATTTCTAACTTCTAACTTCTAATTTCTACTTAGTA", "AATTAGCTAATGGTTAATTGTTAATGATTAATGGTGAATTTTCTAACTTCTAATTTCTCAGCCAGTA", "GGTATTATGGTTTATTAGTTGACAGGTAATTTGGTGAATGAGTGAATCTGATGAATAGGTGAATGGTCAATAGTGAATTTTCTAACTTCTAACCTCTAATTTCTAACTTCTATTTTCGTACTTAATA", "AATAAGATTAATATTTAAGATTATTAGCTATGTGTTTATAGATTGTATTGA", "ATTATTATTTT", "G", "TACAGCTATTTGATTTTCAATAATATACACGACAAATATGCCACAAAAAGAATTTGTCGGTAAAAGTCTGAAAATTAAACAGTAAAGATTTTAACTCAAAATAAAAGTGTCCGAAATCGAACACTAAGTGTGCAAAATTGAACACCC", "TTTATGATAAAAGGTCAAATTTTAAAAATTAAC", "GGCAATTATCAGCCTGAATCTGATGCCGATGCTTCAGAAAAAGAT", "GCTTTTAGGACAGAGTAACTCACACAAATATTATTTATTAACGCAAACTTAATTCCATTCATTTTGTTTTGTAAAACAGAATGCTTTTTTATAAATTAATATAGTTTTTTAGTTATGTATATTTAATTTTTACAATTTTAACTATTATTAACTTTAACATCTAAAAACTTAAAACTAGCTTAAAAATCTCGTAACATAGGTCTCTTACTTTTGCCGCTAAAAAATAGAGACC", "TTTTTTAATTC", "AACTATAAATCGTAAATAAG", "TTAATTTTAAACATTTTACTTTATTTTATTTTAGACGGCACAGGCTATTAGCCTGTGTTGTTATTTTTATGAAAGTGATTGAAAATTATGTTATAAATTTAGCATTACAAAAATAACACTC", "TTCTCCAGGCCCCGTAGTTCAACGGATACCTGCCTGCCGGCAGGCAGGGAATAGAAATCATTAATTACAATAATC", "ATCTGGTACTGGTAAAGAATATTTAAAATCACTAGATTTAAGCAAAGGCCCCGTAGTTCAACGGATAGAATAGAAGTTTCCTAAACTTTAGATAGCAGTTCGATTCTGCTCGGGGCTACAATAAACACTATATACAAAGGATTGAATAATATTTACACCTGTTTTTACACCCATTTATATAATAAGGAGTATTTCGGTACTCCTTTTTTAATAAAATCCTAATTTCTCAGGACCAACCAAATCACATTCCCATACAATAACCTTCTGGGAATTTGTAATACTTATCAAAAGTAAATCTGATATTTTTATAATTTTTACGGGAAACCGTAATGCTATTAAAAAGTTTTGTTTCGGAAAATTTAGGCAAAGAAAAAGCCTCCGAAGAGGCTGTATT", "AAGCTTAGTTTTGTTCTACAAAAGTATAAAT", "AATAATATAATTTTTTAGTTATAATGATACTATCTCATAGTGAGAAAAACCAAATATAAATTAATTCTGTTAAATTATATTACGGTTTTCCATAATTTAGGTTAAAATTCCTTTTATTAAATTCCCCAGCCATTAAGGCTGGGGATTAAA", "ATTTTGGGTATTTAATCTTCTGACAAAATCGTCATTAGCACTAATTTAATATGGTAATATAAAGAATATAGATTTGCTAACATCATTCATTTAAATATCCATCAACACGGTAATTACAAATCAAATAACGACGGCCGTGACGCCAAAACTTGTCGCATTAAAATTTATTAAAAAAA", "GGCTGG", "TGCA", "TAGGATTATTATTAGTTT", "GATTTATTTATCAAATTTAATAATTATGTTTCATAATATGAAACATTCAAATA", "TA", "TAC", "GACTATAAAAA", "TTTTATTTTATTTTTTTTA", "T", "TTTATGAAATTTA", "TTTGTTGATTTTTTAATTAATAATTTATTGTAAACACGTTTGCGGTCCTTCGCTATATTTTTT", "AGTCTTTTATAGATTTGAGGGGTTTA", "CTGAGCCTGGGAGATGTAATAAGAGTTCTTGCGTTCTTTTACGAACTTTATAATCTCTTCTATGTCATGATGTCCGTAATCGTGGTATTAGGTTAAATATCAATTACAAATATAATAAAAATATGTTTCATAATATGAAACATAACGCGTAAAAAAAATTA", "ATTATTAATT", "TACATAGTCTTTTATATAACCTCAGCGTTTTAATTAAAAACCGACCAGCATTTATACCGGCCGGTCAAAAACTAATAACCATGAAAAACTCATTACTGAGAATTGTGGAAAAGGATGGACTCGAACCAACGACACCCACAGTTAAGTGTTTTGTGTATTTGTAAGTTTTACGCGTATTAATTACAAACCATTTTTTTTCACGTTCTGCTTATGCTCTACCAACTGAGCTACTTTCCCGTTTGCCCGTCTTTCCGGGCTGTCATAAT", "GTTTTAATCAATTGCTAATTTTGCTTAACACTTGAAGCATTTGCTTCGTTTGGTTAGTTTTGC", "AGTAAATGGATTTTTAAATAGAAAAAGCGCATAAACAACTACTCTGATTGGGGAAAATTTATTTTTATAGATAGATTGAAGTTTTAGACCAATCCCCTTTCATATGCGCTTTTAATCAAATGATTAACTATACAAATATAATAAAAACGTTTCATAATATGAAACATAATTTACTATAAATTATTATTAATCTATTTGCATATCTTTACACCGATTTTAATTGTATTAAACAGTTAGGATTAATTTGACAAAGTAGCCCTCTTTCGAGGGCTTTTTAAT", "TTCTTTTGATTTTAATTGTTAAACATTCGATTAATTTGACACTACAAATATATAACATATTGTTATCATGTACAATATATATTAAAACATTTTTCACATTGGCTGCTAATTTAAAATCAATATAAATAATAACATTTTGTTATATATTTTAAATTTATACAGCGACATGTGTTATATTTGTATT", "GGGGGCTTAATTGTCCCTTTG", "GTGCGAAATTTAGTGTTTGGTTTCCGGATCACCTTACGGGTTTCCGTATTTTGGG", "CTGGATACCAGTTAATACATATTTCATTTTGTCTTATTCTTTTATAGGAAAGCCTAACGACCTCAATTCACCTTTCATATATTTCTCTAAG", "ATTTTATTTTTAAGGTTGTTAATTATTTAGATTCGATAATATTTTAACCTGAATAGCGGTTAGTGTTAAAGTCAATATAAGAGCATATATAGGCTCAAATATTAGATTGAAGAAGACAAGTAGAGTCATCATTAAGAATGACATTGATAATACTTCCAAAATCCATTTTATTACTTTCATATCTTCTGTTTTAGTCCCCTCTTATTACAGAGGGGCGCAAATTTTTAATTTTGACTTCAG" ]
[ false, true, true, true, true, true, true, true, false, true, false, true, true, false, true, true, false, false, false, true, true, false, false, false, false, true, false, false, true, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, true, false, false, false, false, false, false, true, true, true, true, true, true, false, false, true, false, false, false, false, true, false, false, false, false, false, true, false, true, true, false, false, false, true, true, false, true, true, false, true, false, false, true, false, true, false, true, false, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, false, false, true, false, false, false, true, true, false, false, false, true, true, true, true, false, false, false, false, false, true, true, true, true, true, true, true, false, false, false, false, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, true, true, true, true, true, true, true, true, false, false, false, false, true, true, false, false, true, true, true, true, true, true, false, true, true, true, false, false, true, true, true, true, true, true, true, false, false, true, true, true, true, false, false, false, false, false, true, true, false, false, true, false, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, false, false, true, true, true, true, false, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, true, false, false, false, false, true, true, true, false, false, false, true, true, false, false, false, true, false, true, false, false, false, true, false, false, false, false, false, false, false, false, false, false, true, false, false, true, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false ]
[ 0, 2, 4, 5, 7, 9, 10, 11, 13, 15, 16, 17, 18, 19, 21, 22, 24, 26, 28, 30, 32, 34, 36, 37, 39, 40, 42, 44, 45, 47, 49, 51, 53, 55, 57, 59, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 127, 128, 130, 132, 133, 134, 136, 138, 140, 142, 143, 145, 147, 149, 151, 153, 154, 155, 156, 157, 158, 160, 161, 163, 164, 165, 167, 168, 169, 171, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 200, 202, 204, 206, 207, 209, 211, 213, 215, 217, 219, 221, 223, 224, 226, 227, 229, 231, 233, 235, 237, 239, 241, 242, 244, 246, 248, 250, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 282, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 326, 328, 330, 332, 334, 336, 337, 339, 341, 343, 345, 347, 349, 351, 353, 355, 357, 359, 361, 363, 365, 367, 369, 371, 373, 375, 377, 379, 381, 383, 385, 387, 389, 390, 392, 394, 396, 398, 400, 402, 403, 405 ]
[ 1, 3, 6, 8, 12, 14, 20, 23, 25, 27, 29, 31, 33, 35, 38, 41, 43, 46, 48, 50, 52, 54, 56, 58, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 129, 131, 135, 137, 139, 141, 144, 146, 148, 150, 152, 159, 162, 166, 170, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 201, 203, 205, 208, 210, 212, 214, 216, 218, 220, 222, 225, 228, 230, 232, 234, 236, 238, 240, 243, 245, 247, 249, 251, 253, 255, 257, 259, 261, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 283, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317, 319, 321, 323, 325, 327, 329, 331, 333, 335, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 358, 360, 362, 364, 366, 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388, 391, 393, 395, 397, 399, 401, 404, 406 ]
[ "2841813427|Ga0349638_01|CDS|2841817133|-|3931971:3932180", "2841813427|Ga0349638_01|CDS|2841817134|-|3932246:3932464", "2841813427|Ga0349638_01|CDS|2841817135|+|3932588:3932833", "2841813427|Ga0349638_01|CDS|2841817136|-|3932820:3933020", "2841813427|Ga0349638_01|CDS|2841817137|-|3933023:3933658", "2841813427|Ga0349638_01|CDS|2841817138|-|3933664:3934071", "2841813427|Ga0349638_01|CDS|2841817139|-|3934040:3934996", "2841813427|Ga0349638_01|CDS|2841817140|-|3934878:3935822", "2841813427|Ga0349638_01|CDS|2841817141|-|3935826:3936047", "2841813427|Ga0349638_01|CDS|2841817142|-|3936049:3938664", "2841813427|Ga0349638_01|CDS|2841817143|-|3938621:3938785", "2841813427|Ga0349638_01|CDS|2841817144|-|3938772:3939044", "2841813427|Ga0349638_01|CDS|2841817145|-|3939028:3939336", "2841813427|Ga0349638_01|CDS|2841817146|-|3939308:3939886", "2841813427|Ga0349638_01|CDS|2841817147|-|3939892:3940701", "2841813427|Ga0349638_01|CDS|2841817148|-|3940701:3941147", "2841813427|Ga0349638_01|CDS|2841817149|-|3941149:3941826", "2841813427|Ga0349638_01|CDS|2841817150|-|3941841:3942269", "2841813427|Ga0349638_01|CDS|2841817151|-|3942288:3943472", "2841813427|Ga0349638_01|CDS|2841817152|-|3943780:3944064", "2841813427|Ga0349638_01|CDS|2841817153|-|3944127:3944459", "2841813427|Ga0349638_01|CDS|2841817154|-|3944462:3944734", "2841813427|Ga0349638_01|CDS|2841817155|+|3944914:3945345", "2841813427|Ga0349638_01|CDS|2841817156|-|3945305:3945622", "2841813427|Ga0349638_01|CDS|2841817157|+|3945644:3945829", "2841813427|Ga0349638_01|CDS|2841817158|+|3945826:3946518", "2841813427|Ga0349638_01|CDS|2841817159|+|3946537:3947025", "2841813427|Ga0349638_01|CDS|2841817160|+|3947028:3947477", "2841813427|Ga0349638_01|CDS|2841817161|+|3947477:3947716", "2841813427|Ga0349638_01|CDS|2841817162|+|3947719:3949008", "2841813427|Ga0349638_01|CDS|2841817163|-|3949279:3949638", "2841813427|Ga0349638_01|CDS|2841817164|+|3950147:3951013", "2841813427|Ga0349638_01|CDS|2841817165|+|3951085:3952140", "2841813427|Ga0349638_01|CDS|2841817166|+|3952326:3953216", "2841813427|Ga0349638_01|CDS|2841817167|+|3953283:3954269", "2841813427|Ga0349638_01|CDS|2841817168|+|3954289:3954696", "2841813427|Ga0349638_01|CDS|2841817169|+|3954683:3955594", "2841813427|Ga0349638_01|CDS|2841817170|-|3955671:3955997", "2841813427|Ga0349638_01|CDS|2841817171|+|3956477:3957103", "2841813427|Ga0349638_01|CDS|2841817172|-|3957184:3957450", "2841813427|Ga0349638_01|CDS|2841817173|-|3957558:3958034", "2841813427|Ga0349638_01|CDS|2841817174|-|3958104:3958778", "2841813427|Ga0349638_01|CDS|2841817175|+|3959182:3959607", "2841813427|Ga0349638_01|CDS|2841817176|-|3959875:3960981", "2841813427|Ga0349638_01|CDS|2841817177|-|3961020:3961148", "2841813427|Ga0349638_01|CDS|2841817178|-|3961331:3961582", "2841813427|Ga0349638_01|CDS|2841817179|-|3961809:3962807", "2841813427|Ga0349638_01|CDS|2841817180|-|3962932:3965532", "2841813427|Ga0349638_01|CDS|2841817181|-|3965537:3966007", "2841813427|Ga0349638_01|CDS|2841817182|-|3966117:3966506", "2841813427|Ga0349638_01|CDS|2841817183|-|3966534:3967721", "2841813427|Ga0349638_01|CDS|2841817184|-|3967802:3968260", "2841813427|Ga0349638_01|CDS|2841817185|-|3968298:3968870", "2841813427|Ga0349638_01|CDS|2841817186|-|3969126:3970460", "2841813427|Ga0349638_01|CDS|2841817188|+|3971011:3971973", "2841813427|Ga0349638_01|CDS|2841817189|-|3972021:3972296", "2841813427|Ga0349638_01|CDS|2841817190|-|3972344:3973708", "2841813427|Ga0349638_01|CDS|2841817192|+|3974088:3974681", "2841813427|Ga0349638_01|CDS|2841817193|+|3974696:3975148", "2841813427|Ga0349638_01|CDS|2841817194|-|3975175:3975771", "2841813427|Ga0349638_01|CDS|2841817195|-|3975774:3976823", "2841813427|Ga0349638_01|CDS|2841817196|-|3976936:3977400", "2841813427|Ga0349638_01|CDS|2841817197|-|3977410:3979275", "2841813427|Ga0349638_01|CDS|2841817198|-|3979341:3980075", "2841813427|Ga0349638_01|CDS|2841817199|-|3980187:3981386", "2841813427|Ga0349638_01|CDS|2841817200|-|3981390:3981749", "2841813427|Ga0349638_01|CDS|2841817201|+|3981798:3982256", "2841813427|Ga0349638_01|CDS|2841817203|-|3982492:3982689", "2841813427|Ga0349638_01|CDS|2841817204|-|3983180:3984265", "2841813427|Ga0349638_01|CDS|2841817205|-|3984395:3985012", "2841813427|Ga0349638_01|CDS|2841817206|-|3985009:3985701", "2841813427|Ga0349638_01|CDS|2841817207|-|3985670:3986101", "2841813427|Ga0349638_01|CDS|2841817208|-|3986138:3986482", "2841813427|Ga0349638_01|CDS|2841817209|-|3986509:3991803", "2841813427|Ga0349638_01|CDS|2841817210|-|3991785:3992810", "2841813427|Ga0349638_01|CDS|2841817211|-|3992801:3993292", "2841813427|Ga0349638_01|CDS|2841817212|-|3993308:3997276", "2841813427|Ga0349638_01|CDS|2841817213|-|3997575:3998165", "2841813427|Ga0349638_01|CDS|2841817214|-|3998233:3998727", "2841813427|Ga0349638_01|CDS|2841817215|-|3998756:3999178", "2841813427|Ga0349638_01|CDS|2841817216|-|3999175:3999564", "2841813427|Ga0349638_01|CDS|2841817217|-|3999567:3999887", "2841813427|Ga0349638_01|CDS|2841817218|-|3999894:4000982", "2841813427|Ga0349638_01|CDS|2841817219|-|4001046:4001582", "2841813427|Ga0349638_01|CDS|2841817220|-|4001611:4002336", "2841813427|Ga0349638_01|CDS|2841817221|+|4002357:4002602", "2841813427|Ga0349638_01|CDS|2841817222|+|4002599:4003240", "2841813427|Ga0349638_01|CDS|2841817223|+|4003221:4003418", "2841813427|Ga0349638_01|CDS|2841817224|+|4003357:4003515", "2841813427|Ga0349638_01|CDS|2841817225|+|4003460:4004005", "2841813427|Ga0349638_01|CDS|2841817226|+|4004002:4004388", "2841813427|Ga0349638_01|CDS|2841817227|+|4004392:4004634", "2841813427|Ga0349638_01|CDS|2841817228|+|4004631:4004810", "2841813427|Ga0349638_01|CDS|2841817229|+|4004829:4005050", "2841813427|Ga0349638_01|CDS|2841817230|+|4005047:4005427", "2841813427|Ga0349638_01|CDS|2841817231|+|4005414:4005665", "2841813427|Ga0349638_01|CDS|2841817232|+|4005676:4006146", "2841813427|Ga0349638_01|CDS|2841817233|+|4006136:4006363", "2841813427|Ga0349638_01|CDS|2841817234|+|4006363:4006620", "2841813427|Ga0349638_01|CDS|2841817235|+|4006626:4006907", "2841813427|Ga0349638_01|CDS|2841817236|+|4007134:4007358", "2841813427|Ga0349638_01|CDS|2841817237|+|4007376:4007723", "2841813427|Ga0349638_01|CDS|2841817238|+|4007739:4007942", "2841813427|Ga0349638_01|CDS|2841817239|-|4007971:4009056", "2841813427|Ga0349638_01|CDS|2841817240|-|4009062:4009538", "2841813427|Ga0349638_01|CDS|2841817241|-|4009544:4009852", "2841813427|Ga0349638_01|CDS|2841817242|-|4009869:4011350", "2841813427|Ga0349638_01|CDS|2841817243|-|4011384:4012910", "2841813427|Ga0349638_01|CDS|2841817244|-|4013035:4013460", "2841813427|Ga0349638_01|CDS|2841817245|-|4013519:4013842", "2841813427|Ga0349638_01|CDS|2841817246|-|4013846:4014277", "2841813427|Ga0349638_01|CDS|2841817247|-|4014280:4015467", "2841813427|Ga0349638_01|CDS|2841817248|-|4015471:4016100", "2841813427|Ga0349638_01|CDS|2841817249|-|4016103:4016720", "2841813427|Ga0349638_01|CDS|2841817250|-|4016710:4017396", "2841813427|Ga0349638_01|CDS|2841817251|-|4017452:4017817", "2841813427|Ga0349638_01|CDS|2841817252|-|4017920:4018327", "2841813427|Ga0349638_01|CDS|2841817253|-|4018338:4019789", "2841813427|Ga0349638_01|CDS|2841817254|-|4019779:4020753", "2841813427|Ga0349638_01|CDS|2841817255|-|4020778:4021203", "2841813427|Ga0349638_01|CDS|2841817256|-|4021221:4021829", "2841813427|Ga0349638_01|CDS|2841817257|-|4021832:4022560", "2841813427|Ga0349638_01|CDS|2841817258|-|4022569:4022982", "2841813427|Ga0349638_01|CDS|2841817259|-|4023027:4023899", "2841813427|Ga0349638_01|CDS|2841817260|-|4023973:4025922", "2841813427|Ga0349638_01|CDS|2841817261|-|4025942:4026265", "2841813427|Ga0349638_01|CDS|2841817262|-|4026268:4026363", "2841813427|Ga0349638_01|CDS|2841817263|-|4026360:4026542", "2841813427|Ga0349638_01|CDS|2841817264|-|4026544:4026888", "2841813427|Ga0349638_01|CDS|2841817265|-|4026888:4027595", "2841813427|Ga0349638_01|CDS|2841817266|-|4027598:4027729", "2841813427|Ga0349638_01|CDS|2841817267|-|4027774:4027986", "2841813427|Ga0349638_01|CDS|2841817268|-|4027998:4028204", "2841813427|Ga0349638_01|CDS|2841817269|+|4028407:4029132", "2841813427|Ga0349638_01|CDS|2841817270|+|4029146:4029727", "2841813427|Ga0349638_01|CDS|2841817271|+|4029746:4030354", "2841813427|Ga0349638_01|CDS|2841817272|+|4030366:4030683", "2841813427|Ga0349638_01|CDS|2841817273|+|4030664:4031980", "2841813427|Ga0349638_01|CDS|2841817274|-|4032231:4032425", "2841813427|Ga0349638_01|CDS|2841817275|-|4032632:4033456", "2841813427|Ga0349638_01|CDS|2841817276|-|4033605:4033703", "2841813427|Ga0349638_01|CDS|2841817277|-|4033962:4034744", "2841813427|Ga0349638_01|CDS|2841817278|-|4034759:4034968", "2841813427|Ga0349638_01|CDS|2841817279|+|4035018:4035824", "2841813427|Ga0349638_01|CDS|2841817280|-|4035979:4036083", "2841813427|Ga0349638_01|CDS|2841817281|-|4036104:4036799", "2841813427|Ga0349638_01|CDS|2841817282|-|4037010:4038560", "2841813427|Ga0349638_01|CDS|2841817283|-|4038571:4039617", "2841813427|Ga0349638_01|CDS|2841817284|-|4039639:4040985", "2841813427|Ga0349638_01|CDS|2841817285|-|4041081:4041512", "2841813427|Ga0349638_01|CDS|2841817286|-|4041749:4042318", "2841813427|Ga0349638_01|CDS|2841817287|-|4042586:4043344", "2841813427|Ga0349638_01|CDS|2841817288|-|4043493:4045091", "2841813427|Ga0349638_01|CDS|2841817289|-|4045242:4045862", "2841813427|Ga0349638_01|CDS|2841817290|-|4045933:4046322", "2841813427|Ga0349638_01|CDS|2841817291|-|4046404:4047294", "2841813427|Ga0349638_01|CDS|2841817292|-|4047650:4048231", "2841813427|Ga0349638_01|CDS|2841817293|-|4048416:4049423", "2841813427|Ga0349638_01|CDS|2841817294|-|4049483:4049935", "2841813427|Ga0349638_01|CDS|2841817295|-|4049992:4050348", "2841813427|Ga0349638_01|CDS|2841817296|+|4050706:4051608", "2841813427|Ga0349638_01|CDS|2841817297|+|4051739:4052347", "2841813427|Ga0349638_01|CDS|2841817298|-|4052435:4053364", "2841813427|Ga0349638_01|CDS|2841817299|-|4053481:4053621", "2841813427|Ga0349638_01|CDS|2841817300|+|4053693:4054727", "2841813427|Ga0349638_01|CDS|2841817301|+|4054945:4055127", "2841813427|Ga0349638_01|CDS|2841817302|+|4055214:4055396", "2841813427|Ga0349638_01|CDS|2841817303|+|4055582:4055749", "2841813427|Ga0349638_01|CDS|2841817304|+|4055932:4057140", "2841813427|Ga0349638_01|CDS|2841817305|+|4057151:4059277", "2841813427|Ga0349638_01|CDS|2841817306|-|4059982:4061184", "2841813427|Ga0349638_01|CDS|2841817307|-|4061191:4063551", "2841813427|Ga0349638_01|CDS|2841817308|+|4063922:4065373", "2841813427|Ga0349638_01|CDS|2841817309|+|4065582:4066040", "2841813427|Ga0349638_01|CDS|2841817310|-|4066203:4067114", "2841813427|Ga0349638_01|CDS|2841817311|+|4067545:4068564", "2841813427|Ga0349638_01|CDS|2841817312|+|4068571:4069473", "2841813427|Ga0349638_01|CDS|2841817313|+|4069643:4072960", "2841813427|Ga0349638_01|CDS|2841817314|+|4073463:4076996", "2841813427|Ga0349638_01|CDS|2841817315|+|4077015:4077410", "2841813427|Ga0349638_01|CDS|2841817316|+|4078072:4078392", "2841813427|Ga0349638_01|CDS|2841817317|+|4078641:4079330", "2841813427|Ga0349638_01|CDS|2841817318|+|4079476:4079718", "2841813427|Ga0349638_01|CDS|2841817319|+|4079909:4080280", "2841813427|Ga0349638_01|CDS|2841817320|+|4080343:4080678", "2841813427|Ga0349638_01|CDS|2841817321|+|4080675:4081160", "2841813427|Ga0349638_01|CDS|2841817322|+|4081714:4081962", "2841813427|Ga0349638_01|CDS|2841817323|+|4082180:4082347", "2841813427|Ga0349638_01|CDS|2841817324|+|4082733:4083125", "2841813427|Ga0349638_01|CDS|2841817325|+|4083425:4083718", "2841813427|Ga0349638_01|CDS|2841817326|+|4083722:4084174", "2841813427|Ga0349638_01|CDS|2841817327|+|4084329:4084790", "2841813427|Ga0349638_01|CDS|2841817328|+|4084962:4085453", "2841813427|Ga0349638_01|CDS|2841817329|-|4085538:4087208", "2841813427|Ga0349638_01|CDS|2841817330|-|4087528:4088244", "2841813427|Ga0349638_01|CDS|2841817331|-|4088382:4089263", "2841813427|Ga0349638_01|CDS|2841817334|-|4089953:4091797", "2841813427|Ga0349638_01|CDS|2841817335|-|4091804:4093042", "2841813427|Ga0349638_01|CDS|2841817336|+|4093194:4094216", "2841813427|Ga0349638_01|CDS|2841817337|+|4094301:4095305", "2841813427|Ga0349638_01|CDS|2841817338|-|4095354:4095503", "2841813427|Ga0349638_01|CDS|2841817339|-|4095570:4095806", "2841813427|Ga0349638_01|CDS|2841817340|-|4095828:4095992", "2841813427|Ga0349638_01|CDS|2841817341|-|4096003:4096161", "2841813427|Ga0349638_01|CDS|2841817342|-|4096246:4098387", "2841813427|Ga0349638_01|CDS|2841817343|+|4098698:4100368", "2841813427|Ga0349638_01|CDS|2841817344|+|4100515:4101090", "2841813427|Ga0349638_01|CDS|2841817345|+|4101152:4102282", "2841813427|Ga0349638_01|CDS|2841817346|-|4102364:4103341", "2841813427|Ga0349638_01|CDS|2841817347|-|4103543:4103851", "2841813427|Ga0349638_01|CDS|2841817348|-|4103855:4104775", "2841813427|Ga0349638_01|CDS|2841817349|+|4104883:4106127", "2841813427|Ga0349638_01|CDS|2841817350|-|4106105:4106635", "2841813427|Ga0349638_01|CDS|2841817351|+|4106799:4108337", "2841813427|Ga0349638_01|CDS|2841817352|-|4108447:4108824", "2841813427|Ga0349638_01|CDS|2841817353|-|4108848:4109885", "2841813427|Ga0349638_01|CDS|2841817354|-|4110088:4111620", "2841813427|Ga0349638_01|CDS|2841817355|+|4111805:4112728", "2841813427|Ga0349638_01|CDS|2841817356|-|4112918:4113214", "2841813427|Ga0349638_01|CDS|2841817357|-|4113196:4113420", "2841813427|Ga0349638_01|CDS|2841817358|-|4113488:4114690" ]
[ "2841813427|Ga0349638_01|IG|IG_003261|+|3932181:3932245", "2841813427|Ga0349638_01|IG|IG_003262|+|3932465:3932587", "2841813427|Ga0349638_01|IG|IG_003263|+|3933021:3933022", "2841813427|Ga0349638_01|IG|IG_003264|+|3933659:3933663", "2841813427|Ga0349638_01|IG|IG_003265|+|3935823:3935825", "2841813427|Ga0349638_01|IG|IG_003266|+|3936048:3936048", "2841813427|Ga0349638_01|IG|IG_003267|+|3939887:3939891", "2841813427|Ga0349638_01|IG|IG_003268|+|3941148:3941148", "2841813427|Ga0349638_01|IG|IG_003269|+|3941827:3941840", "2841813427|Ga0349638_01|IG|IG_003270|+|3942270:3942287", "2841813427|Ga0349638_01|IG|IG_003271|+|3943473:3943779", "2841813427|Ga0349638_01|IG|IG_003272|+|3944065:3944126", "2841813427|Ga0349638_01|IG|IG_003273|+|3944460:3944461", "2841813427|Ga0349638_01|IG|IG_003274|+|3944735:3944913", "2841813427|Ga0349638_01|IG|IG_003275|+|3945623:3945643", "2841813427|Ga0349638_01|IG|IG_003276|+|3946519:3946536", "2841813427|Ga0349638_01|IG|IG_003277|+|3947026:3947027", "2841813427|Ga0349638_01|IG|IG_003278|+|3947717:3947718", "2841813427|Ga0349638_01|IG|IG_003279|+|3949009:3949278", "2841813427|Ga0349638_01|IG|IG_003280|+|3949639:3950146", "2841813427|Ga0349638_01|IG|IG_003281|+|3951014:3951084", "2841813427|Ga0349638_01|IG|IG_003282|+|3952141:3952325", "2841813427|Ga0349638_01|IG|IG_003283|+|3953217:3953282", "2841813427|Ga0349638_01|IG|IG_003284|+|3954270:3954288", "2841813427|Ga0349638_01|IG|IG_003285|+|3955595:3955670", "2841813427|Ga0349638_01|IG|IG_003286|+|3955998:3956476", "2841813427|Ga0349638_01|IG|IG_003287|+|3957104:3957183", "2841813427|Ga0349638_01|IG|IG_003288|+|3957451:3957557", "2841813427|Ga0349638_01|IG|IG_003289|+|3958035:3958103", "2841813427|Ga0349638_01|IG|IG_003290|+|3958779:3959181", "2841813427|Ga0349638_01|IG|IG_003291|+|3959608:3959874", "2841813427|Ga0349638_01|IG|IG_003292|+|3960982:3961019", "2841813427|Ga0349638_01|IG|IG_003293|+|3961149:3961330", "2841813427|Ga0349638_01|IG|IG_003294|+|3961583:3961808", "2841813427|Ga0349638_01|IG|IG_003295|+|3962808:3962931", "2841813427|Ga0349638_01|IG|IG_003296|+|3965533:3965536", "2841813427|Ga0349638_01|IG|IG_003297|+|3966008:3966116", "2841813427|Ga0349638_01|IG|IG_003298|+|3966507:3966533", "2841813427|Ga0349638_01|IG|IG_003299|+|3967722:3967801", "2841813427|Ga0349638_01|IG|IG_003300|+|3968261:3968297", "2841813427|Ga0349638_01|IG|IG_003301|+|3968871:3969125", "2841813427|Ga0349638_01|IG|IG_003302|+|3970461:3971010", "2841813427|Ga0349638_01|IG|IG_003303|+|3971974:3972020", "2841813427|Ga0349638_01|IG|IG_003304|+|3972297:3972343", "2841813427|Ga0349638_01|IG|IG_003305|+|3973709:3974087", "2841813427|Ga0349638_01|IG|IG_003306|+|3974682:3974695", "2841813427|Ga0349638_01|IG|IG_003307|+|3975149:3975174", "2841813427|Ga0349638_01|IG|IG_003308|+|3975772:3975773", "2841813427|Ga0349638_01|IG|IG_003309|+|3976824:3976935", "2841813427|Ga0349638_01|IG|IG_003310|+|3977401:3977409", "2841813427|Ga0349638_01|IG|IG_003311|+|3979276:3979340", "2841813427|Ga0349638_01|IG|IG_003312|+|3980076:3980186", "2841813427|Ga0349638_01|IG|IG_003313|+|3981387:3981389", "2841813427|Ga0349638_01|IG|IG_003314|+|3981750:3981797", "2841813427|Ga0349638_01|IG|IG_003315|+|3982257:3982491", "2841813427|Ga0349638_01|IG|IG_003316|+|3982690:3983179", "2841813427|Ga0349638_01|IG|IG_003317|+|3984266:3984394", "2841813427|Ga0349638_01|IG|IG_003318|+|3986102:3986137", "2841813427|Ga0349638_01|IG|IG_003319|+|3986483:3986508", "2841813427|Ga0349638_01|IG|IG_003320|+|3993293:3993307", "2841813427|Ga0349638_01|IG|IG_003321|+|3997277:3997574", "2841813427|Ga0349638_01|IG|IG_003322|+|3998166:3998232", "2841813427|Ga0349638_01|IG|IG_003323|+|3998728:3998755", "2841813427|Ga0349638_01|IG|IG_003324|+|3999565:3999566", "2841813427|Ga0349638_01|IG|IG_003325|+|3999888:3999893", "2841813427|Ga0349638_01|IG|IG_003326|+|4000983:4001045", "2841813427|Ga0349638_01|IG|IG_003327|+|4001583:4001610", "2841813427|Ga0349638_01|IG|IG_003328|+|4002337:4002356", "2841813427|Ga0349638_01|IG|IG_003329|+|4004389:4004391", "2841813427|Ga0349638_01|IG|IG_003330|+|4004811:4004828", "2841813427|Ga0349638_01|IG|IG_003331|+|4005666:4005675", "2841813427|Ga0349638_01|IG|IG_003332|+|4006621:4006625", "2841813427|Ga0349638_01|IG|IG_003333|+|4006908:4007133", "2841813427|Ga0349638_01|IG|IG_003334|+|4007359:4007375", "2841813427|Ga0349638_01|IG|IG_003335|+|4007724:4007738", "2841813427|Ga0349638_01|IG|IG_003336|+|4007943:4007970", "2841813427|Ga0349638_01|IG|IG_003337|+|4009057:4009061", "2841813427|Ga0349638_01|IG|IG_003338|+|4009539:4009543", "2841813427|Ga0349638_01|IG|IG_003339|+|4009853:4009868", "2841813427|Ga0349638_01|IG|IG_003340|+|4011351:4011383", "2841813427|Ga0349638_01|IG|IG_003341|+|4012911:4013034", "2841813427|Ga0349638_01|IG|IG_003342|+|4013461:4013518", "2841813427|Ga0349638_01|IG|IG_003343|+|4013843:4013845", "2841813427|Ga0349638_01|IG|IG_003344|+|4014278:4014279", "2841813427|Ga0349638_01|IG|IG_003345|+|4015468:4015470", "2841813427|Ga0349638_01|IG|IG_003346|+|4016101:4016102", "2841813427|Ga0349638_01|IG|IG_003347|+|4017397:4017451", "2841813427|Ga0349638_01|IG|IG_003348|+|4017818:4017919", "2841813427|Ga0349638_01|IG|IG_003349|+|4018328:4018337", "2841813427|Ga0349638_01|IG|IG_003350|+|4020754:4020777", "2841813427|Ga0349638_01|IG|IG_003351|+|4021204:4021220", "2841813427|Ga0349638_01|IG|IG_003352|+|4021830:4021831", "2841813427|Ga0349638_01|IG|IG_003353|+|4022561:4022568", "2841813427|Ga0349638_01|IG|IG_003354|+|4022983:4023026", "2841813427|Ga0349638_01|IG|IG_003355|+|4023900:4023972", "2841813427|Ga0349638_01|IG|IG_003356|+|4025923:4025941", "2841813427|Ga0349638_01|IG|IG_003357|+|4026266:4026267", "2841813427|Ga0349638_01|IG|IG_003358|+|4026543:4026543", "2841813427|Ga0349638_01|IG|IG_003359|+|4027596:4027597", "2841813427|Ga0349638_01|IG|IG_003360|+|4027730:4027773", "2841813427|Ga0349638_01|IG|IG_003361|+|4027987:4027997", "2841813427|Ga0349638_01|IG|IG_003362|+|4028205:4028406", "2841813427|Ga0349638_01|IG|IG_003363|+|4029133:4029145", "2841813427|Ga0349638_01|IG|IG_003364|+|4029728:4029745", "2841813427|Ga0349638_01|IG|IG_003365|+|4030355:4030365", "2841813427|Ga0349638_01|IG|IG_003366|+|4031981:4032230", "2841813427|Ga0349638_01|IG|IG_003367|+|4032426:4032631", "2841813427|Ga0349638_01|IG|IG_003368|+|4033457:4033604", "2841813427|Ga0349638_01|IG|IG_003369|+|4033704:4033961", "2841813427|Ga0349638_01|IG|IG_003370|+|4034745:4034758", "2841813427|Ga0349638_01|IG|IG_003371|+|4034969:4035017", "2841813427|Ga0349638_01|IG|IG_003372|+|4035825:4035978", "2841813427|Ga0349638_01|IG|IG_003373|+|4036084:4036103", "2841813427|Ga0349638_01|IG|IG_003374|+|4036800:4037009", "2841813427|Ga0349638_01|IG|IG_003375|+|4038561:4038570", "2841813427|Ga0349638_01|IG|IG_003376|+|4039618:4039638", "2841813427|Ga0349638_01|IG|IG_003377|+|4040986:4041080", "2841813427|Ga0349638_01|IG|IG_003378|+|4041513:4041748", "2841813427|Ga0349638_01|IG|IG_003379|+|4042319:4042585", "2841813427|Ga0349638_01|IG|IG_003380|+|4043345:4043492", "2841813427|Ga0349638_01|IG|IG_003381|+|4045092:4045241", "2841813427|Ga0349638_01|IG|IG_003382|+|4045863:4045932", "2841813427|Ga0349638_01|IG|IG_003383|+|4046323:4046403", "2841813427|Ga0349638_01|IG|IG_003384|+|4047295:4047649", "2841813427|Ga0349638_01|IG|IG_003385|+|4048232:4048415", "2841813427|Ga0349638_01|IG|IG_003386|+|4049424:4049482", "2841813427|Ga0349638_01|IG|IG_003387|+|4049936:4049991", "2841813427|Ga0349638_01|IG|IG_003388|+|4050349:4050705", "2841813427|Ga0349638_01|IG|IG_003389|+|4051609:4051738", "2841813427|Ga0349638_01|IG|IG_003390|+|4052348:4052434", "2841813427|Ga0349638_01|IG|IG_003391|+|4053365:4053480", "2841813427|Ga0349638_01|IG|IG_003392|+|4053622:4053692", "2841813427|Ga0349638_01|IG|IG_003393|+|4054728:4054944", "2841813427|Ga0349638_01|IG|IG_003394|+|4055128:4055213", "2841813427|Ga0349638_01|IG|IG_003395|+|4055397:4055581", "2841813427|Ga0349638_01|IG|IG_003396|+|4055750:4055931", "2841813427|Ga0349638_01|IG|IG_003397|+|4057141:4057150", "2841813427|Ga0349638_01|IG|IG_003398|+|4059278:4059981", "2841813427|Ga0349638_01|IG|IG_003399|+|4061185:4061190", "2841813427|Ga0349638_01|IG|IG_003400|+|4063552:4063921", "2841813427|Ga0349638_01|IG|IG_003401|+|4065374:4065581", "2841813427|Ga0349638_01|IG|IG_003402|+|4066041:4066202", "2841813427|Ga0349638_01|IG|IG_003403|+|4067115:4067544", "2841813427|Ga0349638_01|IG|IG_003404|+|4068565:4068570", "2841813427|Ga0349638_01|IG|IG_003405|+|4069474:4069642", "2841813427|Ga0349638_01|IG|IG_003406|+|4072961:4073462", "2841813427|Ga0349638_01|IG|IG_003407|+|4076997:4077014", "2841813427|Ga0349638_01|IG|IG_003408|+|4077411:4078071", "2841813427|Ga0349638_01|IG|IG_003409|+|4078393:4078640", "2841813427|Ga0349638_01|IG|IG_003410|+|4079331:4079475", "2841813427|Ga0349638_01|IG|IG_003411|+|4079719:4079908", "2841813427|Ga0349638_01|IG|IG_003412|+|4080281:4080342", "2841813427|Ga0349638_01|IG|IG_003413|+|4081161:4081713", "2841813427|Ga0349638_01|IG|IG_003414|+|4081963:4082179", "2841813427|Ga0349638_01|IG|IG_003415|+|4082348:4082732", "2841813427|Ga0349638_01|IG|IG_003416|+|4083126:4083424", "2841813427|Ga0349638_01|IG|IG_003417|+|4083719:4083721", "2841813427|Ga0349638_01|IG|IG_003418|+|4084175:4084328", "2841813427|Ga0349638_01|IG|IG_003419|+|4084791:4084961", "2841813427|Ga0349638_01|IG|IG_003420|+|4085454:4085537", "2841813427|Ga0349638_01|IG|IG_003421|+|4087209:4087527", "2841813427|Ga0349638_01|IG|IG_003422|+|4088245:4088381", "2841813427|Ga0349638_01|IG|IG_003423|+|4089264:4089952", "2841813427|Ga0349638_01|IG|IG_003424|+|4091798:4091803", "2841813427|Ga0349638_01|IG|IG_003425|+|4093043:4093193", "2841813427|Ga0349638_01|IG|IG_003426|+|4094217:4094300", "2841813427|Ga0349638_01|IG|IG_003427|+|4095306:4095353", "2841813427|Ga0349638_01|IG|IG_003428|+|4095504:4095569", "2841813427|Ga0349638_01|IG|IG_003429|+|4095807:4095827", "2841813427|Ga0349638_01|IG|IG_003430|+|4095993:4096002", "2841813427|Ga0349638_01|IG|IG_003431|+|4096162:4096245", "2841813427|Ga0349638_01|IG|IG_003432|+|4098388:4098697", "2841813427|Ga0349638_01|IG|IG_003433|+|4100369:4100514", "2841813427|Ga0349638_01|IG|IG_003434|+|4101091:4101151", "2841813427|Ga0349638_01|IG|IG_003435|+|4102283:4102363", "2841813427|Ga0349638_01|IG|IG_003436|+|4103342:4103542", "2841813427|Ga0349638_01|IG|IG_003437|+|4103852:4103854", "2841813427|Ga0349638_01|IG|IG_003438|+|4104776:4104882", "2841813427|Ga0349638_01|IG|IG_003439|+|4106636:4106798", "2841813427|Ga0349638_01|IG|IG_003440|+|4108338:4108446", "2841813427|Ga0349638_01|IG|IG_003441|+|4108825:4108847", "2841813427|Ga0349638_01|IG|IG_003442|+|4109886:4110087", "2841813427|Ga0349638_01|IG|IG_003443|+|4111621:4111804", "2841813427|Ga0349638_01|IG|IG_003444|+|4112729:4112917", "2841813427|Ga0349638_01|IG|IG_003445|+|4113421:4113487", "2841813427|Ga0349638_01|IG|IG_003446|+|4114691:4114805" ]
[ "MNTTKRIFYRVRPEFKGYAHEDFETLEEAIKRANHRNSVKPAKDESETLYKGDNCKILKVEEITTEITF", "MKTFTTLNVVSKITNPKTGEVVEILKVQKDGTKRTFFKPVVEKDGKKMMITTTLWARLYDAESLAKKYLNRQ", "MKLRVKEVAKSRNIDLQTLSKKLDITYQALNARMIGNPSLKVVQGIADALDCSVFELIETDKDLSHFYDDKTGEWLGIRKK", "MAQKRKSKHEDITDGSGSLTKKQQNQKAKEVLALAKEVNKNKPVRYASASDSDFMRSLKKKRLTSS", "MNYGELPTIIRQLDIEVKEMMFYQYLPIKLKGQKLFNVESRLNPFYPFIIHCISDFKNTFGRYRFLDSYIYLTVKRQYQSKSKLFNRPGYHSDGFLTDDINYIWSDKNPTVFNYSEFDLTLDDEVSLKEMEIQALPENEIRYPDSTILRLDQLNIHKVNEEVEEGMRTFAKLSFSNDKYDLEGNSHNYELDYKWDMKPRKPNRNIPQSETK", "MNNIIITNQNKQKQANNAYNEIGFIAQALIPKIDLLKETNNPKRQLKKAVNDLLSELEKMTKEHRGKFETYGIIESKEGSKHDVLDVYNLTAKAYDELLDLPANEITSLMALNRRLKAEGVDYKEVLIDYIPVLK", "MDNQIIKAQKQELATSETVMIRFQGQPRLLEVQDIQNLHDIVKREIFYPKLNDKEHGSSEREKLTKRLVSMLQMKFDPKPADSDENFLSPQELAMAEFGSYIRRYQLTAEEVIEAYRMGVDKKLLDTSGNIIQVYPNLSIIQAGEVLNAYLNFKAENSLHTNGIKKLKLLLNPEKQISPEEAKENRKKLLQELGEAVKNDKPCGHSFLFYDFVVRKGGLKSYLANADSQKIVLQKKMREVMKFEKMKVKSAFFNSYELAQFSEYFETGSEKILEDMHFSFERLKSMAITQVKNDLVYGWFKKQYKKKQNEQYNYNKPE", "MSKGGFVKLDRGIFKNFLWNEAREFSKAEAWIDLIQLARFEASTEVINGKVIELQRGEIPASRRYLELRWSWGSTKVSNFLKTLAQMKMINQRQTGGQTIISLVKYSIYNDTQTTDKPQSEPQTNQTQTSDKPEANQNKEYKEVKESKEDNILLGKESKVENQDFLSSQDNSNPKLPEEPEEGKEKSSAKKESEKFFSKRDFKKRLIELGAEEIHIDDWFKVRDKKKASYTETALNGLINECKKYSFLVKDAVRICAERSWQGFKYSWLDEKQKNNGQSNNQSSKTGTGNVRNGNDKVSGTTEIIGGARYTEFT", "MKIKDLKLGQEIIIDGGSYAYRGVQKLKQIGYGKVQKIVFEGTNSNGIKDYKYFNLHEGNKDLVVTENRIEII", "MKYQEFLKNKVIVSENFGFEVSDLSPKLHLHQPDIVKWCLLGGRRAIFASFGLGKSMMQLEIAKQCILKENKPFLIVCPLGVVGEFKRDNEKLQTGLEVIYITDTDSMPESKNSIFITNYERIRKGDIDPSLFSGVSFDEASILRNLKTETTNYVLNHFKKVPYRFVATATPTPNDFIEILNYAEYLGVADRGHLLTRFFKRDSTKAGNLQLLETKKDEFWKWVATWAVFINKPSDLGYDDSKYNLPKLHIHEIEVENYTDEVIVDKYGKPILFKDNTKSLIDTSREKSQTVDIRVNKAFEIVQNEGPNDSWILWHHLEAERVAIEKQFKNYSLNSVYGSQPNELKEKLLIDFSESNYQILSTKPKIAGSGCNFQHACYKMIFVGIDFKFNDFIQAVHRVYRFMQEKEVHIYIIFTQNEREVLKTLFDKWNKHEELQREMIALVREYGLNAELIKSQMERQIFKNGRKLVIGDATLYNNDTVVVHQDKNEYPDNSSGMILTSIPFGDHYEYSDNYNDFGHNDGNDAFFKQMEFLTPELYRSLKPGRIAAIHVKDRPRYSHQTDVQFFTIEPFSDATVRHFTKQKVKDQLEIWENLTDDDGISASVREKRISELKEEYSERFHYIGRITITTDVVRENNQTYRLGWGEQRKDATKMGVGIPEYILLFRKPPSHTKNAYADDPVIKKIDEYLLSMWQLDAHSYWKSSGDRFLSSEEVKRFDMDRIYNAWKKHNTSEIYDYKKHLEACELLEGEDKLSKLFMTLPPHSNQECVWSDINRMNTLNAKQVSSKKEKHICPLQLDIIERLIYRFTNEGDEVDDCFGGLFSTPYMALKMKRKAKAVELNSEYFDDGVSYVNAVNYQMNMPTLFDFIEA", "MLISSGFYLGRDGSGYYVWNESKVKYLKSKSLDNAVKEAKDEIPGILKEQSNSI", "MQKHTKVYLAFFNPHNPEWIACEICNNQAVDIHHIERQGEHGKKRKDEQDRIENLIAVCRKCHDLAHANKFTKDYLREIHQKKINMYANK", "MGRIKGRPSKYLKSLKADDEWEIVKRKVKIRDQHKCKICGIGTGLEVHHITYYISGQSIRGKELQNLKWLVTVCNKHHKEIHQDLNHPFNPKNKQKRNAETY", "MEIVENIPFEHNFSVSGNDDNLPEKLGHFETIDDFQEHFAINTVSEHQKVTAVRHYTDEEILEFREEILRVAEDQLPEAKENYSQKDIEFKQAKEAKEIAGEVVGALQTKISDLAAEIKEGKTEIEVPANRTYRVPYKGKYYFYTWQDNGDCVMVKVKDVPEHEKAEIFNNTDKNNAFFDSLKNGKDKRKTK", "MIIINNKLISIDESDVVNGKLIIPNSVTHIGSGAFRNNQLNSVEIPNSVTHIGSGAFENNQLNSVEIPNSVTHIGDWAFENNQLNSVEIPNSVTHIGDWAFENNQLNSVEIPNSVTHIGSGAFRNNQKIIQGNKVIQMIDGMATIVRSKKKVDDFNVFEGSFFNSKRKCFVANKGNYYAHGETLRQAIDDVNFKFLRENFNAEKLISEIKQKQKISLSEFRLLTGACSAGCEIFMREKGIKVTELPLDKALNMLNGQFGGEKIKNMFKK", "MIFDTSTQTGRKKAVDRVKYLLDKKAKFEVVEKKRNRTYSQNNYLHLILGWFSLELGYKMEYTKQIIFKQYANQDIFKHSFVNEKTGEEIEYYRSTKDLKTDEMSAAIERFRTYSEVNSGLYLPTHKDTIYLEQIENELEKYNNKIYL", "MSLPDLSQAVVLEEQELDRNEAWNKVRMDKFTSSNVYKLMTYLDKPDILPKGAITYIEEIVIAIKTNGQGIENVTSYAMDHGTEKEPFAVTRFEKEKGVECYAIGDKQEFVTLCSYFGGTPDGLFGTDDLIEVKCPNSKTHFSNLRELVDVESFQKKYPQYYGQIQGNLLATGRKTGWFISYDDRFVNEKDQILILEIPRDEEYISKLKKRLTLAEAYKKQLLAD", "MSELEKKQPNQLQAFDLMGDLPNLSEAKVIPADLTSEYWTPTQSGEFKLCFFQEIKNSTYTDEQTGETIELPCVVMIEQTSAGDLKTIRNGSKRLVASLEDALNTGKIIQGAPLKIEYLGKQKNATNAFQSDRWSVKPLYVG", "MENTLQKTFSIESLDVNSLPELQGLKEKQIQIRDSNPYVEITDNKTYEEAKKARTTLVSARTDIEKQEKVISKKINDFKSAVKDVHIELINITKPSEDKQQDEVRRYEAVKEAEKAEKERLEQERINKIKTIIDTIISDANYRIKNLAFADIASLSADLEENVYKIDVAQFEEFELDFNEKLIQVKNNLSEKIQALTEAENQRLEKLRLEEEAKRLAEERAEIERKRKEDEEKLAAERKAEEDRLAKIKADQEAELQKERDRIASEKAKQDEELAAKQAEIEAENKKIQDEKNRLAKIESDRIAKEESERKAKEEEERKVKEAAEAEERAKAEAARLEELKPDKQKIVEYLNSIGATIDRPKISNADLESLLDSEMVKIIEQIQSSTQTISNFK", "MKSFLGSRIKKQVIHTTISGSQRIKFARIDLENGTNILYNFKKCVVRDFQNKVLREVTSSELVHIKRHIRIHLHVQRNRFAERIGMKFTPEKVN", "MSSIIVLTVEQLEELTENIVAKTLERISPKNDITEKHFKGMKGLAKALGCSVTKAQEIKNTGYLDDVLFVIGNRISGEVSNVKNEYQKNELIISKTIIKQKTVKAATKTA", "MKIEVGQVISEEDSKVLRDFISKNDIADISMSSGMSISTLRDVAYRRNRVAETNIEGLKKLIERASENASKQERHARKCKNNFKTTLNTI", "MKEIDLQIDKNLEHLYNHEYRAIHSHRFIDVNGRELTSKFNGDQFIKEMEFRKLVFNKNNLWSLTDFGYEVIELGGWIKYLEHEKERKQLEKQKSNEETEKLKLELEVLRNTVKDYPKTKFIAKASFATAIISIIISIWQLLK", "MNYKKLNKKINFLLDIHDSYGIYKDKNGVVKNITKENLYSEIENLKSNKASNFEFNRQITRLKSDIYDLKKQLVVMNTANNNKIDYLGLISFAISVAAILILLLR", "MNIYSDNYILKSMRNVFLRSHLLSLSVSTKKETTNFIYLSFLTILLDRITANSIKIIYTNI", "MKEKKTYNQNSCYLKNELKAKGITQKQMQDDLGVSQQYVSSILNNKTSIGKKMAKKLSELYGLDEAIILTGQTINLNNEEDKQHSSGKVSYDQDIGRPFYNTDWTLGLDKNDFEEYKYPEFNIDFKPANRDSIEWYRGRGQSMLGEIDSGDYIALEEILDFSWFPLGKIYGIITKNGFRTIKRIIKSDDKDNYLLVSNNPDKKSHPDQEIPKNMITRLFKIVYVIKDLDE", "MYENFNQNNERQENVFHSKIGKISRIIEFNLPNITTSYALVETRIRKVISNSGVSYFYQIEKQGEYSNNLASIEYSELKEVIDAIDFFKSNLDKDKLSTVDYIENKFSTTDGFQIGNYIKDNNVNWFINLDNGSDGSLFFSDISYVYNALINAKNRIEELKG", "MKKLIFILISMLLITACSSGDNRDNTEPPKEYKLEPEFYNKFSATYVSLNLGSSGGITNVNTSTESDVNMIISSDNIATLKIFDDTYSGPINNIYNNKTFSFKDNKTGKNINIQSSMKGRTVGGVYIVKNNKQSWNLCDCSWPIEIARN", "MTSTTNARMLAFRDILKQTGRIKLYSEFDDKLCIVRSTISKIRTGGYDVHFTVEHINIAVKSFGANANYLFGVSDKPFR", "MLKYGIKFSLHQRKDVTDTNFPIRARVSFNGTRPDIYTGVWCEINEWNPIYQRSTNKKSNVNSSLVKIENIIDEIFTHYEVNEKRFPTVKELRYQFKIKTGKTEEKELPEEILFSDIIDKYSENIGALKSWTRNTYRKFEKLKSHVISFNENLFINDITEDVLLNFIRYFQTKPIQKKRNGQKKIGNPHKNTTVARQLTDILSILEWSSKKGLYNGNLHNSFDASFKGLNLKEVIYLSWDELGKLYYYDFEFGSAEDKVRDVFCFCCFTGLRYSDVFNLNKHNVKDDHIVLATIKTEHAIKIDLNDYSRNILDKYKAFRENSEKALPVISNQKMNDHLKVICKKLGFDEAINEVYYVSEKRFEKITPKYELISTHAGRRTFVVNSLYLGIPSEVIMSWTGHRSHRSMKPYVKVVNELKSAEMSKFNKPL", "MNYKLELNAQGSGSSLVFNNIVFDSFKVNIVERHIGSTRSELKFHHVLFKVRTLDDAIIKTKNGNNRIMIKGDELVTYQRLVTALTSYEYRNKLIKRKEVDEEYVHFILSLVISNYTLN", "MASVTKIKTYHFLPCKYGLELLLDIGRIETLNNYVLDNTLHQLSFYEVIFIEEGSGTFTLDENKILIAPQTIIFTSPGQVRCWEIKEKVKGYTLFFDKDFLHLFFSDELFLYRFQYFHQYSHPTAVQISEELFEKCLELVHGIAQEFGQLQNDSNHLIRSLLYQLLVILNRYYACIHNVQRDTYIHADFYRFRSLLEKKFVEDRSVDAYSKVLNISTGFLNKICRQFSGLSAQQMIHYKLISEIKKQLYQNKSAKEIAYEFGFSDPSNFNRFFKKFTGITPQQYRKKI", "MKKYAFSFLLFVTSILLVQAEQLYVNSKTGNDSNSGTKEQPLKTIMEAAKRVNSNKRLEATTIFLSEGIHLLTQTVVFNNDKYTLKNRLTIRADVMPDDAGWTPQKMPVVVTATPLEPGIGGEEAKGIQPEVSHVTIEGLRFTGSPDYSYIDGTNLRRSYPIWREGKNLDDLLVTQCMFAGNADVLPLHVGVIANGYGLVIDHCVFFNCKIPVVFWKNNGGTGNRSAMRYSLVYGGYFCGVWTTQGTNRDQFDFHNNIIASTSTVWIREKGSQRRYKASDCIFTDYNKLAGYGSGPLSDSDATATDFLEMKNVQTTGTIKIEKDQSKRNYLQLAEGSVGANLMAGLFKKSQ", "MAKIKRITPTDFRGQYMPEISSDFAILKTPIQVHDIAKTSGFIKVPTPLHRPEFNFIVHITKGNAKQQVDANLVPIKEDEILFVRQGNVTSLVEVSPDAAGHMILFEDQTLNQLLSKQELIKLFSANVVIHLPKESSIWLNSLFELVTTEIYQQDPNLGICYSLFQAGLQKIFTSAKELNKNMNRSAEITFNFKELVYKNYLEHKSVLFYANALSVSENYLHRCIKETIGEAPKEWINKVSILQSQLLLQDLTKSISEIAFELNYGDPSYFGRLFKKITGVTPSEYRTAFMQDLSE", "MKTLLILKNRDYKSLLHGINKAIARYLYLCLIASFTIFFSNRIHAQLIQDIGGISVTSHSKATFKDLPLESPDPENKFRLNTYDAWIPIPPVKIGKTSIFGNLNYRLMDFNYDNNSIEDPNRLKRIHEIKPTIIIRHPISEKWSVLAIAMPALAGEKSVSIDDLVLDGILGVSKKFGAESNFEIGVGVHALHSFGETLITPGISIDYRSTDNKWLAQFYWPRLNVLYNVSPNTQIGLAGSIDWTRFKLKSFRGYNGKEANYAQFSSIHGGLQVNQRIAGGIWLQVQGGAGLFNSYELFDKNQKTVNNFSVSNMAYGKVTLTYRIGKNK", "MKEKNNVSSDFSLQSMIAAALPSYIFPAVMSFLSGYFLQKTELMAASYSTIGLSSLISTILSFIILWQLSMKRILVRNKSIRSLLIILLMMFLGLVFTFVVNFPSERFNITFSAFLGALIITVRQQIKDYRYEKN", "MKKIKPVIVVFGCTGTVGREVIQQLKHHDCIVRGVLRNPERPYPVPISSSSNITYVSASLNSIKQLKEACSGADALFLLTATSPDQIEHEINIINAARQSGVKRIVKLSAPVIQPSAIVKVSEWHNTIDNYLIKNTDEFCCLRPHSFMQNWERNTFTIQYFGKIYGALGNAKRNYIDCRDVAATAVNYLLTTEEVKQHSVILAGPQAITNIEMAEKLSYVTGRKIEYVDITQDELFSQLTKKAKLPEWLACHIVELDNLAVKVPEPESDTITNLISRKPRIMDEFLQESRHLFKRKPLWKLMF", "LDAVKKSLKYSYCSGVFYGNVQVGFGRLITDYTTFAYLADVYILENHRGKGLAKRLMEHMINLEWTDNLRRILLATLDAHDLYRQFGFNPPVKPESFMEINRPGIYKG", "MRKILIPLMICFAVAAQAQVSIGVRVNALFNTSSSNWNAIGTTAKNAFTNPKDVAGFNIGLSTKFKLPATPIFLMPEIYYTNFKSKVTYVDYDNRDIFEISAKSQRIDIPILAGIDIIGPVSIFAGPVLSTNLSNNKDSGNFEVDGSGKLSVGYQFGANVKISKIIVNARYEGSFSRDERKFINKTSGKAINYDNRPSMLLLGLGYQF", "MKLVSGIYIFYCSVTEDVFIDASIIVRQKIKHHIRMLKAGVHSNKELQNLYNTYGAATIHFEIVDRSEQQFHAEKLKEIQEELKAKKL", "MKAKFLFIAILAIFTIFTGCSNDDSRADLNASTVALSSEAKAQIAAASDIYQLTNEDVVVDYVKKNKKLPNYYITKSVAQKAGWVASKGNLCTVLPGKAIGGDVFGNREGLLPKKTGRTYYEADLNYNCGNRNADRLIMSNDGLVYVTKDHYQTFTQK", "MSIQKNIFQTFKTKKLPLLTRFHIWKIKKLNPDYSYHLYDDDDIDKFLQEEFPPQYFEAYKRLTIGAAKADFFRYAILYKKGGVYLDIDSSMSKSLKALIKPEDVAVLSRERHPQFFVQWALIYEKGHPFLAKVLEHIVDNIENHRYPNDIHKTTGPTAYTKGIEVSLKENPEIPYRVFDGIEFRGYLKFKYKLGKFFLYSSRAEHWKQKQLTMDIIDPRMANI", "MKTILRNTSLIIFSSVILYACNTDKPKNNTQTMADQMMAAPSDGKYAKGDKVPNETVCMVNDAYMGKKQIEVPHDGKTYYGCCEMCVERIPKDKSVREATDPFSGEKIDKASAYIVMVGDNGEVAYFKNEENYKKFVAQNS", "MDLILTEIKNKVGFITLNSEKTLNSLSLPMIEQLGAVLKMWELSDEVVCIFLQGAGEKAFCAGGDVKKLHDAIVEQREIDPKKVPQECLDFFSKEYKVDYAIHTYPKPVIVWGSGIVMGGGIGLMVGASHRIVTEKSKLAMPEITIGLYPDVGGTWFLNRMPSAYGLYSGLTGARLDGADCRFLGLADYYIESDSKEDLVKALEQADWSGERYKTVSEVLEKFSACTKMPESKAAARELFIRKFEGVSTLKEFSDILTTYHGKDEWVDAGIKTFASGSPSSAGIIFRQLKLGVKLSLEDVFRSELNLSCQCCIHPDFVEGVRALLVDKDQSPKWSPRTQDEVTEEWIDSYFSPVWNIDKHPLKNLSLS", "MIRLFLYIKLMCDARVQYVVEEANEIGNLQKNIGEGAILQII", "MEIVLVNNNVSGIGDNFSDAKFYFENIRKELYQKLSDYYGKVSLCLMPNFKFSINIEKCDNIEEVKKIIHDMPKNLQEWIFKK", "MKKIVLAVGSALVLFSCAVQNPQKVYESSLKSISAENLKRDLYIIASDEMQGRDTGSPGQKKAGEYMINQYKKNGIGHPPSMSSYYQKVPSEYMSKRKKINDSENILAYIEGSEKPNEIIVISAHYDHVGMNNGEIYNGADDDGSGTVGVMAIAEAFHKAKKAGHGPKRSILFLHVTGEEKGLFGSSYYSDNPIFPLANTVADLNIDMIGRVDPLHKDNPNFVYVVGSEMLSSQLKEAVEKANKATHNLYLDYKYDDPKDPDRIYYRSDHYNFAKHNIPIAFFFDGIHEDYHKPTDTPDKIDYPLLMKRTQLVFAIAWDLANRPDRIVVDKK", "MRKLLLILFVSGFSLSQAQHQHEGNSGQKTSLQQSATVQKYTCPMHPEVVSDKPGKCPKCGMDLVPVKEEKKIQEDTDLKRNPKNGLVNFEGKIVRYDLYVSDSMVNYTGKHRHAFAINGQLPAPTLYFTEGDTAEIHVHNKLKKENTALHWHGVMLENKEDGIPYLTQKPIKPGETYVYKFKISQNGTYWYHSHEKLQEQMGMYGMLVFRKRGETETDRKVQADIPVMLSEWTNDHPHQVMRRLQMGIADWYAIKKKSVQSYSEAIASGNFFTKLKNEWKRMEAMDISDVYYDKFLLNGQPSTSYKNLKAGDKVRLRIANGGASSYFWLTYGGGKMTVVGNDGNDVEPVEVDKLIVGISETYDVEVTIPENKSYEFRATSEDRTGHSSLWLGEGEKVEAPALKRLMLFEGMKSMNNMMKMNGDMKPMNMKMGLQKMDANSVMYPEVPEEDRKAIMQHLKDMMNPPKKTKSKKEDHSGQDMPLKLSDSKADEHAGHDMGTKNEDAPLQLSDSKADKHEGHNMTNMSKEKPVTLNYDMLASTEMTSLPADAPVKELKFTLEGNMRRYVWSLDNKTVTETDKIKIKRGEIVRITMYNNSMMRHPMHLHGHDFRVINSKGEYSPLKNVLDLMPMETVTIEFPANQDGDWFFHCHILYHMMAGMGRVFSYEDSKPNPNLTNPKKDWKDFLKDNHMWANTATVALESRSSHIAARVGDARYELQGELHTGYTKTDGMEAEVRFGRYLGKFQWLYPYVGFQTRSRDRESGDARRTMFNQLAKHNNRHVFTAGFQYILPWLVTADASIDQNGKVRLVLQREDIPITPRIRGNFMVNTDREYRLGLSYILQKWLQVSSHYDSDMGWSAGLTFVY", "MKKLFLSLIFSAASITAFAQKSNTQIEKLYHNYIAIKNALTQDDTAKASAAAKVFLQSASAVDFKVLSEDNVNKLRKDAGAIIEAKNLSTQRNYFFNLSDNMAAIANHFKVSSTPVYVQYCPMAKGQWLSDEKEIKNPYYGNSMLTCGSVKSEIKK", "MKKTILILLAFFYSAVSSGAVVKMHYCVEEVMTCGMNHTLPVSSSDECKVVKTPDCCKTKLELVKTDVAKNAELLQLQLASSFVLLPEVSFISQNVLFSEEDKGKDYLSNAPPELRQLPIFILNCNFRL", "MENSMQKGLSRLQILIMAITAGVSVASLYYVQPILDEVSKSLHKSENEVGLLPALAQAGYGLGLFFITPYGDKMNRKKLILLMLFVLVLALFGMALIHNLYGIYLMSLIIGAMGAAAQVVMPMAATLATENKGKIVGMVFTGLLSGILLARVVSGYSARLWGWQSIYMISAFVIMIMMVLVYFTLPNVGTQFSGTYGELLKSTIAQYKRFPKLRRLSIIGALVFGVFCSFWTTITFHLTGEPFFLKSDKIGLLGIVALGGALVAPVVGRMADKGSPARGILYSILVVVASVILMMVFPSNIWMIVFSVFIMDVGVQAMQITNIATIYTLDESANSRINTVYMTCYFIGGALGTVLGVNCWHYGGWNFVLIQFMVLLVAAVCIAVVNINPEKYKLR", "MEHQFFRKLDEGQIEEMVTMMREFYAIDQYPFNEDLTRSNFHQFVQNQDLGSAFVMYNEGNEVIGYVILTYIFSFEFGGRIAFLDELFLNEKARGKGYGKLAVDFVKDFAKEQGLKVVMLEIERHNKNALLLYLNKGFKEHYRNLMIYSPQN", "MSVYELDKTFEKINFKETPLPKGDYEFCSFNNCDFSEVDLFSVSFTECQFVNCNFSLTKFGRTSFRDVKFNDCKLMGLHFENCNPFGLSFRFEDCQILHSSFYQQNIAKTLFKNCRIIETDFSDVNLSYVVFDNSDLSGSVFSGSILERTDFRTAKYFSVDLLRNKVKKAKFSAHNLVGLLDNYDLEIDS", "MTTQKSTSLRSLIPFLIFILVFLGAGIYYNDFYALPSPIAIVVGIISAFIIIKGTIKEKTSTFLEGCGDRNILTMCIIYILAGAFATVSKSIGSVDAIVNIGINNLSPQYYPAGIFFVASFLSIASGTSVGTIVALGPIAVGLSNAGGCDINVVGAALLGGAMFGDNLSIISDTTIAATQILGCDMRDKFRNNIGFALPAAIIAFFIYIYVGAGTNDVAQAATPVNMSSAILVIPYLAVIILAFFGLDVFLVLIIGIILSGIFGFIYHDFSFLDFGKKIYEGFTSMTEIFLLSLLTGGLAAMVEKAGGIDNLLRLIRSKINGPKSALLGIGTFVGVTDAATANNTISIVITGKVSKKITEEYKIRPRVTASILDTFSCFVQGIIPYGAQILILTGYSKNTISYPELLKNSFYLFLLLIAVFIYIYVGNIKEDKVDEENLNIAKN", "MKYNRCGRSGILLPAISLGLWHNFGSVDVFSNAEAIIKTAFDKGITHFDLANNYGPVPGSAEENFGKIVSRNFNGFLRDQLFISTKAGYTMWDGPYGDWGSKKYLISSLDQSLKRMNLDYVDVFYSHRPDPETPIEETMQALDFAVRSGRALYAGISNYNAEQTQQAANILRELGTPCLIHQPKYSMFVRWTEEGLLDVLEDNGVGCIAFSPLAQGLLTDRYLKGIPENSRAAKSHGFLQKNDITEERLQQITALNNLAQEREQTLAQMAIAWLLKDKRVTSVLVGASSVEQLLQNLESINNLDFSQNELQQIEEILKTK", "MEETTQQNYNQQVPYKSEKKVAAGVLGILIGSLGIHKFYLGYTKAGIIQLIATFVTCGIASVIGLIEGIIYLTKSDEEFDRTYVQGKKEWF", "MFNSLQDKLDKALQNIQGRGKITEINVAETVKEIRRALVDADVNFKVAKDLTKRVQDKALGQNVLTSITPGQLMTKIVHDELVDLMGGTNEGLNLSEKPTIILIAGLQGSGKTTFSGKLANFLKKKKSKNPLLVACDVYRPAAIDQLKVLGGQTGVPVYTEEGNLNPVQISQNAIEFAKQNKHDVIIIDTAGRLAIDQEMMNEIRNVHQSVKPTETLFVVDSMTGQDAVNTAKAFNDVLNYNGVVLTKLDGDTRGGAALTIRSVVEKPIKFISTGEKMEALDIFYPERMADRILGMGDVVSLVERAQEQFDEEEAKKLHKKIAKNEFGFDDFLKQIQQIKKMGNMKDLLGMLPGVGKAIKDVDINDDAFKHIEAIIQSMTPEERRRPSTINMSRKQRIAKGAGRKLEDVNALMKQFEQMGKMMKMMQGPQGKQLMAMMGKGMPGMGGGMPGFGK", "MMQEIIQQTTWIEWLGVILSIFQVVLSRQNNPVNYLFGVVSIILTLIVMFNAKLYAEFTLNLYYLVMSIYGWLYWKYGKAKHEAPISYTDNTDKLKTAGIVVFSFGIFYFALTHFTNSDVPIWDASVSAFAWAGMWLMAKRKIENWILLNVSNLISIPLMVHKSLYLYAILSVILFSVAVSGYFNWRRIFRESKISQ", "MNINFNVKSIEGVIRQYSKKKLVPLDIANTLSWMTEKDKLFYAKESKNKIEISRIKTPFAALLPNIIITFKKNDFQHPKIRLSIWGYLLTFLLASMFLFIIIKKLTDEKFEGDIIFPVFLLLLFLVLFFIEHAFTKRTLQKLLKEIEKQS", "LESNYSYNTIAKPILDITLKEKGSKFISYAYPVLDEDEVKKRLEEVKTLHPKATHHCYAFRLGLKGENYRANDDGEPSGSAGLPIYNQLLGHDVTNILLVVVRYYGGTKLGVGGLVKIYKESAKELLSFAEMITKELEKVLTLEFDFSHQNQIFTILNKYNAKVLEFDSGAYGKIKALVKLKDEEEIQEALSGVLRNK", "MLDFLLDMEEHRIYMQRALQLAKKALGNTYPNPLVGSVIVSKSKIIGEGWHHRAGEPHAEINAINSVKDKEQLKDSTIYVTLEPCAHYGKTPPCAVKIVELGIPRVVIGSMDPHDKVNGKGKAILEAAGTEVITGILEAECDELNKRFFTYHRKQRPYVILKWAESADGFMDKDFQPYAISNALSQQKNHQLRADEQAILVGTKTVLNDNPGLTVREVSGNNPVRIILDRGLKIPVDYKVFNDEAVTFIINEKEEKTESCVHWLKADFGENFLHNLMHILYKQQIQSVIIEGGAYTLNQFIEQNIWDEAWVFKAENLFLNHGTKAPELQHEAQTIEQLRDNQLKIYRNK", "MKDSEHLPILRKANEILDLVRMITDLFPEDNQHLQMMKMQLLEDAMILPVKISGAEAVKLYDTKMENATLVRKAAKNLQVSYHGLEMFGFDEVNYYKIVREKIEELRLLFIDWVAGFNQTHFITDDWGLFNPPGISPDYEQRSDELNFLDEDDE", "MASIDNNQNEELKANQSTEHPQQTGPVAEHHEQTADHDEETDHDFHAEDYTHLSMEDIAKEAENIVNSANAGAQAKKFGELRDAFNAAWEEELKDKKEAYIADGGDPDNFEYQSPLRSKFNALVNIFKEKQDSYHKEVEKEHAENLVQRRTIIDKLKNLYTNTEAGTNLFKAIREIKEEWQKAGQVAKSEFKTLSNDYFHHLNQFYQMLDLNKEYREQEYAHNLEKRQHIIARAKELKDEPVVQKALNELQYLHKLWKEEAEPVAEEFRDSTWDEFKEISNVIHQRKAELFAQIEADQKINLEKKNEIIEKLKKLSNPEKEPAHSYWQSAIKKVEELRNEFISLGSVPKKLSNQNWTDFKETLRAFNASKNNFYKGLKKNQQENLERKLQLIQTAKDNQDSEDWDTAVPLFKKLQEEWKAVGHVPRSQSNRVWDEFRDACNHFFAKFREKGDAGTDDWRANYKKKKALLDELKDIEEGENSAEAINRIKNEWNAIGKVPRDKISINTEFNKALRSKMRLNRMKDYELSDGNLSETQLTDKARKIKNQISDMEAEVSKLENNLAFFSNPTRENPLLKATYDSLDAKKEELEGLRSKLHQIITEHDQPKPDTSDEKEENPAEE", "MEKPVKLGLIGKNISYSFSKQHFEQKFKKLMLPAYTYDLFDLQQIDEVSKLLEDADVRGFNVTIPYKEQVIAFLDELSDEARQIGAVNTVKVLADGRRKGFNTDAFGFEKTLLAHKKDYHTSALILGDGGAAKAVKYVLDKHNIAHKTVSRKSELNFDNLTSEIVKEHTLIIQTTPVGTFPNVENCLVFPFEGLSDKHLIIDLIYNPNYTKFIKNAAEKGAKTVNGYYMLEQQAEKAWEIWNLD", "LKSTPFYIAQRYLISKKGSQAVSFITSLSAFAMMVAVAAMFIIVSVFSGLIELNKKMISDIHADLTLSPEKGKAIPNIAKVTGILSKEQEIAHFSKVIEEKAYINYKGNGEIVYLRGVDSAYTKVNPIDSTVFYGKYPSFKYSNEVIMETQLNNRLEIPVASEDDYAQILMPRPGVGLISKEADIFNKKNFFTTGVFTNSQMASYIVAPLELSAELLGMPKNTAYSIVIKLKDPAKANEVRNRLMEKLGTGLTMKTKAEENAAFWKMINTEKLMIYLIFGLVIFITTFNLAGAIIIIQLDKKEQAKSLISMGMSMAKLRRVYFNTGILIVIFGVSVGLIVGSLICYLQQHFGFFKATAALPFPVKIEWQNYLIVAATALLFGIIISWIFSRGSKRQLRS", "MESNRQRKVAQLIQEDLAELFRQQAANAGQNLLITVSGVRVTADLGVAKVYLSIFPPELRKNIMKEIEENKAVYRNFMGQKMGKQVRIIPQLSFFLDTSLDDAERIEKELKGEGDNPVL", "MNKPDSLVATIIAKQQKFMLDKIEHLGIAVKSLETSDSLFAKLIGREAYKMEEVEREGVKTSFYQIGESKIELLESTREDSPISKFIEKKGEGVHHIAFGVDDIYAEIERLKKEGFEFISEEPKDGADNKIVVFLHPKSTNGVLIELCQEKR", "MKILKDELGTVYILQDKKPYFLVELINIKPFEIKYIHKDVFEFYNSSEKEISEQIEKFVKEKLEF", "MTKSICLFNHKGGVSKTTTAFNLGWALADRGNKVLLIDLDSQCNLTGLVMEQDSIDDENMETFYASRDNLTMKPIVDSLINGISAEEFIRNEGGNRLLNTKHENLQLIAGHLDVSDLDAQISLSLKIASGVPATRNIPGNLPQIFQSIGKNRDFDYIIYDLSPNVGGLNEVILMSSDYFIVPTSPDYFCLQAVHSLRKNITKWHVEIERFKTDNNFTNSGFSIKNKPVFLGAIQQRYRPRNQNPAKSFQTWIDKIRDAVNTELVPALNKISCVLDKEKVDTVLAGSDLQAYDLAQVPDFNSLIAISHQVKKPIFALTDEEIATTGRAVKLNGHSLATMTNSRDSFSGIFEDLAERVEILTT", "MTKLELRNKLQKIGANMSNVPEDLFEQMEKYGLKTDEDKFRFLANCLNETGGFKVFKENLFYTTPSRLVAVFPSAFRSKYNPNEYLRDSVKLANLVYDDRKFPKGLGNIYDGDGSKFIGRGAIQTTGRNNYTQLSKDTGIDFISHPEWLERPPYNFISALYYWKKHNLSAKPSLLATRQVIAGNYTNNPFGFKEVQNWYNKLKSA", "MKRLILLITTSLLFVGCGTRQREVSISKEEIKTKIESSGSEKSRTEENTKSEKQNTSAGESSGSVEKSTSEKGNIVTDHTSDKNTSEKQNESYTKTSKVKEYYENGNPKSESETSENMSKEIYRLTSELDNYKTAAQSAKNDVTRLTAENRQLTNDKETLITNLKSQKEQNTKLTADNTTLKKNKNSKVESNRNSWWLYVVISIISIFIWEIIRRNSVNWYSKLKKLILP", "MNNLLSYYPNVTLLIGLLILFSLDFVFGVWKATILGERRTSKGFRKTFNKFLQYGGSIIVGMVLLNIVGDKDSHFATQYSWLFGDLLLYIMIYIEVVSIFENMEAIGGDSDFVKYFIRPVRRMITFQLKNLLKDEAPDTANNN", "MGTTTNTENTVRTIISDNRQIQSKAIISGNTVTFNYSYNVSPQKAPFVIGFTVQRGIAGDPEFNGNNAITGNYYPENDTFDSKTVGTKPGDEALKESILVECKAIVAELTTPAA", "MGDLVVNKKNGIIELFNTAPFCTVTKAELSRGILSDDYIDMTVESSEKLDLNLEDRIIVEGRSYFVNLLPQVKKNAEDSFTHEIRFFGASSILRRNILFNRDSQGGKTGFEFPMTAELNAFLYLIINNANEFESNWILGDFPTNTTTKTISFQKENCLAALQRVCQEFNVEFEVEETGGKFVLHIREKIGKLLPFKVEYGMGNGLYDLTRARSNDSEVVTILYGYGSSENIPVKYRGYSPRLRMPIAIGDYITNQAAQELFGKVVGVFDPDIKPEFKGIVSGVGSLTNGLQEISVSNMDFDLKEKESDGKTTKYLIAGTPAKISVTKGNLAGYDFEINDYDHSSKTFKLKQFADDRGQNFPDSTTVFKFATGDEFTLIDIIMPEQYIINAEQKLYDETVKEYQKVSQNNVKYTLNVDPLFLQDKGEIGIGDLLPIKDADFGIDKASRIISLKKDLLTDTYTSFDVADSYEISLVKEIVNNIKDLQKEIASQKVINRQSYLDGYRRVEDRFSMYFDADGKMDGSHIKADTIDVGMLSSGSKSRWFQLEEVVFTPNLGSDPNSFRATAGRLVHFGIKTSSGAERVWNLSALTVNNLLNQGYYVYAKCDINGDYGTFVITPDRIVFDSQPNYYHFLIGNLYTAASGGRAFDANYGVSQMNGRMIFAGVISDIQGRPMIDLDKREIIGKVTFTNDSPALNQVQEKIDAVQVGSVNLLDNTAYLKLNPNSVGYGTSILIENEGEKFYRATPDLGKNVSLFGAWYKLESNQEYVRGIYVRHSASEPQDVRIYSNSSNVGGDKVTTIQPNIWTFIKTSTINGSGNSSALIMEVATLNISLDYKKAILVKGNRLGNDWMPSANDVQNEITVAKQNAANAQNAANIANQEAIEAKKVLSDIANDNIVTAQEKPTLLQRWKTIESERPKHIAQAGTYNVNTDNYNNYYFALANYLTNTGVFVDMLVSTNVDGRELENNFHNYYDVRTDLNKAITDAAKNYANTLVDNIKIGGQNLVNYSANPWKENSPSVGFSNATLVNNDGEDGGLYVNVTGAPCYMFVDMPYMQGKFIEGKDYTISLEVWSLWPLLMGIGDANMGNPFDNPTSPANGGWIRIFRTFKYVKTTQNLFLIYFQAPVGTNYLVRNFKIEEGNKATPWTASQADIDRQLKRAQDDANIANQKLSDIASDNKLTSSEKTITMKEWAVIYNERPELIATASIYGVSAVVYDGKVNDLAVYLNNIGYSDLSYTSNINGNEFRQKFVDVYTAKADLIKRISDTTKNYTNATTEAAKIEMAKDALNKALSASYASGNCLYRDVDFRNGMNGTMLYNNAGNNTNAYLLTYTNIYNAPTRSPQCLVFGFRANGQPTEPAYGGFTFATPTRANAVFITRMIARFPAGIKIEFAANPYGNDGSYVWTTSQYGTGRFEEYICIVRCGGDGSFSSINYFWFNDMGYGNRAFETIVAYASVFDMTDVDKYLEDKIKQNEQQTAIAKAQADNALATSNITSQKVSFLSTTINNNVVSTGTLEVGDVVGANAGITGVTDRGRQSVRVYAGSPYANKNTAPFTLQDDGLIKMHHPNGNKGFELGIVDGKLVFNVYDDVGNKIMEMGSAGIIFANYIPDSWSTFYLGKFNSSSYNPYNLNEVSSFANGNTKQEMINSPGNIDDPEHWIVTIPKSDSEWVNYSQYSAGTSYDSNTYKKYEGIYYRGTLQKPQKPNDYTEKLADGWYYYTVSTHVWKQRGNPNMNGRYEYAFTLFRLSQGQLVETLNYELSGIV", "MGVIDYSLNGKQFRQNKIFISGGFRTLFSELKLRETKGYIWKNINGIKTDPSEKPVFEPREITLSGWVEGDSWEQMKENFNTVMIDFTKAGTQRLICDAYGKKSIVCDVKLKDGFPLLEGKTKDGKNIGLFTLKLVEENPIKKILYTESSNLQLSFLSPKMVTVNIDGKAQQAKGNIIINKTLPKRVVSGGLKNLLLESNVQFSVPPGNYRIGGYFTSKELEIGKTYTLMYKSGNGSYGEVGAWVNARQMIGVNEIDSGVKTLTFVAEDIAAPRNVIDFFSLPDTNKWGSIHWAVLVEGDNMPFKSWVPAPEDQHYISIAGNIDEITNLNTNAAVLWEILL", "MKDIIESAQKKGICQEFLDEMKKAKTIKPFVRMFFDHDDWSGEHDFPELDIARKYRAKAINYGIFVDIEENIDFLNVGEIALLGKSKGKILSFGHDVTKVIVRHDSEIQIKAVGNSIVYVNLIDNAKVDAIAEDNAQIFIYNYGPNTHYKLSGRATEVKKTWG", "LKKALKDGEGNILGFTDVVEKSGKTIDDVFDATKENISIQKEVIAELESQYKALQKQIENMAPGKAKLAVMGEAAGIAKDIEAEKKALTELEERVKSNAQEHESLRSKLTKAKNEMAELIDKGQKNSAQYEELKRKAKEYQNALKEVEDEMNAIAGSRALDVLIGTMGIASGVLSTGAGAMALFGAESENLEKIMVKLQAVMAVAIGVQQIANTLNKEGALIQGIVALQAMARSRAEALATKGTWSAVVAQKAYNLVASANPYVLLAVAITTLVGALYLMSKASDRAKTDQEELNKAMQDSISDAAKELTQLELLYRTAINDKLSREQRLAATNDLIAAYPGLFSNIEQEIIMNGKAEAAYLAVKAAILEKAKAQAAEKVLGDRFDAHVKKQEEARQKLNEAWERREQLKGKNPNEITVVRSGGNKLNQIIKGGRAGDLFKENTKEIQGYIKDVKDQETEFNKANKAIIDIATESKAAYFKAVTPPAPGKGTRPWYEQQITDLEKARDKMVVGSKEYIKKTAEIKALRDILNPPKPKKDRKPRERQIAEIFPEGTIPDLKRKADLYQEAIDKVVDGKVKLQKLDQFGQSKDKKGNPYYTGEVVSLEEAMKRRDAVIEQKNALEREVEYKNIMDRVSTNSKLWEQYYDAINKIGIDKAKELYKGLLDQDKTYYDYLKKTQDNLLKIPVEKLSPEQKDALQTVTNAMDTMTGKIQPVEKFNNELEQTLSTFTTTAEKIQYLQKIVDENNNSEGYSNGKYSSALARLNDEKRNLTTAYNDMYAQFKQDYQNFEVQKTQIAERWARIRSTIESRFNNGEIDNAEKLRQLNNAGQAEAEEYSKGFMDKLSNNPNYQKAFANIGTLSIKDLKNVRNKLQQELELLRKSGKGTPEAIEAIKRKIQEFDYITGNKNPFEIFKDAIKALGDESVSTEDKLWKLGEAGAALSGFSNLFKSTINDIKGAAEDLGFSLDNEFGDILDKMQNMMEGFDQIGQGMQQFATGGPVGMVTGSIKMIGGLIKSISGWFNNDKKKERQIKAWANEVNNLKNAYADLEQQIKKALGEDVYKKQQEEITNLRRQQQLIQQMSAKEADKKKKDQGKIDDYNRQIQDINRQIEDIQNSITERVLQTTAKDAAKQLGDILVDNFGRAEDAAKSLEDYTNTIFKNIVKNALSMRLEEKMQPVLDDMLKAVGFDKEGKGTFKPLSKEQYDEFKKKIADVAKYGQDVAGMFSDMFDNITIQDPKGLEGAVKTISSQEAGELVAQFNASRIIHGKQLEVMLQNQPTFKDMLAQLVAIEFNTRRLHKMADDIADLNRKITKGSDLFMSGL", "MSEELIDNSIHPEDSSHSAMSRREITKLINNGFPITLSETYVERKKGLLHLFSKPEKKTREVTYIIKELTFNIIDLIALESQGLNLKNYEGKEGLAFNNSISRNDIKIMSKVIALAIMGTDYEYKVITGKREKYIRDEEGLKKIQNHLSQNLHPSDLFGILNLIDIHSNLGDFTNSIGLITGAAKRASLIEEKQPD", "MATLTKPLFTSGLVQINFKGAKIGMVYKDSAKITQDAPDTTEHFEEGQPFPAISEDEIKAPKVEFSIMNPDSQFLHTYLGGKYDSATKTWGFGRTTTILDPGELEIIPKKGFKKIVAAKAKLTATVDFDLSAKGLLLVKFIVTALLPDDETREPFETVENIPTP", "MNSQQLNSVTYKILNKSSELVTTLGGSIYKGNFRPTDSLKDDICVNVLALTDRSPQIGIANINIYVADQKQTIYGKENNVPNYSRLSQLSDLVEKALNDGLTDPEFENIGFSILENRDFQNEGNTRPEHYQNIRVQYIIT", "MILHPYFLYYQKPGAEASQDSEGNFTEAQPLQWFFLSKCRNSISKNGRKGNFRSLVDGQTYEYSYTIYAPKNETILPEGTQVLVYQEEIKDTSIINEQFIQEGIKTGKVRVYMPIVGFESGQHNTRIWI", "MTNKEYLTGLVSKFGVSELDIDMILTSQGLNGDSEADVKAVEEAAYNEFKQLIPIQEVAEGDLSIKWNMTGLKLWYSLLAKKLDKPDLLAELNAPDNEVNDASFYA", "MINQTLMQGLKEKDMQGVINSYALKPFYFPTLFPLKENMTLSWKTLEATVGLKIAGDIVSRGSTIPRKVREAIGKIGGTIPKIAAAREMDENELNEYEIALALAGGNPDLKTIVEFWANDMSFCWTAVASRVEWMALRQLSTGKIKVDQEDNQGTVTEFDVDYQIPDKQKQGVQKKWSAADAKPVSDLVKIRKSFKGTSIIPNVAFMNANTFSTFVENEEVIKKCASFAQNALGLSNTPDLAMVNNMLARTPFLQGMSIAVIDQDITVEINGERKTGNPFLDDVVTLTESSVLGNTFWKKPIDMNLTGSAALKVMNGPIMIKKFSTEEPVAEVTQGIANIFPAWNGANRSVLLDTENTTFTK", "MYIERRQEEGPQKIAILHKVADIPGGVTVKTTGLTASILPEATPLVPGQNGIWNPVATAVVVETAAADATTYVVKKGHLFIVGSKINKSGNTNVTITAIDSSDPVKDTITVDATLAAKAVGAILTEGGLGKPVAITGESQNIRKGENLFSSAWVIAVVNSAIQPEPGSKPDGVYYVKN", "MKQKLLELLNAKYLGKGVRKDGIEQLANSLCMTVSTDEEAQALVDKLTDEQVTEFVKEWRKTVDSEVTKGVDTYKSKNPAPAGSGDPNPAPNPEVKPDVTNPQPQDIATIIKEAVSAAVEPFKTRLDTMDQNTIVSNRLKLFNEKIEKAPDYFKEKALRDYNRMAFKDDEDFDAFIKETETDLSKVNQEIADQTNGGFRRPFINTGNSNTQKEEPSAAVKEYVAEQAAASKADNPLGGKTI", "MVILNTKKEKYYYNTIMKLKKLLEFSIKSIIIILFIFTFVVYKTETMKLKINQIKYNYLKKNEKKNYPKNKQLAEKLKSKK", "MNYTLKIYKFLSGLYEFYNDQLFESQLPECVITLNKSGNASGIFFRNNWKSKEGNNLHEIAINPESNFYSVEFHQALVHEMCHLWQNEFGTKRSREGYHNKEFMQIMISIGLMPSDTGKPGGKMIGQHLSDFPIKKGKFITVFNEFKRRNIELDILVCDNVSHEVVAPKSQNSGKRLKYTCNCGTNIWGKPELKNIYCQQCKTNFLPNVAEQG", "MWLNKDNIFRGHNWGKKGDKIKIISISGNAVIFENVKGDRLPCNINDISETEIKPDPIFKSKNKK", "MTYLKLKLNQIRFLKAKIKSRTTHFVAQNIKHHYYETTGTIKGPRTQKRALF", "MKLLEQLKDLEHRKGLYSKEEYETKFKEITNAATDEEILQAAKEAKETLGRGYNIDFFRRKIYSAVIIRYGHKPLKGSYMPGKTRSLLKKLDLYIPKYDFEKTDNKVLTKRLEEIIFSHVGLEGILKHYGAYEDIKKCMDQYAREVAQASLEKAAEVVSRNYNDSYECKQDILNPNNITLL", "MMETPKQQAIKAAYGEHWERVKDYVDEDGWCNAFFGIAARDFDDTESKREVWRPKSLSGIETNQGWTRIESEEDMPKPKGVEDVLVITETGEITVENSMSLNDIEVRRYWLRTISHWQPFIKPNLPLY", "MKVKYIEIIDLVLSNPANQLSKSSRDMLETMKNGLNIEKINHLQEMTSMLEECLGKLPINTDSQVTFYKKVEQLILKIKG", "MNLVDCYVTEVIRLNHNTALDKWVVEVKYDCYGRIDTIMLLFNTEEEAKSVKIGYKFLA", "MKYFKVTFVPIEYGEHALTVAVNFNTEQSLKNHYKPIASSVIDYEEITESEFEEWHKTEATKKKYQKLLNINL", "MKTKYVKVPVSDRLPEKGINSILLWNENPELVAKGYVSKFGNLIIHGNVDYEGKPDLYLEEVPDHSEEMLSLLEKSRKIIQSLKLSMLVHPDCEEGSEFDDYTTSAQETENQIEELINKVKDNGTK", "MELSNFKIIKNRQRPDSCYAYEMYNDDKTAKYSIFTMDGGESFLASVITANLNGKLVDTDFQKEVYTPEEGLTEIKNYLDNGK", "MTTFVTDQPTTYEWGAIIPQYRLYQNILKYAQFLKQSLALWMFVPVDENRNILKEPNVNEYMDSDNEPNNTYFWEAEEYRKAKDKVLFEGFEFESKVEVINKKLKLTIFLSTFQFMNCDENGLGGGDLFGQNIDALAYADLNLTLTETAIKQIYGS", "MEAKDKAIDLKVKFMEMIPNDIIRDDKVAAELARVNAMVCVVNLIETSDWLIDSINGEKCLNYWQEVKQELENLK", "MTELELYKYINDNNIDYRWQLNENEQEDVIIFPYTFQIDDFYKLIKSATDFEHGVEMKLMDGYFSVYMSDICDYVGIDLERVFEK", "MEGKAKEALSKFQANKSDLDIMINNNKMLLNSLTIEWLDTVKLKVYAVPVKNGWFSCVRNGEKELTHGLYTDRQSATEAAIKKAVEIYNARFS", "MKEIKHVAQNDHIDAFRDALETNSVGIVISSKYNIKYIPGTYSSSLIFTPKKDTEVNPIDFLLLGFFVGRDYTD", "MDLKELRYGVSQLIDNRFGVVITIDDRYICSFHENPEQFKKDFSPIPITEEWLFKLGFENCEINIDDLELSILVKTKCLIITSNDEPYGISVDIDFIHQLQNIIYDLTKKELTIK", "MELEETICEIFKAKKIKTGGNCGTYAAAMCYDLDISFQDVKPILEKLEAEGKIFYRIGVAGRLIFWK", "MPDKRLSRKDMQRNAAREALFQKLQKLLELNFEFMVNLPGVFQALKSGNDNYSLLLDKAALKRLNSYLSANRNQFVTTLLNGIQEEWDFAQGRFWGGMRTKYGKTLDQVKAFEAIKTEAETNSRIKVNSARKFFNEQKGGLTISNRIWLAYDQIPKEMDVMVQNAIKSGQSHDDLARNLQKYLRDPDKLFRKVKNKETGKLEWSKAAKDYHPGQGVYRSSFKNADRLARTEINRAYRYSEWLGYQNNDLIYGFEIRLSNNTENQCETCKKLAGIYPKWFMWTGWHPQCRCSMVPIPMPQEDWKRKMQYRAAGKIKEFKPNFIENLPDNFVNYMVENSERILNAKTLPYWINDNEERLAEYL", "MGFESNFDMNDIIKNHEEFLNNVIESMKEAMIFALIEVVNLAKSTNTYTDRTNNLRSSIGGVVYHGGQMVHSHFETSGKGKKGNGKEGASKGLELAKEKASEVEVDGFVCVVVAGEHYARYVENKGFDVVTGSFLQFGDILEEKLKTVEEVFGIKFNK", "MRRILTTEIDKEKCNAAALGLFNASVSADEGNKRGILKYGEVGFAVYNNNEQVTKALFGDLETKNGYQKAKKTVEFYKKFKIGGESAKYRIAKAKSRYGKEE", "MNPDELKELEAALGSSSSEEIDKIVTKFKDKRPTPEPEVEEIKKQLDPEQHDVFDEQKRPKKKIKADEGEDANAGTKTVTKDGKRSTIRLKFEEVARVGLSYQSKIINTAAAFAFGTPVKYTSDTKDPSELAVLEALKRVIHDNKMQYFDQDIAQELFGFTEVAELWYPMDSGTEHQNYGFPTKIKLKVSAFKPSKGDKLYPYFDATGDMIAFGRTFVVKEEGKDVDYFEVYTPGTVHQFKKTDGWAPVEGYPVKVSIDKIQIVYGNQEKPEYYPVQNIIKDDENLRSNFSDTNAYHADPTTVVKGKINGFSKKGQSGRVLEIGTDADVSLLESKNAAEGIKTQHLMNREDIFSLTQTPDVSFNSMKSIGQLGAAAQKLLFMDAHLKVKSKEIFLGPYLQRRINIIKAFIGDLNQQLKVASETVMISPEITPFIMGDDKETVDIVATAINGGFMSKKTGVQQLGWAPDVEAELAQIATEEQAANTLNMFPPAQ", "MSESLEIRDIDATKTWVLKSTLNFTRYFFKENYGKKFIVGDHHRKICQALDDVLKGKIKRLIINVAPRYGKTELAVKSFAACGFAINPASKFIHLSYSDDLARDNSREVQNIVTNEAFQALFDVELTSDSTKKWHTTAGGGFYAVSSGGQVTGFGAGTVDSENNYKSNEYDYTDFTNEEVELIDEMLPFGDSEFAGAIIIDDPIKPDDALSDQKREAVNNKFDTTIRNRVNSRNTPIIIIMQRLHMNDLCGYLQKLEGVIGEDDDGEWTVIEFPCLYNDENGNEKALWEHKHTVPELKQMRLKNAFVFETQYQMNPKPKEGLMYDRPFRTYTPGVIPYSAKMYRKNYTDVADRGKDYLCSICYTETETACYVEDILYTQKSQEYTEPKQAEQLARHRTEKANIESNNGGRSYARNVEAQTRILGNKITEFNPFHQAENKAVRIFSRANEVLNLVYMPEGWEKMWPEFYNHVTTYSKVGTNEFDDAEDCLTGIAEYFGEDDGDSAEGYF", "MAGGNRKINEHPNAGKGGFAQNPQNINRTGANRKSFAAVNDALKKKGIQALTKKELIEFYTLIFNATEDELKRLVADKKQPLALRYIIAELNDKTTRSKAIADLRNYMFGQAQQEINHTVKARVLTPEEVKQHFKDLEDNY", "MEEKRVFGFSELKYNTESDCKDVEITHSMAFQCELIPYSDNNHLVNMFGGTPAKKESFLSKYKKGKRPQGKHRSKLISYINSKVIQSNPLVLGFNEYEALQFKRSKL", "MNNKIPIKRDLKLNEIKNRICKSMGYESFNELLKDNCLNNSAFVARTLEQIMNQIIFEYHDKASSNEVKTELKSAKIFAADCFDASMVLKNHYKEQFNIIPVGKIEVNENSLSSGPITLQLEIDTSSIEDFLKGVSFGIKLKK", "MITPLTNSFLTNPVPLQLSLHLCSHSCMYCFSILNNPKRKADIKKILSVLKNHKTRNDITCFFLREKYPVLISNNVDPFSKNNHELTNQILDVLIDLNIPVQINTRGGYGWQEASEKLKPSLWYVSVPYSDDEVRKLYEPAAPSLDERFDMVKEIMKKHKVMIGINPFDVQFSENHKKIIDQYSEIGIKYFWVNAFHLNYKQQANLTDRQKEILGEDLLTRGAKKEFPTETIELYLAIKAYAEEKGCTIVGTPSGHYEPYFEDLYSVYPKTMPTQNDFFKWCEENKKEGDFISFSEFYDFFAPLLPVIEGNISSYIYNKSNLDDKTYNKKMRLTNVLHPYWDSKAGLNLAKYYPVFSWVKKQTERKLDWVKDPDGDRMLMYHPNNYNTKEYLILE", "MRKILTTEIQKEKCNAAALGLFNSAMSSGAPENKTAQEENSNNNEEEKSKRLEILEASLAKKEAELQRRFDNHFGTWKQTNGQPMNDKRNGGAFFRKVEKQNDAIRNMQASIQKTKDAIDREKSTTAYVKGVKSGLPKSISSLIDKKGLTQWKKYPNTFFVPGVEKARIIWNDKKNRVEHKYTNTIQDPEQQKKFAQMFNSLHAEFNKK", "MENNKKISKKLKFGKNPRIITKQKFELLESHLEELGDLSGVVFCHNHKAYVGGNQRSEIFDGAKIEITQKFDPPTDKKTIAIGFILFKGEKFAYREVVFTDEMFDKACIVANNSGGTNDWNSLFNEWNIDELKDWGVDIPITDLDEDAEPEEKLPKPDEDDMIAVTLNEEEKEVWLQAKEHIGIKNDKKAIFRLIEFMYQCENEE", "MNNPIPIKYRIQYCEQCDSTFTAKSIRKYCCQNCYYKSKELIVFCKLCGDRIINKHSVSIHNRLFCSKKCQNKSRQGVKLSDEWKKKLSEGRKNSEKCKGPNLYNWKGGKKTLLFRMKLHRIKRQRSLKIDIDKKFLFALLIAQKNRCFYCEKEFKKDRSIDHLTPVKKGGDNQIYNLVWACRSCNSKKHTTPYEEFMIKIQKPIDKWEFVFTTALVLREKIKFKNGE", "MNHQESKIQIACVRWFAYQYPALFPLFFAVPNGGKRLLTEAKIMKAEGVKSGVADLLLLYPNKDYSFLAIEMKTEKGKQNDNQKKWQADIEKTGFGKYVICRSTEQFINEVTSYLKSSQKF", "MKLKLVSRKVDRDILAFETAFGITREMILGKCRSQQIFFSRIIIANEIRNTKTEGSKKERVIHAAKILNKDPSALYYYQKQYESELLYNPKFREFHQKFKSEQKTIKKSWRQSQTTKHALKLRQKLKTILHNLEF", "MKNNSLGELLEPSGKQVPKNIEFEKLVIGSIIIDSNAINIVKKRFGDKPEIFFDSKHIEIYNAVLVLLEDDRPVDMITIIDQLKKTSKLDLVGGGNYIIELSTSISSTANLEFHCMSVLQAYISRQLINVCSETISKLYRPESDTFKDFDFLVDKMNKIEELVASQEDEKSSAELHFELIEQQKQKVIPGVASKFPAIQSKTNGWRNGTFNILAARPGMGKTAFALDDAFAAARRGEPVAFVSLEMGALELHQRMVSNELEIPYDALDKRNLNENQISMMYQTKTFDKLPFYIVDNTSDMNKIFAKIRLLKKEKGIKLVVIDYLQLIDISIKGANREQQISTISRKCKRLARELDIPIIALSQLSRAVEQRPGKRPQNSDLRESGALEQDADTVNFLFRPEYYKIDTWDREWDGQTELPTKGEVEFIRSKFRGGAPFEERLKFRGDYQKFVNIGTDFGTYSNPVPFGDAASAFGTNNDDDLEF", "MKDPAFLFYTGDFISGTQEMSCSEVGAYLRLLMYQHQHGQIPNNKSRMMRICGIFIENEFDEIWDIVGGKFNQTDNHLVNKRMTIEATKRKEHRPKKIASATLAGLISSTKNLTQEQRFTIKKAFKIDDYFDTPIEEINIKVKEWFNIELTKLVNHMVNNLENRNEDENRNENIISNNINKGGYKNIFDKQTSVKILKDRPISMDRWIKSSKLNKDQIIDKIDEFAEKKIDWEENDWKTEGDLVKNFEFWLAKNSHSVVNNFKNWTSEEFKNEVGKFQNTFSKKMLTDFLRYYRQQTESGKMRFQELKAWNTEDQLKIWKANEK", "MNNTRDLAYHNILEKLPTKRKQVLSALMEIQPACSFDIATYLGVPPNEVTGRLNELKIYGFIKILAVSEGAKGHLREFYTVVESPAEIKNHQDQILTAKEAEIRQLNDAIDIVPNQLAKRVLINEKNKAAKVLTMVQKAAV", "MNTKPNLYDYILSMFVAQDDYRPEMMKPFISDKHYCATNGHILCAVKKKNTGLKYSKDKNAPNAFKLIEDFVYDREVVVNRDEIISEYFNSEHQWRTEKLPCEKCKGDGYESCKCCGNESKCKECEGTGSSDEDVPFSKITLEGEDIKFLDRKLTPSLFHRIIMTAFILESKEFHVKYLECNPTNAIYFKIKECEMLLMPRI", "MKLMVLGSNSAGNCYIIQDENEALILEAGIKFSEVLKGLNFNISKVAACIITHEHGDHFNYVNDFIGRNIPTYASKGTWEAKNIKSDNILEAGKIIQLGNFRVLPFKVKHDCAEPIGFFINHPKIGNLVFATDTYYLPNRFANVNHWLIECNYRKDILDYKTPEGFNKVLRDRTLQSHMSYDTCISALKANDLTMCKNIVLIHLSDRNSNAKEFREGVVRNFGKPTYIAQKGLEINLTEIPF", "MNVLFNWNQLIKILRFNRRFFPNKEENANLLRAYQSFTATVNKQIENTSDLRGNKIQALNKQIKTDLPESFVISIPIFKNSVPVSFPVEICIEETDAGVRFWFESIELSELLELRVDEIFREQLEYFEALGIPVIQK", "MNNELQAVRQQNFTLNFFDRDQMEAIQQGAKMLAHSDLVPDIYKVTEKNPLAKAMANCIIALEMSQRIGASPLMIMQNMIVIYGKPSWSSTFLIATVNTCGRFDPLKYRFTNKGKLGKVDYTDYEWNGSKKVAVTKVFDGTKIDNIECVAWTKAKGTEDILESTPIDLKLAIQEGWYTKAGSKWQTMEKQMLTYRAAAFWIRAYAPEISMGMQTAEEVQDVQTYDADFVVVDQKISEEIKHSANVYEIKMPEVNKQDPASDLNSEPAPKIPTASPEIAFPEEKKKNEPNF", "MKNIFLKQLSLYHFKGVTKKVIDFTNQVTDICGPNGSGKTTIFDAFTWLMFGKDSHDRKDFEIKTLNPDGTNLNKVEHTVEGILSIDGEDLLLKKIYKEKWVKKQGELEPTLHGHEVLCYINDVPKKVTDYTKEINELLDESLFKLITNPKYFSSLPWKSQRDILFTISGTISDAEIAAGNKVFQELLDKIGGKSLSDYKIQKAAEKKKLKTDLDVIPTRIDEVEKGKPETVDFSETEMILASKKEELQKIEDEILNINQGYDKQFADFSSAQNEINKLISKQNEIVFAEKQRLNQGNFDLRNNKLELQGKLTALDKQLFIKKSELEQNDKSIETVNKNIVSLREKWTKENEKEYVATDSGLLCPVYNIICGDTKANELHVQNQNKALETFNTYKIEALNKINEEGQYYKSQIAPLENYKIELENSIQEIETQITSVKREINDLPDETVIEVIPEQLSEWVDIEKEIQQKKEALTSVERPSTSEQVSKRAELKSEIEKYQNILSRKDQIERADKRKAELEADGRKLSQLIADIEKDEYVAQNFEFAKIEECEKRINSRFEYVQFKLFDTQINGSVIETCEATVDGVPYADVNTASQINAGLDIINVLSSFHAVAAPIFVDNRESVVEIRMTNSQIINLRVTFDKELSVK", "MYADILEKPLGEVTVNDFFSLFFSSKNIIGQREQEIQKEEEPEEPSWDKFDYGIDGLARILGCGKTKAQEIKNTGLLDKAITKAGKRLMIHKEKALKLYQDNIHKLQ", "MRLLAILFGIEILFIDHNTQTYKYWFNNKLY", "MKLKKILNVILVLEIIWVLAISETSDLRLLMWTIAMMGFTLLARVNHKQVYHFFQEKEKI", "MNLEAKLSKREAEVAEILAFTMDRSAAADKLCISEGTLSAHSYRIYEKLQINTKAELVIWWFMKKLGVKKEQIPYFKLVPVLIVCFGILSEKEMICRRRLRADRMARVEIKITA", "MKTNVVMQSTDRNLFGTIIRQNTKDGQSFSVSDLQKAYNHARFQYGWSDRRIERVMVTKDFQERVYHILNERGIIKTQICVFMEMIEKEGVVKVLKGLKVWKTGGRGENKSTFCDPYIWVLLAMELNPLIYAKVVIWLTDSLIFNRVLAGSEFAPMNRAIARIVSTPDFPRYAKEINLKVFGRHERGIRDTATQDELRLISDIEKFIIQSIDMGMLKNETHLYKAIELYRIKRAA", "MRNYIAADLLKVKNGDNGGSQIAELYNFLSTIINSNPDKYKIL", "MENKVKPEVYTIEATLKYLGISRTTFERYAKQHLTQLELDKGRRFWLCDEVHEMKIQMKKVKSEKYNVIA", "MENAKKEVITIKGMSVKFGVSIMTIYRKYLPNLEPLFKEKTVIYYDWQKAKELHESFNSKLKNFKVIA", "MSVKERLRAYIKFKGIKINSFEKTIGASNAYVNNIRLSIAPEKLEQITKNYPDLNIEWLLTGNGEMISMEGKELDSNFPPPSRRKDDTVTQVDSDEYMEVEYRDLSVAAGPLNRTSSGYKKKTLLVPKEYDNGEYLVVRVDGPSMYDGTEYSIPDGANILIKRYYLNNGDKLPIRGNLFVVDAKDGQALKQIVEHNTDLGYVRCHSYNPDFEDYNIPLEDIIGFYIFRKIVGLRPPVRDLK", "MKKIIVLFFISIFSLFFGQKAKTIVKKNTAKINCFLPNGEKCPQEKLGQNMYETNINFEPKRRTWFSYPKEGRYKNVFVVFKSLTKDPLYVHPYKGEEYTPEEIKEIINETDYSYYFGDYYKGYPPSGLYMDIEKFINEKTLIDSFVLDTLGSPSDYGESYTKGRSTKYYYYSQYKVKIWFVNGLAVGYDRTK", "MKKIITLLAFSIGILGFSQEFKEVGDDYTFTQIIDIPGKNKIDIYKGIKLFLNDSSKRAKNFIDTDDSNVGIISYNEKTPYFPISEFFSISGSYKVTIDIKDNKFRYSVNNFKILQNIVGSDISLTYPSFIAIKDSENKKLELEQKLSKETKPKKQQSIKEELSKIEIEKRMSEIALNKIKDIIKDNPSQYTNSINNSSSDW", "MKAPTKDINNRFFQAIEFLIFTKKISGLGPFCEEYGFNRVRYINVRSGYKPEKGYAYKSLDIEAFYVLAKYFNISLEWLLFGIGNMIKNISKKIKEAEEDVEIQN", "MLKYKINFYLHNKSLSRPGYYSVRALVSYAGKRPPLHTGIYVNEEYWNKETQRSSEKFSTENSELNEVESIIDNIFKDYDYHEQRFPSPKELKDTFNNRYKRKLPTEVIKEVHLVQKYYEKFIEEVSIKDQWSEGTVRKHNKIRNHFRMFNPHLDLLTLTEDDLLGIIRYFQTKPKIETKKGEIKIQEPHKNTTVNKNIKDFKAFLRWAKKKKYYPGDLHETFSPKFKGIDSDLNDVIYFSIDKLLKFYNYKFNEDQKNLEHVRDVIAFCCFSSLRFSDVEKLKKTDIKEESFKTVTAKTINRLNINLNDYSKALLEKYKDQQTPKGLALPVSSMQNTNDLLKTIGQLLEFNELITTTYYIGNKRYEEINPFWSHMSTHIGRRTFVVVSIYLGIPETVIMKFTGHKDYETMKPYIAVIDEQKSREMSKFNFINKEYFE", "MKILKDEIGAIYILQDKKPYFLVELINVKYFEIKFMHKEVFEFYNSSEKKSEQIEKFIKEKLEF", "MKTFIIKYIFLLSFFIVTLLSGQSISIEYILNYKPSLESNKKVQQIYYLDLLNNESVFRTKKMRQSDSLKKVTGYGLGNSTIFNDHLYIKKELKNNSVYKFITMPTSMDKFNIKIKEPLNWQLTSDTKTINNLKCQKAEVEYAGRQWIAWFTDEINVSDGPYIFKGLPGLIVQISDKDENYVFKLSKINKNTNTSLFIANAGKEISWDDFRKIQLDYYNDPFTYIKSQNIKAVTDDGMGGLKKIDFREMTLSHREKMRENDNQVEKDRIIKYPK", "MKNLKKLSRNNLKAVHGGDEEKVPALDMCTPG", "MKKTILILGIFICANLSAQKVKVLNFATFHMVYTPDKHKVKFDQNDEKSKSETYEIAKTLAQFKPTIICVEIVPERNEELNNDYSNFLKNKDYKTKIGGEVALIAYEVGKMSGVKKIYGIDEQATAPYNYNIGNELENQVDSLTSKNYTNSVYKEFSEIGKLSTLDKLKTFNSKEALEKFININADILTYISTKGNFEGADEASKFYRRNLRIFSNLNQIPVTKDDRIFIIMGATHTAFLNEFMKRSPKYELVNAADYMK", "MKKRILLNLFIIAVVVSAIAGIKTVNMGSYRNGGQSCFTINKYEAVGFENSFELFEKIIRQYIVRWTEC", "MLHFCVVLYKFENQTSEMDFNPLFSTKNRIDNLSYKDLLQTSDYIEIVKAFSRITYQSIYIIDYQSKAFEFVSDNPLFLAGLTPEQVLSMGYDFYQRFVPAEDLQMLIDINNSGFEFYEKIPLEERKQYVISYDFHIQNASGKFILINHKLTPVFLTEEGKIWKSMCVVSLSTNTSSGNVTIGKLNSDLLWEMDLMTNKWSERKKTNLTERETEILRFYNQGLSINEIAEKIFISVDTVKFHRRKLFDKLEVNNINEALAYVIHHKLL", "MSVEKQSDFMGINLPFYPLFLMKDFKNEKKHDIC", "MKSELRNINRGEIVYHKKMTTTSWHLKIRTIFPMELDIDKNPGYVIPVMIGYDIQGIPVLRRFMLWSYDAMRNIADITIHPSPDKELYNWLGDLQNGKIIKWMNPEEMVLQESNADCYYLIGNADAQSFFYQFNRNLPFSSMVNSFIYSRHTGEFFPDVDGSYPFNYHIIYPYSPERVLDLFKNDFIRTNKDFKIILAGNDEVNKLFTNFFKKEWETREDQVRIVNFNNPY", "MAEKGFRKWIITFTMVLSCMLEFLDTTIVNVAIPHIQGNMGAILEDVAWVSTGYAVANVIILPMSGWLGSRFGRKNYFLFSIILFTIASMLCGNSHTLTELVVFRILQGLAGGGLISNAQAILLETWPPEERGTATAIFGFGAVVGPTVAPALGGYLTDHLSWPWVFYINLPLGILAAILTYQYVRSTPKESTGKPVDWWGILLLTVAVGSLQTVLEKGEEKDWFATKYIIVLTVTAVIGILLFIWRETTTDHPVVNLKLFRYRSFSAGMVTSFLFGIGLYGSVFVFPQFCQSLLGFSAEQTGFLLLPGQIFTIALMPTVGKLLKKGVPPQLFVIAGFICFFIFPMIMSKATLSSGNTDFYFPLYFRGIGIGLLFVPLITLAIKDLKGPEIGQGAGLYNMMRQLGGSFGIAGLATAIHIGKGKHRNYLLENVNEYSSAYWERIQDYIAGFMGEGFSHSDASEMALRAVEGTINKQSMLMGFTDAYQYLGIAMLCCIPFVLLQGFKKKKTEIPADAH", "MNIETTQTKQKKYTVPAILLVVIIAGSIFGIKQYTYYQSHEDTDDAQVDGDLSAVVARVGGYINTINFEDNQRVTKGQTLVTLEDQEYRIKLEQALAAQKTAGAKIGVSETQVSATHAASLGYKARIEEAKARLWQANQDYDRYAALARSGSVPQQTFDKAKAERDIAKAAYISAEEQYKTAVAQTGNTRSELNVTHTATNQQQTDVDYAKLLLSYTNIKAPVSGIVTKRRVQMGQLLQAGQTLFAVVDEKNLYVTANFKETQMQHIRPGQKAKIIVDAYPDEPIDGVVHNYAGTTGAKMSLLPPDNATGNFVKVVQRIPVKIKINASAQLLKKIRPGMNVEVSVVTK", "MKTLKIPLKALKASLLMCAGGSMMMVSVQAQSNILTLNQTIEMALSNSNTLKLKRSAIDLAINKYNQAKDLSLPTGSISGSFSHAEIPANHIRLGSLDWTLPDRAESYNGNIALSETVFNGFKLKYARASTLLLTEMARTNVALSEDEVIYTAVQMYFDLYKVTQSQKIVQQNMAAIEKLIQQADQFYRHGVVTKNDVLRFRLQKSAIEITASDLEANRKIICYNIAVLLGLPDETDLKSGQVLLKEEAPAPLNQYVNMAFSERKELKQNDLQYKVEEFSFKTIQADELPKLSLNAGLKYLHAGSTFIPANGNFIAPISAGATLSWDFSSLWMNKNKRSEIKIRQQQTSIEKNIWTDKIRTEVNQAYQLYQRALNKVALLHSSIDEATENDRMQEDRYKNNVTTVTDRIDADAKLYQALTDLEIARADASVAWYNLLKVSGKISTINQ", "MKSIIIALDESIYSPMIARHGFELATSFNSRITILLIRNNEAVVNASLANASIENNSSEVQLIEKIQELNKIFETVECHIIIPEGDPVEEIIRFVTKNTADLLIVGTHGRTGLDHWINGSVAEQVIRHTTIPVLVMPYNHQAH", "MNELLISYIKSKISVTEEELDTILSYFKCIKLKKNELLLAEGQVSQRSFFVTKGCLRIFFINEEGQEATRYFAFENQFASALVSFITAEKSKEFIQAVEPTEVFYISHKDFYHLLEIIPQWEKFYRIYLEIAYITNTRRLMSFLIQDALEKYRQLLAENPIVVRRLSNKMVASYLNISQETLSRLKSKL", "MMEQKITKKIRSVFTVKHKEYITPHLIRVVFNMDDEQTELLAGVRPGSNNKIFIPVGNDGQETIVRTYTNRKIDLEKKELSVDFVAHGDNGPASAWALKAKAGDHLGIGMKESLRPLVPESDCYLLAGDATALPVISAILEQLPSEAKAKVFLEVPTKEDELMLCSAASVETEWLYNPAPEEGSDLAKQVRAFEFPDDDLKKYVYIAAEYATVKDLRSYYRTDKGWNPREMYACSYWKAGVSEDEASEDLKN", "MAELEKIIWISIILIVIISVKDRLKLALPILLVLAGLLLSLTQLIPSIDMSPEMIFYVVLPPILFDAAWNTSIPDFKKELPKISLLAVGLVFITTTVIAVVAHSIIPGFTWPLAFILGAIISPPDAVAATSITRDLPLPKKLITILEGESLLNDASALIAYKCAVTAVLSGVFSFWNAGVQFVSISLGGIVIGLLIGFIFLKVHRFLNGNSNTETFAVVLLPFATYSLAEHLDSSGVLAVVALGMFLSWNSFSLFSSVSRIQMGHFWDVIIFILNGLVFLILGMQLPQIVADIPHSELPVLILYGLLMFGILILIRLLVTFTFPVFSGKKNGYKDVLLPRFRKEYIILSWSGMRGVVSLAAALALPLYTNNGVLIEQRSTILFVSFVVIIFTLLIQGLTLPKLIKLIKPIPEEKQYEKELNILLIEKSLSCLQTMRPQNEMSQDIIATMAEKLKKEELELFGNNAENTDIMVKKEWRETYFRIELELIDFQRKELVNCYRKGEYELDEIRKKEQELDFWTTTVYHEVESLKV", "MIKLIYIMDPLCGWCYGNSDNILELFEKYKNEIKFEILPGGMWVGENVRRQSPQMVSFFLRHDTAVEEHTGIDFGNAYRELLKQEIVLDSEIPSRAIVTIQNIAPELTVPFMVAVQKARYYFGKDLNLDETYLSIAEDLGIDEQTFLDYFHSDKAKKETQNTFQKAAQFAQSFPTMLVENNGEYTVIEQGYASISDLEKRIEALKN", "MTNLEIVKSTYEGKTSEENGRALAAHVAENVQWTEAKGFPYAGTYTGLEEITKNVFSRLGSEWIDYKFTPEDYMASDNKVVAFGTYTGINKITNKAFAARVAHIWHLEHGKIVKFEQFVDSKTVTDAMQ", "MKTVFKTTLFTILTLFTMSGKAQNFKTIQAGKFNLDVFNASENSFGVASVIVSGKTDAVLIDAQFTLADAETVAQKIKTSGKNLKAIYISHNDPDFYFGLEVFKRHFPGVTAYATPKVVEAIAQTAQKKLDVWGGQLGAAVTSNVVLPQVLKGNSIELEGEKLEITGLEDFPTRTFVYIPSAKAVVGGINVFGNSFNLWMADAQTPEARSNWIKVLDKIIALKPSIVIPAHGKLTDATDLTSVKHTKDYILFYEEALKTNSTSEALIKAIKAKYPALTFETALQIGSKVNTGEMKW", "MIEALHLNISRNIASSEADIAKFCNLFTSKSIKKKEFLLREGEVCKFEAFVTKGLFKIYHIDIKGAEQILYFGMEDWWLTDIDSFTNQTSSRLFIEAIEDSEVLLISKTDKDFAYENYPWVEKLFRIMTQKTHTTLQRRMIDNLSKTADQRYLDFIEKYPSLNQRLTNLQIAAYLGISHEFLSKIRRKTVIRK", "MKKMTTRITIAAISLFILSCNKKKAEDSNVTQDSIKTEEKSTSPTTSSAFNIDAVPVSDKNIGDFPFFNMPEGLVSQNKPIEKKYDKVFFPIGGVMTPFEGKVWKANVVVKEGSGEQWALPYFEKSFDEAITAVGGVKIFDGQITNEEYEKYSKQATNLGEDGDVGYAGENIKVYVIRRKDQGNIFIQLTGNSASGKINILQEEGFKQTITLVKSDEIKKQLDEKGKAVLHINFDTDKATLKPDGSDAVKEIVKVLNTDKTLKIAINGYTDNSGNADHNLKLSDARAQSVKGEITKAGIAEDRLSAKGFGQQSPIADNNTEEGKAQNRRVELIKK", "MKKIILNFWLVNLLISILLFFLYKIVMMETKQTDATFLGNILYIIDILLNLGFSLIYLAVMVLGSLPFFLNLIEKIRNNSFLSFLAFSGIPLICVIYLMANVSIDLYQYKESLLIRLISFSIMYLLCTCVEFLMFRKKIKSLKYSHSVKM", "MNSHNERVYKMSFAGVYPHYIQKAEKKGRTKAEVDEIIFWLTGYDAKSLQQHIDLKTNFEDFFGHAPQINPDVSKITGVICGYRVEEIKDPLMQKVRYLDKLIDELAKGKSMEKILRE", "MLYLYILCLTGRQPNKYKWLLHFAPAILTYIYLISFFTLTPQEKIHVYEYGNTTYRFFRKIIMVIIILSGILYVVLSIMSVRQYKRQISDLYSNTEKINLNWSYYLITGIALIWIAVIIKNETLIFTLVSLFIIFTAYFGISRVGILEFTSKQSDAPEEKQAEQNDDIIAVKYQKNFAGEEVIQSIYQKLSFRMEHEKLYKDPELNLNQVAVLLNVHPNILSQTINSVENKNFYDYINQHRIEEFKRIVILPENQKFTILSLAFESGFNSKTSFNRNFKKYMDCSPREYIKSQNLTQEQS", "MRNFLFMIVCIFALLIGIYPLIYAFVDHKHTFLGSKTPEVLHNIIWKLAFYSHIIFGGLSLFIGWRQFGKKFRNKHIKLHRNIGKLYIVSVILSSVAGIYMGFYANGGIISATGFILLGLIWFGTTVMALSQIRKGNIKQHQQLMIYSYSCTFAAVTLRLWLPLLTIRTKDPAHSYLVVAWLSWVPNLIVAYFINRKTTISS", "MEKTINQIRSFNRFYTSHIGLLNQHFLESPYSLTEVRVLYEIGEHQSVTAQYLCDILYLDKGYMSRILNLFSKKEIISKIKSKDDGRAYHIVLTDTGKELLKELNNRSAGQILNFAQKLGSEEKNMLVNSMKTIQNLLSTNYDNHILAGEVTFREGLRPGDIGYLIYLHGILYSKESGYSLEFEGYVAKTFADFLGHYSPEHDRVWLAEYNQQIIGCIAIVHHGEKEGQLRWFLTHPVFRGTGIGKRLLKTAIDYCREKDYDNVFLLTTSIQQRAIGMYKKAGFIQTESVESDQWGKTLYEERFDLKLK", "MVYLYATDVVNYLGPWRPKKKNENNMLRLLFIIYNKSTKYYLNKGG", "MNNISNLINEKRSKKLHNNKLHPVCQLKEKIQLYFNDFSVFDDLNEIVSIKDNFDDLLIPLDHPARSTNDTYYADNDHVLRTQTSAHQNMLLKAGHKRFIASGDVYRKDTIDKTHYPVFHQMEGVKILPAGADALDDLKKTLEGLIQYLYPGKEYRFLDDYFPFTEPSLQIEVLQNKEWMEVLGAGVIHPQILKNCNIEGTGWAFGLGLDRLLLSYCNIPDIRYLWTDDLRFISQFENGLTEFQQYSKYPPVYKDISFWVNEYTENKEGNWNEYNNLCEVIREEGNDLVESIDLLDKFCKENKTSLAYRIMYRSNERTLLNDEINEKQERIRATLSENFDIILR", "MKKLRQLSRHDLKNVKGSAACSMWYNHTTSCGVSYGLCFDNYTSIDDMQKAVDDLDKIKC", "MKNLKKISRDELKSVLGGVGGYKPPYCKPGYLMVCESIGVCAEEYEQYDCICQCIPVTRP", "MKKLSKKDLKVIKGSGERGQCHTSNDCRSGGNYACCFGVCQKTTEMEYLPECTEF", "MQQTDKKKSKGTFKKYVLKVHLWLGLITGIIVLIVSLSGAFFVFNEDITAVMRKQHIFHGEKDIQHKKPIPIHDLKDIVNSQLKNEIVKAEEVTIPIDPARSYEFGLIKGNPDGWNYFNNILIYKNVYVNQYTGKVLAVYDIKKNPFYFCMELHRSLLLSNKIGGTIVGTSTIIFVIMLVTGIILWWPKNKKMRKQRLWFRWEKVKGWRRKNYDVHNILGFYASFLAVIVAITGIMYSFRVTQMWLYVLLNGFSSATPDYSQYKTTAPESVETVTTIDRIIEQVKTHYPKAYSFGLDLEDHAEADHKHDNLSVRIKEKEFTYGESSLMIFDEHSGKLLFNRPHKDKLMAQKATDATYDLHVGAFFGMPGKILAFIMSLFCASLPITGFMIWWGRRNKKKTTT", "MKKHYIWLPLMASLNAYGQTESQNDSLQTEKTAEISGVVVKGSSKAIFQQKADKMIFNVENSVLSDGTTVLELLGKTPGVVVSQEGELSLRGKKGVSVMINGKLSSLSTKELANLLRSTNSTLVKNIEIIANPSSKYDAAGNAGIINIVMKKSSLEGLSGNYYLNGGRGRKNRINTGLSLNYTHKKLSLHGDYSYTFRGEEERKHFNQVFFDEKNPQTVTRKTTQSSVTNEPLTSNNFKFGADYAFSDKTTIGVFFDAKIGRYEDFSRGENRIFQPVDNLFAHILSNNKSKENWYDYTYNLKGTHIFNDKNYKVDVDLEYETSRFTSRQNQISDVLVNQATEPFSNRMGNIASRLKVFNAKADFSLPFSEMHNLETGLKSTIKSNNNPSQYFIQQGQDWINDDKASNEYAYKEQIHALYADYKVSLTKWTFQAGLRMETTHTDINQKTSQERRKRDYTNLFPSASVRYQLNDKHEFYASYSKRINRPSHFDLNPFRFYDDPFNYWQGNPNLNPEFTHATELGYTWGKYIIASAYFSVTNDVMTEVYNYQQDTGILVKTQENLNKSYVYGTNITATTKLYNWWSLTSMLNVFDNEYKGNYQNTTINSSQLAFTLNAQNSFTIVKGLKAEANAQYFSKSNIGLYIRDAYFDLTLGVSKTLLKDKATLKLAVTDLLKTNNYRVTGDNFSSVIRQKYNLDSRVVTLSFNYKL", "MIQYFRNSIFLILASLIIISCEREPGSGSTGSGEKDYKYFLLSALGSWPNTVHYMTATNDVTKGEMDITKEGDEINSKGTYSYIVKNGFIYNYKTDQGVFKKFKYTQDRLTTVKEVPYTYISDISGYTWIDADTLLLTGETGDGQHIRYTIFRASDLSIIRQGEIEGFQPFPNGYNFLMSGAVTYIDGQIYLQYSFRDGKWLTPQYYNLAVIDYKTFKVKNSVSDSRSVGVSNGSPYFKTYFTKDNEAFYYTCFPRTGAGTGKITLLRALKGATVPDAGYQINLTELVGGKSLETAIDYIGNNKIVILYRDPALGNSYNGRYAIVDIETRQLIRVLDELPGDEPYEQGFFVQDKKLYIAVNASKGGNYVWIYDPQTDKITKGMKLPDRISGFARFDKFYD", "MKSYQRIFIFLMMVVSVSLIRAQDSDFWIQGVVQDHSKRGLSGVNIFVENTKIKTQTDQNGNFRITYKKGEATLAFSLDGYKKFRKKVNFSNETAAVTIQLADDQSVVEEVTVHGKGKVKALQDGAFTVNAIDIAKLANTTADLNQVLNRTTGIKVRQQGGVGSDYNFSINGMSGKAVKFFIDGVPLEMLGKGVDLSTLPVNMADRVEIYKGVVPVHLSTDAMGGAVNIISPSASKNYLDAGISVGSFNTQRINLNGQIKDDKTGIILRINSFYNHSDNNYTMKDMKIWNAGKNEYELRNVKRFNDRYQSVFGMAEAGVENKKWADYFFVGMSQSVFDKQVQTGSNQEVVYGGVKQNGQAHNYFMKYKKANLFNDRLDLNVYAGFSKSVQKATDTLMRKYSWDGTFEPSASSEKGGKSIMMQYEDRVYSQLGATYRLANHHKLIFNYVLDYIKNTTFNSLDEKKEDVPPAKMTKQIFSMAYQQDFFNKHWTNVFFGKYYRVGLQKMVFDQATRTDNPVKDNFSSWGYGFATTVKIVKGLGAKGSFERSYRLVEPQEIFGDGVAVTSNMNLKPETSNNVNVGLYYNHHWGAHAFRVEGAGYIRDTKDFIYTVPNLYNSTFKYENLSNIFTKGLEGELSYQYKRLLNVLMNISYNKAYDNTKFANNNEEVISATYKKDVPNQPWLFGNVNVSLGKDDWLQKDSRVELYYGLQMTEWFYKNWQSYGNPRNIPVIPRQTLHNIGISYSMKNGRYNLAFDVTNLGDALAYDNFKLQKQGRAFYVKFRYLLK", "MKKKVLILPMTLISILGFSQYSISEISKDAYLKDFDIAVNIIKKQHPNPYRFNSKEVLEKKLDSLRKEVEKNPTYINFHLNTPTKVLGDGHSSLGMESNYMEDYVSKTSFFPLATYVQNGNTYINSNNKYGVEAGSRILEVNNKKIGDLFSKASKQADGSIKVEDLDMSSVISYTNAKTYKIKYETPSGEQKTIELKGINYPEFNYESRHAILPIDVTSGSGIYGYQLNPDTYYLAVKSFSFNESYFYERLKKYFQDMKNLKTKNLILDIRDNSGGSISNVPLLYSFLSKEKLFNNSYKYGTKVVDINYKDYLVDPQTDRYFSDSDIRNENNFMHQRFDKSDKGDYYFGNTRLDDTYIKSYPRDGLFFDGKVILMINNRTFSAATYFASLFKSEKRGNVVGKETGSCSNFTTAAWFLTYKLPNTKSTLSIPRTEIFFNSSEGDNITKCTGVIPDHILKTDYFLNAMKEQKDPELEYSKTLLSK", "MNTAFLYINTKFTKLFLLLSLIIISLSSCENRDTPVSFNPPEWIKGEWSANNGVKYQFFEHDFILTTGGSRFSYGFVNGNKSMVNVIKSTSSEYIFEITNYDVTDTFNPPGSQNYRNNLWQRTPSRKLYFKFKRNSDNSIIESNNTFFRTGL", "MKTDIVFDVIIIGGSYAGLSSAMSLGRSLRNVLIIDGGKPCNRQTPYSHNFLTHDGKTPQEISQMAKVQVKQYPTVQFYEGEAVKGVKTDTGFIITTNSGEEFSSRKLILAAGIKDIMPDIKGFSESWGISVIHCPYCHGYEYRNEKTGIIANGERAVHIASLINNLTKELTILTSGPADFDAEQRAKLERHGIPVIEKKITEIEHQNGHVDNIVFEDGTKMNFKAVYAAIPFLQNCDIAEQLGCELTEKGYIQVDSMQKTNVPGVFACGDSTSMMRSVALAVGSGNLAGAMLNMELVSEIFA", "MKNTFLLLTLFTSIGLYAQNLNPVPSIINPGTADTFTGGYTFAYKTSGTPWNGALISFGGFSNNYDTQLSADYGPHKGNHLSFRTRNGDLGVWNNWQELATKGSNEFSGNQNILGNVGIGTTTPQAKLNIYGGHEDTTLLLHSVGDGANAPAYLNLWASEPAASYTGVGIGNNIKHWNSVTPFSRFNNARGASYMRLLENQIVFTTVDASGKFVQALNIAADGNVAVTNKLEAKEIKVTTTPTADFVFEDTYQLPDLTSVEKHIKEKKHLPEVASAAEMQKEGVNIGDFQIKLLQKIEELTLYSIEQNKQNKELFRLVGKQQEQIKNLEKNIQQSTNTK", "MKKLSILLLTLPTIYFAQLTTPLGQIQSTTNPEFKHVGIGTATPQAILDIHANENIPIIRGNGGYIPTGLRFIDDAYTQPGQVKEWAIWKGNTWAKGLGFYRYDAVNRCQGGICDITLFLQDNGNVGIGYEAPKYKLDVNGSARFQDIISGGLNSWIFHSPDDGRTTLHIAPKNDALDWDWGKQFIINGANGNVSVSGKLEAKEIKVTTTPTADFVFEDTYQLPDLASVEKHIKENKHLPEIASAAEMQKEGVNIGDFQIKLLQKIEELTLYSIEQNKQNKEQQERILKLEDLVKTLLHK", "MKTYFTLFSFACATILNAQKKELISFPTSAEAYSLSKVEKMPMDYFRGKANINIPIYTIIVDGVSVPISLSYNTGGIKLNEVSSIVGLGWSLNIPGNIQHEIKGISDFSANPLSKNINDYEKYRGVIRNSWIDLETHKKVEDLEAIMNGIYDTKPDIFNYSLPTISGSFILQNNNVGSSIMKYDGLKGFTIPNEDVSIVRNQGQFNFKDPQGTEYWMSTKNLVESFYDYKTTLDPTLYNIDSLKINNKLVKFSYNKRNMYYEDNFIEIAHHKLTTDPPGSYYKLPPLLKYEKKLSYTVSRENLITKIEFDNGEINFFYSDDPGMALSDGAVYRKDLEGGKGLALRKIQVKNKSGAIIKDITLNQNYFETKNTNKTHEDYRLKLVSIHDNLQNNDYKFTYDEEFSFPRRNSNTDDYWGYINSLYDGSTGLPEKYTNESKAKSPEISGIPRRDREPNIKYIKIGSLKSIQYPTGAKKNFYYELPSGLIDNNGGRRIDYYATGSIEIARIDGGLTPATLHKDFVLDRNLLNEKLYVINKEAEIKDITAIFNNSCENESLPDGQVAPIEQTRCEGSISFGNNNLSGSKSQVATWPNNASSGSLSIWKGDGCHCNAAVYINYKYPVYSQAPDSHLTYYSGLRISKMEDIDQNNISNVYTYLYGKYDEINKFTPNTKLNQPFNFSSLTRRHIQGVPIGSYDVPPGFIEQYLTIQSSSQNSNAYGSSDVATYPYVIEKSEKGSVLMEFSEGDLPQLGYNRWKAGRLKKETYLNATNEVIKTVDYVEKLSPIKNSLSGYTVNPNVPYMAAFYTNFDILPIEQAYDTDLQIDAIESAKIENIKTITKEFLGDKVIETSVTNNYSDTNINNPINIQNTVIKTSDGSSSETTYQYAHEKGNQYLIDKNMIGIPLQTTVTQKQNDNDPGKTISKSEILYPTSQADANARTAGLALPVSVLGFDLQNPDDAAKAQTELTYDLYDNKGNILQYSVKGKPVTVIWGYNQTQPIAKIEGAAYNQISAYVSAIIAASDADNTQGTDQSEQALIEALDILRNNTALSTYQITTYTYNPLIGVTSITPPSGVREIYKYDSANRLESVKDVNGNLLKEYQYRYKN", "MFKFKHFYTMGILMAGTLSSHAQIILTNPVTEQNKSVTDPYSIRLLPGFNAASPAVNSFRASLGASSNPNPTPNNYAPDPTASISVNENYIYSRTYLAPRSSSDPAAPQQQSISYFDGLGRPKQELSIKSTPNGNDLVTDIPYDSFGRQVQSWLPVPMNTLNGNIQSGVQTAASGYYKKADGSADPLAYGEKTLENSPLDRVLAQAAPGSDWDGKKVQYQYQANADGEVYRYTTSTSWSNNATVSVLGLSGTYGASSLYKNVVTDEDGNSTIEFKNGQGQTVLVRKKNGSEDLDTYYVYNEYNQLAFVIPPLAVHKGVDLALLNELAYQYRYDGQNRLVEKKLPGKDWEYMVYDQQDRLVLTQDGKLRQQNKWLFTKYDKFGRVAYTGLLDSAPGRDAQQSNMVHFGGNNEERSASGFTQNGTTVYYSSLAYPVGNFTLLTVNYYDEYPPGSPGVFNGASVLGSVPVNGRSTKGLPVASMVKNIEDNGWTKSYTWYDDKARPVATESRNHLGGYTRTSSVLAFSGVPMSTTTYHKRDAASGEMVMKEDFSYDHQNRLVKHTHQLNGGPVEVLTENIYNELGQLESRNIGNGIQSIKNEYNIRGALTKMNDPKNLLNKLFGFELKYINPSGTSKKYNGNIAETDWATQNDGTLRRYSYQYDGTNRLKEGNYWDNAGATAGSYAEKLNYDLNGNITGLQRTGQGAGVMDQLSYTYDQSGNSNKLIRVNDASGNAAGYPVGGNTIGYDINGNMVNHLDKGISNIAYNYLNLPSSITASIGNTDYVYRADGTKVRKVFGGKTTDYLDGFQYENGVLQFVPTSEGYYDVVKNKYIYNYTDHLGNVRLSYMKGASGGAEIIEENNYYPFGMKHQGYNTASLANSAYQYKYNGKELQETGMYDYGARMYMPELGRWGVVDPLAEKMRRHSPYNYAFNNPIRFIDPDGMWPYPVTTRSFAPFKSFGGGFAGDNRGFSTSSSVTSRLSHSYVMNTDNHTYTNYGATSSPSSHPLLGTATATNDKGTITNASYTTNRDGSTTTSWTSIMSGANPLTPESITPSIDVTTNFSLTENKAAGTLGVSVTQTGDAFPSAETMIGDTAGNQLMIGVSPAVGNPLTSLPGNGGKPMMSNNFTVTMDENGVFTGVQQGDKTYSVDDWNKTMTSQSTVERVLIPEPGTTAFEMVR", "MAIRILKTNWINIIGVFTVLFLYTTIYELIEPNVSRNIFQAMIASLIGICLYGIMFWVGFIIMLIILDYVLIIPNPKDLKLKLLIEWIVISSPFVYWAIKYPEQRTLYIIAIITFLVTQLLRDKLINKAIQ", "MLVKDSPLYYSALLYTSLDGKIKLLNNDKKNKEKEILITKDSSNEYFREFSYILNNYLQGKDEHLLSLRDSFSSSEMNSPYYIYDFVKHKKIKINSFIFDKEGKIQ", "MAEKFPYNSTFAFSENAVIAHRELEGLEKVPVNDEPLFDRAKKGFNTFLSGISNYIKEISDVSREKQMSKNPSTRKELSLEKRNGVSSAKGEMGLGLMETMKGGAYAIGETLDKSGEYTSNAALAIAPATEGASLTIIPISETVSNVGFGIKFVVDISDGNYNNILIEGSKKIISLGVGKLGDAQVNATFKQTPNLTKTQKAIHETVIKGNATITSKAIEKAIDEKTKK", "MILLKNKGVKIMLKIWSLVFFIIAGAMQFIGKLLKDASNDYQTFDFKNYIFCVSILIIGIVIYFFTNKTIQSPLEQVADS", "MYKLIDYTSTISGGAFLTDFKASLAMIALEVWFIASLFNYYTILIDENFIVKKIHFIILGILVLLLSYFTFDNNGIWKDYIKKFDQLPERVNKKGSIFFYAIIIFIIGNFILSLYLLYEIRKN", "MVNTKTIIKPPFQAAYFIKHIIFTLIRARRSHQQGDSTAHNQMNFGNYLWGATGYTVNFGYGGLQIGAHLNSRFNSRRNGYTPQWDSKDDQRSIVLGAHHAAKNNYRAKKK", "MKNIFLLIYFICLVSCNDSNYNEKFLNLEKQTFTDFKGYSITYRNGIYLVSDANIEKDSNRVFIKMNNSGNIRYIEDINKNIITKPEIELNFLEKMLNKFNNLNVSNISVDKNENIQFLFFSNKCSYTFLQLSKKSRLKDLNKSYFEKYKDNWYLYKQCSK", "MKLNNSNLTPKEIVNIVKYSTIGILVPMFIIGIVFNALGWTSSTLNDKTVTGMKSLLVFIVTFPLYIILFFIIQFCYIYISV", "MVFWIDVFTRMEYFDIIINALDYYRKNIDPWEWKYSSARNYCDDYQEIFEIDLNL", "MEIFSIITNEQDILTKFDEFIYIYPKIKFSSKDEDTAYFTNFNDGRNEIYYHFKVENLEEIRFNYSESDITFLEKEFGSDFYIIDLQYRNEDIVKELLYDFNTYLSTNYHNYSDKKIIYNHPIKGFVKKL", "MKSRITNPRHRVKAIQSIKTSKKIDILTNTATDAKNLLKEAKGDINRYKNYTNKQYKKGYETHNVQNKRELQVGNDKQHIKWKDGKSSGHIFYNKPN", "MSNTIIKKTFYSEYFDEKIENIYLLDEDEASKLWSDKIDKSSNNFYKINDDNPIIYNSKNIGDWRNYYDSNNILGLQKFLKSILNWEKDELVFFCINKNTIITTLYHVFLENICNFLELYDDCPILISHEKLECIYFTPLGNTFYSSYKI", "MNIKFLFIVFTLLLISCNNDYSYVLKDLNASKNGYVINMKDHNTKLTIATLSGNMKFYFLKKEGEFYRTNSSFSKDSMESRASLSTIKEYKLSRGNNFIGDSIVIKKEGDHYKSIFKLIDNRYTDSGDLVYEYYYDSNYKIYKIVFNSKIYTK", "MKVFQFYLTCSIFILLACKNSTKNTPYFSSHEIYKRVISGTESLDQKYNFYYVFTFSDTLAIVGVDEIGGCLSCLASKKIGYFDNDNSRVILTKPINPKFPILKKENGLKYSNTMNGIGTNFNRNPVGILYKINNINNLKQIYRGYISEFINKKEYQLQIPKE", "MIEKLFVKSVITYGVLLSVLSCRSSGDAVNTRETSVRINLLGSGFIQEGTLGPQATTNSLKINNTKEHQQVLALNEDYKLVAVLSPDNAQPFDTSQASLKAATPPLKESNNLGYGIKYKVVVYDGNGRYITEKDYVSGEERNASPITGLNGGYTYTFVAYSVGSKSVLPSVTYPDQANKTLASASVEDIPGSSDLMYFSKTMEITGNNTNYLDVVFSHQFSQITVYLDATPTQWYNITNLKGVGISPHHNKAKMLMNNGSIVPEQQTTDGAVVNFPVLNSKSVKADPVYVNTNKVTNGVFAIQTILMTHQNDTPVSLQNITLNDLNITPGVRYNLKISLQPNDKYLSYRGYQAVRLSGMIWMRHNLGANLIADPDVPTQQINGDYYQFGKKTAAANVTTPANSISKWDISSAPNDAWNSGSFLVAVKTANDPCPDGWRLPTNREINALDKGTNKSTIGTVQNSATNYSAAKVLTSVFDPNIKMTIPFSGYRSASDGTLLSRGERGGFWSATPNFSNATQAVQWALYDMHPINNNYPWATRSEAVSVRCIATFPLDR", "MFEKLIDSFEKLCLQIIIEILLVPVTIFKLFQKSARCYDLSVHEMEKEETERFKDYLSPIKLSVYTSVIVSILLMDYGGEQNFVSRIKGVSMVEKALFIFLINNFTAVLFSVVLLWYKREKVNTITFRTLLFSFIYTTVYTSTPAFLFTFSMMFLGQTANVDEYIQHFNLAASVGIKECLFLVICLITIAIGGLGVIKLVKALHHILKENFRYHPYIVWFFTLLFFAIQVFYTMEFVG", "MGIILKPIDVVDDISQEDFREKYLKPRKPVVIKNMAKKWPAYQKWTMDYVKEVVGDVTVPLYDSSKADPAAPINASAAEMKFGDYIDLIQREPTDLRIFFFDPIKFAPKLLNDYISPKDLMGGFLDKYPSMFFGGKGSVTFLHYDIDMPHIFHTHFNGRKHVMLFEYKWKDRLYQIPYATYALEDYDIENPDFEKFPALDGIEGIECFLEHGDTLFMPTGWWHWMKYLDGSFSISLRAWDKSWAIKAHSLWNLTVQRKFDNFMKSRYKKRYMDWKENKAIKRANEALRKGLPK", "MSLVSREDLIKAVGLDKIGFLKKPVASTMMNIVQINKLNKLYDKLKDKEGKDFFESYLNELNVKYLVFAEDLAKVPKTGPFILVSNHPLGALDGILMTKIISEIRPDFKIMGNFLLSKIQPMSPYVIPVNPFEGRKEAFSSLNGMRAAMKHLEEGGCFGVFPAGEVSNRNNRYNEILDKDWESPILKLIQRMNVPVIPMYFHAKNSRMFYNMAKIHPDLQTVMLPSEMMNKREKPIKIRIGKPILPKILKEYETPVELGEFLKNKVYMMKSYFDKRKRVTDYLKIPNLSSKFQLNQDEHVVHNIISETSKEHLVDEIKHLRNIDGKLLFANGDYEIYFANADEIPFVMREIGRQRELTFREVGEGTNMPFDLDEYDKHYHHLFLWDKGEEKLVGAYRMALGVEVMKKYGINGFYTSSLFDFDQELQPFFRKVIEMGRAYVMKDYQQKPLPLFLLWRGIVHVCLRNPEHKFLMGGVSISNKFSDFSKTLMIEFMRSHYYDSVVAQYIHPKNEYKVRLKERDKLMFFEGLDNDLNKFDKLMDDFEPEMRMPVLIKKYIKQNAKVISFNVDPNFNDAIDGLMYIRISDLPESTIKPVLEEMSEYYKNLGEKKCADNQ", "MKVFKFGGASVKDAEGVMNVARVLKNQGFEKCMLIVSAMGKTTNALEVVVENYFAKEDYKAETAKIKQNHIDIAKGLFKEDHKIYEEIEEFFYDIESFLRRNKSPNYSFVYDQVVSCGEMISSKILSEYLAQEKLANTWLDARDYIKTDASYREGNVDWKLTEENVKKLNANESFVTQGFIGSDDNNFTVTLGREGSDYSAAIFAYCLNAEQMTIWKDVPGVMTGDPRKFKDVELLDNISYEEAIEMAYYGASVIHPKTLQPLKQKSIPFFVKSFVEDDKPGTKVSGDENYPRKESYILKENQTLMTIATRDFSFIAEDHMSYIFAELAKFKIKVSLIQNTAISLALCLEDKFKNSEKLNVTLNEKFDTSLVTDVALFTIRNANMNDAEKFYKDKNVLMEQLAANTLQMVTK", "MSEQSFRTLGEFIIEKQEDFRYSTGELSRLLSAIRLASKMVNREVNKAGIANIIGHAGNTNVQGEDQQKLDVLANDIFINSLSQREVVCGIASEENDDFIEIKASENAHMSKYVVLIDPLDGSSNIDVNVSVGTIFSIYRRVTEPGTPVQPKDFLQKGVNQIAAGYVIYGSSTMIVYTTGNGVNGFTLDPSLGTYYLSHPNIKFPTKGKIYSINEGNYVKFPQGVKDYLKYCQKEEDDRPYTSRYIGSLVADFHRNMLKGGIYIYPSTSQSPQGKLRLLYECNPMAFLAEQAGGKASDGFKRIMEIEPTELHQRVPFFCGSAAMVTKAEEFMKNAIEANI", "MQAEYQRHNLIFKRPGGTSRGVLTEKETYFLHIYDGEKKGTGECGIFRGLSYDDVPDYEEKLKWLCDNINAEYNFLQQQLLHYPSIWFGYEQAILNLKHGGHIYFPGEFTEGKESITINGLIWMGNVDFMKEQIALKLHDKFHCIKLKIGVHWDEEKKVLEELRKTFPKNQLELRVDANGAFAPEKAKIVLEELAALDIHSIEQPIKAGNPEEMALLCAGAPTPIALDEELIGITELEEKQKLLEIIKPQYIILKPSLVGGISGSDEWIALAEEQNIGWWITSALESNIGLNAIAQYTYTKKNPMPQGLGTGALFTNNTPSSLKLEGDQLWFAN", "MKKLERKSLKSLKGGNPKNSPDGNCPPGWGYCSDGMCYSASQYWMCEDK", "LKKLNRSLLKHVSGGIRIAYADCMDGDHCPPPPTSGKTGFCDGGVCYYETGGTNPGDGCTEPARDCLPWETGCGCVYS", "MKKLQRLYLKTVKGGGNVCDEFPKKYQHVSCNEYFNIPPKYSHCVLVDVECFPE", "MKKLLKKDLKKVNGGGPPLGGMACVYYDPYLNKTVEGITDNNGVCQCVVCEY", "MKNKSGIWAVMILSTLCSIVHAQNTSGKNSEKEIQTVNLNGKKKLVERKIDRMVFNVENSIASQGMDGVEALRNTPLVKVDETSGISIVGKSNVSVMINDRIVQMSGSELLNYLKSVRSENIAKIEVITTPPAKYDAQGNSGIINIVLKKNSNLGWSGNLTTAYIRKSRDGFVNNIGLNYQSGKISSSLKLRHYDTKKNSTEQNEIIANKGLKSLDRRVDMPNGLGLNYSLDYKISEKSNAGVVYDFGSGHMNMDINNSSEYFTGNKQDSLLTTYAEHRQRIPTHTLNVYYDLKLDTLGKKMSVGGNYFSNIPVNNIGFRTINHNINNTEDYRSYSKINYSIWSGQLDFTLPYKFANIETGAKMAYFQNTSDLEYFRIANGNSVLMPDGKNLFEYKEQNYAGYFSIDKKIDEQWSVKGGIRYEYSVIDGFNPVSGERNKYNYGRFFPTAYVAYKADDENTFTANYSRRINRPFFRAINPYRWYINPYSFAKGNPYLRPSYNDNIELGYSYKNKFTATLYYQQIKDSYSQLVTFNDGIKIIDYANMFDQTNYGINLGYNDILFKFWELSASANLYYSKSNGIIPEVVGQKAFNMYYNINNTFTLNTRKTIAMFANFTQQLPGSSGNFRSDGYSFLSLGAKLFLMDKNLQINASVDDVFKKAISKGESIFTDSVMKYRNYYDYRGLNLSVSYTFGNNKVKGASRNVKFDEKSRAN", "MEEEKKSLNFIEQIIEEDLANGLEKDKIRFRFPPEPNGYLHIGHTKAICINFGLGEKYNAPVNLRFDDTNPEKEEQEFVDSIKKDVEWLGFKWDKELYASDYFQQLYDWAVQMIKEGKAYVDEQPSEVITEQRKNPAEPGVESPYRNRPVEESLDLFEKMKNGEFESGSMSLRAKIDMISPNMNMRDPVMYRILNKPHHRTGTTWKIYPMYDWAHGESDYLEQVSHSLCSLEFENHRPLYNWYLEQVRDENKVAPKQREFARMNVTYMITSKRKLQKLIAENVVTGWDDPRMPTVSGLRRKGYTAEAIRNFIERIGVAKRENLIDIQLLEFFVREDLNKKAKRVMAVVDPVKLIIENYPEGQEEWVETENNPEDENAGTRQIPFSREIYIEREDFKEEANNKFFRLKLGGEVRLKSAYIIKAERVEKDENGEITTIYATYDDKSKSGSGTEESLRKVKGTLHWVSAPHAIPVEARLYERLFTVEQPDAEKDADFLQYVNPESLNTAHGFAEPSLKDVEIGEPLQFQRIGYFTKDRDSSDEKLVFNRTVTLKDSYKP", "MNEKLQVLATKLNLSPERLQELMQDSSIVKYKKGSFLMQNGELSHQLGFIIKGALRTFTINQEGEDISFLLQVDSDFFGDYECFLSGAKSNWQLQTTTRTEVVLIEKQHLHFLMQRDPFWIGFYKQVADICFLEAKRRIEELLFYTYEQRYLNLLTNRPKVIEKIPQKYIASYLGVTTQSLSRIKSRILLT", "MDIAIIGAGIGGLTTALALKRRNIPFKVYEAAEELKPVGTGIILGINAMQVYHQLQIENKILAAGKKVDSINVTDFKLSPITETLLLPFEQKFGHKSIAIHRAELHHILIDEVGKENIVLNSRLSNAVKIDNKHYQLSFENGNKANHTFIIGADGINSKIRKIFFPDTQLRDAHQICFRGVTRFNLPPIYKNELIEGWGQGKRFGFVEISEGNVYWYFLVNQNLYQKHNDLNIYLQDAPEFVREMILNTSKEKWFTANLQDLKPITEWQKDQVILLGDAAHATTPNMGQGACQAIEDAYVLFRLLEKYNPEQAFKSYPSIRIEKAHHIVNTSWKIGKISQLENRLLMGIRNLMLRKTPQSTQTKNFERLFTLNHVN", "MNIYDLVIIGGGPIGLACALEAEKKKLSYVILEKGTIANSLYHYPLYMHFFSSADRLEIDGVPFITTAPKPGRQEALEYYQGITRQRNINIRLYEKVTDVVKEGALFKVTSSKSEYIASNVILATGFYDIPNQIHVKGEELSKVKHYYSEPYPYAKQKVVVVGASNSSVDAALEIYRKGGDVTMIVRGPEIGRRVKYWVRPDIENRIAEGSIKAYFNAELTEIKEGRVIFRDGDGNIREIENDFVLALTGYLPDFEFLGKAGVLLEGESLVPHHNDHTMETNVPGLYLAGVVCGGKNTHLWFIENSRVHAQIIMNAIVAKKLGVA", "MEERISREELIKLYNIEMSFLESLEDSGLLHLQIENEVKYVSYTDLPALERFTNWHYDLDVNLPGIEIISNLLQKIETMQQERKKMIEEFHFRGIVWEDIEL", "MAFIDYYKTLGVNKNATQDEIKKAYRKLARKMHPDLNPDDKEAHHKFQELNEANEVLSDPEKRAKYDKYGEHWKNGEEYEKYEKARQQQQNSQKSYSSGGFSGADFGDGEDFSDFFQSMFGGGGGGFSSSSRGRSSGKFKGQDLHAELQLSLHEAAETHQRTLDVNSKKVRITIPAGVYDGQQIKLKGYGMEGHNGGPSGDLYITFIIPEDSHLKRSGDDLKTTVNIDMYTALLGGDVEVKTLNGSVKLKVKPETANASTVRLKGKGFPVYKKEGQSGDLYVTFNVQLPKNLTDKQKELLKEMQKS", "MTTTQHSFWQSYSNIILLLIGITVGSIIGIFFPNVVNYLKPVGDIFLNLLFVTVIPLVFFAIVTSVSAIEQKGKLGKILFAMMFTFLCFVIIAAIFSIGAVYLFPTARPSTNAAVTIAENITSTETWSDRIVRFFTVGEFYMLLSRQNMLAMLIFSFLLGIAIRKSAPEKTDTFRKFMAGGNEVMKELLILIMKAAPIGLGAYIAYQVGTLGPQLFGFYAKPLGVYYGAGIVYFFVFFSLYAFVANGTKGIKLFWKNNIVPSFTAISTCSSLATMPANLDAARKIGIPDSVANVVIPIGTTIHKNGSSISSIVKIYVAFLLLGWDFFDFNNLVIALGITVFVSVVAGGIPNGGYIGEMLMISAYNLPQEAIPAVIIIGTLVDPLATILNSTGDTVAAMVVTRLVGEKFNPPIEE", "MFPILVTNRLLLNQLEDTDAENVLFLRSNEEVIRYIKREPDQNIQQTYDYIRLTRVRYENKSAINWAIRKKENPELIGGICLWKISADRKTAEVGYDLKPLYHGKGIMSEALVAVIEFGFSTLGLDKIEAFTSRYNEASKSLLLKHNFILNPERTDEDNLDNLIFELRNPIPQLAD", "MYKKITLSALVISAQFWSAQQTSQEKLNPVVQNIVNEANNNSQLENLAFELLDVIGPRLVGSPAMKQANDWAVEKYKSWGIDAQNQQFGEWASWQRGITQVEMTSPRVKSLESMQLAWSPATKKAIDAEVVILPKVNNPSEFAEWLKGIKGKFVLMAQYQRSGRPDYQIKEFATPELYEKFKAQRDKDAEDFRTLIKNTGYDNNTLPEALEKAGAAGIAISNWTGIMGANRIFGAKTKNIPMIDIAVEDYGMLYRLALNGKKPTIKVNAQSKNLGTAKSFNTIARIEGKEKPNEYVILSAHFDSWDGAQGATDNGTGTITMMEAARILKKYYPNNKRTIIIGHWGSEEQGLNGSRAFVLDNPEIIKNTQVAFNQDNGTGRVVNIQGQGFVDSYDYLTRWMTALPKNVGKHIETSFPGMPGGGGSDHASFVAAGVPGISLSSLNWGYFGYTWHTNRDTYDKIMFDEVKNNVIAAAVMAYMASEEPELVSRQKRTMPAGQTWPEVKEPKRKGTN", "MISRLDITAKALSVVNELEEKYGPLMFYQAGGCCEGTQPQCFEKGGFFPRMNDVLLGHIKEYEFWVDRDLFEYWQYSHFTLDVLDGFGPGGFSLETPLGKTFKIHYRLFTTEELENLEPVKRNDA", "MIPKTMKAAVVQGYGEPLKIQEVPVREPGRYEVLVKVMACGVCHTDLHAVDGDWPAKPKMPLIPGHEGVGIVVACGPDAMVKEGDAVGVPWLYSACGCCDYCITGWETLCEAQQNGGYSVDGGFAEYVIADSRYVGHLKSNVNFLEIAPILCAGVTVYKGLKETETKPGEWVAISGIGGLGHVAVQYAKAMGMHVAAIDVADDKLELAKKLGADLTVNAKTTDPGTYLHKEVGGMHGALITAVSPIAFKQGIDVLRRKGTIALNGLPPGSFELPIFETVLKRITVRGSIVGTRKDLQEALDFANEGLVKATVTSAKLEDINDVFDKMKKGQIDGRIVLDIAGSQN", "MSTTEAVLAEDKALKRPEFKAKYDNYIGGKFTAPVLGGYFDVVSPIDGKIFTKVAHSTKEDIALAVDTAYEAFKSWKNTSATERSVMLNKIADRIEQNLDYIAAVETVDNGKAVRETLAADIPLAIDHFRYFASVIRAEEGSHNELDKDTVSLIVNEPLGVVAQIIPWNFPILMAVWKLAPALAAGNCVVLKPAESTPVSIMVLMELIGDLIPDGVVNIVNGFGAELGRVLVTNPKVSKAAFTGSTATGRMVMQYATENIIPVTLELGGKSPNIFFNSVMDADDEFLDKAIEGAVLFALNQGEICTCPSRLLVQEDIYDSFIERVIDRVNKIKVGNPLDPTVMMGAQASQIQKDKILSYINLGKEEGAEVLTGGDVNNVGEGLEDGFYIQPTLFRGNNKMRIFQEEIFGPVLAVTTFKDEEEAIAIANDTIYGLGAGVWTRDAHQLYRIPRAVEAGRVWVNQYHSYPAGAPFGGYKQSGIGRENHKMMLDHYRQTKNMLISYNKNKLGFF", "MENAKSLVNTLTLLQEDQLSNFIENKTTFGMQNCEFSIYETHRSASDVKLNFENLTFTGMLQGKKRMKLDGKTDYFEYLPGESVLVAPGETMIIDFPEADKTPSQCITLSFNPDFVENSLNELNFRTPKVDEASSWNISMDEFYLFNTPALALTTNNIIRIAMDDNPQKDVMADFALKELLIRLMQTQGRNLVEKCIMKSKSHIGFAIEFIKKNLHQKLTIDQIANVAYVSKSNFFKMFKEELGISPNRFILAERIKKAKELLARHESIKEVAFQTGFSDTNYFTRIFRQHEGITPKIFQDSIEIKF", "MAARKIIWTQKANIERRDILEYSIDRNKSKKFSIKLNKLIVGTIKQIAENPGIGRKTNLENIRVKIIRDYLLFYEFDESYLKVLTLWDGRRDENSLQV", "MNTAELKIDIINKITNLKEVRIVEEIQKILDFELDQGVFQLSEPQNKRIIEAAQDDYLTDEQANKDIDEWLQGK", "MKSARYYSLDVFRGATVALMILVNNPGTWSAIYPPLEHAKWHGCTPTDLVFPFFLFAVGNAMTFVIPKFQQHNSSVFWKKVIKRTLLIFGIGLFLNWCPFFQWDHDSLSFISWESSDENGVRIMGVLQRIAIAYFFASVIAYYFKEKMVLWISGALLVIYWLLTLFLGGTDPYGIEGFIGVPIDHSILGIAHEYKGEGVPFDPEGLFSTIPAIPQVLFGYLIGNYIQKKGNIQWFGKSLKENSIYSMLSGLFILGIIALFISYVWQLDFPYNKKIWSSSYTLLTTGLAIMVLGVLIWFIEILEIRNGLMKFFDVFGKNPLFIYVISGVVPRLFSLIRLENGVDDKGKIKYFSPLGWFHKHVCEPVSYSPEFGSFLYALIFLGFCWLLAYWLDKKKIYIKV" ]
[ "AATGTTAGGTTTTAAGGTTATATTTAATTTTGAGCCTTTTTATAACTTGCTCAGGTTAGTTGATT", "ATTGTTTCTTTTTGTTGATACAAATATAAAACTATAATTTGATATTCACAAGCTTTTTTAAAATTATTATTTGATATTTTTTAATTTTTATAAAACTATAATTTTATTTATTAACTTTGTGAT", "TA", "AATAT", "AAC", "A", "AATAT", "G", "TTTTTGAATATCCA", "TGTTATAAATATTTAAGG", "TGTTATAAAAATTTATTATTTAGTTCTTGATGTTCTTTTTTGGTGAGCTTTCTGGTAGCGATACCTATTCGAATTCCTAAAATGAAATGATGTTCATAGACTATAGACAAATCCCGATTTCTTATGTCTACATTTACCACGGGGATCACCTCGTAAATTCGAGGGTATTTCCCCTTTTTTAAATTTCTAAACAGTTTCATGATTAGAATATAAACTGTGGTAGTATTCCTTATTGTTTACTCTGGAATTAACTATGAAAAAGAATCTTCTTAGTATACCCTTTCTTCTGTTGTACTGATTTTTCATA", "TTCTGATTTTTTTTCTAAATTTGTACTCAACATTGTTATAAAGGTTATTTAGTTAATCTTTA", "GA", "AATATTTCTGTTTTAATTAAGTTTACGGGTTCCCGTAAGAAGCAATTACTGAGTTTTTTATATATTTGCGTTGTAATTGTGTTACAAATATACAACTGTTTTAATTGTATACAAATTATTAAACAACTTTTTTAGTTGTTTTTATATAACTACCTGAAAACCAATGAGAAAAATTTAGT", "GGCAATAATTTAAATAGTTAA", "CTTTAAATATAAAATATT", "TT", "TA", "CACCCATTTTTACACCCAGTTATTTATAATAACATGAAATACTTTCAATATGTATTTGAAATGATAGTTACGCAAAGTGCTTATTTGAAACGATATTGAAATATAAAAATACATAGTGAAATGTAAGTTACATTCTGCTCGGGGCTACAAAATATATAAACAAAAAACTTTAAGCTCCCAAATTGAGAACTTAAAAATCATAAGAGAACGATACCTGAAGTATCACATTCTCATTAGTATTAAATGAATATGGAAATTTATCCGAAAATA", "ACATTTTATCTTTTATTAATTTATGCAGAAGCCAAAATTACAGCACTCGTTATTGTTTTTATACTTTGCAATAGGTTTTTACTTTATCCTAAAGCAGTTTATCTAAAACCAATTTCGAGCAGGAATTCACCAGCTTCACAATAGTTCCTCTACTCTATATCCGGATGCTTTTACACTCAATAAATATATTTCAGGAACAAAGGAATAAAATTACACATAATACTACATTTATGTAGAATTTTTACTAAATATTTTTAAATTTAAAAATATATCAAAGTTTAATTACAAAAGGATCAGGTAGTTTTTTAAAGATTAAATATTCCATTGTATAATATATACTCCTGACTTTGTGCTACATCTATAACTACAATCAGTTCTAAAAGATTAATATATGCCAGAAATACTTTCGGATTTTATAATTGTAATTCACCAATTCCTAAATGATGCAAGCAACAGAAATTTGTATTTTTAACTCATCTTTAAGAGCGAAAAGAAATAGTAAACAGAA", "ATGACCTTTTTAAAGGTAAAATGACCTTTTTCCAAACTAAGACTGTTCCTAATTTTACAAAAAAAAGGAAT", "CACATGAAATAAAACATTTCGAACCTGTTTAAATTTTAAATCAAAAAAATATTAAACTTCAATAGTTCGTCAATCTCACTATAAACGGGCTAAACTATCGAAGAGTATTAACTATAAAAGTTAATAAAAGATATTAACAAAAACTTTTTCACAAAGCATCCATAGTATTCTGTTAACTTTGTGCC", "AGCGTGGTTTAGTTTTAAAGCTGATTTTGTTTTCAGCTCATCTTTGTATTATTAAATACAGAGAAA", "TCAGTTGAATAATTACATA", "TTATGAAAAATATTGCAAAGCATTACTCTAAAAGAGTAATTCACAGCATATAAACACCAGTCTTTCACAAAGAGAT", "AGAAATGCCACTTGCCCATTAACTCTTATTACTTAAAAAATCATGAACAGCATTAATATCCATATTCTCGTATCCTGATTCTATTTTATAATCCATAACTGTATCCATACTATTTGTAATATGTATTGTGATATACAAAGTAAAATATAATCTTCATGAAATTCCGGTCACACTTTTTGCATTTCATTGAGACACAGAAGTACTACATAATATTATTTTTTTAGTATCCAAAAAAATATAAAATTCATACCTCTGGAATTTATAACTATTATCAAATTTTATTACAAACAGGCTATTTCAGGCCATTTAGGAACAATATTTGTATGCTTAAGCACTGATTTCCAATTCAGAATCATCTGAAAATTTTATCTTAAAATTTATAATCTTAAAAACATATTTAGCTTTTAGTAGCACAATCAACAGATTTTCTGCAACTGGAAATAAATTCTTGCATTTGAAATAATAAATCAGTTATAATT", "GCCTATTTATAGTAAGTTTAAATAAAAAACATCTTTATCCCGAATCAGGGATAAAGATGTTTTTTGCAACCTGTATAAAG", "ACTGCAAGCTAAGGATAATTTATATTATATTTTCTTTTAATAAGATTAAAAGAATAAAAAACCTTTACCATAAATGGTAAAGGTCTTCGCTTTATTACTGTTGTTAT", "AAAATTGTTTTGTTGATTTACGCAAAGCTACTTTTATTATTTTACTTTAACATTAATTGAATATTATAT", "GCTAATTAAGGTTTATTAATTTACTATTAGTTTTCTTTAAGTTTTCTTTAAGCTTAGATAAACTTTTAGAAAAGGGGTTAAAAGAAAAAAAATAAGTTTAACATCTATTAACAAACAACTAAACAGATATAATTAACGAAAAATAACCAAAATAAATAAAATTAATCTATCAAATATTCTAATTGATATAAAATTATTTTTATATAAAAATTAATAATAAACCAATATATTGATTAATAAATATTTTTACTACAATTATTTATAAATGTTTTTCAGTAAAAAATAAAGAAACATTAGTGTATATTTATTTTTACTTTCTTATAAACTTTTACCAGAATTTGATAAAATATACTGAGGCATTAAACTTAATTTTGAGGACAATTCTTATTAAATTAGATTAGCT", "TCTACTCATTTTTTTTAATATCAACCTTCCGCAACGATAATTAGTATAAATTAATGCTCCGTATATTTCTTAAGATATCGGAGCATTAATTTATATTATACTACATTATACATAGACTCATCGCAATACGCGCCAGAATATACTTACAATATTGTAATACTTCCATCAACTTCATTAATGAGTTCTACGGAAAAATGAAGTAAATGATATACTGATTTCTTACAAGGTATATTTCTTAATCCTTATAATAAATATTTCATGATCATT", "ATTTTTAAGTGAAAGTGAAATGGATATAAAGTTAAGCT", "TCTATTTGATTAAAAACAGCTCTCTTTAAAAGTCTTTAATTGCCACAAATGAAACTATACTAAGAGATTTTCAAATATTTTGATTTACAGGTAAGAATATTAAAATATTGGCAATAAACTAATAGATTCTAAACTAAAAAAGCTTCCTTACGGAAGCCTTAACTGGTCATTCAATTCTATTT", "AAGGCTATATTTTATAATTACCTAAAAATAAGAATTTTACATAAAATAGAACCGTATTACTTACTAACATTTCCACATTAACGTTCTTATTTTTTTTATAATATAAGAACTGTAAATCAGATATATCCGTATTTTTATTTTTTGCCAACTTCGGAAATTTATATAAAAAAACCGGAGAAATAAATTCTCCGGCAACTTCATAAAAACAGATGTTTTTATATTAACA", "AAACAATAATTTTTCCCGAAGATAAATAATTATTACAAAAAAACCGATAACTATTTTGAAATATCAAACAGTTATCGGTTATCTATATTTTATTCTCAGTTGTTTTACAACCTTGTCATCAGAG", "TGGT", "GTCTGTTGAATTTAGATTTTTATAAAATTTGAATTAAAAAAAAATGGCTATGTCTGCATAGCATTATTGGATACTGCTCCAATCAAGAAACAGGTGTTTTAATCAAATT", "GAGCCTGACAAAGTTATATATATTTTT", "ACTGCAAATTTAAATCATACATCATAAAAAAATCCCTTTAACAGGGATTTAGACAATCTATTGTATAAAATATACAAGCA", "AAATAATCTGTTTGTAACAAAGATAAGCAAAGCTTTC", "TGGCCGGAAATTTTAAGAAGCCACAAGTTAGCGAAATTACCAAAGAAAAGTGCAACCTGTACAGGCTGCACTTCCAGATCAAAACATCTCATTTTTTTTGGTTCAACAAAATAAAAAAGGTTTGCCGACGTGCAAACCTTAATTTTTTTCAAAATTTATATTCTCAAATACAATTATGTCGATGAATAATTTCACTTTCTTATTTTCAACATCTTAAAGTTAAGAAAAAAAATATTCCGTTGGTATGAATCAATG", "TCAATCTAATTTTTTAATTATTTTGATCAGCACACTTTCAGAAAATAGAGATGATTTCAACATTTTTTCCGGAAACGGCTTATCGATTTCAGCACCTTGCTTATTGTTGCAGGTTGCTACCCAAATTTTGGGGTTCTTGATAATTTTAGAGTGCAAATATAAATTTTTAATTTAAAAAGTGAAAAATAATTTAAAAACAGAGACAAATCAAATGCACCAAAATTCACAACGTTTTCTTATCTGTTTCGTTATTTAAAAAAAATACAAAGCTTTCATATTTTAACACGAAGTCACAAGACTAAATTATATTCAATTGTTTTTAAAAACACAAATTGCTTCACAATACTAAACAGCCTTGGCAAGGTTTGAAAAAATATTTGATTTTCCTGTGTCTTAAAATGTCACCTATTGATATAATAATTGTTGCCTCTGTATTTATAAATTTTTCTACCACAAATAACCAGTAAAATGAGGTTATTATATATCCGAATACTATCTGTAGCCTGGTAGAATCTAGACTAAAAAACATAACTTTGATAAAAAAATAACG", "CCTGAGAAAAACTCAGGCTATTTTTATTTTTAAGTGGTAAGGTTAAA", "AATTTTAATACTTGTGTTTATAATTTAATAATTAATTATTTGCTGTT", "AATTAAATCTATTCTTTGGTAAGTTGCAAAAATAAGGAAAAGATATGAGATATAAAGTATGAAGTTAATATCAAGATATAAGACGCTAGATACAAGATTCAAGAAATAAGATAATAGACATTGGTCTTTTAGTCTTATACATTACCTAATATTATAACAATAACTTCCTAAACCTTCTTCAGACACTGGCAACCGATAACTATTAACCGCTAACTAATGGCTGTCAACCAGCAACTGTTAGCAGAAAAACCTTTATATTTGCACCTGTCAATGGGGTGCCTTAGTGGCTGAGATGATACCCAGGAAGTTTTTTCCGCACCTGATCCGGATAATGCCGGCGTAGGGATCTGTCATCTCCTCATCAAAATGATTCATTACA", "GTCAAAATATTATA", "TCTAAGTTTACTAAAAAGAAGAATAG", "TA", "TGACAGAAACATCAATATTGGAAAATTATAATTTTATATAAACCTCACAGGTTTTTGAAACCTGTGAGGTTTCGTTGTTTTATCCAATTAACATGAGCTGTCATCAATCATG", "TATACATCT", "AACAAGTCTTTTTTTAAATAAGTAATGTTTCCACTACCTTTAATTGCTATAAATTTATTGTATTT", "ACATCAAAGTTAGGGAAAACACAGGGAATAACGAAATACTATTTTTAAAGTTAACAGTCGATTATAATCAGTTTTAAAGTTCTTAAAACTTAGGGATAACAGAAGGATGTT", "CTC", "AATGCTGCAAATTTACTACTTTTGTTTGAATTGGAGTGTTTCTTATTT", "AAGTTTGGTGTTTTCTAAATTTTTATTAAATTTGCACACCAATAAAAAACAAAGGCTTTTAAGCCCCGAGTTTTAGGCCCTATAACTCAGTTGGTTAGAGTAGCTGACTCATAATCAGCAAGTCCTTGGTTCGAGCCCAAGTGGGGCCACAAACCGCCTTTATTCAAGGCGGTTTTTTATTTATAGGGTACACTTTTAGGTACACTTTCACCTTTTACAGGTACATTTTCATCTT", "AAATACAATATTACAAAAAAAGGTCCCGATTTCTCAGGACCAACCAAATCACATTCCTAGACAATAACCTTCTGGGAATTTATAATACTATTCAAAAGTATATAGAAACATTTTTGATACTTTACGGGAAACCGTAATGCTGTGTAAAAAGTTATATGTAAAGAAAAAAGCCCAGAGTACAAAACTCCAGGCTACTTGAATTCTAATAGTTTAATATTCGGCATTATTAAACAGTAACTAAATTACATAATTTTTGAATATAAAAAGCCCCGGTTTCCCGAGGCAATAAAAAACTTTTAATTTATGGAATGATGTTTCCCGTTCAAATTTACAAATTCTAGAAAATAAAAAAGCCCAGAGATATACTCTGGACTACCCTTTATTCAGATGGGGTTTAATAAACAATAATTAAACAGCCGGTAAATTACACTTTTTAGGCAAAGAAAAAGCCTCCGTGGGGAGGCTTAATAGTTTAGTTAGGAATTTAACA", "GGTATAAATATATAAAAAAAGATGATGTAAGATTTATGTGCAAAACTACCAATATTATTTTACTATGACAACTGATAAAAGCTATAATTATATCTTAAAAACCTACAATAATTGACCCCAGAGCCAATA", "GGTTATTGTTTTAGTTTTTAATTGTGATTTGGTTTC", "TGTATTTAGTTTTGTTTGTTATTTAT", "GGTTATTGTGTTTTT", "TGCTTCAATGTCAAGGGATGCAGTATATTTAATTTCTCCGCCGTTGATGTCTGCCATGGTTACTTTTGTTTTTTTGTTGCAGCATTAAGCGATGCTACCACGCTATTTATATATTCAGGATCATCCTCTGTTACTTCTTCTTCTAAGTTTTCATAAGGGTCTTCTTTCTGATCATCATCGAATCCAGGAAGATCTTTTATGATTTTTAATATTGTGTTATATGGAATTTTGTAGTGTATCTCTTCCCAAGAAAAATGGAGCTGTTGACATACATATCCACGAAATCCATAAGGAGTAT", "GGCTAGTTTTTTAGTAAAAAGCCAACCAATAAATGATTGGCTTTGTTCTCATTTGTGTTCTTGGGAT", "GTTTATTAGCTTTTTGTGTTTTTAATTT", "GA", "ACTGTA", "CGTTTGAGTTTTTGATTAAAAAATGGTTATTAGTTTTTAGTTATATTTTAGCTTACTATGTGA", "TTGATTTGTGTTTTTAGGTTATTAGTAA", "AATGAAATTGAATTTTTCTT", "TTT", "ACCACCAATATTTTAGAT", "ACTAATCCCG", "GAATA", "TATCTCGCAATTTTTACAATACCACATTCAAAAATAAAGGTAAGAAGTTAGCGTAAACCTACAACAGCAAGTAAAGCTTTAAGTCTGGCTATCTATAAATCGTGAGGGAAATAAGCAAATACCGACAGGCGACAGATAAATGTAGTAACACCCCCTTGAATGTGGTTTTATTTCAAAATACGGTAACCCACAACACAACCCGGAAATTGAAAACTAATTTTACAAC", "TAAATAAAATTTGAATT", "CCGTATTTTCGCAGA", "TAAAAGGGGCAACTAAGCCCCTTGTTTT", "GGTTA", "AACAA", "GGCAAGGTGTTTTTAT", "GGTTGTGTTTTTATGGTTGGTTAGTTTTTAGTT", "TTATTTCTGTAAGTATTTAATAGACTCTCACTTTCTTTCTTTAGCTTTCTGAACTTAATTATATTTATGGTGTTCAGAACTATTGAAGCTATACTTATACAAATTGATATTATGCTTAACATGA", "GCTCGATTGCTTATCGTTTGCTTATTATTCTGGTTATTGTGAACAAAAGTCACATTAT", "GGC", "AA", "GGG", "GA", "AAAAACAAAAGTATGAAATCGAATTTAAAAAAGTATCATTATGATACTTTAGTTA", "AGTCGGTAACTTTGACTTTTACTATATTGTTTTCATTGAATACTAAATAATTTGCCACAGGTTTAACCTGGTTAACCACTCCGAATTCCGTTTTTACTGAAA", "AGTATAGTTT", "TTCACTTTGTTTTGATAGTAATAA", "TTTGTTGGTCTTTTAAT", "TA", "GTGTATAA", "GCTTTGGCATTGAGCTGTCAAATAATTGGTCCATATAATTGTAT", "AATACGAAGGGATTTTTATTGTTATTAAATATTTTTATATTAAAATACACTTAAAAGTATTATTATAATACTT", "TTTGCGAAGGGAATTTTTA", "TT", "A", "TG", "AGCGCTAAGAGTTGTAATTAAATAGTTGTAACATATTTGTGATT", "AATATTTAGGA", "AACTTTAATATTTGTGTATTACTAATTGTTGTTATTTTTTAGTTAATTTTGCTATACTCAAGTGTTTGAAACTTGTTTATTAGTAAATAATACTAATTTATAGAGCAAATTTATAATTTAACTCTGTTATAACAAAATAAATTTAGTGCATTTTACTAATTTAAATCATAAACAACTGATTTTCAGGAATAAAAATTTACCA", "CCAAATAAAAAAA", "AATATAAAAGCATATAAC", "TACAATAAAAT", "AACAAAAAGGTACACTTTTGGGTACACTTTTAATGATTTATGGCGAAATTGTACTAAATTTTGAAAAAACAAATTATTCAGCTATCTATGATCAATACTAAGAAAAGCAACATAACGATCTTGTATGAAATGCAGTGAAATGATACTGCGGTTCCAAGTGGGGCCACCCAAAACTCCCTGAAATAAATATTTCAGGGAGTTTTTATTTATAAAACACATTTTTACCTTTAAACAGGTACATTTTAATCTT", "AAATACAATATTACAAAAAAAGGTCCCGGATTTTTCAGGACCAACCAAATCACATCCCTAGACAATAACCTCCTGGGAATTTATAATACTATTCAAAAGTATATAGAAACATTTCTAAAGTTTTACGGGATACCGACGGTATGAGATTTTCTACAGAAACAAAAAAAGCCCCAGTTCCGGAGCTTACTATACTATATATATATAAA", "GTTCTTAACAATAAAATTAAATAGCTACCTATAATTTTAGGTAGCTATTTTTAGACTTAAAAAAGATAGATTATATGCATCTCATGGCTTTCCAATCACGTGTCCAATATAATCCACATTCCAAACCATAAGCTTTGCATTCATCATT", "TTTAAAATTTTAATGATTAGTATCTCAAATCTAAATACTTTATCTGAATAAATTGTTTAACATAATAAATCTTTAGTCATAAAATTTTGTTAAAAACAAAAGGTATTCGATAAATTATTTTTCTAATGCAATTTGATAGAAAGTTATTTTTTAATCTTACGGGAAACCGTAATGATATTTAAAGAGTTTAATGTAAAAGAAAATCCCAGCAACTCGTGCCGGGATTTTTTATTTTAACTTTAGTAAAATATAAAAACT", "TTTTATTCCAGCTT", "GTTTATTACTTATTATTTCGCCCAAAAATATTGCAGTTTGTTTTGATAA", "TTATTAAAAATGTTTGTGAAGCAGACATAAAAAAAGCCCCGAAATTAATCGGGGCCAACACCAAATCACAAAATATTTTATATGAAAAAATACTTTACTTATAATACACAAAAGCCTTGCCAAATAAAATATTTTATGATTTTTTTTAGACATA", "TAAAGTTTAATTATGAAGCT", "TTTACATATTTTTAGAATTATATTATATTTTATTTTCATCATCTGCTCCTTCATTTTCATATTAATAAACCACAACAGAAAACAAAAATGTTTTAACTCACTTTAGCTTAACAATCGTTACACAAAAAGGAAAATAAGGACATACTATTTTTATTAAACTAGAGTAACTGTTGTGGTTATAATTAAGTATAATGTATTTCTATTATAATA", "TATTTCCTGA", "AAGTATTGTTTTCTTATAGTA", "TTCTTATATTTTTCGTTCATTACAGAGCATAGCTCCGCCAGGCAGGCTTTTAGCCTGCTTATTTTTTTATTACTAAGCAATTATGTTATGAGGAT", "GTTCATAGGTTTTGTTTAAAATCATGTTGCAAAATTCCGCTATCACAAGATTAAAAACTTTGACCTGTGTCAAAAAAGGAGGTTTATAACCTGTTTAAATTCTTTCGATTTTTCTAACACTTTAGATAAAAGTGCTATTGTGAGATAGTATTCCTCCGGAGTGTTAACTTGATCAAGGAAGTAAAAAATCATTCAGATGAAAAAACAAAAAAAACTATAAAAATCTAAACAGGGAC", "GTAATTATCCTAAATAAGGTCAGCAGACAAATGTAATAAAATTAGAAAGACATTCCCGCACTATGCAAGTTTCCTGTTTTTATGAATTTAAAAATCCCTGCCGGAATTATACACTCTGACAGGGACCAACTTTAATAGATTTTCAAAAATTATATATACTAAGTTATCTGATGCATTTTTTTGAAACAGATAAAAGCTAATTTTAATGATGAATACCTACAGAAACCCTTTAGGCAGGTTCTGTAAATATTCTGTTATTAATTAGTT", "TTAAGTAAGTTTTTATTTGATATAAGTTCTGTCATAATTATTACGCCAGCAAAGATCACCACATCAAAACAGATAAACATTGATCTGAGTCAAAAAAACGAACAGCATCTGTATTTTTCTATTTTAGATTACAATGGATCTATTGATA", "ACACAAATAATTATGCCGCGAAATTAGACAGCTCTGACAGCGAAAACTATGATCTGGATCAAAAAATAAAAGAGACCTATTTTGGGAGATGGTCTCTTTTATACGTTTCCTCAACATTAGAAACACTAAGTGTCTTATGCTGAAAAGTGT", "TTTGTATTTTTTTAGATTGTATTCTGGTTCCTATAACTGATAAGACAAATGATCAGGAAACTCAGTTTAT", "CGCTGTATTATTTTTTGAATTACAGCCTGATAACAGAAATATAACTATCAGGCTGTAGATTATTGATTTCATATGGTCCCG", "TATTGTAAAATTATGATTTTTAATCGATTACCTCTTTTGAACCAGTTCAATAAATTAACCGCCATTATCTTTATAAATTCTACAGTCTTTATAATGAAGTGACTGTGCTAAGAAAGATTAAAGTGCCTATAATTTATGTGTCCGTGGATGTTTTATATATTATTTACAATGCAAAGTTCGGCATCTGTGCTCTCTAAAACATTGAACAAGTTCAATAAATGAAAATGGTAAAATCAGAAGGTATCTAAAAAGAAAGGAGGTAACCGTATATTATAATACCGGCTAACGGAAACTCAGTAACAAAGAAATGCTTTCGTTACTGGGTTTTATTAATGGTTAAAAAACAATCACAATT", "GGATTATTTGATTATTGAGCAGTATAATACAAAAATATTAAAAAGTATCGCTATATCTATACCTGTAAGCTGATTTATGATAGTCGGCTTTTATACTAATTATATCACAACAAACCCATGTAACTCTTTTGATCACATGGGTTGTTGATTTAAAAATCCAGCTTTAAATTGGATGGTATTCTTT", "GTTTGTTATATCTGTTTAGGTGTTATTTGCTGATGGTTTTTACTTTGCTTCCAAATATC", "TTATCGAAAATTTTCACAGTCTTTATATTTCAATGTTTTATGCAATTTTTATCTAG", "TTTATGGGTTATAATTACAGATAAGGGCTAAAAATACAAAACTTTTCAACTCATAACCGGAAAAAATGAATAAATTAAAGCTCTGATTTTAAGTTTAATATTTCTATGCCGGATCTCGATATTCGTTTCTTGTCAATCCCAATAATATTTTATCTTTCGAGTGTTTTCATTACTTGTTTTTCTGCTTTTCTTATTCTTGGAAAAACTAAAAAACAGACTGCGGATTACTTGTTGGCAGTATGGTTCTTTATTATAGCAATACATCTCGTTTTTTTTATCCTATTCTATTCCGATCAATTTTCCAATTTCCCACATCTCCTTGGAATAGATATTCCCATACCACTAATACATGGTCCA", "TACAGATTTAAAGTATGTCAGCATAAGAAGTACCAAGGTTCCATACCCATAAAAATGTTCCACCTTTCATTTTGGAACAGCTAAAACGTTTAGGATTCTCATTTTTACCAAAAAAATTAAGCCTCCTGTT", "TATTATCAGAACTTTAATATTAATCTTATAGGCTAGAAATCAAAATGGACTGAGCCCAAAATATAGATTCGGCTCAGGCCATTAATC", "GATTAAAATATTTCGGATAATCCGGAGGCAAATATACACAATATTAGTTGACAAAGTCAACTAATATTGTGTATATCTATTATTACTTATCATTTAATAGAAGCCAAGCTTCCAAT", "ATTAAATTCTCACCTTATTTTAAATCAAAAATCTACGACATACAAATGTCGCAGAAAGTAATTATTTAATT", "ATTTTAGGGGGCTTTGCTTTTATCAGCAAAGCCTTTTTAATATAATTGACTTATAAATGAAGTATAAAATTTCTATAAATCAATCTGTTTATAATCTCCGGCTTTCAGGAATTACTAATGATGAATAAGTTATTCTTTAGGAAAAAGTAATACGCTATTTATTTGCAGGTTGATAAATATATCTATAATTTGAAAGTTGAATCAAAAAAATTTTATT", "TATTTCTTCAATTATTCCATTATATTAAATCACTATATATAGAATTGTTTTTATATTTACAGACCAACCAAATAAATATTAATCTT", "CATTAGCCCTCATTATTGAGGGTTTTTATTTATGTTATAAGCACAAAATCCTTTTAAAATATAATATTCAAATCTGCTCTATTCATTGTTGCAAAAAGCATAGTTTAAGGATACTTTCAACATAAATCACATATAAGTGAATAAAATATATTATATTTACACCTTAACCAAATAAATAATTTATT", "AAAAGGATGCCAAGGCATTCTTTTTTTTTACTTATATAGTTCAGCTAGTCTAGTATTTATCCTAACCCTAATAAAAATCAGGTTTTTCAGGTGAAATAAAGACCTTACTCTGTTTAGAATTATTACAAATAAAGCTATATTTGCAGCTTATCTATTTTTAATAAGACTAAATAGTAATAAAA", "ATCTATTATC", "AATACCAAATCATAAAAAGCTATCTGACAGCTAAAATGACCGGAGAATTTTTCCGGTCATTTTTAATTGGGTGATATTGTTACTCTGAGCGGAGTCTGACGAACTAAATTAGCTAAAGTGTTATAAATAATAGTTTAATAAAATTTAAATAGGCTATAATAATATGGGGTTTTGGTTTTTTATCTGAATGATACTCAACGTCCTTATTAAGATAGCGTTCCTCCGGAACGCTCTGAACATTTATAATTATAATTTACAGAGATAAAGCTCCTAATGGAGCTTATCATTCGTTATGGCAAACTACATTTTCTGTACTTATAATCAGAAAGATGCTCCGGAGGAGCGTAATCGGTGTAAAAAAACAGATGTATCAAAAAAGTAAGTATTCCAGAGGAATACTATCTCATAACAGAATCATCTATCTCCCAAGATTTGTATCTGATCCTATAAAAACTTTCTATACATTTACTTCATTTCTCTGCTCAAAACACTCGAGGTGACGAAATACTTAAAACTGATATTATAATAACTACACTTATCACCATGAGCGGAACCTGACGAACTAAATTATCGAAGAGTTTACTGTTCTCATAGAAATAAAAAACTGCTCTCAAAATTATTGAGAACAGTTTTTAAAAATGAGAGAAAATTCTAATTATATAGTTTGTATTCTATAACTTGTTTTTTATAAATTTCTGCATTGA", "GTTTAT", "TATTTATAATTATTATAAATAACAAAATTAAACGTTAAGAACCGATCCGTAAAGAGAATCACCTATTTATTTTTATTTAATCTAATTAGTGTTAAAATTTCTAAATACAAAAAATTAAACAGACTCTATAACAAACTGTAAAACAGAAGAAAATAATAAAACACCTGTTCATAATTTTTTGTTAACATGAAAAAAGTCATTCTGAAACCGTCTATAATTTTTATAGATTTGCCCCGATTCTTACGGGATTCTGATAAAAACACAGCTAAATTCTATTCTGTTATCACCCTGAAATATCCTTTTACGTGTCTTTGACGTCGGAAGGGCAATTTTATTTTTTGAGATATAATATTAATACTAAATATAATTT", "TCGGAACTACAGCTAAGAGTCTGTTTAAATTTTAACTCAAAAAAGTATTAAGCTTCGATAGCTTGCCAAGCTCACTATAGACTGAACCCAGCCTGACACCTCTAAAAAAAGATATTATAGACCAATAATGTCACTCTGAGCTTGTCGAAGAGTATTAACTATAAAATTTAAACAGGCTCTAAGAATGAACAATAAAATATCACACTAT", "GATAATCTGCATCAAACATGTTACAAAGCTGCCTTTTCAGGCAGCTTTTTTTGATTTCTTATACCTTTATGTCCCACTATAAAAGTGACAGATCTAAAACTATATTCTCCACTTTTATTTCTTTTGTAGTTCAGTAAAACACATTGGTTTTTTTTCAGTAAA", "GTTTTTTATTTAATTGTTTTGTTACTGCAAAAGTAATTAACATCATTATTAATGCAACTAAGTATCATTAATGAAATATTAATTTCTTTCATTGATAAAATATATCCGGACTATAATTCTCGCTTGTCTTCTTAAAAAAATTTCAAACTAAAATATAATACGTCAAGTTTTGACGCCACGAGCCAGGATATTTGCCTTGTCGGTTATCTGACTATGGTTGATGGTTGATGGTTGATGGTTGATGGTTGATGGTTGATGGTTGATGGTTGACAACTGACAACTGATAACTGCCACCAATCTTCTCATTTAAAACCTCAACTCCTTATAACCTTACAAACTTAAAGCCTATGAAACATTACATCAAAAAACCACCGTAAACCACAATGAAAACAAATGAAACAATTATTAACCTTTTAAAAATTAAAATATT", "AATATC", "AGTCCTCAATGCTACAACAAAAAACAAAAGTTTTTTTTAATGCGTCAAGTTTTGTCGCCACGGGCTATGATCTTTGTTGGAGAAAGGAAAACACTAAAAAATCTCACAAGCAGATCGCCTTAGAAAGCAGTCACTAAATCTCAAATTAAAACAAAAAATATTGAATACA", "GTACGAAGTCGGAAGTTAGAATTACGAGGTACAAAATATTGAAATGAAGAGAGGAAAAAGCAGGTTGGCACTTTCATCACCTGCCCTCTCTTTAATTAGAAGTTAGAAACTAGAGGTTAGATTTTAGAAGCTAGCCCATATAGCCTCATACCTGAACCTTTGGTTGTTCTTATTACTAACCTTTCAAAAAACACAAAAAAGCTAAAACTTTTTTTTAATACGTCAAGTTTTGGCGTCACCAGTAAGGATCTTTGCTACAAGATAAGAAGTTAGAGGTTATCGGAAGAGGTTGATGGTTGATGGTTGATGGTTGATGGTTGATGGTTGATAACTGATAACTGATAACTGATAACCGACAACTGACAACTGATAACTGATAACCGATAACTGGTAACTGACAACCACCAAACCATATAACTTAAATCCTTACAACTAAATCACTAAAAAACAAAAACACAAAAAATCGCAAAAAGTAATTATTAATCTTTAAAACCAAATCGTT", "AAATAAATATGATTAGAA", "GTGGCTTTTGTTGCATTATAGATTGCAGTTTAGTTTGTTTTAGAACGCATCCAGTAGAGCGAAGACGTATTGTTTGTCGTTCCCGATAGCGCGGATTTGTAATCCGTGCTTTTTTATTATAATTACATGAAATTAAAAATACAAAATGTCAACAAAGTATAAAGCTAGCCTCCGGCTCGTGACTAACAAAATACTTAACAAGCTACCTTTTCAGGCAGCTTTGTTTTTTAATATATTTACATTGATAATAGTAACACAAGCATAAAGTTACTATTTTAGTTCAGCCAGAAATTATCCAGATTTAGATAGTGCACTGAATATTGAAGTTATCTTTATAAAATAGAATGAAGTCACGGATTACAAATCCGCGACATCGGGTAATACTCAAATTAATGTCAATACAAGAGTATTTACAATGCCAAATAGTGTGCAAACTATATATAATAAAATTATGAATAGTAAATAGTAAAAAATGAGAATATATATATTTATACCAATCCTTTTATTATTTTTTGGCTGTAACTCTTCGTACCAACAATATAAGAGTTTAAACAATGATATAATAAAAAATAATCTATATAATCAACAACTTAAAATTATAAAAAGTATTCTTGTCAAAGAGAACAGATACTCAATTTTAATAATAAGATGGAAAAAAAAT", "ATATACAATGCAATTACTTTCCCCGCTAGCGCGAGCCTCAGGCTCGTGACTAACAAAATACTTAACAAGCTACCTTTTCAGGCAGCTTTGTTTTTTAATATATTTACATTGATAATAGTAACACAAGCATAAAGTTACTATTTTAGTTCAGCCAGAAATTATTCACATTTGGGTAATGTATTGGATATTGAAGCTATCTTTATAGCTAGAATGAAGTCACGGATTACAAATCCGCGACATCCGGTCCT", "AATCATGAGATTTACAAGTATCTATTTTTACTACTTTTTAATTATTTTAATCATTATATTATTATCATATAACATATATAATATAGCAACTAATTTTAATCTATTAGTATTAATTCCGATTATAGTACAATTAATAATGCTTACA", "CTAACATAAAATATTTTCATTAAATTTTACCACTAGAACAAGCTGCCTTTTAGGCAGCTTGTTTTATTAAACATAGTATATATTGATACGAACGAGCAGCTCACATTAGCAGGGATTATCTTCAGTAACCCGACAATACAATTGTAATTATGACATACATAAAACGCGCTTATTATTATTTTTTTACAAA", "TATTTAATATCCCTGGATAAATACAAGTCTAAAGCTAAATTATCTGCGTAAGCCTCCAGCTC", "ATCCCCCCCGCTAGCGCGAGCCTCAGGCTCGTGATTAACCTAAAATACTTATCAAGCTGCCTTTTCAGGCAGCTTATTTTATTATACATAGTATATTTACATTGATAATGATAATAATAGAAGCTTAAAGTTATTATTTTAGTTCATCCAGAAATTATTCAGATTGGATAATGCATTGGATATTGAAGTTATCTTTATGGCATAGAATGAAGTCACGGATTACAAATCCGCGCTATCGGGAGCTTCAGAATTACTAAAAACAGATAATATTGATTCCCCCGATAGCGCGAACCTCTGGCTCGTGACTAATACAAAGCATTTAATAACCTGCCGGCAGCTTGTTTTATTAAACATAGTATATCAAACGAAGCATAAAGTTACTATTTTAATTCAACCAGAAATTATTCACATTTGGGGAATGTATTGGATATTGAAGTTATCTTTATGGGATAGAATGAAGTCACGGATTACAAATCCGCGACATCGGGTTGCGCAAAACTATATTCAAGAAAAAATAAATGATGCAAAAGAAAAAATAAATAGTAAATAAAAT", "AAATATATAATTTTATTGCGAAAATATTAGGAAATAAATAACAGCTATACCCCCGCTAGCGCGAGCTTTTAGCCCGTGTCCTAAATGGCAAATAACAATAAGCAAAGCTAACTCATGAAAGTTAGCTTTGCTGTTTAATCTAATTCTTTAATTTTATAATAGGAGCAGAAAATATAAATTTCATGAAAAAGAGGGTGCTTATTTTATAAGTCTTACT", "AAGGTTATTTGGTTAACACGAGCAAGATGCTCGCGCCAGCGGTGGGACTTAGTTTATGAATATTATTACGACAGCAATTATAAAATTTATAAAATAGTTTTTAATTCAAAAATTTATACAAAATAGTACCCCCACCAGCGCGAGCCTCAGGCTCGTGACAAATACAAAAATACTTATCAAGCTGCCTTTTCAGGCAGCTTGTTTTATTATACATAGTATATTTACATTGATAATGATAATAATAGAAGCTTAAAGTTATTATTTTAATTCAACCAGAAATTATTCAGATTTGGGTAATGTATTGGATATTGAAGTTATCTTTATGGGATAATAAAGTCACGGATTACAAATCCGAGACATCGGGATTATTAAAAACTATAAAATA", "AATAAAATATTACCCAATAGCACGGATTTGTAATCCGTGCTTTTTCATCATAATTACATGAAATTAAAAACACAAAATGTCAACAAAATATAAAGCGACAGAAATACCCCCCGCCAGCGCGAGCCTCTAGCTCGTGACTAACAAAATACTTATTAAGCTGCCTTTTCAGGCAGCTTTGTTTTTTAATATATTTATATTGATAATAGTAACACAAGCTTAAAGTTACTACTTTAGTTCAGCCAGGAATTATCCAGATTTAGATAGTGCATTGGATATTGAAGTTATCTTTATAAAATAGA", "AAG", "TATATCCAAATTAGTAGCTCCCCCGCTAGCAGAAACATCTTGCTCATGACTAATAAAATATCTAATAAGCTGCCTTTTCGGGCAGCTTATTTTTATTAAAAGGCTATATTTACGAAATACTAATGAGATACTAAATCCAGCGTAGAAAAAAATA", "TACCCTCTCAATAACTTACTACCTGTGATTTATAAATGCCTAACGAGCTACCTTTTCAGGCAGTTTGTTTTTATTAATATTATATTTAAATTGATACCAGCGAGACGCTTACTTCGACGGAGATGCATGCACCAATGAAAGATTAATAATAAATTTAGTTTTATTAAAAAA", "ACACTCCTTCACTAATACGATCCTATAAACGCAAAACAGCTGCCTTTTCAGGCAGCTTGTTTTATTTATAACTATATTTCAATA", "TTAATTTGTATTTTATTTTTAATCGTCTTCTGAAATACAAGTTATATGATCTGTTTTTTGTAGTATATTTCTTCTTTGGAGCAAATAGCTTCTCATCCGCAATTATATATTTTTTGTAGGTATAAAAAGAAAAATGTTTCAGCAAAAAAACACAGAATTTAATCTTATAATTTTTTCAGCTAAAACACATAGATTCCATCATTTCAGATCACTTCGTATTTGTATATTCTTTACAAAAATACAATTTCTGATTATTTATGGCATTTTATGATGTTGTATGAATGAAATATAATAGTCTTGTTAATACTTCAGAGCTTAT", "TTACACTGAATATTAAAAAATTTGTTATGCAATTTAAATAAATTCCGAATACTTCAATAAAAAGTTATTTATAAATTAATTATTCATAAATAAAGCCGGAAGAAATTCTCCCGGCTACTTATTTATTATTCTTTTGT", "TTTAATTTAATTTAGGCGCTAAGGTATTAATTTTTAAGATATAAAAGTGAAATAAAAGTAATTTATTTAGAACGGTTTTAAATAATAAATATATTACAATCTTTTCCTCTTTTTGGGGAATTTCCCCCAACATACTATAAAACAAAAAAACCACCTTACCAGGTGGTTTTTGTGGTTTCTCCAGGAATCGAACCAGGGACACATGGATTTTCAATCCATTGCTCTACCAACTGAGCTAAGAAACCATTACATAAAACGTATAATTCCGTTTTTAAAGTGGTGCAAAAATACACATATTATCTATATTATGCAAGCTTTTTATCATAATTAGTTGATCTAAATTAATTAAATAAGCTTTTAATATTTATCGGATTTTCCATATTTAGTTGCAATTGAAAACTTTAGCAAGATATAACCGAAATATTTATAGAATACTTTTAGTAAAATTATCAGAATAGAGTATATACTATTAAAAACAAAAAAACCACCTTACCAGGTGGTTTTTGTGGTTTCTCCAGGAATCGAACCAGGGACACATGGATTTTCAATCCATTGCTCTACCAACTGAGCTAAGAAACCATTCACTTTAAAACCCCGATTATATCGTTGTTTTTTAGTGATGCAAAAGTACATACATTATATATACCATGCAAGTTTTTTTATTACTTTTTTTGAAGAGACCTATGACA", "TCTTTT", "TGACTCTCAGTTATTAATAAATTATTGAATATTTTTCTGTTTATTTTTTGCTGTAAAATTTGTCAAAAGTATTAAAAATCAATCAAAAATAAAAAAAATGTATATGTGCTATATTTTTCCGAAATTTGGAAAAATCTAAACCCAATATATA", "AAAGTATTTTTTCTATTAAAACATACCACCTCAGCTTTATCAGAATTAACTGAGCGAAGCTGAGGTTTTTATTAAACCATCATC", "TTATATTCTGAGAATTGATTTAATAACTATATGTATTCAGAGATAATA", "AATGATATTTTTATTTGGTTTTTATTTGGTTTTTATTTGGTTTTTATTTAAAAATTGGGAGATCAG", "AATAATTAAGATTTGGGTTGA", "GACAAATTAT", "AATATTTTGATTTATTTAGTGTTGGTTAAATTACATATTTATATCACATAATAGAGATTTTTAACTTTTATTTAACTCATATTT", "ATTGAATTAGTTTTACTTGTAACTTTTTATAACTAAAAATTTAGTAATACAAATATATTCTAAAATCCCTTTTAAAACTAAAATATTAGTGATAAAAATTTTTCAGCTCTATTTTCTTGCTTATATACTATCCTAAGGAGTACCAAAAAAATAAATAATATATAAACCATTAATTACCAGTATATTATAAAATAAGACTCACGAGATAAGTGTTCGGTATCAGACACGCTTTGTTCAGAATCGGACACTTTATAAAGATTCGGAAGAACCGATTAAAATGGTTAAATTTGCACTCTTAGAATATATCTCA", "GAACCGGAATAAAGAATAATGAAAGTGGCTATCCCAAGGGATAGCCATTTTTTACATTAGAAAAAAGAATTATCTGTTTTTCTGTCTTATCTTCGTCAATAAATACTGATTATCCCTTTCTTTTAGTCAATTAATAGAAAAACTAT", "GTGAACGTTTTTGCTATCTATAGCAGCGAAATTTGCTGTATTAATTTAATACAAAAGCATT", "AATAATGAAAAAGACTGATCGGGGCTTCCGGTCAGTCTTTTATTTTATCTCTTCTCTATAGAAGAATTAAGCTTTCATTTG", "AAAGCAAAATTAAGGTAAAGCCTGGGTTACCTTAGAGTAATTCTTATTAATTTTATCTGTTAACACATTAATATAACATGAATTTAGATTTAGTTTACAAACCTTGTCAAGGTTAATTCAGCACTCATCATTAACCTTGACAAGCTTCTCACGAAATATCACCTCGTGTAAAACTCTAAAAATTTATTTCAAAAGGTATAT", "AAC", "ATAATATATGTTTTCTCAAATTTAATGAATATGAAAGAAAAGTATGGCCAATTATTTGTTAAAAAACAATCCTATTAATTTGTATTTTTACTTTTATATTTTTTCGG", "AAACCACTACTATTTTTATCTATTTTATAAAGTTTAAACAAAAATAACTATAATTTATCAACCATATCAAAAATAATTTTAAAACAAAAGACCATAAACTTGGTAAAACTTTTATTATTCGTTAATTTAGACTCCGAAATATATAAATGAAAAGAGTATGAAT", "GCGTTAGTAAAAACAAGGTCTAAAAAACATCATAGGTCTTCGAAACCTATGATGTTTGTATAAATAATACGATAAAAAAAGTGAACCAGATAGTTCACTTTTTTTATAT", "AACCTATTTTAAATGCTTATCTG", "ATTTACTTTTATTTTAGTTTGAATTCTATATAAAATTCAAAAATTCATAATCAATACAAGGACACAAAAAATTCATTTGTATAATGCTGTTTTTAAGGCACCGAAATTCTTACACCATACTCGGATTATACTAACGGATAGTAAACAACTAAACCTTTCAGAATATTTATATCTTCCGGGTTTAGTTGCTCAAACACACTAT", "AATTATTTTTTTTATCTCCTTCAACCGAAGTTATCTACTCTAAAACCAAACACATAGCATAATATTCCATTTTTATAGCACAATAATCTTTACCTGTTAATTTTATCATTTCATTAACAGTACTTTATAGATATGTTTAATTTTTATTTAATACTTTTATAAGTCACAGTTAAAAAAAGGAGTT", "GATTTTAAATTACAGGATTATTTTACCAGGTTATTGGTTTAGTATATTTATTAAGAATCTGTTTAATTTTAATTCAGAAAAATATTAGGCTTCGATAGTTCGCCAAGTTCAATATAGACTGGGCTCGGCCTGACATCTCTAAAAATGAAAATGTTTTGGGAGAATAATGTCACTTTTGTCTAAAGAGTA", "TTCCATCAATTTACTTCAAATTTACAAATTTTAATTTCCTGATTTCGTTATTATTCGGACGCAATAT", "TCAGAAGTAATAAAGTTTAACTATAAAATTTCTATATTCTAACAAATATAGACAAATACTTTACAGAATAGACAAATAAAAAACCGCAGATAAACTGCGGTTTTTCTTACGTTGA" ]
[ false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, true, true, true, true, true, true, false, true, true, true, true, true, true, false, true, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, true, true, true, true, true, true, false, false, true, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, true, true, false, false, false, false, false, true, true, true, false, false, false, true, false, true, false, false, false, true, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 38, 40, 42 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 39, 41, 43 ]
[ "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122250|+|3233:3586", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122251|-|3733:4614", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122252|-|4702:5727", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122253|-|5763:7079", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122254|+|7149:8858", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122255|+|9019:9219", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122256|+|9374:10066", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122257|-|10136:10579", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122258|-|10595:10864", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122259|-|10867:11211", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122260|+|11421:11867", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122261|+|11976:12158", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122262|-|12234:12932", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122263|-|12934:14532", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122264|-|14765:16924", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122265|+|16957:17073", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122266|+|17161:18291", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122267|-|18445:20382", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122268|-|20410:22455", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122269|-|22452:25046", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122270|-|25059:26642", "2510065017|RJ2H1_RJ2H1-contig-001.1|CDS|2510122271|-|26651:29158" ]
[ "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000001|+|3090:3232", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000002|+|3587:3732", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000003|+|4615:4701", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000004|+|5728:5762", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000005|+|7080:7148", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000006|+|8859:9018", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000007|+|9220:9373", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000008|+|10067:10135", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000009|+|10580:10594", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000010|+|10865:10866", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000011|+|11212:11420", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000012|+|11868:11975", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000013|+|12159:12233", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000014|+|12933:12933", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000015|+|14533:14764", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000016|+|16925:16956", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000017|+|17074:17160", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000018|+|18292:18444", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000019|+|20383:20409", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000020|+|25047:25058", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000021|+|26643:26650", "2510065017|RJ2H1_RJ2H1-contig-001.1|IG|IG_000022|+|29159:29395" ]
[ "MYIYLEGARFFAHHGVDPQETIVGANFIIDLRLRTDFTHAAQTDELKGTVSYADIYAVVKKEMKTPSKLLEHVCERIGQRLFNDFPTIQEIIIRLSKENPPMGSDCRNVGVEVHYTR", "MNVHEVKSIETKSILSRLKSKDNYWGIAYNMNLYRGCQHGCIYCDTRSSCYGVGDISHISVKKNALELLDHELGTKRGKATIGTGSMNDPYMPLEKQMKLTGGALEIIAKHRFPVHVITKSSLVTRDADVLQDIGRTYAAVSFTITTADDEMARKLEPNAPASSERFKAMKILSDRGIYTGVALMPVLPFINDSIEDIEEIVEKAAEAGASYVLPLFGVTLRRGSRDYFYDKVERIFPKMAKRYQTYFEDRSECISPNAPYLNEVFYRRIETLGISATMKFYHSEGRKQLSLF", "MKRVSVVILNWNGVDMLRKFIPSVMDNSVGEGIEICVADNASSDGSLEMLRSEFPVVRLIELDQNYGFAEGYNRALEQVDAEYVVLLNSDVEVTPHWLEPLLDYMDTHSGTVACQPKLLSWHNKEYFEYAGASGGFIDRYGYPFCRGRIFDVVEKDCGQYDTVTEVMWVTGAALFIRLADYREVGGLDGHFFAHMEEIDLCWRLRSRGKKLVCIPQSVVYHVGGATLKKENPRKTFLNFRNNLLMLYKNLPDKELEHVLFIRGILDRVAALVFYLKKDRANARAVMQARREFEDIRYSFAASRIENMMKSTDEIIPERTHFSIVMKYYLLGKKHFSQLKLF", "MIDTTIFQDKTAVYYTLGCKLNFSETSTIGKTLKEAGIRTARKGEKADICVINTCSVTEVADKKCRQAIHRLVKQHPGAYVVVTGCYAQLKPDQVANIEGVDVVLGAEQKGELMNYLGNLEKHPQGEAITTAAKDIRSFSPSCSRGDRTRYFLKVQDGCDYFCSYCTIPFARGRSRNGRIEEIVEQARQAAAEGGKEIVITGVNIGDFGKTTGESFFDLVKALDQVAGIERYRISSIEPNLLTDEIIEYVSRSRAFMPHFHIPLQSGSDDVLKLMRRRYDTALFASKIRKIKEIMPDAFIGVDVIVGTRGETEEYFEDAYRFIEGLDVTQLHVFSYSERPGTQALKIEYVVSPEEKHRRSQRLLALSDAKTKAFYTSHIGREAWVLMEKSKAGTPMHGFTDNYIRVEMDHDDQLDNQLIRVRMGGFNEEGTALKGVLV", "MLIFAHYIKNCAMIKENFIKLYENSFKENWDLPCYTDYGEDTTFTYGQVAEEIAKIHLLFQYCSLRRGDKISIIGKNTSRWCIAYLATVTYGAIVVPILQDFKPNDVHHIVNHSESTFLFTSDNIWENLEEEALSGLRAVFSLTDFRCLHQRDGETVQKFMKNMDAAMKKNFPKGFYKENINYTELSNEKVMLLNYTSGTTGFSKGVMITGNNLAGNVTFGIRTELLKKGEKVLSFLPLAHAYGCAFDFLTATAVGTHVTLLGKTPSPKILMKAFEEVKPNLIITVPLVIEKIYKNVIQPLINKRSMKWALNIPLLDNQIYAQIRKKLIDALGGRFKEVIIGGAAMNPEVTDFFHKIKFPFTIGYGMTECAPLISYAPWNEFIPGSAGKILDIMKVRIDSDDPYNITGEIQVCGENVMKGYYKNEEATREVFTEDGWLKTGDLGTIDANGFIYIRGRSKTMILSSNGQNIFPEEIESKLNNMPFVLESLIIERNKKLVALVYPDYESLDSLGLNTPENLKTVMDENLKNLNKLVGNYEQVSKIQLYPTEFEKTPKKSIKRFLYNSITEE", "MKKLVFLFVAFAAVSFASCGNKAANNNAEATDSVAVVEEAAVVEEVVADSAACDSTAACCDSTKAE", "MKKTNLKEKLQNWKLGNYFRELSIVTAGVFITLAGTDFINSASQEKQINKSMQMIKMELEENLKSINQAEAAYLNEINFFRLLIQKQDSLQTIKASILENNANAPFAYENCEYSEDALEVLKSSALMQQIPDKEFILKLLQAYKGCRKINEDNKDYYKYKQDHITRYLSHQTSNNIHKNYNSIYEVWAARLQEYDIKQLILTMPNTFNENPFTTPQKVIKETIELINQTY", "MEIILKEDVVNLGYKNDIVTVKSGYGRNYLIPTGKAVIASPSAKKMLAEELKQRAHKLEKIKKDAEAMAEQLKDVTLTIATKVSATGTIFGSVSNIQIAEELEKLGHKVDRKIIVVKDAVKEVGSYKAIVKLHKEVSVEIPFEVVAE", "MAQQQSEIRYLTPPSVDVKKKKYCRFKKSGIKYIDYKDPEFLKKFLNEQGKILPRRITGTSLKFQRRIAQAVKRARHLALLPFVTDMMK", "MNQYETVFILTPVLSDVQMKEAVEKFKGILTAEGAEIINEENWGLKKLAYPIQKKSTGFYQLIEFKAEPQVIEKLEINFRRDERVIRFLTFKMDKYAAEYAAKRRNVKSTKKED", "MIEQFSFDIQLIFAILNGKVSAAINRKLSRNFRQNGVEITPEQWTVLLFLWEKDGVTQQELCNATFKDKPSMTRLIDNMERQHLVVRIADKRDRRTNLIHLTKTGRELEGKARFIANKTLKEALQGLTLEELKVSQDVLRKVFTNIKD", "MKSLLKNLGLILMIIGAAILVGVFFTGSAAINDNGVLGGSAALIVIGLIVYIVLNKRIVD", "MDEKLRILLCEDDENLGMLLREYLQAKGYSAELCPDGEAGYKAFLKNKYDLCVLDVMMPKKDGFTLAQEIRQANAEIPIIFLTAKTLKEDILEGFKIGADDYITKPFSMEELTFRIEAILRRVRGKRNKESNIYKIGRFTFDTQKQILAIDGKQTKLTTKESELLGLLCAHANEILQRDFALKTIWIDDNYFNARSMDVYITKLRKHLKEDDSIEIINIHGKGYKLITPEVE", "MRFNAFNFAGMKKSTIWVLGIVMGLSFLSLLYLQVSYIEEMVKMRKGQFDESVQRSLVQACRNIELVETKKYLEDDAIATEKAAQLSREQSEEKKGEGSGDVVAHTHEYSITSDGLNGYSTFELKMRFSANRPSNIPKAIISTGKNIPQTSRALQEIIKDRYVYQRALLDEVVYNILYTASDKPLNKRINFKQLDLFLKTELLNNGIDIPYHFTVTDRDGTEVYHCPDYTKEGSENTYPQVLFKNDPPARMATVNIHFPTLNSYIFSSVKFMIPSLIFTFVLLVTFIFTIYIIFRQKKLTEIKNDFINNMTHEFKTPISTISLAAQMLKDPAVGKSPAMFQHISGVINDETKRLRFQVEKVLQMSMFEKQKATLKMKEVNANDLIAGVVNTFTLKVEKYNGKITSNLDAVNPDIFVDEMHFTNVIFNLLDNAVKYKKQEGELLLNVRTWNESGKLYISIQDNGIGIKKENLKKIFDKFYRVHTGNLHDVKGFGLGLAYVKKIIQDHKGAIRAESELNVGTKFIIVLPLLKNE", "MKVYQTNEIKNIALLGNDGSGKTTLTESLLFESGIIKRRGRITAKNTVSDYFPVEQEYGYSVFSTVYHVEWNGKKLNIIDCPGSDDFVGAAITALNVTDTAILLLNGQYGPEVGTQNHFRYTEKLGKPVIFLVNQLDNEKCDYDNVLEQLRSIYGSKVVPVQYPLETGPNFHELIDVLLMKKYSWGPEGGAPTIEEIPDSEKEKALEMHKALVEAAAENDETLMEKFFESESLTEDEMREGIRKGLAARGMFPVFCVCAGKDMGVRRLMEFLGNVVPFVSDMPVVHNTRGVPVPPDANGPTSLYFFKTAVEPHIGGVQYFKVMSGKVHEGDDLTNADRGSKERMAQLFVCAGANRIPVQELVAGDIGCTVKLKDVKTGNTLNGKDCENRFNFIKYPNAKYSRAIKPVNEADVEKMMVILNRMREEDPTWEVEQSKELKQTIVHGQGEFHLRTLKWRLENNEKLQIKFEEPKIPYRETITKAARADYRHKKQSGGAGQFGEVHLIVEPYYEGMPVPETYKFNGQEFKINVKGTEEIPLEWGGKLVFINSIVGGSIDARFMPAILKGIMSRMEQGPLTGSYARDVRVIVYDGKMHPVDSNEISFMLAGRNAFSEAFKNAGPKILEPIYDVEVFVPSDKMGDVMSDLQGRRGMIMGMSSESGYEKLVAKVPLKEMSSYSTSLSSLTGGRASFIMKFASYELVPTDVQEKLMKEFEAKENKDD", "MCFISPKNVERKLENKEKKSNSKDSVTKLCFTADIKRP", "MVGIYLHVPFCKRRCIYCDFFSTTQSERKTAYIHAVCSELEMRKEYLGGETIETIYWGGGTPSQLDKEDFETIFYYLHKNYSISSHPEITLEANPDDLTPDYIAMLRTLPFNRLSMGIQTFNESILKVLQRRHTARQAIEAFQNCRAAGFQNISIDLMYGLPGETLSTWQQDLDQALLLHPEHLSAYHLIYEEDTVLWKLREQHKVEEADEDLSVSLFSTLIDRLTSEGYDHYEISNFSLPGLYSRHNSSYWTEKKYLGCGPSAHSYNGVSRQWNVASLDTYIKGISAGTPVFEIEELDLYTRYNDFVITRIRTEWGMPLSKLRDEFGEKLYDYCLRMAAPHLKQGTLELVNDILKLTRKGIFISDGIMSDMLWTD", "MKYKLALVMSVLCAFSAWTEAKVKLPAILSDGMVLQRERPVKIWGNADKGENVTVVFKKKKFSTVAGEDGKWLVELPPMKAGGPFEMTVNDIRLKDILVGDVWLCSGQSNMELTAGRVTDKFAEEIARDENPMIRYVKIPLGNDLHGPKDDLPGADWMPLTKETAPSFSALAYFFAKEMYRETQVPVGIVNSSWGGSSVEAWMSEEALQKFPRQLHERDLFDSDEYRELCNRSGQMMNRFWDTALYKGDRGLHDGICWNRPELDDTDWQTVDMFSKEWGRKNGYPVSGSHWFRQKVNVSAEQAGKEAVLRLGCMVDADSVFVNGIFVGNTFYQYPPRIYRVPASILKLGENLVTVRLINYGGAASFVPDKPYCLAWGIDTVRLSSRWKYQLGCEMPARTNSVSFQNVPTGMYNSMISPLRNLTFTGALWYQGETNTGRPNEYEELLAAMIIDWREKLADKELPFFIVQLANFMQTHPEPVESNWAALREAQRQVALKVPNAALAVAIDLGEWNDIHPLNKKELARRISLLAKRGVYGDKKSVHNGPMCTGMTVNHEGKAILSFEAGTDKLRVVDELKGFAIAGADGHFQWAEAVVVNGNQVAVWHKDIPHPVTVRYGWDDNPVHANLRNMAGLPASPFQISLGGQ", "MKNIRLTVWIGIMCGWLAAGMLQAGTRPDNARPAEEDGSRLWLPVMRPVEGAEVEVIYKGKVSPTIAVAIAELKNAWKGEPVLLEKKRTGQGDGFAITSSEHQVRILSSTEAGLLYGVYSLLRMQAVGQVTVPLSVTEQSVYDLRILNHWDNPDGTVERGYAGCSLWNWEELPGTLSPRYEAYARANASVGINGTVLNNVNASPQVLATGSLEKVKALADVFRPYGIKVYLSVNFASPIQLGKLDTADPLDKEVIRWWRRKVKEIYTLIPDFGGFLVKANSEGQPGPCDFGRTHAEGANMLADALKPYGGIVMWRAFVYSPTDSDRAKQAYLEFMPLDGQFHDNVIVQIKNGPIDFQPREPYSPLFTAMKQTSMMVEFQITQEYLGFSNHLAYLAPLWEEFFGEVRPDRLKAVAGVANIGTDVNWCGHHFAQANWYAFGRLAWNPSLTSDRIADEWLRQTFTSQPAFVRPVKEMMLQSREAVVNYMMPLGLHHQFAWGHHYGPEPWCSVPGARPDWLPSYYHKADKEGIGFDRSSKGSDAVSQYPDSLRQIYNDEATCPEIYLLWFHHVPWQHQMKSGRTLWGELCHAYDRGVRQVRGFQEVWDSVEPFVDVRRFREVQSKLKIQMRDAVWWKDACLLYFQTFSGMPIPAGIERPVHELEDMKRFRLEISNYECPESGFNK", "MKLKKCVGIFLFSTLCLNVGAIDHKRITFDRLAPDRFTLMENGVANEILVDEQEDAGVMIAVRNLQNDFKRVSGRAAGLCYTPGVKRMIMVGTLKSRYIRELVKAKKIDASLLEGKNEKYLMTVVSAPLNGVNEALVIAGSDKRGTIYGIYELSEQIGVSPWYDWADVPVMPRQNLSMMRGSYTAGEPAVKYRGIFLNDEAPCLTGWVKHTYGTNYGDHRFYARVFELILRLRGNFMWPAMWGWSFYADDPENSKTAHEMGIIMGTSHHEPMARNHQEWVRKRSEYGAWDYASNQQVIDRFFREGMERAADTEDLITIGMRGDGDTPMGGKEGEDDKYVPRDEENMRLMEKIFRNQRRIIKEVTGKAPEKRPQVWAIYKEVQRYYDMGLRVPDDVIMLLSDDNWGDVRRLPDAKERKHSGGWGMYYHVDYVGAPRNSKWLNVTPVQNMWEQLQLTYSYGVDKLWILNVGDLKPMEYPITLFMNMAWNPERYAAGNLLEHTRAFCAQQFGEEQADEAMRILNLYCKYNGRVTPEMLDKDTYHLASGEWRQVADEYVKLEAEALRQYLKLEAAYRDAYRQLILFPVQAMANLYEMYYAQAMNHKLYQENNPQANEWADKVEKAFRRDAELCREYNEEMSGGKWNGMMTQKHIGYTSWNDDFPADRLPEVYWIEQPEQAVGGYLFAGDKGVVSMEAEHYFASSAAPETAWTVIPHMGRTLSGVALMPYTQSAEGASLSYKMKIPQKVDTVNVYVVVKSTLPFLRREGHRYTVGFEGGEEQTVCFNAELNEHPDNVYRVLYPTVARRVVKTRVKLSLPDRADGTYTLVLKPVEPAIVFEKIVVDYGGYEDSYLFMDESPCRRNKLNGQ", "MKKIIIIITCFIGLSGAFAQQREIFHNPVIEADVPDPSMIRVGNYYYLVSTTMHLMPGCPVMRSKDLVHWETISYVFQRLTDLPRYDLKEGTVYGRGQWASSIRYHDGRFYVWFSPNDEPHRGYIYTAEDPAGEWTLVSRPPHHHDASLFFDDDGKVYLFYGTGQLRQLKSDLSDVEPGGIDQKIFERDADEQGLLEGSQAFKHNGRYYVMMISMDWSIPGRLRREVCYRADQITGPYEKKVILETEFQGYGGVGQGCIVDTPDGNWYGFIFQDRGGIGRVPTLMPCRWEDGWPILGDADGRVPECMEMPASGEKCKGSIMGSDDFDTDRLSLNWQWNHNPLDNCWSLTERPGFLRLRTGRVVDNLFLAPNTLTQRMSGPKCSGVVAMDVSKMKEGDVAGFSAFNGLSGVLAVVMENGKKQWVMSHQSVSLSDREKRVTAVEVLEKERIDCEKEVVYLRMEGDFADKKDEATFYYSYDKKTWKRIGEPCKMVFDYTKFFMGSKFAIFNYATKDLGGYVDIDYFEYGN", "MKLECDLSGIRKCMMSGLSLLLAGVLQAQNPIVQTCYTSDPAPMVHDGTLYVYTGHDEDHADFFWMQEWRVYSTKDMVNWTDHGSPLAIESFDWADDRAWASQCIERNGKFYWYVCLHSKLTNTMAIGVAVGDSPTGPFKDAIGRPLYEGSWDFIDPTVFVDDDGQAYLYWGNPNVYYAKLNADMVSLDGEVSKVEQTIESFGSPGPDKREKGKKYKDIYTEGPWLHKRGGTYYLSYAAGGVPEHIAYSMSDTPTGPWKYMGEIMPLQDTGSFTNHCGVTDYKGNSYFFYHTGKLPGGGGFGRSVAVEQFSYNPDGTFPIINATTEGVSPVGTLTPYQRVEAETIAFSEGVKSEWNAKTGVYVSGIHDGDYIKVREVDFEDLSPKCLCVSVASALRGGWIEIRTDSIGGTLIAEMRVPHTGGWECWTSIEADVTVPVTGVHDVYFVFKGRKGCELFHFDWWKFSRQEMTEQEVKDRTQAASTNIPGYEYPRLDEEHCAHFRFYAPQAGRLQVDCCGKKYDMQKDADGFWTVKTDPLVVGFHYYFLIADGVQVADPSSYTFFGCCRMASGIEVPEGVEGDYYRPQQGVPHGQVRSCTYYSEAKKEFRRCMVYTPAEYETKVKKRYPVLYLQHGMGEDETGWSAQGCMQHIMDNLIASGQCVPMLVVMDSGDVKAPFIPRKGKDVNEERTLYGASFYRVMLEDLIPMIDRTFRTYTDREHRAMAGLSWGGHQTLTTTLPHLDKFSYIGAFSGAIFGLDVKTCFDGVFADAGKFNKQVHYLFLGCGTEEQFGTRKLAESLRKIGIHVDYYESQGTAHEWLTWRRCLYRFVPHLFKNRK" ]
[ "CAACTTTATTTCGTGTTAATACTATAAAATAGACCAATAAAACAGATAAAAAAGAAAACAAGAACAATGATTTTTAATTTTGAGATATGAAGCGAAAGTTCTACTTTTGTTTCTCAAACTGAAAAAATATGAAAGCAACATCT", "AAAAAATTATCTGACAAACATCCAGTCATTTAATTAAGTTCACCCTATTACATGCCCTCCTCTTAAAAACGTAAATATGCTATCGTGCAAATAACAACACAAACCAATAATAATGAATATACAGACCATGTTCATGACCCTACTTA", "TTTACAGCTCCTTTTCTTTTTTGATCAAATTTCATCAAACAAAGATACAGGAATAATGCTATAAAAAGAAATATAAAGAGAAGCAAT", "TTCTTTTCAGACTAATACCAAATGTATGGAATAAT", "ACCTTTTTATCTAATTAGCGAGCAAAGGTACATATTATTCTGCTTAAATTCTTTAGAATACCAATAATT", "ATATGTTGTAAAACATGCTACTAATCAGCAAAATAGGTTGTATAACATAAAAAATTAGAAAAAAAGTTGTTCTTTTTGGTACAACGTATTAAAAAAGTGTATACCTTTGCATCGTTTTAAGAAAGCAACATTAGTATTACAGATTTAAAAAGCAAAGAAA", "TTCAGTACGAACTCTAGAGAGAATTGAAAGTCTGCCGTGCGAAAGCACAACAGACTTTTTTTATGTTTTTACCTCTCCCTTTCTGCCAGCATTCCATTGCTTTACAATACAAATTATCTACTTTTGTTTCTCGAACCACAAACAAAAGCAGAAT", "AAAGAAAAAGCCCGGCTCTCACGAACCGGGCTTTTTCAGCATCATTATACTTTAAAGTATTTACAGATT", "ACTTATTCCTCCTTA", "GA", "ACTACGTTTATTAAATTATTAAATTAAATTTCAAATGCGAGTGCAAAAGTAGATATTTTATCTTAATCTACAAAGAGTTATCGCAATTTTCTTCAAAAAATATAGTTTCATTTTACAATTAATTTTCCTTTTTATATTTTTTATCTGTGAAAAACAAGTTATATCCGCCAAGAATTGTATATTTGCAAAGTTGTTTAAACAATAATTCC", "AGTTTTTCAAGGTTTTCATCTTTTTTTCCTAAAATAATTTCCATGATAAGAGAATTATGCTTTAATTTGTACACAAGAATAAATATAATCTATTAATAAAATACACAC", "AGTATAAGAGAACAAAAATAAAAAAGTCCGAAACAAATGTTCCGGACTTTTTTATTTCATCTATTTCACTCAGCC", "A", "CACACAATAGATTAACCAAATTTAAACGGCCATTCTCTATAATTAACCGAAAAAGTCATTTAAGCTAAGATTCTGACTATTATAACAAAATTTACGCCAATTGGTTTGCAAAAATAATAAAAAATTAAGTTCAAAGCCAAATAACAAACCTAAAAGTTTAAGTTACCTTAAATAAAAAGAGACGCATTTTTAACGAAATACGTCTCTTCTAAGTACGAAATTTTACACTTTA", "GATATCTAAGGTTTAATTATTAACAAACGGAT", "GCTTATGTCTTCACATTCTTGGTGTTAGTAGGCTTTAATTTGTCTGATAAGCCCTTTTTTTATAGCTTTGCTGAAAATTTTAAATTT", "AAAGAATCATCATTCAGGCTCACCTGCAAAATCAGAGAGGAAGTGTGCATAAAGGATTGGTACACTCACGGAATATAACCTCAACAGGCAGTGTACTAAATAATTCATATCAACTCATTTAGTACACTATCATTCCTTCCCCCAACATTTGAA", "GTTTCTTATAAAGTTAAAAACCGTAAT", "TCTATTCACTTT", "TTCTTTTT", "ATTCATGGTATTAAATTCACAAGGCTGTTTTTACGTTCCGTTCTTCACTGCTCAACAAGAGCAAAGGTAAGGACATTCGCCTTATATCGGAGTGTAACCATGTTACATAGTCCTTTTTCCATGTTACAAACCTGCCCGATATGGCACAAAGTACCGAAATTACTTCCTTATTTATTAGGCTATATATTCTTTTTTGAATTATTTTTGTGCACCTGAATTTACTGGGATATTTATATT" ]
[ true, false, false, false, true, true, true, false, false, false, true, true, false, false, false, true, true, false, false, false, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 36, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 64, 66, 68, 70, 72, 74, 76, 78, 80, 81, 83, 85, 87, 89, 91, 93, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 170, 172 ]
[ 1, 3, 5, 7, 9, 11, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 65, 67, 69, 71, 73, 75, 77, 79, 82, 84, 86, 88, 90, 92, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 171, 173 ]
[ "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122278|-|4339:6141", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122279|-|6183:8081", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122280|-|8269:9240", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122281|-|9253:10374", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122282|-|10414:11952", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122283|-|12235:13026", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122284|-|13117:14505", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122285|-|14502:15137", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122286|-|15220:16260", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122287|-|16310:18229", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122288|-|18276:18929", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122289|-|19005:20300", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122290|-|20303:20845", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122291|+|21045:21785", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122292|-|21858:22832", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122293|-|22846:24648", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122294|-|24657:25232", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122295|-|25399:26562", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122296|-|26653:29829", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122297|-|29826:31313", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122298|-|31300:34380", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122299|-|34385:35452", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122300|-|35505:37154", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122301|-|37157:39064", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122302|-|39079:39834", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122303|-|40397:40522", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122304|-|40675:40893", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122305|-|40920:41918", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122306|-|42078:42302", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122307|-|42544:43410", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122308|-|43414:43635", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122309|+|43889:44887", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122310|-|45647:46726", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122311|+|47023:47811", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122312|-|47794:48678", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122313|+|48785:49567", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122314|-|49642:51210", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122315|-|51217:52074", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122316|-|52089:52631", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122317|-|52703:53362", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122318|+|53513:57217", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122319|+|57295:61311", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122320|+|61316:61864", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122321|+|61861:62433", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122322|-|62720:64219", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122323|+|64301:67102", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122324|+|67348:68379", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122325|+|68436:70742", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122326|-|70852:71343", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122327|-|71362:71583", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122328|-|71570:73000", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122329|+|73079:74758", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122330|-|74817:74966", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122331|-|75107:76105", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122332|-|76108:76701", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122333|+|77939:79108", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122334|+|79351:80466", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122335|+|80503:81321", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122336|+|81410:82645", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122337|+|82778:83818", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122338|+|83824:85209", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122339|+|85226:85993", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122340|+|86056:86619", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122341|+|86671:87573", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122342|+|87582:89621", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122343|+|89642:89752", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122344|-|89799:90572", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122345|-|90694:92802", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122346|-|92825:94015", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122347|-|94046:95389", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122348|-|95400:97286", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122349|-|97320:97703", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122350|-|97893:98180", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122351|+|98478:98783", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122352|-|98755:98883", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122355|+|99732:100118", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122356|+|100250:102100", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122357|+|102103:103317", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122358|+|103443:104636", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122359|-|104814:104981", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122360|-|105099:108077", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122361|-|108123:108965", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122362|-|108977:109756", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122363|+|109954:110307", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122364|+|110462:110680", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122365|-|110762:115048", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122366|-|115129:115443", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122367|-|115481:116896", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122368|-|116909:118816", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122369|-|118813:120201", "2510065017|RJ2H1_RJ2H1-contig-003.3|CDS|2510122370|-|120265:122616" ]
[ "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000004|+|6142:6182", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000005|+|8082:8268", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000006|+|9241:9252", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000007|+|10375:10413", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000008|+|11953:12234", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000009|+|13027:13116", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000010|+|15138:15219", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000011|+|16261:16309", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000012|+|18230:18275", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000013|+|18930:19004", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000014|+|20301:20302", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000015|+|20846:21044", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000016|+|21786:21857", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000017|+|22833:22845", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000018|+|24649:24656", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000019|+|25233:25398", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000020|+|26563:26652", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000021|+|34381:34384", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000022|+|35453:35504", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000023|+|37155:37156", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000024|+|39065:39078", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000025|+|39835:40396", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000026|+|40523:40674", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000027|+|40894:40919", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000028|+|41919:42077", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000029|+|42303:42543", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000030|+|43411:43413", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000031|+|43636:43888", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000032|+|44888:45646", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000033|+|46727:47022", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000034|+|48679:48784", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000035|+|49568:49641", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000036|+|51211:51216", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000037|+|52075:52088", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000038|+|52632:52702", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000039|+|53363:53512", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000040|+|57218:57294", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000041|+|61312:61315", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000042|+|62434:62719", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000043|+|64220:64300", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000044|+|67103:67347", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000045|+|68380:68435", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000046|+|70743:70851", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000047|+|71344:71361", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000048|+|73001:73078", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000049|+|74759:74816", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000050|+|74967:75106", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000051|+|76106:76107", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000052|+|76702:77938", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000053|+|79109:79350", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000054|+|80467:80502", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000055|+|81322:81409", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000056|+|82646:82777", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000057|+|83819:83823", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000058|+|85210:85225", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000059|+|85994:86055", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000060|+|86620:86670", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000061|+|87574:87581", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000062|+|89622:89641", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000063|+|89753:89798", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000064|+|90573:90693", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000065|+|92803:92824", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000066|+|94016:94045", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000067|+|95390:95399", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000068|+|97287:97319", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000069|+|97704:97892", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000070|+|98181:98477", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000071|+|98884:99731", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000072|+|100119:100249", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000073|+|102101:102102", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000074|+|103318:103442", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000075|+|104637:104813", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000076|+|104982:105098", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000077|+|108078:108122", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000078|+|108966:108976", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000079|+|109757:109953", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000080|+|110308:110461", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000081|+|110681:110761", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000082|+|115049:115128", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000083|+|115444:115480", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000084|+|116897:116908", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000085|+|120202:120264", "2510065017|RJ2H1_RJ2H1-contig-003.3|IG|IG_000086|+|122617:123693" ]
[ "MKNAMNFRPVGLMLLFCLIPLWAFAQSVMVKGVVKDTSGEAIIGASVLEKGTTNGTITDFDGNFALNVSKNAVLVVSFVGYKNEEIPVAGKSSLKITLKEDSKALEEVVVIGYGSARKSDVTGSIASVGGEKLREMPATNITYALQNRVAGVDMSQTSSAPGASMQIRIRGTRSLNASNDPLVVLDGIPFMGNLSDINPGDIKSMDILKDASSTAIYGSRGANGVILITTHKGAQGSPARFTYNGYAGMKKVFSKYPMMNGSKFAEMRKLAGKFENSVDESDDVDTDWQDLMLRDGYVNSHDVSVSGGTNGGGYSFGAAYYKDQGVIPTQNYTRYSLRGSFDQGVGKYFRFGLVNNTNYNVTKGSNIGLYGVLSMSPIADPYNADGTLKRTVKYNSQDESFVLTRGVVEELEDSWLSKTEGFGTYNNLFAEVKCPWMEGLKYRVNLGLNYRSTKGGSFTGEGINSTTADTPSTASLNHSETTNWTVENLLTYDRTFGKHQLNVVGMYSAEQTVYTRSDVAGRDIPAEYFQFYNIGRAEGTITVNPDNWNYQKSGLMSWMGRVMYTYDNRYMLMATVRADASSRLAKGHQWHTYPAVSAGWD", "VYCNASKDSCNTFEARCNSTKDACIMQRIYIRRHFLYLRLKSVKELVNYNTRKTMKQKQKLKRWSGVLIALGVLGSSFTSCSSIKKGNDLPVVGKSVALFDYFNYKGEDDVYISNPLPGDDYFYNPILPGWYSDPSICTNGEGDYFLAVSTFTYYPGVPLFHSKDLVNWKQVGHILNRPSQLVNMEGQHVSGGIFAPAISYNPHNKTYYMVTTNVGVGNFFVKTQDPFGEWSDPIMLPEVTGIDPSFFFDEDGKAYLVNNDDAPDNKPEYSGHRTIRVQEFDVNADKTVGPRKILVNKGARPEDKPIWIEGPHLYKINGNYFLMSAEGGTAGWHSEVIFRGDSPTGKFTPWKNNPILTQRQLDAERPNPVTCAGHADLVQTREGDWWAVFLACRPINNTFENLGRETFMMPVKWSEDGFPYMTQGDDLVPVIVRREGVKRDESATFGNFEMNDGFDGQTLGMEWMTLRAPATGLYSLSQTPGYLTLKCDSVSASEKKVPAFICRRLQHHKFECSTRMLFCPQSKAEQAGILLFKDEKHQYFLAVGRDDQGECISLRQIGDGESKVLASVRLDDGGVLTDLKVVSRGTHYDFYYARQEGVWYVLCRNVDAGYLSTATAGGFTGTTIGMYATLK", "MEKKFRYLVPGDYMADPAVHVFDGKLYIYPSHDRESGVEENDNGDHFDMCDYHVFSTEDVMNGTVTDHGVVLKVSDVPWAGRQLWDCDVACKNGNYYMYFPLKDRNDIFRIGVAVSDCPEGPFIPQPDPMRGSYSIDPAVFDDGNGNYYMYFGGLWGGQLQRYRNNKALEWAAFPADGEPALPSRVVKLSDDMLQFAEEPRPLVILDEHGHPLSAGDNARRFFEASWMHKYNGKYYFSYSTGDTHLLCYATGDNPYGPFTYQGVILQPVVGWTTHHAIVEYKGKWYLFHHDCVPSGGTTWLRSLKVCELEYDAEGKIKTIESV", "MKIRYVTLLAAIAGLMAACGNDRKVEPDPSLKEAASGKFLMGVALNVRQAAGQDTCASKVVKRHFNSIVAENCMKCEVIHPKEDRFDFTEADRLVRFGEENDMAVIGHCLIWHSQLAPWFCVDEQGKTVSADILKERIKKHIQTIVTHYKGRIKGWDVLNEAIESDGSWRKSPFYEILGEEYIPLIFQYAHEADPEAELYYNDYGMDGKAKRDKVVELVKMLKDRGLRIDAVGMQGHMGMDYPSVSEFEASILAFAATGVKVMVTEWDMSALPTARMGANISDTVSYKQSLNPYPDGLPDSVSVAWNNRMKEFFGLFLKHSDIITRVTVWGVTDGDSWKNNFPVPGRVDYPLLFDRDCQPKPFVEELIGKHNI", "MDGITFYGRYFNVITMNTQSQKVSMAEKIGYSLGDCSANLVFQMMMIYQTKFYTDIFGLEGAIAGTVMLVARITDAFVDPTVGLLSDRTKTRFGKYRPWVLWTALPFMVFYVLAFYNPGIEDKGLVALYATLSYTLLMSLYSFNNTPYASLGGVMSGDIKERTSITSIRFVAATIAQFVVQGLTLPLVSKFSDGGDKAHGWLCTISLFACIGFVFLVITFFSTRERIEPPASQKTDTRQDIKDVFGSVPWRAMFILTLFIFITLAMWGSAMNYYFENYVDAGALYAFLDRLGLVAMETDGSAGYAVLNAFGLVVNSSDKAYEVGFGVFNMLGALVQFFGVILLSGFLANRYGKKRVFIVCLSLTAIFTALFYFPGKADVETMFVLNFLKSLAYAPTVPLLWAMIADVADYSEYKNYRRATGFVFAGVVFALKAGLGIGGAILGFLLSGFGYVSGIGAEQSGTAIRGIVLSSSLIPAMIFGMGVAALCFYPITKKYNEQMQAELADRRSKAGY", "MRKSLLFVWACMGLVCLMSACKTSVKSKEWKLVWVEDFDQKNSFDESVWTKIPRGTSDWNNYMSYYDSCYAMQDGNLILRGIANHTQKNDTAPYLTGGVYTKGKKLFTGGRVEVCARLQAAKGAWPAIWMLPEKAEWPKGGEIDIMERLNHDHIAYQTTHSYYTHILGIKDNPPHGGINKINPEEYNIYSVDIYPDSLVFAVNHRHTYTYPRIDTDKEGQFPFYQPYYLLIDMQLGGSWVGAVDPKELPVEMWVDWVKYYEKR", "MTLIKSISGIRGTIGGHVGEGLNPLDIVKFTSAYATLIRKTTTVKSNKIVVGRDARISGEMVKNVVCGTLMGMGFDVVNIGLASTPTTELAVTMEGACGGIILTASHNPRQWNALKLLNEHGEFLNKEEGNEVLRIAEAEAFEFADIDHIGSYREDNTYNQKHIDSVLALKLVDVDAIRKANFRVAIDCVNSVGGIILPELLERLGVKHVEKLYCEATGDFQHNPEPLEKNLGDIMGLMAKGGCDVAFVVDPDVDRLAMICEDGKMYGEEYTLVTVADYVLKHTPGNTVSNLSSTRALRDVTRKYGCEYNASAVGEVNVVTKMKATHAVIGGEGNGGVIYPESHYGRDALVGIALFLSHLAHEGKKVSELRATYPPYFIAKNRIDLTPETDVDAILAKVKELYKDEEINDIDGVKIDFPDKWVHLRKSNTEPIIRVYSEAATMEAADEIGQKIMDVVYSLAK", "MKKLGILFASVFLLGLVFQSCNNGKTYAEMKEEEREAIKRFIEREDINVISFEQFQEQDSTTNVDENQFVLFSETGVYMQIVEEGNGERLKDGRYEILVRYVEEQITSDGIDSLSWNTDYGNSQMVYPDAMMLTKSGKSFSATFTSGIMYTVWGTPYVPSGWLIPFNYIKVGREISGRSKIRLIVPHSEGQSDASASVYPCYYEITYQLAR", "MLSKVIAQANIDHAEKWFERADKIVIVTHVSPDGDAIGSSLGLWHFLESQEKTVNVIVPNAFPDFLRWMPGAKDIIRYDKYTEFANKLLNEADVICCLDFNALSRIDAMADAVAQSPARKMMIDHHLNPEAFCRIIISHPEISSTSELVFRLICRLGYFEDITKEGAECIYTGMMTDTGGFTYNSNDREIYFIISELLSKGIDKDEIYRKVYNTYSEGRLRLMGYVLYDKMQVFPQFNSALVWLTKEEQSKFQYVKGDTEGFVNIPLSIKNIIFSVFLREDTEKNMIKVSLRSVGAFPCNKVAAEFFNGGGHLNASGGEFYGTMDEAIDLFKQALVKYEELLLAKK", "MFRLTIPLVAGIFVSDHFFQGALPVLVSGTGILGCLIGLIVLMKWQGYLSRWLFGGMVFMFLFCVGALLLQQKWQRVDYEWSSGKNMYQGVIVDVPQEKAKTYLCKLRIDKEMSERGMVPVNRMILVYIMKDSLSVNLRCGDHLNFYTRISTPEREVIPGEFDYAAYLFRQQISGTAVIFPGYWQWTGKKSALTWKQRAGVWREKILDSYRKWGFSGDEFAVLSALTVGYKEELSEDLRETYQVAGVSHILALSGMHIAVLWGLLCWILRPLDRSCLLRWVKCGIIVLLLWTFAFLVGLPPSVIRAVVMCMLMTAARAAGERTLSLNTLSVAAFFMLLYNPFYLFDTGFQLSFLAVLSILFIYPVISRCWRVRHPVPRYIWGIVAVSLAAQLGTAPVVIYKFAYFPVYFLPANLIVAPLVLVIIYGTVASFVLSPFTVLHIWVVKGLNGVLRLLNDSMQWVGDLPVSHSGDIHLSLLQVGILYVLLFVVLSYLLSPSRKSLITVLCGINLFIGFSGCLYYMKEESFQLILAHSQVKVSPQKDVWQQDSIYHYKGMNICVLVDNRWRSRSVDSLLDIDYMYLCKGFKGKIAPLQKIFKIRKVILDASLGGYRLNLLKDECRGLGLDYIDMSPKGSYRILL", "MNRPLISPSLLSANFANLQADIEQINRSDADWLHIDIMDGVFVPNISFGFPVLKYVAELSEKPLDVHLMIVNPEKFIKEVKDLGTMMMNVHYEACVHLHRVVQQIKDAGMKAAVTLNPSTPVAMLADIIRDVDMVLLMSVNPGFGGQKFIVHTLDKVRELRELITNTGSQALIEVDGGVNLETGRQLVEAGADVLVAGNAVFKAPDMSDMIHRLKSL", "MKEKDKWIKNFRTRMEGYSEPAPADLWEQLEKELDTVPKVIPMWRRWQAVAAVALLVVVSSLTVWFWQSPSANYLEKQSAELNVMHEPDELAPGSITPEQPMALVVPAARSGKKQHVKVGGVAKAEALAAEQEVLLNKEVEEIQIEENYIEEQKEESVIVEQKQQKQAGRSSYSAAKTNYAYVAPHRKKDRNWSVGLSTGNGTFSSSTSMDGYLPLPNGTRNATMNSAYGVETRAEIDKLVQFNNLSEGKDAQSDIKYRMPVTFGASLRFDLSDDWAVETGVTYTQLSSETRSGTEKNNYGWEEKLHYVGIPLKVNRNIWSNKRFEVYASAGGAVEKCVSGKRSIIGSVSTSNAGKDEQFSGGEENVKVKPLQWSLSAAAGAQFKITEKLGIYAEPGVVYYFDDGSNVNTIRKEHPFNFNIQLGVRFTLPK", "MNETELVERCGKGDNLARKQLYERYAGQLMAVCVRYTGDREVAQDVLHDGFLNIFRSFSQFTYKGEGSLKAWLTRIMVNEALGYLRKKASTNQEVIVEELPDVIDGDEDDFEQIPQSVLMQFIKELPDGYRTVFNLYVLEEKGHKEIAEMLGITEHTSSSQLYRAKTLLMKKINDYRKRI", "MLIPFTQSCLNDYDDDIYDIQAEMPFNAALATVVTPSEVPGEAVIESDNDGIAYVVNPDKLTRFETNNPGQRIFYTYINAANPTGDASKKGPFISIDYLQKILTKPMDTLKENDEDIYGHDGINLITPIMGKTHLTLMFQILGFNSNIKHRISLVATEGTVPDANGYMAVELRHNAEGDRQEYPSSGYVSFPLLDVPGYKEGKLQGFKIKMNTINNGEETVTVSYNKSKSTNFPFIQKGISNTKTK", "MEKKDLRIVYMGTPDFAVESLKRLVEGGYNVVGVITMPDKPMGRHGSVLQPSPVKEYAVSQGLRILQPEKLKDEAFIEELRSLQADLQIVVAFRMLPEIVWNMPRLGTFNLHASLLPQYRGAAPINWAVINGDTETGITTFFLKHEIDTGEIIQQVRVPIADTDNVEIVHDKLMYLGGDLVLETVDAILNGSVKPVPQESLIRQETELRPAPKIFKETCRIDWNKGVKQIYDFIRGLSPYPAAWTELCVADGTRQVLKIYETEKVFASHEMNIGDIRTDMKTYFQVAVKDGFINVLTLQLAGKKRMNVADFLRGYRTSDNNKVE", "MDAIIDENKKSWLQRFILWREKKIKEKHFILILSFLAGIFTALAAWFLKFLVEWIKEFLTENFDSTGVNWLYLVYPVFGIFLTGLFIRYIVKDDISHGVTKILYAISRRQSRIKRHNTWSSVIASAITIGFGGSVGAEAPIVLTGSAIGSNLGSVFKMEHKTLMLLVGCGAAGAVAGIFKAPIAGLVFTLEVLMIDLTMASLLPLLVSCVTAATVSYILTGPDAMFKFHMDEPFLMERIPSAILLGIACGLVSLYFTRAMNSVENIFRRYSNPYIKLAIGGAMLSILIFLFPPLYGEGYDTINLLLNGVTNHDWNTVMNNSIFYGFDNLLLVYLAMIVLFKVFASSATNGGGGCGGIFAPSLFLGCITGFIFAHFCNELHVGPYIPEKNFALLGMAGLMSGGMHAPLTGIFLIAELTGGYDLFLPLMMVSVSSYLTIMIFEPHSIYSMRLAKKGELITHHKDKAVLTLMNIDSVVETDFEKVRPDMDLGEMVKVISQAKRNLFPVVDVNGELLGIVVLDDIRNIMFRQELYHRFKVEKFMISPPARINVTDSMEEVMKKFDDTKAWNLPVINEEGKYKGFVSKSKIFNSYRQVLVDFSED", "MNGDFREDLKRACEVMQKGGVILYPTDTIWGIGCDATNPEAVKRVYDIKKRADSKAMLVLVDSTVKVNFYVSDVPAVAWDLIEFTTKPLTIIYDGARNLAENLLAEDGSVGIRVTAEEFSKQLCFRFRKAIVSTSANISGQPSPANFSEISEEVKQAVDYIVEYRQDEVGHPKPSSIIKLGKGGEVKIIRE", "MLTQIINGKIFTPQGWLDEGSVLIRDNKILEVTNCDLALIGAKLIDAKGMYIVPGYVCMHAHGGGGHDFNECTEEAFRAAIKAHQKHGATSIFPTLSSSPFSEIRKAVTVCETLMNEKDSPVLGLHVEGPYLNPKRAGEQFAGKLKNPDKEEYTSLLESTDCIKRWDASPELPGALEFARYLKSKGILVAVSHTESEYEGIKAAFEAGFTHTAHFYNGMPGFHKCREYKYEGTVESVYLTDGMTIELIADGIHLPATILRLAYKLKGVEKTCLVTDALAYAAADGMEITDPNVIIEDGVCKMADHSSLAGSIATMDVLVRTMVKAGIPLADAVRMASETPARIMGVDDRKGALQKDMDADVLILDRELNIRAAWAMGRLVEDTNTLF", "MSNPRNLSSFTLIVTFVCLSLIGVVLVPLLPVKLAPSRTLPGLTVSFSMPGNSSRVIEAEVTSKLEAMMARVKGIRKVNSTSDNGSGSISLELDKHADIDVTRFEVSTIIRQTWPQLPEGVSYPQISTRRSDDKASRPFITYTLNAPANPILIQQYAEENIKPVLGQLKGIYKVELNGATPMEWLLEYDSDQLSRLGITLQAVQRAINRHYEKEFLGICSIEKGAEGREWIRLVRTSTEKEMEFEPGAIQLQAEDGTMVTLDKLIKVRHVEERPQSYYRINGLNSVYLYITAEETANQLNLSGEVKHLMGELQQKMPPGYEVHISYDATEYIQKELDKIYFRTGLTVLILLLFVALITRNLRYLFLIVTSLAVNISVAVILYYAFGLEMQLYSLAGITISLNLVIDNTIVMTDHILHRRNLKAFVSVLAATLTTIGALVIIFFLDEKIRLNLQDFAAVVIINLAVSLFVALFFVPSMIDKIGLEKKKRRKRRRFLLRPTFMKRLTVYFTRFYQGVIYYLCRFRVIACLLLLLGFGLPVFMLPEKMEGEGKWVEYYNKVFDNPTFKDKVKPVINKALGGSLRLFAEKVYEGSYFNRDEGEVVLSVYATLPNGSTLEQMNVLIKRMETYLSDFKEIRQFQTYIYNARQANIQIYFTKENQRSGFPYTLKANIISKALTLGGGSWSVYGLQDQGFSNDVRESAGSFRVKLYGYNYDELSYWTEQLKEKLLLHRRIKEVTVNSEFSWWKDDYSEFYLDLDRLRMAKEHITATQLFAALRPVFGRDIYCGNVLFDNQTEQLKLSSLQGQQYDVWGLVNIPFFINGRSYKLADFATVQKGQSPQKVAKENQQYRLCLQYEYIGSSEQGKKLLKKDLEEFNKILPMGYTAENEQDYWSWNKKDNKQYALLLIVIAIIFFTTAILFNSLKQPLAIIFVIPISYIGVFLTFYLFGLNFDQGGFASFVLLCGITVNASIYILNEYNAIRKRYPLLLPVRAFTKAWNSKILPIFLTVVSTILGFIPFMVGDGKEAFWFPLAAGTIGGLVMSILGIFLFLPIFSLKKQKR", "MKYISIWMVGCMLLSLGAKAQQVVKLDLQRTIEIANDSSLSAFRYQNLYLSGYWEYRTYKANRLPSLTLDLTPAKYYRYITQRYDSNEDMDVYREQQMFSASGGLSIKQNLDWTGGTFYIDSELDFMRNFGDSKSTQYSSIPVRVGYQQSLLGYNAFRWDRKIEPLKYEKVKKQFIYNTEMVSEEAVTYFFALAMAQADYRLAEENVASSDTLYSIGLQRHKIAAISRADLLTLQLDKVNAHNTLQNAQIALKRAMFSLASFLNLDKNTVIELDIPGRPTGKMIPVDDALMRAKENNPTFLEQRQNVLEAEQNVDKTKKESRFNASFNASVGFNQVADKLGDAYRHPLQQDLVSVSVSIPLIDWGVRKGKYNMARNNLNVVRIAARQEELSVEEEVIMTVNDFNIQQSLIASAEEALDLAVMAYEQTRQRFIIGKADVNSLTLSLNRQQEAQKNYISALQNYWLNYYKIRKLTLHDFESGFSLADKFDFNTGIYR", "MVKFLLQRPIAVLMAFTACFIVGLVTYFSLPVSLLPDIAIPQITIQVTGENSSARELENTVVTPVRRQLLQVAGLREIKSETRDGAGVIRLEFDFGVNTDLAFIEVNEKIDAAMNSLPKEVTRPKAIKASATDIPVLYVNMTLKNDGAYQETDEQQFLELCELAENVVKRRIEQLPEVAMADITGVPGRLLQIVPDKDKLAMTGISVEDIENTLSANNVEPGSMLVRDGYYEYNIRIATLLRTPEDVKNIYIRKGERIMQLKELCKVDIVSQKEMGRSVAGGKRAVTLAIIKQSDENMDVMKEKLKETTDYFASLYPDIEFSVSRNQTELLDYTISNLQQNLSLGFLFIFIVAVLFLGDVRSPLIIGISMVTSIVITFFFFYFCHVSLNVISLSGLILAVGMMIDSAIIVTENISQYRERGYSLKRSCAVGTTEMITPMLSSSLTTIAVFVPLIFMSGIAGAIFMDQAFSITVGLMISYITGIMLLPVLYLLFYKVGIRSKGFLSRRFDNLLKNEWLESFYDKGIDWVFSHKKLCVTGTLATLPLCVFLFYVMEKERMPQIDQNELVARIEWNENIHVDENNRRVDDLMKQVDDRVTEHAAYVGMQDYILNGGSELSSTEAELYFKTEKPSGIYSLQELLEKEIREKYPLAVVTFSPPETIFEKLFVTGEADVVAELHTANKSQAPDAEHLQRLEKEITRVAGNVPTGIAFRNQMNLIINKEKLLLYNVSYDELTRVLRTAFKENKVSVLRSYQQYLPISIAGEEKSVNSVLSETLVRTMADGNGEVNHIPLNNLVTVVPAEDLKSITAGKNGEYIPLSFYDVKDAPELMRNVKEVVSEEKEWEVDFSGSFFSNEKMMGELTVILFVSLLLMYFILCAQFESFLQPLIVLMEIPIDTAFALLALWVFGHTLNLMSAIGIIVTCGIVVNDSILKMDAINELRKAGMPLVEAIHTAGRRRLRAIIMTSLTTVFAMVPLLFTSDMGSEMQKPLSIAMIGSMVVGTLVSLFIIPLIYWFIYRKHDKNEVH", "MKLHIFASGLLLAVSFTACSGEKKETTEKEGVETVLPSLPNEVTVMPLKKQVFNHELISNGKVTAQDYADLYFRTSEVVANIWVKNGDIVRKGQKIAQLDLFKLNNTLVQNKNSLAQATLEMQDVLIGQGYAPDNLKVIPADVLELAKVKSGYEQSKAQYESAQYDMEQATLTAPFDGVIANLFEKRYNMPKTSEPFCRVINTGNMEVDFTVLENELPLLKVGDKVEITPYASAAGVRQGSISEINPLVDENGMVRVKARVNGSNKLFDGMNVRVSVKRSVGEQLVIPKTAVVLRSGKQVVFTLKEGKAMWNYVHTGLENMEEYTVTDGLEEGMEVITTGNVNLAHEAPVRVIKN", "MENKWSKYGMALVAGIPVALCLSVVCCGTSSLPADILEDDWRWMYGCGVLSLAGLALLVLLFPKRVKECLSAVVSWVFILYGGIEAVWGIRQVYGFTYSNHSLYALTGSFYNPGPYSGYLAMIFPVCLHEWLERKEHKKTVPYYIAIAGMLLILCVLPAGMSRSAWIAAAVSFIYVCGMHYKMEIQHYIRHHRKQAVSFAIVTFILGGIALGGIYQMKKDSADGRLFMWKIAAQAVSEHPWTGCGWNSVPAAYGQAQENYFAAGNYTATEELVAGAPEYVFNEYLQVAIAWGIPVLCIGLLILGGSMYIGHKQGIYGLCGALLSLAVFAFSSYPLQFPAFVSALIISVLACSIRVLPLEKVWFRLLFTILLLIGSYGCFCKYQQKSKTVEACKQWTKSRMFYHSGAYQQAVESYAEIQKEMKGNARFMFEYGHALHKLHKPEISNKVLKEALKVSGDPMILNIIGKNEQEMKHYDSAEYWFMRAVHRLPGRIYPYYLLAHLYAEPAFYQCDKLEQMVQTVLEKEPKVQSTAIKQMRRKARELLKKVPEN", "MKYCIVSIMIICSSFLSVSCTDKALEDSLKLSGENRAELERVLLHYKDNPKKKKAAEFLIRNMKWCHAEDSPFMDIYYKQVDRLQANDSIYAEEMIAFYDSIYKPEWFQNMTVTFDLCTMKADYLIDHIDRAFQAWQSPWAKALSLDEFCEYILPHRLGNEPLEPWMAMYQKTFKSVADTMYNRKVDELYEVISWMVVGHRYYTPSYVPDLRPSSLLGIKVGACPAYTALGRYIYRSIGVPVVSDFTPNWANHAMGHEWISIMADGKCYPIMPGSPCRFGNHIKGGSYRMSKAYRNTYGDQGGLIKDEEDIPPFFKNRRIIDVTNQYIETTDVELADCFDTETNTHYAYLSVFDLRDWKVVAYGAKKGAGYVFKDMARNAVYLPVFYSKGNYTPAYYPVKVDEKGRVSYLNPDVKHKRRVVLTRKFMDMNPKKWIKAIIGGYFVLSREAAFANADTIHIDLLKECNYQTVTLNKAYRYMKYVPPVKTEGNMAEIELYDEKGQKLAGKVIGNYRPERMDAMETMKRAFDGNVLSSPKTVKTQTDAWVGLDLGRVVSVSKLVYLPRNDDNFIKEGELYELFYWDREWKSLGRQVGSRQLQYLEYDNVPDNALLLLRNLTKGKEERIFTYEDGKQVWW", "MEPALFAGDNILVNKWVMGGRLFDIWDASEKKNVEISRLPGFGKVKHNDVLVFNFPYPGRWDSLGLNLKTYYVKRCVAVPGDTFEIRNAHYKVRGYTETLGCVASQDRLQQLQLAGEERNWGIVMRAYPNDSLVNWTIKEFGPFYIPAKGTSVKMNTVNRILYKNAIEWEQKKKLVQQGDSFLLNDSIIQEYQFKEDYYFVTGDKVMNSKDSRYWGLLPKKFIVGKATLIWKSVDLDTDEIRWNRVFKRIE", "MVAFVRTMITKSVNKLILFLFVICVIGIVWITNPSDYFSFI", "MKSKKMFLSLVAIIFSIFIGYNIYSVENSQQTFDVSFLNIEALASGESSGNCPEYGSGCLDGVWWPSKREKW", "MRNILCVTLIALLGGCTSTSVTEKYQDKRNDIINVKDKVIEFETGNVLIGSVSRLYMSNKCLLIADHKSVDKQIHIFNKNDFSYLTSIGNFGEGPEEITVMGCLAIDEAHHQLYVSDHAKQKIFSYDMDSVMVDSLYKPQVKTAMNETLFPSEYQYINDTLSIAVLIKPTSTSTFNQFLGRWNMNTGEMIPMKYTHPDIQKKRITFAASEKAGIYVECYTRHDLMTICSLDGELLYNIYGPDWDGGNGNKLQSFGTVMIGNDKIFVSYCGEDYARDSFPTKILVFDLEGNYLKTLETGYKISDCCYDSFNNRIILSLNDVVQFAYLDIDGII", "MKKNILKATLVVAFALIAGMNVYNAQQSDIMSDLVLANVEALARNEISNCDNGCWDNGSGCLCGVWYPGWKEAQ", "MRYLCLLLCVFALFPSCKESEKDKIARLVEEWEGKEILFPTHSIFTIQGKDTVDFSLADADYKVVTYIDSVGCTSCKLQLPRWKLFMQEVDSTLNRPVPFVFYFHPKDMKELRYITRRDAFIYPVCFDEKDDFNRLNHFPDEMTFQTFLLDKDNKVAAIGNPVHNPKVKELYLKVLTGGEVVKAETPITKVSLDVTSIDFGSFPQSEKQERKFTLTNTGQHVLVIYDVITSCGCTKVNYNKEGVRPGEKAELTVIYEAEKAEHFSKTVTIYCNADNSPLRLKVTGNAE", "MKKVFVLFTVVMLMAVMAWEKQSGKPVESEFLLDNVEALAAGESGYDTCLGSGNVTCSTGEKVECVIRPFGLD", "MKIPTNLFKFSFIALGWIVFILLCYVAFKDEKTNVLITMKDSLREAINIDYQERLNKILIHYRPLGRKVKGVQIEYGDSLEIIYFEDSTHESLATQLANQYVMTRIIPVNPDNFNKIFQEEWEKNGVSAAKTGIIYRYNKKKVFSDNDSISFQKALVTPVQAIDAKRTAGVQAWAMIHWTEIVKHTTPKVLWSIIAYFIVLIWVSLSFLKKPQKKEPPANPDCIPCEEIPDNPDYIQLGKMTLKLESKKLYIGNRLCHIAPADFNLLELFIKTPKHLLTKEDIKNAFWPKDNNPENKIYSHISTLKSSLKDFPEYQIVTEKGGYRLVISSNE", "MKNKQLLCVLFPLLVACTGQRHQQQVSSESIIEEAVRKGEVLKGEIVPIDTALFRYAYRMRVQGDKAVILDLHNADYYYHVFTYPDFQYQSSFGKRGEGPGESIYAANIRFAGQDTVWVLDDGKGRMYQYSGIAGGKTPKQEKDILLDKRLFRSLDFDLKDASTVVIPDYSGENRFSWASLSSGELLRKSEQIPVSDPELLRESAPAVAQGWNSFVSFSPDKKILVSVTQFGDRLDIYSMASQKHIGELGGDGEPQFKVSPEGYGLPAGRICYYDVQVTDQYIYTIYDGRKFKDIMKLADAYQQGGKILRISTHEGDVVKTYVLDRPIAGIYVDEAAGMLFGLDVNADEQIVKFPLELE", "MNRLVIVGCGRLAEIITNAVVKGMLPDYELVGVYSRTASKAEHIANKMRQHGKMCAACHTLKELLELKPDYLVESASPAAMKELALPTLENGTSIITLSIGALADTSFYEKVKETAKANDTRVYLVSGATGGFDVLRTTSLMGNATARFFNEKGPDALKGTSVYKEELQQERRIVFSGNATEAINVFPTKVNVSVAASLASVGPDNMQVSIQSTPGFTGDTQKVEIKNEQVHAVVEVYSATSEIAGWSVVNTLLNITSPIVF", "MFQKLVAIEPVSLVLSAEKALYSFADEVVMYSDIPAGDNEIIARIGDADAVLLSYTSQINRYILERCPNVRYIGMCCSLYSPESANVDIRYANERGITVTGIRDYGDEGVIEYVVSELVRCLHGFGQKPWEGIPREITGLKVGIVGLGKSGGMIADAMKFFGADIAYYARSEKKEAGAKGYRFMPLNELLTWSEVVCCCLNKNTVLLHEAEFKALGNRKILFNTGLSPAWDESSFTRWLEEDNLCFCDTLGALGSTALLTHPHVRCMQVSTGRTRQAFDRLSEKVLANLSEYNG", "MGAGKWIGGILGFMTMGPLGALAGFALGSLLDGNNGLFGNTYEKGQAEAGNYDEGQRNSFLFSMLVMASYIIRADGRIMHSEMEYVRQFLRMNFGEEAVIQGEQILLNLFEQRKQMERQNPMAFKNTIHECGAQIAANLPYEQRLQLLDFLVNIAKSDGSVCSEEIAALKEVAQCMELSPKEVESMLNLSGNSLEEAYKVLEIDPSATNEEVRTAYRRLALKHHPDRVATLGEDVKKAAEEKFQQINNAKERIYKARGIK", "MNSEIERRRTFAIIAHPDAGKTSLTEKLLLFGGQIQVAGAVKSNKIKKTATSDWMDIEKQRGISVTTSVMEFDYNDYKINILDTPGHQDFAEDTYRTLTAVDSVIIVVDGAKGVETQTRKLMEVCRMRNTPVIIFVNKMDREAKDPFDLLDELEEELIINVRPLTWPIESGPRFKGVYNLYEHKLNLFQPSKQVVTEKVEVDINTEELDNQIGAPLAEKLRGELELVDGVYPEFNVEEYLKGEMAPVFFGSALNNFGVQELLDTFVEIAPSPRPTKTEEREVEPDEPKFTGFVFKITANIDPNHRSCIAFCKICSGKFSRNTPYYHVRHDKTMRFSSPTQFMAQRKTTVDEAWAGDIIGLPDNGTFKIGDTLTEGEKLHFRGIPSFSPEMFKYIENADPMKQKQLAKGIDQLMDEGVAQLFINQFNGRKIIGTVGQLQFEVIQYRLENEYNAKCRWEPISLYKACWVESDDPEELEAFKKRKYQYMAKDREGRDVFLADSNYVLQMAQMDFKHIKFHFTSEF", "MKNILVTGANGQLGNEMRVLSAEYKEYTCFFTDVAELDICDEQAVMTFVKENNIHVIVNCAAYTAVDKAEDDIELCTKLNKNAVSYLAKAAEANWGEFIQISTDYVFDGTKHLPYNEGDVPCPNSVYGKTKLAGETNALEYCKKTMIIRTAWLYSTFGNNFVKTMLRLGKEKETLGVVFDQIGTPTYARDLARAIFTAIYKGVVPGVYHFSDEGVCSWYDFTKAIHRIAGITTCKVSPLHTNEYPAKAPRPHYSVLDKTKIKTTYNIEIPHWEESLEACIKELNA", "MFVSRQLKEKNIAEYLIYMWQIEDLIRANGCDMEKIKSTIIAPYPLTEEQKAELTQWYMDLIEMMRHEGIMEKGHLQINKNIITWLTDLHLQLLRSPKFPYYNSAYYKVLPYIVELRAKGADKEEPELETCFEALYGILLLKLQKKEISEETRKAQEAISTLLAMLSNYYIEDKKGELEF", "MNWIEQVTILDLLVKGLIVGVVVSAPLGPVGVLCIQRTLNKGRWYGFVTGLGAALSDIGYALITGYGMSFMDDFLAKNQVLLQIIGSIMLFFFGIYTFRSNPVQSIRPVSSTPGSYLHNFVTAFFVTLSNPLIIFLFIGLFARFSFVMPGSPIGFQLVGYLAIVLGALLWWFGITYFVNKVRTRFNLRGIWILNRVIGIVVMLISVAGFIYTILGKTMY", "MILFFRTPTKSVIATEVSQELASEDIQKLSWLYGEATVENEENLKGCFIGPRREMITPWSTNAVEITQNMGLTGVLRIEEYFPVKDENAEYDPMLQRMYKGLNQEIFTVNIKPQPIVHIENLEEYNEKEGLALSREEMDYLLKVEKDLGRKLTDSEVFGFAQINSEHCRHKIFGGTFIIDGQEMESSLFQMIKKTTAENPNKIISAYKDNVAFAEGPIVEQFSPADHSTSDYFIIKDIKTVISLKAETHNFPTTVEPFNGASTGTGGEIRDRMGGGKGSWPIAGTAVYMTSYPRTDEGREWEDILPVRQWLYQTPEQILIKASNGASDFGNKFGQPLICGSVLTFEHQENGEKYAYDKVIMLAGGVGYGTQRDCLKGHPEKGNKVVVMGGDNYRIGLGGGSVSSVETGRYSSGIELNAVQRANAEMQKRAYNVVRALCEEDNNPIVSIHDHGSAGHVNCLSELVEENGGLIHMDKLPIGDQTLSAKEIIANESQERMGLLIDESAIEHVQKIADRERAPMYTVGETTGDARFAFEQTDGVRPFDLAVDQMFGSSPKTYMVDKTVERHYENVSYETSKLNEYIRRVLQLEAVACKDWLTNKVDRSVTGKIARQQCQGEIQLPLSDCGVVALDYRGEKGIATSLGHAPQAALANPAAGSVLSVAEALTNIVWAPMAEGLDSISLSANWMWPCRAQEGEDARLYTAVKALSDFCCSLQINVPTGKDSLSMTQKYPNGEKIISPGTVIVSAGGEVSDVKKVVSPVLVNDEKSTIYHIDFSFDKLRLGGSAFAQSLNKVGDDVPTVQNPEYFRDAFLAVQELVNKGLIMAGHDISAGGLITTLLEMCFSNMEGGMEISLNKIKEDDIIKILFAENPGIVIQVKDKHKEEVKKILEDAGIGYVKLGKPTEERHILVEKGDATYQFGIDYLRDVWYSTSYLLDRKQSMNGCAKKRFENYKKQPLEFVFDKSFTGKLSQFDLNPDRRTPTGIKAAIIREKGTNGEREMAYMLYLAGFDVKDVTMTDLVSGRETLEDINFIVFCGGFSNSDVLGSAKGWAGAFLFNPKAKAALDNFYARKDTLSLGVCNGCQLMIELNLINPDFTKKAKMLHNDSHKFESKFVGVTVPMNRSVMFGSLSGSKLGIWVAHGEGKFSLPYHEDKYNVVLKYSYDEYPGNPNGSDYSVAGLASPDGRHLAMMPHLERSCFPWQNAYYPADRIKNDQITPWMEAFVNARKWIEMNKK", "MLREITNFVRILPPNNMRRILLLICLFVILVTPAVAQLYQYLDTQNGLSSRRVLSIRKDKKGYMWFLTHEGIDRYNGKQYTHYSLTANGKLLNFFPNLNTLQTDTAGVVWEIGKTGHLFRYNSLQDKFELVCDFASKDKSNSGLPLTASFLDSKDNNILLCTKNKQYLFDIDTHELIQLESPIKEEITYIAKSTNNQYFLASSHKIYCAKLNHGRLETIKHPELDNFHIVNYIYFHPETQMLVIGTLLDGIYLYNIHSRQLIDVHNGLQDINVNSIIASKENENEVLIATNGAGVYKLNLGTYELTNFLNADHNHSNKMNGNIINDIYIDDDQKLWMAVYPIGITVYSEKYPGYKWIQHSYDNPNSLIDNQVNYILEDSEGDIWYATNNGVCCYRPSTKQWTNLLSTYQHDTPNQNHVFISICESRPGIILVGGYMSGMYKIDKKNMTTQYFIPQTLNDDTIKPDKYIRCIYKDWDNLIWAGGYYNLKSYDMDTKEMHCYKMDFPITYITNKDAHNLWIGTINGLYQFNKVNQELKAVNLDSELGCINTIYQSKKNITFIGTHGSGIWIYNNYTEKVTNYHVNNSALISNNIHCILSTLEDDLIISTEKGLTRFKTKEKIFSNWTKEQGLMSTSFNQAAGVHTRDKKFIFGCGDGAIELADTVTLPHQFKSKMVFDNFRLLYQKVMPGEKGSPLKKEIDDTRHIILNYDQNIFSLDVSSINYDNPSNIVYSWKLEGFYDEWTSLTNNNRIRYTNIGPGKYKLRVRAILMDDHHLLEERSLFITVTPPWWATFWAGILYLIIFILVGVIVLRYLWLRKDRNNSKEKIRFFINTAHDIRTPLTLIKAPLGEILKNENLSEQGRININLAIQNTDNLSELASNLINFEKEELYTSTVYVSRYELNNYIKNYLEQFNLYARKRQINIIFETNFESQEVWLDRNKMDSIIRNLMTNALKYTCQGGNVTVQTQKNKSHWFITITDTGIGIPANEQKKMFKSLFRGNNAINLQITGSGIGMLLTYKLIKSHAGKISMSSIENVGTTFKLAFPIKSRKYNYRIFKKADVIDPLPVIEGNPEELVKEIKNKPVKTHAASILIVEDNMELRTFLLHTLSEDYHVSDVGNGQEALDFIKERQPDLILSDVMMPIMNGNKMCNILKSNIETSHIPIILLTALNDKESIIKGLQTKADKYIVKPFDMEVLKANITNVLANREIMKKRFSQFKFNADEVSDDPTVSLEQEFLLKATDIIKSSINKEMNVENLCDAMNMSRSSLYNKIKALTNDSPSDFIRKVRMNEASILLKSKRYTVSEVSDMMGFSDPKYFTDTFKKYYGVPPSTYMKQN", "MNFYLQSFLIFLKIGLFTIGGGYAMVPLIQDEIVDKRKWIDKEDFIDLLALTQSVPGIFAVNIAIFIGYKLRKFRGALAMALGTILPSFFIILAIALFFQQFKQYPIVENIFKGIRPAVVALIAAPTFSMAKSAKINRYTVWIPIVSALLIWVLDVSPVYIIIIAGVGGFIYGKYKHKYSSK", "MIFLYLFYTFCKIGLFGFGGGYAMLSMIQGEVVTRYNWISASEFTDIIAISQMTPGPIGINSATYVGYTAVLNAGYNESWAIFGSCIATFAVVFPSFILMVIISRFFLKYQKHPVVEAVFKGLRPAVVGLLAAAALVLMNAENFGSYQIDLYQFIISIIIFLVTFIGTRKYKINPILMIILCGVAGFLLY", "MRAKTILLLLIALLFTSVVSAQTRYPKREFRGAWIQCVNGQFQGMPTEKIQRLLINQLNSLQGAGINAIIFQVRAEADALYKSSYEPWSRFLTGVQGRVPSPYWDPMQFMIDECHKRGMEFHAWINPYRAKTKGTTALSPIHPYNKNPERFVNYAGQLYFDPALPENRKYICKIVRDIVTRYDVDAIHMDDYFYPYPNPGEDFPDHVSFAQYGRGYSNKADWRRDNVNVLIKEIHETVRECKPWVKFGVSPFGIYRNKKNDPNGSDTRGLQNYDDLYADVLMWINNGWVDYNIPQIYWEIGHPAADYDNLIHWWAKHAASRPLFIGQDVMRTVNKADARNPLQNQMPAKMKLQRSLPTVQGSCQWYAAAVVDNAGNYRTMLEKEYHRYPALIPESPFMDDKAPGKVKKVKMVWTYEGPVLFWTAPKAKDEMDKAVQYVVYRFDKKEKVNLDDASHIVAITRDHFYPLPYNDGKTKYQYVVTALDRLHNESKGTKKKVKL", "MSESNYISIKGAKVNNLKNIDVNIPRNKLVVITGLSGSGKSSLAFDTLYAEGQRRYVESLSSYARQFLGRMSKPECDFIKGIPPAIAIEQKVNSRNPRSTVGTSTEIYEYLRLLYARMGKTYSPISGQLVKKHSIEDIVNCMLSFPKGTKYTVLTPMMPREGRTLLQQLEMDLKQGFTRIEVNREIVRIEDFLQQTAAEDKKQNIYLLVDRMTADDSKDSISRLTDSAETAMYEGDGNCLLRFYSADGSTQLFSFSTKFEADGIKFEEPNDQMFSFNSPLGACPECEGFGKVIGIDEHLVIPNRALSVYDGAVLCWRGEKMGEWLQEFIHEAPAHDFPIFAPYYELTQEQKDYLWHGPRNKACIDSFFKMLEENQYKIQYRVMLARYRGKTICPVCHGTRLKKEAGYVKVGGKSISQLVDLPIHDLKEFFRTLELDAHDTAIAKRILTEITNRINFLMDVGLGYLTLNRLSNSLSGGESQRINLATSLGSSLVGSLYILDEPSIGLHSRDTDRLIKVLRQLQELGNTVVVVEHDEEIIRAADYIIDIGPQAGRLGGQIVYQGNMNDLQRNSNSYTVRYLLGEENIEIPRCHRPWNNYIEIKGARENNLKGIDVKFPLNVMTVVTGVSGSGKSTLVRDVFYKALKREYSEASERPGEFISLEGDVQLVKDIEFVDQNPIGKSSRSNPVTYVKAYDEIRKLFAEQPLAKQMGYTAGYFSFNTEGGRCEECKGDGTVTVEMQFMADLVLECESCHGKRFKSDTLEIKFQDKSIYDILEMTVNQAIEFFAEYNQKKIVKKLIPLQEVGLGYIKLGQASSTLSGGENQRVKLAYFLSIEKAQPTIFVFDEPTTGLHFHDIKKLLEAFEALISRGHTIIIIEHNMDVIKCADHVIDLGPEGGNMGGNLVVAGTPEEVAACAASYTGQFLREKLAMDSPD", "MKKLFLLLLLFPFLLGCSQKEKEFTVLQWNIWQEGTVIPGGYDAIVNEIARLRPDFVTLSEVRNYENTNFTARLVQSLKEKGETYYSFYTYDTGLLSRYPITDSLTVFPEKNDHGSIYRLTADINGQKIAVYTAHLDYLDDAYYNVRGYDGSTWEEIPIPTTVEEVLKRNVASQRDDAIRLFIEQAKKDRAAGYTIILGGDFNEPSHQDWTEETKDLYDHHGFVIPWTVPVLLDEAGLKDAYREFYPDVLNYPGFTYPSDNPAKPADKITWAPKADERDRIDYIWYYPEKGLKVKDAAIFGPKNSIVRAQRVQETSKDKFIEPLGVWPTDHKGVLVTFQYPAK", "MTKCTHKQLMTACMLAGTLMLGACTSTPPVTKEVSIVPITNHLEETNGAFVLKSNTSIGVIDAELIPAAEYLADMLSSATGYDLKVKEGEGTITLALGDVQGKEGAYTLTAESDKVNITGNSYGGVIAGIESLRQLFPPQIESKEIVKGTDWAIPAVNIQDAPRFEWRGIMLDVSRHFYTIDEVKELLDVMALYKMNKFHWHLTDDQGWRIEIKKYPLLTEKGAWRKFNSHDRECIRQSKTDNNPDMAIPEDKIRIVEGDTLYGGYYTQEDIKDVIAYAKIRGIDIIPEIDMPGHMLAAVSNYEGVSCFNETGWGSVFSSPVCPGKDSALEFCKNVYAELIALFPYKYVHIGGDEVEKTNWKKCPDCQKRMHDNNLKTEEELQSWFIHDMERFFNGKGKEMIGWDEIIEGGLSKTATVMWWRSWVKDAATKATAQGNPVIFTPNGQFYLDYAEDKNSMASIYNLDTTDNLTPEQQSLILGVQGNIWCEWIPSNARMQYMAIPRLLAIAELGWSKPEQKDWNAFKQRLSDQFERLNIMGINYRIPDLEGFNAVNAFIGEGTINVTCLDPTAEIHYTTDGSTPTLQSPVYEGPIKVTETTDFTFCTFRPNGKKGDIAKTRFIKSEYTPSVTAAPSNPGLKATWHEFKGNKCSEIEKAPVNGTYPVEDVMIPKKVKGNIGLIIKGYFNAPQDDIYTFALLSDDGSTLTIDSEQIVDNDGPHGPKEIVGQKALAKGYHPMELRYFDQNGGQLKLKVTGSDGKEIPFTHLYAH", "MKKILVSLMLLVMTALSAHAQFEKEVWYVNASLTGLDLSHSKNEGTNFGFALTGGAFVADNVAVLLNFKGNYVEHGMDETSIGAQGRYYFSSCGIYGGLGMAYKHLSCVGFKKNLVCLTPEVGYAFFLGRNVTIEPSVYYDLSFSDISEFSKLGFKIGFGVYF", "MKKYRKSIWLPVALLVYTTAMAVYFIPRNTEISDTEKYLTVGLSYMIIALLWIVLRKKEKAEERRERDLENKK", "MITFIIALLVLVGGYFLYGSYVERVFGPDKIRKTPALTMADGVDFIPLPTWKIFMIQFLNIAGLGPIFGAIMGAKFGTASYLWIVLGTIFAGAVHDYLSGMLSIRHDGESLPEIIGRYLGLPTKQLMRGFTVVLMILVGAVFVAGPAGLLAKLTPEYMDVTFWIIVVFVYYMLATLLPVDKIIGKIYPLFAIALLFMAVGILVMLLWNHPALPEITDGLHNTHPAGLPIFPIMFVSIACGAISGFHATQSPLMARCMKNEKYGRPIFYGAMVTEGIVALIWAAAATCFFHQNGMEESNASIIVDSITKEWLGTIGGLLAVLGVIAAPITSGDTALRSARLIVADFMHLEQKSIAKRLLICIPIFAVTIGILLYSQRDAAGFDMIWRYFAWCNQALSVFTLWAVTVFLVRAKKNYYITLFPALFMTAVCSTYICIAPEGLALSDYVSYIIGGLCTLVAAIGFVSWYRKQNSIVYEKI", "MRTFFITLLLVIVSLSPVFSQPKYEIRATWITTLGGMDWPRNKAVNASGIRRQQKELCDILDRLKAANFNTVLLQTRLRGDMIYPSAIETFAESLTGSTGGNPGYDPLAFAIGECHKRGMELHAWIVTIPAGNTRQVQLQGRSSVVRKNRTICKLYKGNWYLDPGNPGTKEYLSCIVKEITSRYDIDGIHFDYIRYPEQADNFPDKDTYRKYGKGKELKQWRRDNITDIVHRLYTDIKTIKPWVKVSSSPIGKYRDTNRYPSRGWNAYHVVYQDAQKWLKEGIHDALFPMMYFQGNNFYPFALDWKENCGNRWIVPGLGIYFLSPDEQNWPLDEIVRQLHFTRQIKLNGQAYFRNRFLLNNTKGIWDELQENFYTTPALIPPMTWMDSIPPSTPAMPSLQLLPDGKMHMSWQISTDNNGGLVTYHLYASDTYPVDITDAGNLLETYLTHTEYEYTPISPWRQKRYFAVTAADRFGNESAPLELNAISETDIPLLNDGDILTLPEIKEAKTVKIFTATGEEIKYFVYAPQMSIASLPGGFYTVYILNNAGAQTFVGTIVK", "MSCHVVGQTNLETALYAYIFEYFVTTSASTVIQHIRGRLPLGLALPFFT", "MSENNKIILYQDDNEIIRVSVRFSDEDLWLTQNQLAEIYCTTQQNISQHVDNIYKDGELFTEATNKKFLLVRREGNRQVRRNIDHYNLDMVIALGYRVQSQVATRFRRWATQRLHEYIQKGFAMDDERLKQGGNRYFRELLQRIRDIRSSERNFYQQVTDIYATATDYNPRDEMTKMFFATVQNKLHYAVHENTAAEVIYNRVDNEKPFVGMMNFKGNYVTKDDVKIAKNYLSEIELQRLNLLVSGFLDFAEFQALEMNPMTMKDWIEALDNQIIAHKRKVLIDKGRISHKQAIEKAEKEFAIYRKREMDLLESDFDKEIKRLKDKNDNNPN", "MAKITVQNTDISVVKYNEEDYISLTDMARSQLQDEHGNIFAKMNTSAFIVEDKIHAIYENGKLYFQSYTIANQIFSLIDFVTEATNTEIELFGEIKGIDVNAENIKHIANIKTRRLIKLLSSTNNIATFMRKTFRTKTSLLKKYGINAQINGNNELVLPTNNVVELNRTLEFLNEDIFRGIITDSLYHSNSKKKDNR", "MSNQRYMQRGVSASKEDVHNAIKNIDKGIFPQAFCKIIPDILGGDPEYCNIMHADGAGTKSSLAYLYWKETGDLSVWKGIAQDALIMNIDDLLCVGAVDNILVSSTIGRNKLLVPGEVISAIINGTDELLAELREMGVGVYATGGETADVGDLVRTIIVDSTVTCRMKRTDVINNANIRPGDVIIGLASYGQATYEKEYNGGMGSNGLTSARHDVFAKYLAEKYPESYDKAVPEELVYSGNLKLTDAVEGSPLDAGKLVLSPTRTYAPVVKKLLDALRPEIHGMVHCSGGAQTKVLHFVGNNCRVVKDNLFPVPPLFKTIKEQSGTDWEEMYKVFNMGHRLEVYLSPEHAEEVIAISKSFNIDAKIVGRIEESDKKELIIKSEFGEFKY", "MAENNNTLLEKLDGLVARFEEVSTLITDPNVIADQKRYVKLTKEYKDLNDIMKARREYIQCLNGLEEAKQIMTNESDPEMKEMAREEANLCEVRIPELEEEIKLLLVPADPQDDRNAILEIRGGTGGDEAAIFAGDLFRMYSKYCETKGWRLEVSSANEGAAGGFKEIICSVTGDKVYGTLKYESGVHRVQRVPATETQGRVHTSAASVAVLPEAEPFDVEINEGEIKWDTFRSGGAGGQNVNKVESGVRLRYNWKNPNTGIVEEILIECTETRDQPKNKERALSRLRTFIYDKEHQKYIDDIASKRKTMVSTGDRSAKIRTYNYPQGRITDHRINYTIYNLAAFMDGDIQDCIDHLTVAENAERLKESEL", "MNKQQLFENIQKKKSFLCVGLDTDIKKIPEHLLKEEDPIFAFNKAIIDATAPYCIAYKPNLAFYESMGVKGWIAFEKTVSYIKENYPDQFIIADAKRGDIGNTSAMYARTFFEELDIDSVTVAPYMGEDSVTPFLSYEGKWVILLALTSNKGSHDFQLTEDTNGERLFEKVLRKSQEWANDENMMYVVGATQGRAFEDIRKIVPNHFLLVPGIGAQGGSLEEVCKYGMNSTCGLIVNSSRAIIYADKTENFATVAGQEAQKVQAQMEKIMCQ", "MSDRKIINDPVFGFINIPKGLLYDIVCHPLLQRLTRIKQLGLSSAVYPGAQHTRFQHSLGAFHLMSETIKHLTAKGNFIFDSEAEAVQAAILLHDIGHGPFSHVLENTLAGGVSHEEISLMLMERMNKDMKGQLNLAIQIFKDEYPKKFLHQLVSGQLDMDRLDYLRRDSFYTGVSEGNIGSARIIKMLDVKDDHLVVESKGIYSIENFLMSRRLMYWQVYLHKTSVASEKMLVNTLTRAKELALRGVELFASPALRFFLYHPIDKKEFYNSPDCLENFIQLDDNDIWTALKVWSNHSDVVLSTLSRGMINRKLFKVEVTSSSITKARKEEILSRISKQLNINKKEAEYFLSISSIENNMYKKEDDSIEIIYKDGSTRDIAKASDMLNISLLSRKVKKYYICYLRSENDGH", "MEFSAKQIAEYIQGIIVGDENATVHTFAKIEEGVPGAISFLSNPKYTHYIYDTQSTIVLVNKDFVPEQEVKATLIKVDNAYESLAKLLTLYEMSKPKKTGIDPLAYVAPTAKLGKDVYIAPFACVGDGAEIGDNTSLHPHATVGSHAKVGNNCTLYPHATIYHDCLVGNHCTLHAGCVIGADGFGFAPSPEGYEKIPQIGIAIIEDNVEIGANTCVDRATMGATIVHKGVKLDNLIQIAHNVEVGSHTVMASQVGIAGSTKVGEWCMFGGQVGLAGHIKIGDKVGIGAQAGVPGNVKSNEQILGTPAIDAKNFMKSSAVYKKLPEIYTTLNAMQKEIEELKKQLNK", "MLKQKTLKGSFSLNGKGLHTGVNLTVTFNPAPDNHGYKIQRIDLEGQPIIDAVAENVGDTTRGTVLMKNGIKVSTVEHALAALYAAGIDNCLIQVSGPEFPILDGSAKAYVENIQRVGIEEQNAVKDYYIIKSKIEFRDEETGSSIIVLPDENFSVNALISYQSKILSNQFATLEDMAKFPTEVASARTFVFVREIEPLLGAGLIKGGDLDNAIVIYEKEMSQENYDKLADVMGVPHMDATKLGYINHVPLVWDNEPARHKLLDIIGDLALIGKPIKGRIIATRPGHTINNKFARQIRKEIKLHEIQAPSYNCNEAPIMDVNRIRELLPHRYPFQLVDKVVAIGANHIVGVKNVTANEPFFQGHFPQEPVMPGVLQVEAMAQCGGLLVLNSVDEPERYSTYFMKIDGVKFRQKVVPGDTLLFRVELLAPIRRGISTMKGYVFVGEKVVCEAEFMAQIVKNK", "MISPLAYIHPEAKIGENVEIGPFVFIDKNVVIGDNNTIMPNANILYGSRIGNGNTIFPGAVIGAIPQDLKFRGEETTAEIGDNNTIRENVTINRGTAAKGKTIVGSNNLLMEGVHVAHDAIIGSGCIIGNATKMAGEIIIDDNAIISGAVLMHQFCRVGGYVMVQGGSRFSKDIPPYIIAGREPIAYAGINIVGLRRRGFSNELIENIHNTYRIIYQNGMNVTDALEQVRKEIPMSKEIEYIISFIENSQRGIIR", "MIYRFTLISDEVDDFIREIKIDSEATFFDLHEAILKAAGYKDDQMTSFFICDDDWEKDQEITLEDMGSSSEEDSYIMRETRLSDLVEDEKQKLLYVFDPLAERVFFIELSEIITGKDLDKAVCSRKAGEAPKQTVDFDEMMAKSNSGNLDIDENFYGDQDFDMEDFDPEGFDMGGASSPDPYEQENY", "MPDTLIVLIGPTGVGKTELSLSIAEHFRTSIVSADSRQLYADLKIGTAAPTPEQLARVPHYFVGTLQLTDYYSAAQYEADVLAQLEILYQNHDTVILTGGSMMYIDAICKGIDDIPTVDNETRQLMLRRYEQEGLDTLCAELRLLDPEYYKIVDLKNPKRVIHALEICYMTGKTYTSFRTRTHKERPFRIIKIGLTRDREELYDRINRRVDIMMQDGLLEEARQVYPFRHLNSLNTVGYKEMFKYLDGEWTLEFAIGKIKQNSRIYSRKQMTWFKRDKDIVWFHPDQQTEIMQYIHSVNH", "MKKLLTFIMACVISLGATAQISEKAFEKWHQNKYSMFIHFGLYSELGGVWEGNPVTRGYSEQIQSFAGIFSDWYGDTALRFNPTLFDADAIVSLAKEAGMRSIIITTKHHDGFCMFRTATTDYNSYDATPGKRDFIKEMAEACKRGGINFGIYFSLIDWHFPQAYPISSHNCDFITPQHHEFTKAQVTELLTNYGPISELWFDMGSNTPEQSKELYQLVHRLQPDCMVSGRLGNDQYDFSVMADNTYPEGSLQTAWQTAASMFDETWSYRSWQKRGDVHTKAMEKLRSLINVVSHGGNFLLNIGPKGDGSVVPFEREVLKEIGIWLKKNGEAIYGTEASPFREQFEWGTITRKGNNLYLILSGTRPADGKITLNVPGCKLQKADIKAIQKGQEMIFTLPADAYGKDIQVICATFDQPVKPQPMAAQRTPNYSYSCFDYYSNYRSTVSYQWNINKSNLNALEFTYTPQENGKELLVEVDGIPYTVTLDAGKAQALNLPSKTVWGQRYFCGPGSGLFDAPATIHTDPDKAPVRKGQWKEVNEEKAMFPSNILESYFLMQQVESPKAQDILVDVGAGNGIEIYLNGKSVMKHLNPYRCKFREEKVLLPLQKGSNQIVVRIYNRFEKETGYLLRPSAEQVIYKQKFTLPQVAKGKVHTVVVKQNNLPSIHKDTELSNLKVEAK", "MKYLSPDVSAMKCPGKVLYKENIILEFTNSTDRPHA", "MKNWVVKLLLLLSIPSLAGILFTVALGFNPGGWLQITTYALPPLLTLAGGAFIVASRWKIPFLILMAAASMAFNIPLQNWLFHSADEVVRYHAVTDLYNLENNALYFTFDTLEVDYARRSSVTVTREVTRSMGRHRYRKEQKQYHFSVAPVFTDSLPRHKYEEREVKAWVIPVRHEKGQAVVCYERCIFDLDDYQKAIDRSRCKLHHPQAPIIRPLYSQFITRQEWKGIFLNVAWIVLSVLIVLGVILNYQADRRKA", "MKNFGILLLAMVSCCLLQAKDRVVKQPPFIARSSSAIEIDRVVVSDTATVLDVKAFFRPHNWIQISNESYLLADNGEKYPIRSGNGITLGEKFWMPDSGEASFSLIFPLLPPTVKVIDFIESDCEDCFKVWGIHLDGKLPELDLSDDVKKQKLNYAEPLPKAELKDGKSVITGRLLDYEKHYALPFSCRICDLLTAKFEDTEIKVNEDGTFRTEIELCAPTTVSFSVGRDIYFDVFLVPGGELDMAVNLRELSRSESKLLKGKRAGGKKVYFSGTMAALNDEMITDDEHLMDVWGMVHWNMNDLYNMTAGQYKAYWLKKYEETKSAICSDKKRSQAYRELLLAQNDLLCTLTLTRVSSNLAYAYVQCSGLPAREAYQKFKQPELSDDFYDYIRQLNILNSPVMLYANGYADLVRGMGYLRVKMDDELSDIFAFILSSDKVSAEDAKIIREFKADTDTGKTSVYREKMGELRIKYDELFKEFSSMQQDYILKKIIAGYLGTDQGLFFDLQKMMKYAQKISDFTPLTVHDFEEIRKMSDPYYLGRLTKMNNRLLETIEANKKKKGYTVNESGEVKDEDLFYSIISKFKGKVVLVVFWATWCGPCKMAMKQMKPMKKDLEGKDIVYVFIAGENSPKETWDNMIPDIHGEHYRVTAAQWNYLSRQFSIQGVPTYIIVDKEGAVIQKYTGFPGVDTVKRELIKALEK", "MKKILALALFAVALVSCRQTMQTDGFKLSGHLEGLQVGDTLFLKTFLLPDWKEDGTDTILVEKEGIFSAFIPMEHTTFYLLTHQPKVGEPLRSCIRGAEIIARVGDDIKLKGSLDYLGAVRHSGGFYDNSLVARYDSLTASSNTEMIDIFSQILKYQDTKQNDSVAKYGQMYNEYHRPLMLKTVRDSLALKVNDMEYAAFMYASAFVFDATYKDVKERLAQFTPEVQNSYFGQILDKQLLVLKNIEVGFAPAEFTVTDKDGRKVSLSDYKGKYVLIYHWGLCPGTFWVNPKITDLYQKYHEKGLEVLGFTRDDLLKSLQGSSEEFKKDERVQGLLSHPWTTVYTEDEGNGFIVKDLYFSGVPILMLISPDGITLARGYTKAYEEVKNLLDRNLGNK", "MKKFVTLLLCMLPVSLFAQVNDGIRQAMDNYDYETVVTLIEPDCQDSLLLITKAQALKAMNRYPEAIGVLNSLILKDSTNTKVLIDLAECYKLTGNSRRAANCYQKAMNLQPENKFFRLQFIRSLLASEDYEEARTACHGWLERDSLSATGYKYLGQAYEGLQDAASAFISYNIAYRRDSLDAQTVARIAGIFNNNQQFKDAVDVTEVYRLSDTTNIDVNRQNAKAYCMLKEYGTAVKRYESLKELGDRSFLTLYYLGVSHYGDNWFYGAYDNLKEAYQKNPMDVNVLYYLAKASARTSWKKEGVEYMEEAFRIAVPSDSMMVRLYDGLVECYDYAGDTKKEVEALEKLYIYTKKNSILYKIACLYDWKEDEKNAIRYYRKYMATVPEDQRYALDEDGNPVEDRITLYQQAWKRIKKIKEEGFFRGDIPTKSFPVEKKDTLALRHAK", "MGAFFVYIVKSAVCLAVFYLFYRLLLSRETFHRFNRIALLGILILSCAIPFVEVTMKEPMEVSQQLLTWEELLLMADLNRTATIEAAPVSAITWREVLLMVYLLGIVFFFLRNVWSLTRMLRLIKGSNLVRQENGITLITHQKKIAPFSWMKFVVISEKDLKENGEEILTHEYAHIRKRHSIDLLIADICIFFQWFNPASWLLKQELQNIHEFEADESVIAQGIDAKKYQLLLIKKAVGTRLYSMANSFNHSSLKKRITMMLKKKSNPWARLKYLYVLPLAAIAVAAFARPEISSELDEISAVKVNDLTAIMKTEEVKSPEKHPAKEIKVQGQVLEKSTNAPVVGANVIIKGTTSGTITDLDGNFVISMPVGATLSVSYINMKTKELTITEKLIGKIKSLKVYLEGEITTKTQEVVVVGYGGGEEASDEVPVFQVVEEMPEFPGGMGECLKFLGKNIKYPVEAQKAGVQGKVIVQFVVEKDGNIANPKVVRSIDPDLDGEAIRVISIMPKWKPGMQKGQPVRVKYTVPVTFRLDGKDIKSNEARHLELKTDTVFQENPLRIGKETFSLKDWKEKPLLIVDGIEKPYSQMEKMNASDIESISVLKDAAGTAIYGAKAKNGVILITTKKQ", "VIIKRKIMKMLTAKEEEIMGYFWEKGPLFVKQLLDFYDEPRPHFNTLSTIVRGLEEKGFLSHEVFGNTYQYYAVVSCDDFKKKTLKGVISKYFNNSYLGAVSSLVKEEEISLDELKQLIRDVEKAHE", "MAYLIVGINTMLIVIFFVTAEKALEYKQAAVKMLTSLSSDKYQCGKSKPAFLLHSTGHLPAGSEIDASIIYADYYYMEALLRLKRLTENKPVIDE", "MKKKFVAIALVMGLGTTVAFAGNLTSGVETVMAVNDFTLIKVKDLPTAVTEAIAKNFAESIVKEAAVEATEDGIKTYQVVLTDKEGTENTVFFNEKGEILK", "MNEIIYENPVSFNKNSPLFVRQILSFIKKDAKCIISVFHLFH", "MRKEKIRLEYMLKAGSGNIVWSIISTPSGLETWFADKVTFKDKVFTFYWGKTETRQAEVTNFRVNSFIRFRWLDDEDPKAYFELKMVYNELTSDYMLEVIDWAAPDEVEDTKELWDSEIEKLKRVSGL", "MLFIGTFFICLFIFMMQFLWRYVDELVGKGLEMSVLAQFFFYSALTLIPLSLPLAILLAALMTFGNFGERYELLSMKAAGIPLLRIIRPLIIFCTFLCCTSFYFQNVIAPKAQIKLLTLLVSMKQTSPELDIPEGVFYDEIEGYNIYVKQKDRETGMLKDVLIYNFSDGFENAHIIWASEGKMEMTADKQHLYLHLYNGEQFENLKSQTISSNNVPYRRETFREKHTIIEFDGGFNMVDGSFLSDRSDSKNMIEISQSIDSLNHRADSLGRSMYNEIKASTYRNIVLSKTDSAKIVETNNKINVDSLFNSYTLAEKDKTLGSAADRTEALASEWSMKSYQTTDADNNIRKHEADWHKKITLSLSCLIFFFIGAPLGAIIRKGGLGMPVVVSVLIFVIYYIIDSGATRVAKSGEMNMVLGVWMSTIVLAPIGAFFTYKSNNDSVVFNAEVYINFFRMLLGLRPSRHVFKKEVIIEDPDYPRIQTELEKLCNICNEYAIKHRLADAPNYIRIFTNKGHDDVIADISAKMELLIEELSNSKDGVLLEYLNKYPILSTKAHKSPFDNQWLNLLAGIIVPIGLFFYFRIWRFSIRLDKDLKNIIKTNREIQERINNKSFII", "MEELKLNTIEEAIADFREGKFVIVVDDEDRENEGDLIVAAEKITPEQVNFMLKHARGVLCAPITISRCKELELPHQVDTNTSVLGTPFTVTVDKLEGCSTGVSIHDRAATIRALADPTSTPETFGRPGHVNPLYAQDKGVLRRAGHTEACIDMARLAGLYPAAALMEIMSEDGTMARLPELRKMADEWGLKLISIRDLIAYRLKQESLVEKGVEVDMPTEYGHFRLIPFRQKSNGLEHIAIIKGDIKEGEPVLVRVHSSCATGDIFGSMRCDCGEQLHKALQMIEKEGKGAVVYLNQEGRGIGLMEKMKAYKLQENGVDTVEANILLGHQADERDYGVGAQILRSIGVTQMRLLTNNPVKRVGLESYGLSIVENVPIEITPNKYNERYLKTKKDRMGHTLHFNK", "MNQLSDRLNRLSPSATLAMSQKSNELKAQGVDVINLSVGEPDFNTPDHIKEAAKKAVDDNFSRYSPVPGYPALRNAIVAKLKNENGLDYTAAQISCANGAKQSVCNTIMALVNDGDEVIVPAPYWVSYPEMVKLADGTPVIITAGIDQDFKITPAQLEAAITPKTKALILCSPSNPTGSVYTKEELAGLAAVLAKHPQVYVIADEIYEHITYSGKHESIAQFPEIHDNVIIVNGVSKAYAMTGWRIGFIAGPEWIVKAVNKLQGQYTSGPCSVSQKAAEAAYTGTQAPVEEMRQAFQRRRDLIVKLAKEIPGFEVNNPQGAFYLFPKCDSFFGKSAGDRNINNADDLAMYLLEVGHVACVGGTSFGSPECIRMSYATSDENIIEAMRRIKEALALLK", "MAYVISDDCIACGTCIDECPVEAISEGDKYSINPDLCTECGTCADACPSEAIHLG", "MKRNVLLFISLCVVGCVMTYAQQMPGLLQKGKADTQDCKAWVDEQLSEMSLKEKIGQLFIHTVAPLQTQRNKNNIYAAIKEYKVGGLLFSGGQLSDQVLLTNYAQSLAEVPLLITFDGEWGLAMRLKGTPRFPRNRVLGCIQDNELLYEYGKEVARQCKEIGVQINFAPVADVDVNPRNPVINTRSFGGDPRNVAQKVVAYARGLEDGGVLSVCKHFPGHGDTEVDSHKALPVLNFDRARLDSIELFPFKEAVKAGLGGMMVGHLEVPELGKNPASISSHIIYNLLCRELGFQGLVFTDALEMKGISQNENICAQALIAGNDLLLAPRNLKRELDGVLNAVKSGKLSEELITEKCRKVLTYKYVLGLKNKPHIQLSGLEKRLNRPETKELIFRLQKAAITVPANVNGILPLDSKLRGTVVLNIGKTPGAGLAFYNRLQNTLSLTRVVARPDSMEAIRKRLLGSQRVIVVVTSDDYKKYKTMLDSLPADLPVIYVFLMPLKSMLDMEGYWKKAAAVVLGHTDESVIQEYVADVLVGKAVADGRLSVAVADLFKPGDGVTITPKVSRIYRPEDYGMDSKILEKIDRIAMEGIKAKAYPGCQILILKDGKPVYDKSFGTFTYESDRKVEKDDLYDLASLTKTTATLLAVMKLYDEGKFGLTDRISQYIPALKGTDKERVTIEELLLHQSGIPAFWPFYKETIDKDSYKGSFYRARPDASHHTQIDTRLYVIDKFDYRKELMAKTFSADYPLQVADSMFLHRSFRDSIMVQIGRIPLKDRRYRYSCLNFMLLKEMVENISKMPMNLFLDKEFYKPMEMNRTAYLPLRQFKKEEIVPTVKADYLRKGKVLQGYVHDESAAFMGGVSGNAGLFSTARDVAKVYQLLIDGGVYNGKRYLSRETCDLFLTHTSKISRRGLGFDKPDVNNSVKSPCAEEAPEEVVGHTGFTGTCAWADPKNHLVFVFLSNRIYPRPFDHKQLMRLNIRPRMQQVMYQALMK", "MKRIYVLFTALCVCCALAAQDIKELLILHTNDTHSRVEPIPITDPNPEFAGKAGFVRRVTLIKEIRKQDKDLLLFDCGDFSQGSPFYNMFGGEVEVKLMNEMGYDAGIIGNHEFDLGLDNMARLFKMADFPVVCANYGVQGTVLEGLVKPYVILERKGIKVGVFGLSPALEGLVQAKNCEGVVFENPIEAAQCVADILKNREKCDLVVCLSHLGWQGKPYSDETLILNTRNIDIVLGGHSHSYFDKTLFYKNLDGKEIPLQQMGKNAVYVGKMKVRMEKN", "MKPNRIMCIIPAGIVAGIFMFSSCHSGYSLASVEGSRIEVTAALDANPDSAAIAVLAPYQKTVDSIMSPVIGQSARFMDRFRPESELSNLVADILRYSASAYIGRMADVGVTNMGGLRTALPEGDITYGNIYEITPFENTLCIVTMNGVLLRELFENIAAVHGEGLSGACLEISGDGKLLDATVAGKEIEDSKEYKVATLDYLAEGNDHMTAFAKVGDADKLLPEKATVRQLFLNYVNEQTKAGKKIDSKIEGRITVKE", "MDLIKIAEEAFATGKQHPSFKAGDTITVAYRIVEGSKERVQLYRGVVIKIAGHGDKKRFTVRKMSGTVGVERIFPIESPAIDSITVNKVGKVRRAKLYYLRALTGKKARIQEKRVNQ", "MKRIMFILGVSIIMALLTVTAALAGWWLGASLPLDISDTTRQIAALLTASACTWILYIVSRKVLTKISLKPY", "MKKHIYALWAFFILFSQSIAASVEVRSTHMTTGDGVANNSIRYIYQDSKGFIWMGTLNGLSRYDGNSFVTYRPEGGNKISLIDHRIRDLEEDKNGFLWIATSAELFSCYDLKKDCFVDFTGCGEYEQLYSYKMTDREGNVWLWQDGNGCRKVTYMNGEFTSVVFKKENNNLPSNNITYISEDEQGRVWIGSHDGIAQVVGNKAVLVEENHDAFKMMSFGKDVFFLSGTGTISLKREGEDSRIVTRLGEGSKVYSTMRLQNDWIVFTEDGSYVFNLRTHQVSRDTELNIARGQVQIDNRGNFWIYNHTGKVWYVNAKTRFVKSFQLIPADKVNYIDEERYHIVHDSRDIVWISTYGNGLFAYDLATDELQHFESNINGFSHITSNFLQYIMEDRAGGIWVSSEYTGISRLSVLNEGAERVFPEDETLSDRSNTVRMINRMPDDKIWLGTRRGGLYIYDPHLKTIESSRYFDSNIYAVEEGADGSIWLGSRGNGLSIDGKWYTYHSDDPLSIGNNNIFTLYRDRKNRMWIGTFGGGLNLAVKEKDKYVFKRFLNNFYSQRQIRVIQEDNNGWMWVGTSAGVYIFNPDSLMNNPENYITYNYNNGKLRSNEIKCIHQDSKGRIWVGTSGKGFSMCMPEGDYRNLTFEHYDGSDGLVNSMVQSIVEDREGKLWVATEYGISRFDPDTHAFENFFFSAYALGNVYSENSGCVSKDGKLMFGSNYGLVVITPGKIVNNSTVTPMAAFTNLRINGIAMRPGDVDSPLDRSLIYTDEIELKYFQNSFVIDFSTFDYSGTNSTKYTYRLDNYDKEWSIPSSLNFAAYKNLAPGTYKLRVKACNGVGVWGDKETVLKIIVVPPFWKTTWAFFIYAILIGVALYITFRLMRDFNTLRNRIQVEKQLTEYKLVFFTNISHEFRTPLTLIQGALEKMQRGGKIPKEMAYSLKVMDKSTQRMLRLINQLLEFRKMQNNKLALSLEETDVMAFLYEIFLSFNDAAESKNMDFKFLPSVASYKMFIDKGYLDKVTYNLLSNAFKYTPSGGKVTFSVTVDEAKKQLVISVADSGVGIPKEKRNELFKRFMQSSFSGSSVGVGLHLTHELVCVHKGTIVYTENEGGGSIFTVSLPTDISVYEEKDFLIPHNVLLEEEEVHHAAVLAEEISAQEGGVELPSAPLNKRKVLIIEDDNDVREFLKEEVGQYFEVVAEADGPSGLERARTYDADLIICDVLMPGMTGFEVTRKLKNDFDTSHIPIILLTAMSSAESHLEGVESGADAYITKPFSPKLLLARAFKLIEQREKLREKFSNDPNMVRPAICTSDKDKEFADRLQMVMDQQIGNAQFTIDEFASMMGLGRTVFYRKVRGVTGYSPNEYIRIIRMKKAAELLLENRYTVAEVSYKVGINDPFYFSKCFKQQFGVAPSVYLRGKEESGIQETENKE", "MKREAFKMFLKPGFEKEYEKRHAAIWPELKKMLSDGGVYDYSIYWDKDTNILFACQKTKGEESSQDMGANPIVQKWWDYMADIMEVNPDNSPVTIPLPEVFHMD", "MNLKTLSMMGALLLLAGTGANAQKKKEVLNDSNTPLHLLQPAYKVPYGMLTTEEIKADMDRVLRYLEKNTPTRVVDKNTGKVITDYANMTADAQLERGAFRLASYEWGVTYSAMLAAAEATGDQAYYKYVTDRFQFLAEVAPHFRKVLEKYGTVDPQMKQILTPHALDDAGAVCAAMVKVQMKENSPELKPLIDNYMDFIVNKEYRLADGTFARTRPQHNTLWLDDMFMGIPPVAWYSCIAGDKKQMYLSEAVRQIFQFADRMWVPGKNLFRHGWVEGMQDHPAFHWGRANGWALLTMCEVLDVLPEDYPQRDKILELFRAHVRGLAACQSGEGFWHQLLDRNDSYLETSATAIYVYCFAHAINKGWIDAMAYGPVAQLGWHAVTTQINAEGQVDGTCVGTGMAFDPAFYYYRPVNVYAAHGYGPVLWAGAEMINLLNKQHPKMNDSAVQYYRTEQKTSEPIFHVMDGETK", "MKTQKKLWSALAALCVATGTVAQPAYNYSKLQKEQLGRGVVAIRENPSDVVVSWRYLSSDPINTSFNVYRNGEKIAEVPHSTGTFYRDTYSGNEKAVYTVKPVINGVETGKLNGNYTLPANAPSGYIDIPLDRPAEGVTPSGQKYTYSPNDASIGDVDGDGEYEIILKWDPSNAHDNAHDGYTGNVYFDCYRLTGEKLWRIDLGHNVRAGAHYTQFMVFDLDGDGKAEVVMKTSDGTKDGKGKIIGDAKADYREPGITDGNSHGNTPRNQGRILTGNEYLTVFNGLTGEAMKTIDYVPARGKLTDWGDNRANRSDRFLACVAYLDGVHPSVVMCRGYYTRTVLAAFDWDGKNLKQHWVFDSNNPGCEDYAGQGNHNLRVGDVDGDGCDEIMYGSCAIDHDGKGLYSTRMGHGDAMHLTQFAPGLKGLQVWDCHENKKDGSTFRNAATGEVLFQVKSSIDVGRCMAADVDPRNPGVEMWSSDSKGVRNIKGEVIRPDLKSFSVNMAVWWDGDLLRELLDKNRITKYDWEDDVCRPLMIFDGTDSNNGTKSHPCLQGDIIGDWREEVLLRTEDNSALRLYVSRIPTEYRFHTFLEDPVYRISIATQNVAYNQPTQPGFYFGPDLKEGIFRGYEFKNE", "MKKTFLKSLAGIAAVAFAVSCTAPAPKYKTVVVDAPFAMEPIKECVFPEQDFSIVDYGAVKGGETVNTEAIAKAIAACNKAGGGRVVIPEGEWLTGPVHFKSNVNLHLEENAILRFTDNPSDYLPAVMTSWEGMECYNYSPLLYAMDCENIAITGKGTLAPIMDTWKIWFKRPKPHMDALKELYTMASTDVPVEQRQMAKGENHLRPHLIHFNRCKNVLLDEFKIRQSPFWTIHLYMCDGGIVRNLDVKAHGHNNDGIDLEMSRNFLIENCVFDQGDDAVVIKAGRNQDAWRLNTPCENIVIRHCDILKGHTLLGIGSEMSGGVRNVYMHNCTAPDSVFRLFFAKTNHRRGGFIENIWMKNVKAGKMQRVLEVDTDVLYQWRDLVPTYQDSITFINGLYMDSVTCDRTEAVYDLKGDARLPIKNVEIRNVTVGEVTKFVKNVVNAENVVEENIIYKEKQDKQ", "MKYKKLISFLAFFLAVLSVYGQNPFVLKSGEPVTIACGNSEEEVVHTALNLLNRDVESVFSTRIIVTPENKKGMIIVGTIGQSDLIDKAGIDLSPIKNKKEAFLLAVSSTGKLVIAGSDKRGTAYGVMELSRLIGVSPWEWWADATPAKKEIFQLPASYRNMQSPSVEYRGIFINDEDWGLTPWSWQTYEPSDVKGQIGPKTHERIFELLLRLRANTFWPAMHGCSVPFYFTPGNKEVADKFGIFIGTSHCEPMMRNTNGEWKRDGVGEYDYVHNSAHVLSFWEQRVKEVAGLDNLYTLGMRGVHDGAMNGAKTIEEQKAVLTKVLRDQRDLLTKYVNKDVTQVPQVFIPYKEVLDVYHAGLQVPDEVTLMWCDDNYGYIRHFPTAEERARKGGNGVYYHVSYWGRPHDYLWLGTAHPSLVYQQMSLAYERGIQKMWILNVGDIKPAEYQVELFLDMAWNLEEVKQQGIAAHQRHFLEREFGKNRADRLQPVMQEAYRLAYIRKPEFMGNTRTEEKDPKFKVISDLPWCEQEINERLAAYRQLSDKVEQEWHALPAQKKETYFQLVKYPVQAAAQMNNKLLTAQLARHGKADWADSDRAYDSIVSLTKTYNTTKWNRMMDFQPRRLLVFNRVERKVLSSGLPEKRQAVYTWNGADCVNSSPVVCEGLGYEGKAVAVSKNKELTFEFTAWETDSVEVEVRLLPNHPVEGERLRFTISLDGSATEAVSYETKGRSEEWKENVLCNQAVRRMVLPVARKASHRLIFTALDEGVVLDQIYLYTPRIK" ]
[ "TAATACATTAATTTAAGTTATACAAAAAATCAAACAGTCAT", "ACATAACACATTATATTACAACAAATTACATTCACACCACCAGACATTATATTTGAAGAGCACAGATATAAACAGACCGTTTGCAAGTTCCGGACAATGAACATAATTTCTGCAAACGGTCTGTTTGGACAATGCCCGGCAAAGCAACCGGTCCAATCTGTCCGAATGATGTATCACTGATTTATTT", "ATCGTTACGTTA", "ATTACAAAGTTTTTAAGGTAAATAACTTGTTCTTTTTCA", "TGGCTGGAATTACTTTATGCCTATTGAAAACAAAAATGAGCTAAACAAAATGTTGTCCCTGCTCCAATCTTTAGAATCTTATTCCAGACGAAACAAAAGTACACAAAGCTTTATGATTCCTGTAATCACAATGATACACGCATCTTTACAGGCAGAACAGACGTGCTCCGCCTGTAACATTTTTCTTTGATGATGTTACATCTAGGCCTATTTACCTTATAATTAAACAGAACGGGGGGCTGTTCAACATTGAACAGCCCCCCGTTTCCCGACAAACAGCAT", "AGTATTTATTTCTATCTGATTAAAAAACAACGCCGTATCAAACGAAATATAATCTCATTCAATACGGCTAATTATCTATTAATAATGTAT", "TCTAAGTTCTGTTATTTTCGGGCAAAATTAATAAATAAGCCCCTAACTTTTATATTGTTTGTATGCAATTATACTAAAATAA", "ATTCAATCTTTTTCTTTTGAAATGGCAAATTTACAAATTAATTCTTTAT", "GGAACAAGGCTTTACAGCCGCTATATTTCGCACTTTGGTTTTAAGC", "GGTTCACTGTTCTTATTCTTTTTATACAGAACAAAGATAACCATATTTTATATAAGAACAGTGAAAAAGTTCATT", "TC", "TGTTTGTGACACTTCTTTATTGGATAAATGATAAGACTGCAAAAATACTGCATCCGGAAGAATAAAATTTTTGCTTAAGCAGATTTAACATTTTTACAAGCAGTAAACTTACTATCTTCGCATTTAAGCCATAGAAACAATTCTAGAAACAGAAAATATGAGAAAATATAAAGCGACAGTTCTTGTAATAATTATGGTA", "TTCTTAACAACTCCTTGTCTTATTGTAACGAAAAGGCTGTATCCGAAAATCTTTCGATACAGCCTTTATCAT", "ATACTTACATTAT", "TCCTTTTT", "ATCGTTTTTTATTATTTGGTTCTCATTTTAACAAGTTGCAAATGTAGCAAATCCTCTTGATAATGACGAGTTAAACCCGAAAAAAAGATACCTTGATTGTTTTCCGAAACAGTCAAGGCATCTTGTCCACACAATAAGGATACTAATTCTCCCTTTATCTTCTATT", "GATTAGCGAGTATTAGATAATATTTATTCAACGTTTTTTTGTAACAACAAAAGTAAACACTTCTATTGAAAAAGAAAAGTAAAACCTTTA", "ACTT", "GGTCATATTTTGTGATATCTATAACAAATAAAAACTTTTTATTTTGAAAAAA", "CT", "CTGGCTTCTTACTA", "GGAGTCAGAAGGAATTTTAAACGAAACAAAACCAATCACCTGAAATAATAACCATACGATTACAATTATGCAAAAGAAAAAAGCTACTGATAATAATTTATCAAGTCCTTTTTTTATACTAACCCAATGTTCTGTCCTGTTTTTCATTTTATAGAAATACCTGTTTACCTTGTTTCATATAAAAAGCACGTTCTTCTTTAGGATTCCAATGGCTCATTTTCTATCATATAAGCGAAGATATACTCACAAAAATCTTCAAAACTATAATATTTACTCCACAGTCGATTTTCCCACACTTCCAGATTCAAACAAATTAATTACGGCTGTCGGCAAATATTCATAGGGAACGTCTATCACCTTTTTATTTTTAAATAAAGGGGAAACATTTATTTCATCTTCTATCAATGCTTTATGGCTACCAAAAATCGTCCGGTAATTTTTAAGTCACATCATTTTTTGCCGAAGTTTCCCCAATATTAAAGAGTCGTCCTCCCTTTACTCATTTATTTACTAAAATATTGTCCACTAGTAATAGGATCGATTTATAGAGTCAGAAGATATT", "ATAAAATTTCGTTATAATCAGAAAAACAAAATATCATTCCTTCTTCAACAAAATCCAAAACTATAGCATCTATAAGTAAATATACTAGCTTCAAAATATATCCAAAGCAGTATAAAAACTTTTTAATATACTTTTTCAAGAAAAATAGTAAG", "TATTACCAAATTTTACATTAAATACA", "AATTCAACAATAAGTCTATATAATAATTCATTAATAAAATCGTCTTAAACTAAAATCCGAATATAATGAATAATAACATCCATAATATAAAGACAGAAAAACCTATTGAAATCAGTTTAAGACGATTTCATACTAAATATTGAACATGCTCTCAATCAA", "CTTATTTCTAGTAAAAAAAATTATTATTATTCATATTTTCATCTTATTCTCGGAAAGAACTCCACCGCAGAAAAAACAAAAATACCGCTTGCTTATTCTGATTATTTACACTACTTTTACATCGGACTTAACCTCCTTTTCTTTTAGGAGAGAGCCACAGGGTGGAAAGAATCGACTTTCGGCAAAGAAAATGAATTTCTTTCCATCCGCTTTTTACTCCCATTGATTCATACTTCATCCT", "TGA", "TGGTTTATCATTTTTTGTGTGAAGGTAGAGAACATCTATCGGGCACGCAAATTTTTTCCCTTAAATTGATCTTAAGGTGACCTTAAAGTTTTCTTAAGATTCAAAAAATCCCCATTTCATCGGAAGGATTATTTTAATGCCCTGATCTCCAAATCATAAGGTTCATCCAATTAAATCATATTCTTTTTTTAACTTATAAGTTCCTTTTTTATAAATATGCGTATCTTTGCAATCTCTAACATGAACAAGAAAC", "ATAGCTCCTATTTCCAATAATAAGCATACATAAGGTATACAATCTTACTTAAAATCACCAACCCACCGCAGCAGGAACAAAGCATTTCTCACAGCGAAACGAGTTGTTTCATTAAGGTGGAATAATTTATTTCATCAAGGTAAAACTAAATATTCCACCATATAAAACTATTTGTTCCACCATATAAAATTAATTGTACCAAACAGTGGAACACACTGTAATACACGGGAAACAAAGAGATTCTCGTTACTATATTCTGATTCATTATAGGCCACAGGACAATGCAGTGCAGGACAATAACACTGTGACAGACTTTTATCTGTCACACTCTCCGTCACGCTATCTGTTACATCTTAACAACCTGTTTTTCAGCAAAATAATTAGTACTGTGACAGTGTGACAGATAATTCTCACTTTTAAACTCTATGAAAGCAAACTTGAAAATAATCCATATTCCTTCACCCTGAAGGATTTTTTTTGGCTGAAGCTTTCCGGGTACAAATCCGTCATTATAATCAGCTAAGAACTAACATCTTGCATAAGGATGAAGGATTTGAAAGAAAAAACGCATGAATTCTAAATTGGTATAAGCAGACAGTCCAGCTCATAAAACACTAGAACCTTTTTAAAAAAGGCCCTGTTCTTTTTAAAAAAGATCCGGTTCTTTTCGGAAAACGAACTTATGTTTTTCCAACCATACACTACAATAAATTATCCGCCATTATAGGATAACACGGTGATTTCTTTTCAAAACTTCCT", "TTGATTTCTATATTTACTTATCTGGCACAAAGTAAACAAACTATTCATAAAAACAAGAAGAAACGACCTTAGATTTACCTTAAATCTTCATTTTAGATTCGTCATAGACATCTCTTTTTCACGAGTAGATTTTCATTTCTTCCCATTTCATCGAAGTTCAGATACTTTGCCATTTACTAAGTGCAAACATTTCAAAACAGCTCGGACAGACATACCCATAGAACAAGAAATACATCACAATAAATTCCATTTTCCACAAAATAGGTTTATATGATGAAAATCACTATCTTTGTTCT", "AGTATTTTTAATTTGACTGGTAAAGATAGTAAAAAATGCGGCAAAGAGACTTTTTTCATCCAAGATTGTTTATTTTTGCATCCAAAACGAAAAAATTAAAAGAATA", "AAAAGAATATTTCGTGAAAACAAGAAGGAGGTGTGTCCATCCCTTAGGGATTTTTGACCACCTCCAACAACAAA", "ATTTTA", "TTCTATTTCATTTA", "ACTTTTTAAATTAAAATTGATAATTAAAAAATTAAAAAACCAACGTATGATACACAAGATATGTTTCTTTG", "GCTGCAAAGATGCTACTTTTTTACGAAAGTACCTATATTTACGCTCAAAATTCTTTCATACCCCGTCTCTTTTATATAACTTTGCACCTTATTGATAGACCCTTGATATTAGAACTAGTTTTCAATTAACAAAACAACTATAAAAGTGCT", "AAACGATTTGTTATATTTACTAAAAAAGCGGTGAGTCATACTGATTACCGCTTTTTTTGTATATAACAGATTTTGAT", "AAAA", "GAATAGGACGATTATGGGAAATCATCAAAAAAATCCGTATGATCGGCTCCGGAAATAATCATCAACTCTTTGTCACCACTGATTAGATAAAGCCGCAATAGATGGATTACAGATGTTATAACCTTTTCCTGATTTCAACATAAACAATATAGCACCCGGAAAACAGGCGACATACAAATCAACTGCCACTGCCCCGGAATTCTTTATTCATCGATATGACGTGAATATAATACCCAGGCAGTGGCAGTAAAAATAAAATCTAAAATTAAAGAAACACTCCCGCTTC", "TTTGTTATTCTTGTTTAATACGCTTGCAAAGATAAGAAAACTTATAATTATCTTTGCATATTAAACCAAATTCAATAAAGA", "TTTTTTATTCACCGTTGATTGTCCAAACTGACACAAATTATTAAATATGATTATACGCAATATACCACTAGATGATAAATGGGAATTTAAAAGTGATTTATTCCGTTCTATAAGTTTAGCATTTTGTGGTATGATTGCAGAATCATTTTACTAAAAATCTGTTTTAATGTTTTCCGCATTGTCAATCTAAACGAAAATATGAGTAAATTTAGAATTGAATTAACAACCTTATAAATCAACAGAAT", "TATCGTTTTTCCCAATATTTCTGTTATCTTTGTCCAATAACCTAATTTATTATCCT", "TCTCACATAAAAAGTTTTTCCACCACAGATTATACAGATTTACATAGATTGAATTTCAATGAATTCTCCCATTCAATAATCTGCGTAATCTGTGGTGGAATTACATCAA", "AATGTTGTCGTTTAATAG", "ATTCTATTTTTTGTTTTGTTGGGGCAAAAGTAACGAATTAATTGATTACTTCGTATCTTTGCCCTAAAATTAAAGTAA", "ACACATAGCAAAAAGTAAATTTGGGCAAAGCGTAGCGAAGTACATTCAAAGTGTTATT", "TGTGCATTGTCTTTATCGCTCAAATTGAATGGGTTGCAAAGATTGGCTTCTTGATAGGTAACGATTTAGAAACGAGCGAAGTTCTGTATTCTGCCTTGTTAAACCCAAAGAACGCTGACTTCAAATGCAAAGGTAGTGGA", "GA", "TGTAATTGATGCATTGAGTTTCAATCACAAAGATAGCTATAATTTGTGAGGTTGCATTCTTTTTATATGGACTATCTACAAAGATAGGATGCAGTTTTTTTGATAGTAGGCATTATTCCCCGAATAATTTTATTAACTTTATAAATGCAAAGAGTTGTTTGACAGCATAGCACCGCAAATCGCTGATATTCGCACGGTTACTAAATCGTTACCTCTGTTTCTCAAATACTACGCTAAAAGTTTATTCTTCAATCGGTTGTATCAAACTGATGAAAATCTAAAATAAAATGCAAACTGCTTCTTTGGCATGACACATTATGTCGTTGCAGATGCCCGGCTCTCAAAAAGTTTGTCTGCCGGGCATATCCATCTTTGTCGTTAAGTTATAATCTGATAAAACTTTACGTACTGTTATTTTTTATTTCCGCACTGCAATGTTTTTGTTCCGCCACCGCATTATACTGATATACAACGATATGCAGTGACAGAATTTTCTGTATCCCCACAAAGTGTTTTTTTTCGTTTTTCCTTGCGGAAGCCAGCTCGTCAGATGCCGGTTGTCATATTGGATACGTAATCTTGGTATATTTACGGCAGTATCCGTGCAAGAAGAGTGCACCATCTGTACCATCACCCAGATGAACAGGCCCTATCATTCGGGTGAAGAGCCGTTGTCACCTGTGTGAAACTGCCGCTTCACACAGGTGAAGCAATAAAGCCATAAGGCTGTTTTCATGGTAACATACTGCCGGCAAGGTACATCTGTTGGAGTTTTGCCGCTATGGGTATGGTGGGCGCAATGTGGTACATTGACCGGCGATTACCAACCGTTTCGGGGCCTTTACAGATATTTTCACACCGGAACATACTGATAATGAAGACGATGAGATGACGGGGGAATTTTATATTTCACGTTAAATTAGGCCGTGTTTTCTTTTATAGTCTTTAAGATCTTTGATATTGATGGGGGGGTGGTTGTACTATGCGTCTTTCTTTATAACGCTATATTGACAAGGCATTGTAACATATAAATAGTGTATGATATTAATGCCTGTTCGATATACATATTAAATTTACTTGTCTAAAAAATAAGAGCATAACAATAAATCTAAAACAGGAACGGCAATAATATGGGAACAGAATAAATCCCTTCTCCTCTTTTATTTTCATAAAACTCAAGGCTATAAGCAAAATAATAACTATTTTTGCACATTCAATTGAATAAAATATATAACTC", "CAAGCAATATTATAATAACACTTCCAAGCCTGCTACATTGAAAAAAAGATTTTTTTATGGCATAGGAGCAATAATATGCTCATTAGTTACGATTGATACAATACGCGAGTTGTATTTCATCTCTACCGCATGGGGTCATGAAACAACTTGGCATGACATACTATATACAGTAAGAAAAGTACTTGCCATACTTGGGTGGATATTACTCACTTGGTATGTCGCAAATAAATGTTTAGAAAAGA", "TTTAAATATCGTAAACCATAAACTGTAAACATAGCA", "AACAATGTGCTAATATGCAAATTACCAGGTAGATACATAGCGTAATACATTGGTTTAAGCATATCAGCACACTGAATAATTATTCCCC", "ATAATTAGCGAATTGTACGGATATATAAAAAAAAAATGCGTAATTTGCATAATTAATGATGTGTTTACACTAACATGTAACACGCAAGTAACAAAACTGAAACATTTAGAACATAAAGAATTAGACAGTATT", "ACCCC", "CCACTAAAAAAACAAC", "CAAAGTTTTTTATAACTTTACTGCACGAAAAAAAAGTTATATAGTATATACAGTATAAATAT", "TCTTAACGGGGGCGGACGTTACACGTCCGCCCCTCTCTTTTTATAAACACC", "TTACAATC", "AACTTTTCAATAAATAATAA", "AGATCATAATATTCATAAAGTACCCTTCATACAGTCTGTTCCGATA", "TATTCAATATTAGTTTTATCTTGCCAAAGATAGTAATATAAATCCAAAAAAAGAATCTGTAAATGCTTACAGCACCTTACAGATCCTTTTCCACTTTATTCTTGCAAGACAAACACGGTCT", "TGTATCTTTTTTTTAAACATAC", "ATATTCTTACTTTTATATAAATGACTTCCG", "ATCTTTATTC", "AGTATGTATCTTTAATTATTCTCTTTATCCGGA", "AAAGAACTAAAACTTTTAGTTATAAAACTAATTTTCATAGTTAAAGTAAACTAAAAGCTTTAGTTACCTGCTGTAAAACAGTATATCCCGTTTTCACCTGCTGATGAAAACGGGATATACTTATCATAAATAATCCACCTATAAGGAGACTACAGATCTCTATATACTTATACTTCCCTGAACAATCTA", "AAGAGGTTATCTTAAAAAAAGAACTTGAAACAGCCTCTTATTTTTGTAAAACAAAAAAGCCTGCTACAGAAATTAATGTGCAACAGATTTTGGTTTTACACACAAAATCAGCAGGATTTCTACCGATATTTATAAATGTAGAAACATTCCACATCAAACCACATTATATGAGGATTTTTTTCATTTTCTATACAATTGGGGAAATACTAACCAGCTGATAATTAGAAGTAATATTCTTTTGGCATAGGAATTGTCATATCATTATTATAAAATAATTACTGTTAAAAATATAAGATT", "GAAGATTGTCATTTTTTACTAATATTGAACCGTTTTACAGGAGCCGCTGTCCGAGATGGACAGTTGCTCTTTTTAATTTTTATATCACTGTTCATAAAACTACCAGTACATTGTGAAAATCTATTTTAGAAAAACTTTTTTTTCATCAAGATAAATATCAAAACGGTCAGCACTCTTGTCGAAATTGACAATATCAAAGTTGTCTATAAGCACATCCGGAAGGATGGCACGAAGGAGTTGTGCGGGTTTCATAAAACAAAGGTAAGCAAAGATAGCTAATTTTAAAAATTACCAACCAGAAATTTCCGCTGACCCCAAAATCCCTCAGGTTGTTACGTTGACCCGTGATTCTATATGAAAAGAAAAATAAGTCCAATATAAATAAAAGATAAACAAGATATCCATGAAACAAATTCATAAATACCTTGTTTTGTGTAGCGGGACCCGGGATTGAACCGGGGACCTCATGATTATGAATCATGCGCTCTAACCAGCTGAGCTATCCCGCCATCGTTTTTTTAAAAAGACCGCCAAGAGTGGAAGCCTCTCAACAGTCTAAAATTGTTCATTGTAGCGGGACCCAGGATTGAACTGGGGACCTCATGATTATGAATCATGCGCTCTAACCAGCTGAGCTATCCCGCCATCATTTCTCAATTGCGGGTGCAAAGATAGAAAGTTATTTTGAATTTTGCAACATTTCAGAGAACTTTTTCTTGTAAAATTCGTTTTTAAAGAGAAAAACAGCTTAGTATATGGCTAATAAATATTTCAAAAAACATAGCATTTATTATGGCAAATAAGAAAATTTGGCTTAATTTGCCACCGAAACTTTAAAAAGCTAACCT", "TTCTATAAAAATATGCAAAACTTGTCTTTGCTCTCCGTTTTTTATGCTATTTTTGTGCCTTGATAGTTGCACAAAATGAAATAATGCTACGCATAAAAAAATTAGATATATTTATCCTAAAGAGCTTTTTG", "CT", "AAAAGCTATAACTCAGACGAAATCACATCATTTTTTATGCGATTTCGTCTGTTTTACTTTCATAATTAAGATATTATCCTTTATTTTGCAGCAAGTAAACTTAAACACATTAAAAAATAATAGCA", "AATATTCTTTCTCTATAAAAAGAACAGGCATCCGTTTTTTTCTGTGATGCCTGTTTTTTTATTCATAAAGCAGACATACGAGCTTCCAATCAAAACAAAATTTAGATAAACAAAAAAACGACCGTTTCCATCAACGGAAACGATCGTTATTAACTTTAAAGAACAAAATTGTACTGC", "TTTCGTTAATTATTAAATTATTAGTACTAATTGATGGAGCAAATATACAGCTTTTCTCTATTAGTTGTCACATAGAGATTACAAAAATGCACTAATTTGTATTTAATCTAAATATGA", "CTAATTTCTTCAATTATATCAGATTTCCTTATACAACAGCAACTA", "GACGGTTCAGT", "GTTTATTAGTTGTTTATTGCCCAAATGTAGTTAAAAAAGAAGTTGCAACCAACGATATCAGATGAAAAATAGTTGAATTTAATTTGGCTGTATGACAAAAATCTAGTAATTTTGCACCGCTTTCGCGCAATCGCTGTCAAAGAAGAGTTACTTGATATGTTGCGTTGGTAACGATAAACAATTTAAAATCAAAAAAA", "GATTTATCATTCCTACATAAAAAAGGAGTATTCTCTTCCCGAGAATACTCCTTTTTTAATTTACATCCCCTTTACCACGATTTTTTATATAATCATGAACAAACAGACTTGAAATAGCATTTATATTTAATATTGAATCAAACTTAAACCATTT", "ATATATAGTCCATTAAAACAAAAAGGTCAACCGATTCTATATCATTCTCGATTGACCTTCTTATTTAAAATCTTGTTTTCA", "ATCATTTATCACAGTGTTTTTGTCTTGCAAAGGTATAAAAAAAGAGTGTATCAGAATGAATCGATACACTCTTTTTTATT", "GGTATTTTCCTCCTTTTTATAAAGTCCTTGTTTTTAC", "GGTATATAGTCT", "TTTATCAGTTGTTTTTCATTGTAATTTCCGTATGCACAAATACCCTGAATATCTTCGTTCACC", "AGTTTTTATTTTCAATATTGCAAAAATAAGACGAAATAGGATTGGATTCCATAGAATAAGATACAATAATATGGAAATTCGTCCATAAAGCCTGTATTATTTATCGTATTTACGGTGCTTTTTACACTTACAATAAATTTATAAAAATATAGGGAAGAGCATATAGGAATTTTCTCTTCCCCACATTAAGTATCAATTTTCGTATCCGGGATTCTGTTTTAAATTACTATTTTCATTCAATGAAATCACAGAATATATCTTCCAAAACGGTAGATTACAGAATTCAACAGGCACTAAAACAATTACGGAAAGAATTAAAAGACTACTTACCCTTACTCCTATTCTTTTTTGCCCCTTAATAGGAACCCGTACATCCTATTATATCCTTGTACCCTATCACTTCATAACTGATATGATGATAAGAAGTGTTTCTGCCGGGAATATATGCCTCTCCAAAAGTTGTGTCAATGTTCCCAACGCTTTACCCCGAAACAGGATGGTAAGTTCTTATCTTTCTGATTATGTTCTCCATACAAAACGCTTCTTTTTACAAAAATACAAAATAGGTGCGATAAAATATTACCCTATCCGTCTAAAGACATCTATAACCTAAGAATACGGCAATTTTTCTAACTATATAGATTTCAAAGAAACATATTTCTTATTCCTTCAGCAAGTTTACAAAAAAAGTTGGAAGACAATATGCTCTCATGCCCTCATTAACGATGATAATCATCTCATTAACAATGCACTATATTATGAGTGTATCATCTTTATGCTCTCATAAATGCCCTCATGCCCTCACAGTGTTTACTTTCTCACACAGACGTATATTACTTACTATCAGATACATACATTAATATTTATCTATTTCCCGAAATTCAAGAGACAGCCGTTTTTCAGGCTTTTTCTCTTCCATGTCATGCCCAATGCAGCCTTTACGGATTTATACCGATAGCACAAAGCATATCTCCCGATTATACGATACAAACATAGGGATGTAGTTACGCAAGGATAGGAACAGGTTTACATCAAAATTCCTTCATGATTGCTCAACCAAACTACAGGGCCGACGCA" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, true, false, true, false, false, false, false, true, true, true, true, false, true, true, true, false, false, false, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, false, true, true, true, true, false, false, false, false, true, true, false, false, false, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13 ]
[ 0, 2, 4, 6, 8, 10, 12 ]
[ "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122373|-|757:990", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122374|+|1302:2852", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122375|-|2990:6289", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122376|-|6311:7954", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122377|-|8106:11084", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122378|-|11317:14172", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122379|-|14186:15238" ]
[ "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000001|+|224:756", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000002|+|991:1301", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000003|+|2853:2989", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000004|+|6290:6310", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000005|+|7955:8105", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000006|+|11085:11316", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000007|+|14173:14185" ]
[ "MRKCPHALIFCHNLFIMNCLLVVIASVLVRALPSLTPMLKNKLFRLYRMIQSTFIAGFWGNAQLAENASGIIYIVDL", "MGNKIYPIGIQNFESLRLDGYFYIDKTALIYQLVKSGRYYFLSRPRRFGKSLLISTLEAYFQGKKELFQGLAMEKLEKDWTKYPILHIDLNTQKYDSPQSLESKLNRTLVTWEKLYGNEPAEDSLSMRFEGTIQRAYEQTGQRVVILVDEYDKPMLQAIGNKELQNSFRETLKAFYGALKSKDGCIKFAMLTGVTKFGKVSVFSDLNNLDDISMWNEYIELCGISEKEIHKNLETELHEFADVQKMTYDSFCEKLRQYYDGYHFTHNSIGMYNPFSLLNAFKRKEFGSYWFETGTPTYLVELLKRHHYNLERMAHEETNAQVLNSIDSESTSPIPVIYQSGYLTIKGYDEEFGIYHLGFPNKEVEEGFIQFLVPYYTSMNNVESPFEIQKFVREIRSGDYNSFFQRLQSFFADTTYEIIREQELHYENVLFIIFKLVGFYVKVEYHTSRGRIDLVLQTDKFIYIMEFKLNGTAEEALQQINDKHYALPFETDGRRLFKIGVNFSAETRNIEKWIVE", "MKNLFLFLFLLVVFTSKAQDNRVSGLNSRQFTKYWKVESESPDYKVTFQGDTAEIVSPKGLTLWRKEKMSGKVTIEYDACVVVESDGDRLSDLNCFWMASDPQYPDNLWKREKWRSGIFLNCYSLQLYYLGYGGNHNSTTRFRRYDGDESGITNPKARPAILKEYTDAGHLLKPNHWYHIKITNENNRVSYYIDGERLVDFRDAEPLREGWFGFRTTLSRTRITNFSYECSSQEATAVPLQWIGETPRQDKAVSFGVPFDKGEVFPENKLRLSAESGEDIPIDTWTLAYWPDGSVKWGGIAGVIPAGTEKLTLEKAVKKSKAKSKLPDTDKKKSVSVAETSQGIHISTGVISAYIPRQGEFLIDSLLYKGVKVGEKARLICHTQSEPVLESTSQVSFTNYIGELKSVTVERAGSVRALVKLEGVHKSPNGREWLPFVVRLYFYGGSEQVKMVHSFVYDGDQNKDFIRALGVRFDVPMREALYNRHVAFSCADGGVWSEPVQPLVGRRILTLGKTGNGESSLQQQQMEGKRIPSYEAFDEKNRALLDHWASWDSYRLSQLTADAFSIRKRANDNNPWIGTFSGTRSEGYAFAGDITGGMGLELHDFWQSYPSSIEISDAKTPVAALTAWIWSPDAEPMDLRHYDNVAHDLNASYEDVQEGMSTPYGIARTTTLTLIPQGGYSGKKAFAEQAKQLAGPGVLMPVPDYLHAKQAFGVWSLPDRSTPFRARVEDRLDAYISFYQKAIEQNKWYGFWNYGDVMHAYDPVRHTWRYDIGGFAWDNTELASNMWLWYNFLRTGRADIWRMAEAMTRHTAEVDVYHIGPNAGLGSRHNVSHWGCGAKEARISQAAWNRFYYYLTTDDRCGDLMTEVKDADQKLYTLDPMRLAQPRSQYPCTAPARLRIGPDWLAYAGNWMTEWERTGNTVYRDKIIAGMKSIVALPNRIFTGPLALGYDPATGIITSECDPKLESTNHLMTIMGGFEVMNEMIRMVDYPEWNEAWLDLAARYKQKAWELRKNRFRISRLLGYAAYHTRNAKMAEEAWTDLFSRLEHTPAPPFRIETVLPPEVPAPLDECTSISTNDAALWSLDAIYMQEVIPVDGMR", "MKGNKLCLCFLLAAGVGLGAHAQNKIAAPMKDVNQVVDNTLDSLNVARSARPVSGSSRKGDNPVLFLVGNSTMRTGTLGNGNNGQWGWGYFEHEYFDENKITVENHALGGTSSRTFYNRLWPDVLKGVRKGDWVIIELGHNDNGPYDSGRARASIPGIGKDSLNVTIKETGAKETVYTYGEYMRRFIHDVKKKGAHPILMSLTPRNAWEDADSTIITRVNQTFGLWAKQVAKKARVPFIDLNDISARKFEKFGKEKVKYMFYLDRIHTSAFGARVNAESAAEGIRNYKGLELARYLKPVEKDTVTGATRKKGNPVLFTVGDSTVKNADKDEKGMWGWGSVIHELFDTERISVENHAKAGRSARTYLDEGRWDKIYHALQPGDFVLIQFGHNDAGDINTGKARAELPGSGNESKVFKMEKTGSYQVVYSFGWYLRKFIMDVKEKGAVPIVLSHTPRNKFDNGEIERNTSSFGKWTREAAEATGAYFIDLNKISGDKLQDMGYNQGLRVVGTYFNHDHTHTSLKGARMNARSIADGLKATDCPLKDFLK", "LTFIGISQIAAASAVRDKYNFNSEWLLYVGDIPEAKEVRFQDTDWKKVTLPRPFNEDEAFRLSIEQLTDTVMWYRKHFRLPAGSKNKKVFVEFEGVRQGADFYINGEYIGLHENGAMAVGFDLTPYIKYGQENVMAVRIDNNWNYKERATGTKYQWSDRNFNANYGGIPKNVWLHVTDKLYQTLPLYSNLKTTGVYIYAEDIRVKSRKAVVHAESEIKNEYNRDKKVAYKVEVFDRDGKSIKSFEGTQTVVKPGETATLEASAEIDGLHFWSWGYGYLYTVKTSLWVDGRKVDEVATRTGFRKTRFGRGMIWLNDRVIQMKGFAQRTSNEWPGVGMSVPAWLSDYSNGLMVEDNANLVRWMHITPWKQDIESCDRVGLIQAMQAGDAEKDREGRQWEQRTELMRDAIIYNRNNPSILFYECGNESISREHMIEMKAIRDKYDPHGGRAMGSREMLDIREAEYGGEMLYINKSKHHPMWAMEYCRDEGLRKYWDEYSYPYHKNGEGNNSFRSAMTNKVQKKVDARAYNHNQDSFTIENVIRWFDYWRERPGTGDRVSSGGVKIIFSDTNTHYRGVENYRRSGVTDAMRIPKDPFYAHQVMWDGWVDIENPRIHIVGHWNYKEDVVKPVYVVSSAEKVELFLNGKSLGNGQRDYHFLYTFKDVAFVPGKLEAVGYDKNGKECCRAELQTAGKPEQIKLSVIQSPKGWKADGADMVLLQVEVMDKDGRRCPLANDLIHFDVEGPAEWRGGIAQGKDNYILSKDLPVECGINRALIRSLTTPGTVRITAKADGLQSAEISLSSAPVEVKNGLSNYIPGDELEGRLTRGETPLTPSYKDTKVDVNILSAVAGANQDEAIKSFDDNELSEWKNDGRLNSAWITYSLERAARVDEICMKLTGWRLRSYPLEIYAGDELIWSGETEKSLGYIHLNVKPVLTNEITIRLKGASKEGDGFGQIVEVAAPAAGELDLFKAKNGDKTNHELRIVEIEFKENLWQ", "MKQSLFLKKCSKFCYVLFAVCGCLACTQSQKIEWPQVTNETKPWTRWWWEGNAVRTTDLDTVMRKYQEANLGGLEITPIYGIHGYEDRFKDFLSPEWVDLFLYTLQEAKQLGLGIDLANASGWPFGGPWVTPEDACKTLAYKTYQLKEGEQLGEPVRYKEEGFVRLAGHTPVKLADLKEPVSANADLQTLALDQVRYKKELPLIIVTANSDKGECLDLTSKIKPDGTLDWTAPQGDWTICALFQGHHGKMVERAGPGGEGDVIDHFSASAIDHYLSKFDEAFKGKDISYLRYYFNDSYEVDDARGESNWTPAFFDEFQKYRGYDLRQHLPALLGMDTPDKNARVLYDYRQTINDLLINHYSIRWQHWAAKQGKGIRNQAHGSPANILDLYAVSDVPEIEGRDLVSIKAAPSVAHTEGKKLSSSESATWLDEHFQSNLGDVKKALDLFFLGGVNHIFYHGTCFSPQEAPWPGWLFYAAVHFHPNNPFWEDFKYLNQYVTRVQSFLQDGTPDNDVLLYYNIADVMSEQGNRSLQHFSGLDRNMLESSVRESAVTLTENGYAWDMISDKQLLKTNIEKEMIVTPGAAYKTVLVSAAQYIPYETMEKLMALADEGATVVFYKGIPQDMAGMILSEEKQAHFREMLDALDFHAEGAVKCARVGKGKICLSDDINALMNEADVGAEKMYQAGLQCIRRNSATGKYYFIENSSDRKIEDWIPLRTEARSAAIFNPMTGASGLAAMKRNDGQTDVYLELNPGETVIVSTSSQNFTGDAYAYYQNAGEPNPVSGSWTVSFVQGGPQLPASITVDSLGSWTDFVGDEYKAFSGTAVYTTTINKVPVADVIKLDLGSVAENASVYLNGDYIGTVIDSPYQLYIPAEKFKGQDELVVRVANSMANRIAYMDKKGVDWKIFYNVNMSARKKENVKNGIFDASDWEPKSSGLLGPVTLTPAMVKQ", "PAGEVTVADIFKTKNYATGCVGKWGMGGPGTEGMPGKHGFDYFYGYLGQRFAHSYYPEFLHENEQKIMLDGKYYSHDLMLEKALNFIDENAQKPFFLYFSPTIPHADLDIMGEAMTEYEGEFCETPFGGSRDGYKSQQNPRAAYAAMVTYLDKSVGLIIKELKEKGLYDHTIIVFTSDNGVHSEGGHDPSYFDSNGPFRGQKRDLYEGGIRTPFVIQWPGVIPQGVVTNHISAFWDFLPTIGELVQADIPQNIDGISYLPTLTGKGTQKEHDCIYYEFFEFGGKQSIMTPDGWKLVRLEVSDPSKTYEELYNIYTDPAETSNVIKQYPDVARKLKNMIGGQRVENARFHF" ]
[ "GTTAGTTTATGTTTTAATATATCTTATAAAGATAAGAAAAATAATCGACACAATCAAATAATAACACATTAATAATCAATAATATAACAAATAAATAACAAGGAATAATATAGTAAAAAACATAATGCATCCGCCATAACCACGGACGTGATTAAGTCTAGTCACCTTTGTGATTAACTTTAACCACTCTTGTGGTTAGACTTAACCACCTAGGTAGATAAGCAATTACAAACATATAAAAAGTAGGAAAAGAAAAGAAAGAAAAGAAATAAGAGACAGGGAAATATGCACACATAGACTACCACCAACTCGATTCACAAGCCATGCAGCTGCTTTATAAGAACGAACGTTAGGGGGTGAATGATGAAAAAGAGTAGGGTATTGTGGCAAAACAACACCGAATTTGAGCAAATTTTGCCTTTGGAGCAGTTTTTCAAATATCACTTTTCTTCTGCTACTTCAGAGAAGAAGGAAGAAGGGAGACCGCTCATGAAGATTTTCAATTACCCGAAAGGACATACGCATAAAAAGAA", "CCAACTTTCTATTATTTTTTGCCATGTTCCAATAAATTTCTTCAGATGAACCCATTTTTGCCTGTTACTGATTATTTCTAATAAGGTATCTCATAAATTATACTTTATCCACATCAACCATCGACATAAATTAAGAAACAAAAGATCATAAAATCCTTTTAGGAGAGAACAAAAGATGAAAAGTTTCTGTATTCCCCTCTGTGACATACCTACTTAAAAACAAAACATTATTCCAAAAGAATTCGCTGAAAATACAGGAAATAAAAAGGAAATCAGTAACTTTGTATGTTATCATTTAAAAACAAAAAAGT", "TGATTATGTCATTTCTATTATTTTGAATATCAGTGAAGAATCTGTAAACAATAAAAGAGTTGTTGTAAACAGATTCTTCACTCATGTTCAGGATGATAAGCTATACAATGATATCATCAAACAGATACGAAAATATC", "ACGATGTTGTTTTGTTTTCAT", "GACATTTATAGTGTTTTTCTTTTATTGCATTTCAAATTTCTCACAAAAATAAGATGAACGAACAAACCGGAGAATAGAAATTCATTCATTTTCCTGTTTATTCGTTCAACCAACCTTTTACCTATTGACAAATACGTATTATCCTATCTTT", "TAAAAATAAAAGAATTTTGTTTCTCATAGCTATCTCAAATTGTTTGTTGCAAAACTAGCATAAAGTTCCCTCTCTTACCATAGAATAAGATACATTTATATAGAAATTCGTTCAAAACGAAATTTCAGGGTATTCATGTTGCGCATATTTACATATTACATTAATACTCAATACATTACATAAACACCCTGAAACATTTATTCTATAGGTTGCAACATCAGGACTATATAAT", "AAATCTTACTTGT" ]
[ false, true, false, false, false, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 31, 33, 35, 37, 38, 39, 41, 43, 45, 47, 49, 51, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 32, 34, 36, 40, 42, 44, 46, 48, 50, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112 ]
[ "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122380|-|15354:15623", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122381|-|15637:16962", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122382|-|17915:18565", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122383|-|18580:20133", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122384|-|20908:21702", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122385|-|21789:23486", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122386|-|23515:26364", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122387|-|26405:28381", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122388|-|28400:31582", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122389|+|31871:33052", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122390|+|33419:36559", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122391|+|36589:38685", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122392|-|38802:39011", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122393|+|39230:39970", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122394|+|40111:41244", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122395|-|41363:43477", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122396|-|43456:45810", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122397|+|46022:48889", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122398|+|48908:50182", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122399|+|50200:51684", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122400|+|51662:52360", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122401|+|52357:53160", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122402|+|53176:54600", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122403|+|54617:57379", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122404|-|58330:59316", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122405|-|59610:59774", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122406|+|59790:59924", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122407|-|60025:60414", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122408|-|60414:61385", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122409|+|61538:62257", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122410|+|62267:63523", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122411|+|63528:64769", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122412|+|64843:65937", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122413|+|65950:67266", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122414|-|67424:68377", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122415|-|68501:69334", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122416|+|69411:70805", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122417|+|70888:71841", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122418|-|71853:72512", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122419|-|72515:73237", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122420|-|73224:75371", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122421|+|75537:76547", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122422|-|77026:77667", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122423|-|77678:79219", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122424|+|79371:82064", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122425|+|82068:82913", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122426|+|82922:83950", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122428|-|84201:86654", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122429|-|86829:88712", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122430|-|88784:89167", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122431|-|89190:90878", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122432|-|90904:93975", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122433|-|94066:95712", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122434|+|95954:96814", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122435|+|96932:97864", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122436|+|97879:98805", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122437|+|98832:100091", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122438|+|100114:101133", "2510065017|RJ2H1_RJ2H1-contig-004.4|CDS|2510122439|-|101206:101850" ]
[ "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000009|+|15624:15636", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000010|+|16963:17914", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000011|+|18566:18579", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000012|+|20134:20907", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000013|+|21703:21788", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000014|+|23487:23514", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000015|+|26365:26404", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000016|+|28382:28399", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000017|+|31583:31870", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000018|+|33053:33418", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000019|+|36560:36588", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000020|+|38686:38801", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000021|+|39012:39229", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000022|+|39971:40110", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000023|+|41245:41362", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000024|+|45811:46021", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000025|+|48890:48907", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000026|+|50183:50199", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000027|+|53161:53175", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000028|+|54601:54616", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000029|+|57380:58329", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000030|+|59317:59609", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000031|+|59775:59789", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000032|+|59925:60024", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000033|+|61386:61537", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000034|+|62258:62266", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000035|+|63524:63527", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000036|+|64770:64842", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000037|+|65938:65949", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000038|+|67267:67423", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000039|+|68378:68500", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000040|+|69335:69410", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000041|+|70806:70887", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000042|+|71842:71852", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000043|+|72513:72514", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000044|+|75372:75536", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000045|+|76548:77025", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000046|+|77668:77677", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000047|+|79220:79370", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000048|+|82065:82067", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000049|+|82914:82921", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000050|+|83951:84200", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000051|+|86655:86828", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000052|+|88713:88783", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000053|+|89168:89189", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000054|+|90879:90903", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000055|+|93976:94065", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000056|+|95713:95953", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000057|+|96815:96931", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000058|+|97865:97878", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000059|+|98806:98831", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000060|+|100092:100113", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000061|+|101134:101205", "2510065017|RJ2H1_RJ2H1-contig-004.4|IG|IG_000062|+|101851:101898" ]
[ "MKNTRKILFSAALLSSGLTMARTTTVEKLPNVIYIMADDLGIGDLGCYGQRQIKTPNIDGIAQNGMKFMQHYSGSTVSAPSRCALITGKH", "MRKTFLAITLFLAFSKAALAQFGNCTASEMRTYVDSATGNTITMLTDTMKNDRFLYQTDPMWTADGKYLLFRSSSRGNDKEVESTLPNGEKRKWTPTQIYFIEMATGKIIQATEGPNLGSAFLANKTNRMFVSRKEKENWNMYVMDLNKFFADVKQGKVGKPSAYETFIGTFPTEMGRPGGYAVDCNDDYAYITVEREGTEEEKERMMKNAFLPENNQPVKIKPTLCGIRKMNLITGEVTKVIDTEFKTGHIQASRFTPGEIVFCNETGGDAHQRMWFCTADGTVFKPLYKETPLDWVTHETFATKDFVYFNILGFQPRLRKQASGIVRINLRTDDVELIGQVELEKDRQAIDGQLVGRGFWHCNASRDNKWAAGDTFGGNVWLVNVQTGERHWLASDTKMKPDHAHPSFSPDGTKVLFQSGHFTNGKRLNLMMVDISSFK", "MRNFFNNLFFYLLFLGIGTNVLTSCKEEVESISELSLEQTKIQIKEGETVTVKIIGGSGNYQISLSDEKLAVAQIENNEINIRALLTGCVTLTVTDENGQTALLNIIIISKILDSDKPRFVWTNSIALDEPNGWGLTVFGNKVAVTSCVEQIQYVLEWEGDSTMGKKLNAFLTTLKKGEAPVKIKLTGLEILDIREQCYFITFSVDNKIGELVFIK", "MKSFVRKLCAGLVCACGMSVSVYATDYYVATDGNDMNVGSIEKPFATLSKALKLVQPGDNIYLRGGEYNVTEDWIMDKSGNKTYAKVFDIKQKGSPSSPICVIGYENERPVLNMEQIKLTDRRVAVFYIAGSYWRFKNFEVVGTQVAIKGHTQSEVFRIEGGNNNILENIDVHDGMAIGFYLVKGMNNLVLNCDAHDNYDGYSEGDSGNVDGFGGHANKETSTGNIFRGCRAWNNGDDGFDLINCYASYIIEYCWSFRNGYKPHSIESSGGNGAGFKSGGYGMGNIKKVPNPIPQHAVRYCLAYYNRQQGFYSNHHLGGLIFENNTAYKNPSNYNMLNRKSSNEAVDVPGYGHIIKNNLSLSPRNSGGFPQHLINVDNTLSEIKNNSFAPNDLNLSESDFESLNEQELMGSRKSDGSLPDINFLRLTNHANFRFSGMGCFINEEAVDYSWIQEPAIVLENGVARIVGENSKSFNKFYVNGEEVSIENGIVDLTSMSGELNLKAISENGAVTTLIINK", "MKQSRFYILFFFVVCIGLFCSCLSNDDDAQWSKFNGVYVTVGGDNIRGYKLYTDFGAILVPTEESLNRIPWLKEVQRAIVSFNLLDENENTTQLEDGKTYDVILNSTNGMNQQIPTFTVHVDTLSEEYQIFGQDSIQLKNKSIYSLDKTAGAFYIKNGYMNIVPTFEYDLYKPVFFLLYYDGEKDIDTSNNKLNLNLYFNNSIQSSNNSISSFISLEMPGEIYYKFQDKGMNDDDLIDVYLNSETVSGQEQIHCKMALKDFMLP", "MKIKKIVSGIACMVTLAACNLDYHEYANYGKDYIDESYENVIGMITNVYSILDYDFGQTYKGGMLASACDEAEYAYTNNDICDFVNGSWSPANPMSNIWSSSYKAIQICNQYLAEFQGLTFDELKLNDDYRAQMFRYTNSFKEARFLRAYFYFNLVRAYGDVPYFTEMVTTDQVNSLTRTPAQEIFNAIIAECDKLSTELPADYTKLGLDGIAPAENGRVTCYAALALKARAALYAASPLFNPENNKDLWRRAAEANKEVIETCTANGFKLSKYSELWGPNNWSNNEMIFVRRYNGNISTLEEYNFPMGVPGGNSGNCPTQNMVDAYEMKATGKMWNETGSGYDASNPYAGRDPRFDMTIVKNGDTKWPSKNANPIETFYGGLNAEPLSGATPTGYYLKKYLDSAIDLSANSTTKTSRHSWITYRLGEFYLNYAEAIFQYTGSADNAGEFGMTACEAVNIIRNRTDVKMPEFPTGLSADAFWNKYQNERMVELAFEGHRFYDLRRWKDGDKLKSIIEMKLTKNENGTITYKRNVVNRVWDDKMYLFPIPQSERMKNPNLSQNAGW", "MRKNRLIIPALLAFLSLQGYAQELNDSITSGKPAKYSDEVVEIGYHKAQRLEESTSSISTVYNEDFNKRSAKNIANSLFGYGTGLTTLQGSGRYADAEPTFFIRGLQSLSTNNPLILVDGIERDITDVTPEEVETVTILKDAAAVAIYGHKGINGVVNITTKRGIYNTREIKFTYDHGFGWQARKPKFVDSYSYANAMNEALANDGLTARYTQDELNAFRSGQYPYLYANVDWLGETYRDMDATNIYNISFRGGASKFRYYAMANLTTNKGFIANPYMNDGYSTQDQYSRANLRTNLDIDLTEKTKLKLNVLGILSETRTPGANGQGGANLWDMIYTLPSAAFPARLENGTWGGSATWAGTKNPLAVSQAAAYTKFHERTLFADMTLTQDLSSITPGLGASGMLSYDNYAQYWENHSKTFVYGSNSVTAWENGVPSSTTYYTDGKESSMSSDAKCIAFTRVFNFAATLFYDKQINKDNKIYSQLKWDYEYRNTKGTDQTWYRQNASFYTHYGYKDKYFADLSVVASASNKLAPGHQWSISPTVGLAWVMSKENFMKDLSWINFMKLRASFGVINTDRLPLDDDSEVTNYWEQTYGGGGYYPFDTNYSVGTQSWSLGRLASLNSTHEKAYKYNLGLDASMFNGLDVSFDAYYERRSDIWVSSSGHYSSVLGFTAPYENGGIVDSWGVEIGANYRKKIADITLNIGANFALAKNEIIEQMEEPRMYDNLITTGKPLKQTYGMEVIGYFKDQADIENSPKQSFGDVKPGDIKYKDVNGDNIIDANDKVAIGHSTTAPEIYYSFNLGAEWKGLGFDAMFQGTGRYSAVLNTKSLYWPLINNTTISQEYYDNRWTPENQDAKYPRLSSQSNENNYQTNTLWLADRSFLKLRSIELYYKFPQIWVKKSKILSNAKIYVRGVDLLCFDKINIADPEVYGATYPLTRSVVAGLTIGF", "MKKYNKWIFNVASGLALTSVIATSCVDEIKFGNSFLEKAPGGSVTKDTVFNNAEYTRQFLTNLYGMQYYGLPYKNVANQESSNQYVGKPEALTDLYVFTYPSCGISGPYYKGTHTANYGKRSDKFDYLRNNVWEAVRGVWMLIENIDNVPGLGEDEKASMVAQAKCILASRYFDVFRHYGGIPLIKGTFSGTDTSYEMPRNSVEETVNYMVQLLDEAAAVLPWTVETPASESGRWTRAAALAYKCRILQFAASPLFNSDQPYYPGATGNPAIWYGGYKPELWDRCLEACEQFFNELASKGGYSLQQAKGTRPEDYRLAYRAGYANLDSPEVLINTRVIDIDAFKSSHYNWHQWGDPLMSIHRGYSPTQEYMEMFPWKDGTPFDWDKAKSEGKLDEMFLKGTGTTSDGLINIELTRDPRMYEEIIVNGQQESLDWTTGNMSGYCFESWLGGKSAGNGPTSQTGSFATGYAPIKFLMGNDMLRRYVHWPCIRIAELHLIYAEALCQSSRGSMDKAIAQVDIVRARVGMKGLAECNPDKNLQSNKDAFIEELLRERACELGMEDARFFDLIRYKRADIFEKQLHGLFIYRLDDNGVRRDLPWRGNDEGKMAYPTHFEYEKFELNNPTRYWWTNGFDPKWYLSPFPSTEVNKGYGLVQNPGW", "MKDRKNSSLLGHRLKFRWLFLFSLFAGPLPLSFGGQSAVAANNIIQQQKISVTGKVVDNLGEPIIGANVVVQGQTVGTITDIDGVFKLDVPKGAKLLISFIGYSSKTVEVKQSNIEIVLDDDSQMLGEVEVVAYGVQKKVSVTGAISSVKGEELTKTPTGSISNMLSGQMAGLTTVQYSGEPGSDAANIFVRGQATWNQSAPLIQVDGVERSMNDIDPNEIESISILKDASATAVFGVRGANGVVLITTKRGKEGKAKISFTTSSSIIAPTESIKMANSYQYATFYNQINTGDGLNPTFSDEIIQKFKDGSDPVRFPSVDWVDYCLKDMTLQTQHNVNISGGTDRVRYFISAGAYTQGGLFKEFDLPYNLSYQYNRFNYRSNLDIDVTKTTTLSMNIAGNVNNASKPYTGLGSAGMLINMYYSTPFSSPGLVDGKLVNASTDYPDLRMPFTGGSGMGYYGGGFMRTSNNTLNADVQLKQKLDFITKGLSFHIKGSYNSGFTSYTQASASVATYTPVLQEDGTIAYKKYGQNSQLKYEDKDPAKSRDWYMEAALNYAREFGNHHVSALLLYNQSKIYYPSAYSDIPRGMVGIVGRATYDWKNRYMAEFNIGYNGSENYAPGKRFGTFPAGSFGWIVSEEKFWKPIKSVVSFLKFRYTIGLVGNDSFDGNKQRFLYMSDPYVVNNSSLINRDGHGFLFGINNSTVSPAAYENGKNNQDITWEKALKTNLGVDANFLNDRLRTSFDYYHEKRTDIMLSDGTAPSVLGFTPPLANLGEMRSWGWEITLKWNDQIGDNFRYNVGLNLMYNQNRVVERKEAPQNEEYMYQKGRRLGSRSQYKFFEYYNSETTPAHYKEVYGTDMPEQLVSDLKNGDCVYVDLNNDGKIDSNDMSRQFGFTDDPEYMAGLNMGFSWKGFDVSMQWTAAWNVSRSISSVFRQPFTDRTNSDQGGLLEYMLDHTWTPENPNPNAEYPRATFINDTNNYAESTLWEKDAKYLRLKNLQIAYNFNLPFMKKLKLNTMQLALSGYNLLTFTPYFWSDPESKASNSPSYPLTKTYSLSLKLGF", "MANLSIVIVPAKKLSNGRHRVRIAVAHRSQTRYISTQFILDSANQMKNGRVVKHENAANINACLRKLINEYEEILSSIHYQSNISCTELIHVITREQQKKGITFNTVVKEYLSMMEADDRKKSHKLYNIACAKFLKHMKGDFPLVQLSPTHIQSFADVMKAEGLKETSIRIYLTLIKVILNYARKMNYVTYLVHPFVLFKMPVSNIRELDLSVDELKKIRDVKLFKSVHIMARDIFMLTYYLGGINLRDLMEYDFTKGNCMRYIRHKTRNSKKNENEIAFTIQPEAQTIIERYISENGKLVFGKYESYEKVYSLVFRHIGKVTDLAGINRKVSYYSARKTFAQHGYDIGIEIEKIEYCIGHSMKNNRPIFNYIRIMQEHADKVFREIFDQLLK", "MKDRKNSSLLRRLEKFQRLFFVALLSVLAVGAFAQSKTVLGTVLDKTGESVIGASVVVKGTTNGTITDFDGKFTLQNVPDNGTIQVSFVGYKTVDIQVKGQSTVKIILEEDTETLDEVVVVGYGVQKKSDVTGAMARVGEKELKSMPVKNALEGMQGKTAGVDITSSQRPGEVGDINIRGVRSIKAEQSPLYVVDGMVIQNGGIENINPSDIESIDILKDASATAIYGSRGANGVILVTTKKGKEGRVTLNYSGSVTFETLHDVTDMMSASEWLDYARLAKYNMGAYGNRDAAFAPSYEADRLAFGGVAASWANIDQAWSNGNYDPSKVGSYNWTDHGKQTGIIHEHTLSASGGSDKFQGYASFGYLNQKGTQPGQAYERYTLKVSFDANPVEFFKMGASVNGSYADQDYGYNFSKSVTGAGDFYGALRSMLPWTVPYDANGDYIRLPNGDINIINPIRELDYNTNQRRTFRANASMYSQIDFGKIWEPLEGLSYRIQFGPEFQFYTLGIANAAEGINGDGNNKAQYKNEQKRSWTLDNLIYYNKTIKDHSLGLTLMQSASKYHYEMGDMTATNVASSKELWYNLHSAGSLSGFGTGLTESQMTSYMARMNYGFKDRYLLTASVRWDGSSVLAPGHKWATFPSAALAWRIDQEDFMKDINWISQLKLRLGFGVTGNAAIDPYSTKGAIQSLYYQWGSESPSIGYLPSDVSAKNPSKMANNELTWEKTTQYNVGIDYGFLNNRISGSVDVYKTKTKDLLLDMTIPSLTGYVSTLANVGKTSGWGIDLQLNAIPVQTNDFTWNTTLTWSMDRSQIDALANGNTEDVNNRWFVGEEIGVYYDYVYDGIWKTSEAEQAAKYGRKSGQIKVKDLNNDGSIDATNDRQIVGKERPRWTGGWNNTFSYKNLELSCFIITRMGFDVPQGAATLDGRYMQRKLDYWVAGSNEDAVYYSPGSNGEAADTYNSSMNYQDGSYIKVRNISLGYNFTSKQLKNTGLSNLKVYVQAMNPFSIYKACDWLDTDLLNYDNNTKTFGSATTLKSFVVGLNVGF", "MKLNHILFSAAACMALGSAALTSCSESFLDENQINAYDTDYFKTQEGIDDLVNGAYLMLKTKFNYQWGYLVYCEGTDEFTDGNNTAAHYNHYGQSLNSGETTGIKPIWDNLYGTIEPANLIIANVPAFYNPQSPTYNARLGEGYFLRGYGYFELVKQFGGIPLKLTPSTGVETYFTRNSEEEVYAQIIADLEEAYKLLPEKPEQTGRITKSAAAHFLAKAHLFRASELYSSWNTQYVAADLDAVIKYGQEVVSAHPLCNDFVELWDYTAPNGANETVSEVVLAAQFSNDESTWGRYGNQMHLCFPSVYQDMNGTNRDISGGREFCYVSATQYTMDVFDRVNDSRFWKSFITTYGCNNTKGAPDWTEADIRSGKAPVGVSAGDKRFTGGELGIKYIVNNPGDTRYEDYEFGDKNVKVTKNNVIEAPHTFVRYFKGETHNWNTDISNLTGNWYQVIPHKRSVALSKYRDGSRNSIASQFGTRDAIIARSAEDVLMIAEAYIRKGESSYPQAIEWINKLRTRAGYAEGEDRSKHVDGGQSYKNNSYCTGKGGGYSAEGAVYWEINTYYESNNMEGQETTASTKSVMTLNSVEDVYNSQVDIPIYEKLGCGSNAEKMMCFLLNERTRELCGEMLRWEDLARTKSLNDRWHAFNDGVSRGLGEFNPQVHYYRPLPQSFLDGITNENGGTLTNEEKSAMQNPGY", "MKDLLLWNKISRIVMLLAECLDISPVRALDIFYNRENCQFLYNSSFGFYLTSYVYILDDIIRELLTKGY", "LSLIYQLYHVLYTNGFTIDGIDIRALEYIPSSDGIDIDSSNDILITSTRIEAHDDCISIKSGRDEDGRRVGRPSENILIGNCHFAYGHGGVAMGSEISGGIRNVTIRSCLMDNENWSPLRFKSQPSRGGTVENITFEDITIKGARSIFDINMEWRMVPPLSPAHYPLTSLRNIHFKNINGEAQSAGTMYGFKEAPFGNDTFFFENCHIKAQKGLSISNVVNVNFKGLELEIKEGEKIYERSANKDK", "MMKKKLILSFFFVALGFGVVKAQDLPDKKETLKTVTKVNDYFMKKYADYRTPSFVKNVTRPSNIWTRGVYYEGLMALYSVYPRDDYYKYAVDWSNYHEWGFRNGTTTRNADDYCASQTYIDLYNICPDPERIRKVKANIDMLVNTPQVNDWWWIDAIQMGMPVFAKLGKLTGEQKYFDKMWDMYEYTRNKHGENGMYNQKEGLWWRDQDFDPPYKEPNGKNCYWSRGNGWVYAALVRVLDEIPTDEKHRADYINDFLTMSKAIKNCQRTDGFWNVSMHDESNFGGKETSGTALFVYGMAWGVRNGLLDRKEYLPVLLKAWNAMVKDAVHPNGFLGLVQGTGKEPKDSQPVTYDKVPDFEDFGVGCFLLAGSEIYKLN", "MGMENLLNYYFIMKKRFVTVLLACSLAGGLFAQQPWFKDKDLTLTGAYYYPEHWDESQWERDFKQMHDLGFEFTHFAEFAWAQLEPEEGKYDFAWLDKAVALAAKYDLKVIMCTSTATPPVWLSRKYPEILIKNENGTVLDHGARQHASFASPVYRELAYNMIEKLALHYGNDSRIIGWQLDNEPAVQFDYNPKAELAFRDFLREKYHHDIKALNDAWGTAFWSEVYSSFDEITLPKTAQMFMNHHQILDYRRFAVSQTNDFLNEQCLLIKKYAKNQWVTTNYIPNYEEGHIGGSPALDFQSYTRYMVYGDNEGIGRRGYRVGNPLRIAFANDFFRPIQGTYGVMELQPGQVNWGSINPQPLPGAVRLWMWSVFAGGGDFICTYRYRQPLYGTEQYHYGIVGTDGTTVTPGGREYEQFMKEIRQLRGQVAAREVKPADYLARRTAILFNHENSWSIERQKQNRTWNTLGHIEKYYRTLKSFGAPVDFISESKEFSSYPVIIAPAYQLADKALVDRWTDYVKKGGNLVLTCRTAQKDRHGRLPEAPFGSMINELTGNEMEFYDLLLPEEPGKLRMDGKEYTWNTWGEILKSGKDSQVWATYTQEFYEGKPAVTTRKLGKGTVTYVGVDSTDGLLEKDILKKLYAQLNIPVMDLPYGVTLEYRNGMGIVLNYTDKPYNFVLPSGAKVLIGEPVIPTAGVLVFSITD", "MKKRLFSLFCLLGTVAGLFAGDTAYLFSYFINDSRDGLHLAYSLDGLTWTPLNHGKSFLIPTVGKDRLMRDPSICQAPDGTFHMVWTSSWTDRIIGYASSPDLIHWSEQRSIPVMMHEPAAHNCWAPELFYDEPSQTYYIFWATTIPGRHKEVPVIESEKGLNHRIYYVTTKDFNTFSETKLFFNPDFSVIDAAIVRDPVMKDLIMVVKNENSLPAEKNLRITRTTRIEDGFPTTVSPSITGNYWCEGPAPLFVDDALYVYFDKYRNHQYGAVCSRDHGKTWEDVSDRVSFPRGTRHGTAFTVEKAVLDKLLRIHHFNPLIPDNIADPSLSKFGDTYYLYGTTDIDKGLSQAGTPVVWKSKDFVNWSFDGSHIVGFDWHKGHEYVNAKGEKKTGYFRYWAPGRVVEKNGEYYLYTTFVKPDENARTYVLKSDRPEGPFLFAGRNSISSHSLDGFDQSCIAPDIDGEPFVDDDGTAYLFWRRRMAARMTDDWQHLTGDTVVMSTARQGYSEGPVMFKRKGIYYYIYTLRGNQNYVNAYMMSRQSPLSGFEKPEGNDIFLFSSIADNVWGPGHGNVFYNEETDDYIFVYLEYGDGGTTRQVYANRMEFNEDGTIKTLVPDEKGVGYLAVSQEQRENKALKASFSASSVRSPRTSKVEIETQPNCPLADKTSLVKVERTHIYHPGNAGDRSNGTRWMAETNDDHPWLMVDLGEAMQVTECQFAFVHPAEGHAWHLEKSNDGTNWQPCAGVKEVKACSPHVVTVGDKVRYLRLHIDKGAAGLWEWKIY", "MKKTLLTICLVWMCFSLSAQKIIDLSGKWSFSIDRQDNGEKEKWFSHTLNDFINLPGSMPEKQKGDEVTAKTQWTGSLYDSSYYYNPYMEKYRKEGNIKFPFFLTPDKHYVGAAWYQKEVNIPADWKGERILLFLERPHIETTVWVNGQKTGMQNSLCVPHQYDITHYVRPGKCTITIRVDNRIKEINVGPDSHSITDQTQGNWNGIVGRICLQTTPKTYFDDIQIYPEPEQKLARVKVVIKGTGTAKVKLSAESFNTDKKHIVPAIQQEIKLNKGVTETEMVLPMGNDMLLWDEFHPALYKLKAEVTNGKKTEIKEIQFGMRRFEIKGKWFYVNGRKTQLRGTVENCDFPLTGYAPMDVESWERVFRICRSYGLNHMRFHSFCPPEAAFMAADRVGFYLQPEGPSWPNHGPKLGLGQPIDKYLMDETIALTKEYGNYASYCMLACGNEPSGRWVEWVTKFVEYWEKKDPRHVYTGASVGNGWQWQPRNQYHVKAGARGLTWSKKQPSTQDDYRFQNHLDTVRQPYVSHETGQWCAFPNFNEIRKYTGVNKAKNFEIFKDILADNHMSDQAHLFMMASGKLQALCYKYEIEKTLRTPDYAGFQLLALNDYSGQGTALVGVLDVFFEEKGYINSAEWRRFCSPTVPLMRTDKFVYNNKEILKADIEVAHFGAEALKQAEIVYTLKDEYGKVYAQGTLATQDIPVGNLNRTGSLEFPLTDIQEAKKLNLEIRITGTEAVNDWNFWVYPAQVTIAEGKVYTTDTLDSKALEILQHGGNVLITAASKVSYGKEVVQQFTPVFWNTSWFKMRPPHTTGILVNPKHPLFRQFPTEYHSNLQWWELLNRTQVMQFTHFPPAFQPTVQSIDTWFISRKIGMLFEANVLNGKVLMTSMDITSQPEKRIVARQMHKAILDYMNSDQFRPQFTVTPQQISELFTKTAGDIKSYTNDSPDELKPKIN", "MKQIALLALGLLATLGVEAQTYKFDFTTGKKTKDGYTKITPADRYSEEKGYGYDRQPSPEGKSTAPFFFSVTVPDGNYHVTAVIGNKRAAGETTVRGESRRLFFENVKTKKGELKSCTFVINKRNTHISEKENVRIKPRERQKLNWDDKLTLEFNGDAPQLSELIIEKVNNVPTVFLCGNSTVVDQDNEPWASWGQMIPRFFNDSICFANYAESGESANTFIGAGRLKKALTQMKPGDYIFMEFGHNDQKQKGPGKGAYYSFMTSLKTFIDEARARGAYPVLVTPTQRRSFDENGKIRDTHEDYPEAMRWLAAKENVPLIDLNEMTRTLYEAMGVEPSKKAFVHYPAGTYPGQNRVLADNTHFNPYGAYQISKCIIEGIKKAGLPIANYLRSDYSGYNPAHPDALDSFKWNDSPFTEIEKPDGN", "MNNKTLLLTLSFTLGTLFTNAQSLPGFQWGEGMGTPDLSWTAQVGAKTYPKGKIFQAADYGLKNDSTRLSTAALQKAIDECHRSGGGTVEVAPGYYRIGAIYLKSNVNLHLNQGTTLIASENIDLYPEMRSRVAGIEMVWPSAVINILDAENAAISGAGTLDCRGKIFWDKYWTMRKDYEKRKLRWIVDYDCKRVRGMLISNSRHITLKDFTLMRTGFWGCQVLYSDQCTLNGLKINNNVGGHGPSTDGIDIDSSTNILIENCEVDCNDDNICLKAGRDADGLRVNRPTENIIVRGCIARKGAGLITCGSETSGCIRNVLGYNLQAYGTSSTLRLKSAMNRGGTVENIYMTQVTADHVRHVLAADLNWNPSYSYSTLPAEYEGKEIPEHWKVMLTPVTPKEKGYPHFRNVWLSDVKATNVQTFITAAGWNEKLPLQNFHISGIKAKVNKAGSIIFTEDFHLEDVRLQVEDGSRVEEKNNKNAQIDISYENNMEL", "MKTTWNYSRRLLPFFLCMLLSVFGNNAQTLPFRLSKGAGTFRLGVVCGNESCWLDQCSVKKKGQAYTIKDKLWKEGEIKLIVCPLTDSNGFIMEVSGERLPEEFKLCWAFGACDGADDSAVTDNSIPVASCFHNVFSIEGNAFTTYYGESMKLRTVHGVSPIGSEIRLSDGHKQASPLALFNSGKKTDAPVISALCPWKPQEKLYFCFYQRGDYNYFMLPGLFGKEHKTRSK", "MKRLYPYLFFLFLCLSAQAQEFKVYQFPADKVPAIDGNTHDWDCVPADYKITEAALKEDEGKHAQPDTTTLKVSVKVGWCAETQKLYFLYEAYDNYWRFSENSLNTDIFEVVVDGDCSGGPFIDRFHPTAPKDVWQAWFKFHGCHAQNYHIFTPAHGNDWCMLWGPQVWLKQKPYADYAYQYSFKEGEAGKLVLEFYITPFDHADADGPELSRPTLLKEGNEIGLCWAVIDWDAHPASKDGFWNLSDEHTMYGNASYLRKFKLMPIQ", "MKQLILFLLCLSTWTAQAKIYNVKDYGAKADGTTIDTPAINRAIEEAASQGGGTVYFPAGEYACYSIRLASHIHLYIEQGARIVGAFPSATEGYDPAEPNEHTQFQDFGHSHWKNSLIWGIGLEDITISGPGLIYGKGLTREESRLLGVGNKAISLKLCKNVTLKDFSLLHCGHFGLLATGVDNLSILNVKVDTNRDGFDIDCCKNVRISHCTVNAPWDDAIVLKASYGLGYFKDTENVTISDCFVSGYDRGSVLDCTWQRDEPQAPDHGFVTGRIKLGTESSGGFKNIAITNCIFERCRGLALETVDGGKLEDIVISNITMRDIVNAPIFLRLGARMRSPQGTPVGTMKRILISHVNVFNADSRYSSIISGIPGALIEDVTLSDIHIYHQGGYTEADGLLTPPEQEKVYPEPWMFGTIPAKGFYIRHARNITLDNVNFHYEKADGRPLFVTDDASDIRYRNITVDGKEFNTAN", "MKRKYLLFSLLLAGSSLYAQEWPAVRPEARPATRWWWLGSAVDATNLTYNLEEYAKAGLGGVEITPIYGVQGNDKNNLPFLSPQWMNMLQHTEAEGKRIGIEVDMNTGTGWPFGGPHVSMTDAATKAIFQSYQVEGGKEITLDLKVEEEKQRPVATLSRVMAYNADNKQCLNLTSKAKNGQLQWKAPAGHWNIITLYIGKTFQKVKRAAPGGEGYVMNHLDKGAVKRYFANFDKAFKENKTNFPHTFFNDSYEVYGADWTPDFLEQFARRRGYKLEEHFPEFIAQDRNETTARIVSDYRETISDLLIENFSTQWTNWAHGHGSITRNQAHGSPANLIDTYASVDIPECEGFGLSQFHIKGLRQDSLTRKNDSDLSMLKYASSAAHIAGKPYTSSETFTWLTEHFRTSLSQCKPDMDLMFVSGVNHMFFHGTPYSPKEAKWPGWKFYASIDMSPTNNIWQDAPAFFKYITRCQSFLQMGKPDNDFLVYLPVYDMWQEQPGRLLLFSIHDMAKRAPKFIETVHTISNCGYDMDYISDNFVKSTRCVNGKLLTKGGTSYKAIIIPAVKLMPSEVLGHLLKLAQAGATIIFTENYPQDVPGYGKLEARRKGFAQLQKQFPEIASFDETVATPYQKGIIITGNNYQSALEKSGVVPEEMKTRYGLQCIRRSHADGHHYFISSLQEKGVNDWITLAVPAESVMLFNPMTGEKGKAQTRKQEGKTQVHLQLHSGESVILQTFNHALTDVAEWKYVQEQPVSLSLDHGWKLHFAKSTPQIEGTFDIDTPSSWTEIAHPNAPITMATGVYTNIITVPHIPADDWILDLGDVRESARVRINGQDAGTAWAVPYQLKVGKWLKPGDNKIEIEVTNLPANRIADMDRRGEKWRIFNEINVVNLQYKPIPYTDWQPLPSGLNGSVRLIPVTYK", "MKHLFITILLLLPLCRMLAQEREYVIVVHGGAGAMENLEDDKEKSTLYYAALDSALSIGNAILSAGGEGPEAVMAVVNYFENSPLFNAGKGATCTSAGTFELDASIMEGKDLTAGAVAGLKTVKNPINAAYAVKTKTPHVMLAGEGADRFAKSQGLEIVDNMYFATPKTLKWIEDLKKESKKNGTVGCVVLDKQGNLTAGTSTGGMFKKQWGRVGDSPVIGAGTYADNEGCAVSCTGHGEYFIRHVVAYNLSTRVKLLHQPVGEAADYIIHQELNTKEGNGGLIAVDKKGNFAMPFNSGGMFRGYLYKEKGTGKISKAVGIGKKMKTL", "MFSRLENWLFLKTFCFLFYYCKDNTKIPIYVLKHSINPLYLTFNVYFVLWFSIR", "MKRTNDTIEMLQYQLRRYKAMRKGAACQSLQYKLQKLMSQQANA", "MENKKQGYKVRKYQGPVKRYCQMLNLKNDAALIAEYRKRHSEGKVWPETLAAIREVGILEMEIYILGTNLFMIVETPLDFDWDTAMARMATLPRQAEWEEYMSVFQQAEPGASSAEKWQLMDRMFYLYE", "MVTGMEKPYVVGMDIGGTNTVFGIVDSRGNVLATDSVKTQSFSKIEDYVEAVSSKLRPLIESFGGVEKIKGMGVGAPNGNYYNGTIEFAPNLPWKGVIPLATLFEEAIGVPTALTNDANAAAIGEMTYGAARGMKDFIMITLGTGVGSGIVINGQLVYGHDGFAGELGHVIVRRDGRQCGCGRKGCLETYCSATGVARTAREFLVARPEPSLLRDIPAEDIVSKDVFDAAVRGDKLAQDIFEYTGRILGEALADFIAFSSPEAIILFGGLAKSGDYIMKPIRKAIDDNILKIYEGKTKLLISELKDADAAVLGASALGWEVRE", "MIHLENINKTYHNGAPLHVLKGINLDIERGEFVSIMGASGSGKSTLLNILGILDNYDSGEYYLNDVLIKNLSETRSAEYRNRMIGFIFQSFNLISFKNAVENVALPLFYQGVSRKKRNQMALEYLDKLGLKEWAHHMPNELSGGQKQRVAIARALISKPQIILADEPTGALDSKTSVEVMNILKELHKNEGLTIVVVTHESGVANQTDKIIHIKDGLIERIEENIDHNASPFGKNGFMK", "MIDLIQEIFGTIKRNKLRTFLTGFAVAWGIFMLIVLLGAGNGLIHAFESSSADMAINSIKVFPGWTSKAYDGLKEGRRIELDNKDYRITETDFPKNVISVGATVRQSNVTLTYGQDYVSTTLEGVYPNHKDVERVKPAGGRFINNIDIRQRRKVIILHTKTAEMLFKKDADKAIGKFVNANGVVYQVVGLFTDKGSFQPNAFIPFTTLQLIYNKGDKLNNLIFMTQGLDTEEKNEIFEKEYRKAIGVHHRFTADDKGAIWMWNRFTQFLQQEKGMRILTIAIWVIGIFTLLSGIVGVSNIMLITVKERTREFGIRKALGAKPISILWLIIAESVTITTFFGYIGMVAGIAATEYMNTVAGNQTVDAGVFTETVFLNPTVDISIAIQATMTLVIAGTLAGFFPARKAVMIRPIEALRAD", "MRIDLDRWEEIFITITRNKTRSLLTAFGVFWGIFMLVALMGGGQGLQDMMSANFKGFATNSVFFGSNKTSEAYKGFRKGRYWDMEFNDVERIRQAVPEVEVITPNISRWGSTAIFGDKKSSCAVRGLQPDYEKIEAQNITMGRFINDVDILESRKVCTIGKRVYDELFPQGGNPCGQYLRVDGIYYQIVGVSLSTSNMNINAGTEDSVTLPFTTMQKAYNFGKRIDLVCITVKPGVTVTSIADKIEQVIKKAHYIHPDDKQAVIMVNAEAMFSMIDNLFTGIKILAWMVGLGTLLAGAIGVSNIMMVTVKERTTEIGIRRAIGARPKDILNQILSESMVLTTFAGLMGISFGVLILQGLEIGTAASGTEAHFQISFWMAVGACILLMVLGMLAGLAPAYRAMAIKPIEAIRDE", "MKKYVKIALLVIVALIFIGTFVFLYQKSQPKASVYTILNAEITDLKKTTVATGKIEPRDEILIKPQISGIIDEVYKEAGQKIKKGEVIAKVKVIPELGQLNSAESRVRLAEINARQGETDFARMKKLFESKLISSEEYEKSEVAVKQAREELQTAKDNLEIVKEGITKNKASFSSTLIRSTIDGLILDVPVKAGNSVIMSNTFNDGTTIATVANMNDLIFRGNIDETEVGRIHEGMPVKITLGALQNMEFDAQLEYISPKGVEENGANQFEIKAAITVPDSITIRSGYSANAEIVLARASKVLAVPESTVEFKNDSTFIYVLTDSVPSQKFSRRAVITGMSDGIQIEIKNGLNVNEKVRGAEKK", "MKKQIIIALATLTALPAVSQEKWDLRKCIDYAIEHNLSIKQQEAARDQNAVDLNTAKYSRLPNLNGNVGQSFNFGRALQADNTYGDRNTKNTNFSLSTSIPLFTGLRIPNNIALSKLNLKAATEDLNKAKEDISISVTSAYLQILFNEELAKVAHNQVELSREQLELKEAYFKNGKASEAEVYEARARVAQDEMSAVQADNNYQLALLELSQLLELPTPDGFGVVSPRVEDDFTLLSLPEDIYAQAVLNKPSIKAAQFRLEGAAKNIRIAQSSWYPQLNFSAGIGTNYYNISGVENASFSSQWHQNFNKYLQFSLSIPLFNRFDTRNKVKNARIQRTALSWKLEESKKALFKEIQQAYYNAVAAESKYKSSNTATDASEASFRLMSEKYANDKANATEYNEARTNWMKAVSDMLQAKYDYLFRTKILDFYKGVPLTLE", "MKRWTTLLIVICMLGITIPAMGAMSISKMRQNTRFLTDRMAYELKLTPRQYNDVYEVNYDFINNVRYLMDDVVRGYDYALERYYDFLDVRNDDLRWILSSAQYRRFMRAEHFYRPIYAHENKWHFRIYLVYNNVNFFYYGKPHHYASYCGGHYRTHFNNVSYYKTHYRDHYRHDVYDGHYRIRHDRMTYDMHRRRDFNIAVRPPQKEHHRPATPPQTRPNRPQIHPDRPSGDHRRPSVPQARPDRPKKDQNNKHDGRPSRRGQNSQVDKDRHENRRITPSGRDVRRGNGKKEKDSDRSGGSNKSNSKERTAVRMRTV", "MNVKLGKYNQLEVVKEVDFGVYLDGGDDGEILLPTRYVPEGCKPGDVLNVFLYLDNEERLIATTLQPLVQVDEFACLEVAWINEFGAFLNWGLMKDLFVPFREQKMKMQKGRKYIIHAHIDEDSYRIMASAKVEHYLSKEHPDYRLGQEVDILIWQKTDLGFKAIVENKFSGLLYDNEIFQPLETGMRLKAYVKQVREDGKIDLVLQKLGAKKVDDFSEVLLQYIKDHEGFTPLNDKSAAEDIYDAFGVSKKTFKKAVGDLYKKRLVVLEAEGIRLT", "MDNFIFHNPTRLIFGKGMIAQLSQQIPADKRIMITFGGGSVKTNGVYEQVIQALEGRDYTEFWGIESNPDISTLKKAIESGKEKNIDFLLAVGGGSVIDGTKLISAGIPYDGDAWELVKKGSAQNTIPLGTVLTIPATGSEMNNGAVISCRETHEKYPFFSSHPVFSILDPTVTFSLPDYQIACGLADTFVHVMEQYMTKTNESYLMDRWSESILRTLVQIAPQIKENKQDYHLMSEFMMSATMALNGFIAMGVSEDWATHMIGHELTALHGMTHGQTLAIVFPGTLRTLADKKRDKILQYGERIWGVTSGVPSVRVSLTIEKTEEFFRNLGLKTRLDEAGIGDDTIEEIVRRFNERGAAYGEDGDVTGEVARRILQNCKSKKETTDTEGTSMKTVILTSFKSDVRAHMLQDLLKNEGIESMLQGEYTAQVLAYIPGMEIKVLVFEKDYARAFEILKASFPEKV", "MRKFLFLPLLALLASCGKNEDCDIVTPQPEDLVSPALYATLSQSSTDPYNGPLEVLPCETNGSFYIGNYTAKGKQVPFPAYYLITNGIGKSQKYPLRLPVGTYNVIYWGYPDYFGTAGDAYLADPTLIIGKKLNTTSLGLRKIPGDTVYYPIHSLVYGTQNINIGKDDLQAHLKHASAALSVIVSETNGDAFSDAIDSMWIYISNIYSNLNYFSARPEGTFKTISFGLKPSTNRTEFNNNFVSVFPSQPNPMFQIFVQLSNGTIKHYQQKLTTQLNAGTRTTVNLSMDGVLLEEGDTGEFQIDKWKEQHDSIHISLN", "MIELQGITKSFGTLQVLKGIDLMIEKGEVVSIVGPSGAGKTTLLQIMGTLDKADTGTILLNGTEVSCLKEKELSAFRNRQIGFVFQFHQLLPEFTALENVMMPALIAGTSSSEAMRKAKETLAFLGLSERASHKPAELSGGEKQRVAVARALINNPAVILADEPSGSLDTRNKEELHQLFFDLRDKLGQTFVIVTHDENLARLTDRTIHMVDGRISLKI", "MNEINIEWQQRTELLLGKDKMKRLRHAHVLVVGLGGVGAYAAEMICRAGVGRMTIVDADIVQPSNINRQLPALHSAVGRPKAEILAERFRDINPDLELTVLVEYLKDERIPELLDSAKFDFVVDAIDTVAPKCYLIYNVLQRRLPIVSSMGAGAKWDITQVRFADLWDTYHCGLSKAVRKRLQKMGMKRKLPVVFSTEQADQDAVILVDDEKNKKSTAGTVSYMPAVFGCYLAEYVIRRI", "MELFDLNIHFPVTDPTWIFFLVLIIILFAPMILGRLRIPHIIGMILAGVVVGKYGFNILERDSSFELFGKVGLYYIMFLAGLEMDMDDFKKNRTKGLVFGMFTFLIPMGLGIWSSMSMLNYGFLTSVLLASMYASHTLIAYPIISRYGLSRQRTVSITIGGTAVTVVLALMVLAVIGGMYKGEDVGGLFWVLLVAKVVLLFGLIIFLMPRISRWFFRTYEDAVMQFIFVLAMVFLGGGLMELVGMEGILGAFLAGLVLNRFVPHVSPLMNRLEFVGNALFIPYFLIGVGMIIDVRCLFTEGEALKVAVVMTVVATFSKWLAAWITQKIYGMKKVEGSLIFGLSNAQAAATLAAVLIGHGIIMENGERLLNDDVLNGTVVMILFTCIISSVVTERAARKMVTQENLMEGSEGKEQERILIPVANPETIEGLVGMALMMRHPKQKESLVALSVINDNNTSETKELIGKRNLERTAMIAAAADASVKTVLRYDLNIAQGIIHTQKEYAVTDIVIGLHRKTNLMDSFFGTMTENLLKGTNRQIMIAKLLMPVNTLRRIVVAVPDKAEYEKGFLKWMTQLCRMGKQLGCRVHFFATEDTLKHLRALTEKQEANTFTEFSLLEEWDDLLLLTGQVNYDHLFVVVSSRKGSISYQTSFERLPSQISKYFANNSLLIVYPDQLGDDPQEIVSFSDPRGQSETRVYDNVGKWFYKWFKKGDERN", "MKVAIVGASGAVGQEFLRVLDERNFPLDELVLFGSSRSAGRKYTFRGKQIEVKLLQHNDDFKGVDIAFTSAGAGTTKEFCETITKHGAVLIDNSSAYRMDADVPLVVPEVNPEDALNRPRNVIANPNCTTIQMVVALKAIENLSHIKRVHVATYQAASGAGAAAMDELYEQYRQVLANEPVTVEKFAYQLAFNLIPQIDVFTDNGYTKEEMKMFNETRKIMHSDIQVSAMCVRVPALRSHSESIWVETERPISPEEAREAFAKGEGLVLMDNPEKKEYPMPLFLAGKDPVYVGRIRKDLANENGLTFWIVGDQIKKGAALNAVQIAEYLIKAGNVK", "MNKSILWLIGLLFVTSLSIVSCSETDGTEDPYANWEERNQRYIDSIATVAEANRGNGEGQWKIIRSYKLPSLGLNETGKIIDNVYCKIQKVGDGTESPIATDTVAVNYRGQLINGTVFDQSYQGELDPETATPRKFLVGAVIAGWSTALMKGFGGMKAGDQWKLYIPYPLAYGKDGTEGIPGYSTLIFDVNLVDIFPLKGTGKSIDDTLDAKK", "MAQEDVFKKLVSHCKEYGFVFPSSDIYDGLGAVYDYGQMGVELKNNIKQYWWQSMVLLHENIVGIDSAIFMHPTIWKASGHVDAFNDPLIDNRDSKKRYRADVLIEDQLAKYDEKINKEVAKAAKRFGDAFDEAQFRSTNGRVLEHQAKRDALHERFSKALNDNDLDELRQIIIDEEIVCPISGTKNWTEVRQFNLMFSTEMGSTADGAMKIYLRPETAQGIFVNYLNVQKTGRMKIPFGIAQIGKAFRNEIVARQFIFRMREFEQMEMQFFVKPGTELEWFPKWKETRLKWHKALGFGDDHYRFHDHDKLAHYANAATDIEFLMPFGFKEVEGIHSRTNFDLSQHEKFSGKSIKYFDPELNESYTPYVIETSIGVDRMFLSIMSAAYTEETLENGETRVVLKLPAALAPVKLAVMPLVKKDGLPEKAREIMNDLKFHFHCQYDEKDSIGKRYRRQDAIGTPYCITVDHQTLEDNCVTLRNRDTMQQERVAISELNNIIADKVSITSLLKTLQ", "MSDDFIDKEEQNEENIPTPENGHLDYKPADTKNTGVKHQLSGMYQNWFLDYASYVILERAVPHINDGLKPVQRRILHSMKRLDDGRYNKVANIVGHTMQFHPHGDASIGDALVQLGQKDLLIDCQGNWGNILTGDGAAAPRYIEARLSKFALDVVFNPKTTEWQASYDGRNKEPITLPVKFPLLLAQGVEGIAVGLSSKILPHNFNELCDASIAYLRGEEFKLYPDFQTGGSIDVSRYNDGERGGMVKVRAKINKIDNKTLSIAEVPFGKTVPGVCDSIVKASEKGKIKIRKVEDLTSEKVEILVHLAPGVSSDKTLDALYAFTDCEVSISPNCCVIDEKKPHFLTVSAVLKKATDNTLSLLRQELEIHKGELLENLHFASLEKIFIEERIYKEVKFEQSENTDAACEFIDERLTPFYPQFIREVTKEDILKLLDIKMARILKFNKDKADENIARIKEQIEEINNHLAHIVEYTIDWYQMLKDKYGKQYPRRTELRNFDTIEAAKVVEANEKLYINREEGFIGTALKKDEFIANCSDIDDVIIFYKDGKYKVVRVTDKMFVGKNVLYVNIFKKNDKRTIYNVVYRDGKEGFHYIKRFNITSITRDREYDVTQGTPGSRIVYFTANPNGEAEVIKITLKPNPRIKKIIYEKDFSDINIKGRQSMGNILSKYEVHKIALKQRGGSTLGGRKVWFDRDVLRLNYDGRGEYLGEFQSDELILIVHENGEFYTSNFDLNNHYDPGIHIIEKFDANKVWSAALFDADQGYPYLKRFTFESTSRRLNYLGENKESRSILLTCVAYPRIQVIFGGHDSFRDPLEIDVDEFIGIKSFKAKGKRISTYNIEQINELEPLRFPEPSKEEDGAEEGTDENEETAEIEDPDHGKSETDIIDEITGQMKLF", "MKKILLLLLSLFLGLSSQAQEDSLQANRYVMRATLYGAGFTNILDTYLSPMEYTGPEIRILRESMRMTKLMNGNVSVQSLFQANLSLTENKAETSNEMAGMVNWNYALHYQFRLTENLKILAGPMLDLNGGFIYNMRNSNNPAQAKAYVNLAASGMVIYRFHIGNYPLIARYQANLPVMGGMFSPEYGQSYYEIFSLKNGGKNVLFTSLHNQPSLRQLVTLDFPIRSVNMRFGYLCDIQQAKVNNLKSHIWSHAFMIGFVKNFYLLKGKNKVAMPSRVSPY", "MKRNSYIFISLLLSVVLFTSCITEDEYDNSPEGNFEALWQTIDRQYCFLDYKKQEYGLDWNEIYSQYKQRISKGMNNEQLFEVLADMLNELRDGHVNLSSKLEYSQYREWFDSYPANFSDSIQRVYLGKDYAQSSGMKYQIFEDNIAYIYCGSFQSGIGEGNLDEVLNKLAICDGLIIDVRNNSGGNLTTAEKLAARFTNEKVLVGYMSHKTGPGHNDFSTPKAVWLEPSLDRVRWQKQAVVLTNRRSFSATNDFVNRMKILPKVTIIGDKTGGGSGLPFSSELPNGWSVRFSASPMYDADMQHLEFGIDPDIKVNMSSEDMQRNVDTIIEAAREYLHSHKE", "MKRELIFTFCCLLTTLVWSQEYQRTETGIKASIFGKKIDVEVQWFNANSLRVLKMPHGQSVDKKSLSVIARPEKTALKVSTSEDGNIVMKSRLLTVKLDTKTGVLIYETRNGMPLLKELENVKAFIPVNDAGRATYSVYQSFKTDKDEGLYGLGQLQNGQMMQRGIEKYLVQGNTEDVTPVFQSTKGYGVFWDNYAGTMYVDNEEETSFRSDVGDCIDYYFMYGGSADGVIAQIRLLTGSVPMMPLWSYGFMQSKERYKSQDEVVSVVKKYRELGIPLDCIIQDWQYWGSNYLWNAMEFLNYEYRDPKRMIDEVHGLNAHMMISIWSSFGPKTKPFKELEKEGLLMDMATWPESGVEGWPPNFDYPSGVKVYDPYHPKARDIYWNYLNKGIFQLGMDGWWMDSTEPDHFNPKDSDFDRQTYSGSFRSVRNAFPLVTVGGVSDHQRALTRDKRVIILTRSGFLGQQRYGSNVWTGDVGSSWDMFRRQITAGLNFSLTGMPHWNTDLGGFFAGSYNNSLGGGTATKNPMFHELYVRWAQFGVFCPMMRSHGADAPREIFLYGKAGEPVYDALVDAIKLRYSLMPYIYSTSWEVSHRNSTFMRALFMDFLSDPKTWNMGSEYMFGSSFLVAPVLHAQYTPEQAQQILKENEGWGCKAQESDIPLLSVDFTQSKEMELYLPAGSQWYDFWTNEVAEGGQKLKVTTVFNRIPLYVRAGSIVPLGPDVQYVTEKKWDNLKVCVYPGADGNFVLYEDEGNNYNYENGAYTEIPMTWNDAKRTLTIDARRGCYEGMLDERKFTVRMPDGSEKTVLYKGKKINVKF", "LNNLMIMKYFTIIALLCLSCISCTMQNNLLQSPNGKIAIEYHAEKGFSVIYHSGRDKVKMMSLPEIGLKTSDTDDCFKLISSTPVTSVVDDYEMLTGKRRHCHNEANECTYRFENVKGLRVDLIFRVYNDGIAFRYHLPKTKEEIVVLDEYTAFAFTPGIKRWTQKFTVGYEGFYWPNTDGVADNEGREWSFPTLFQPSDSAFVLLTEANILRDNTGAYLTNAADSSIYKIKLSDERLICPSGWYSPWRVAIIGTLADIVESTLVTDVSEPCKIQDTQWIKPGLVSWIYWAYNHGSKDYQIVKKYIDFAADFDLPYMLIDWEWDAMGNGGNLNDAMNYCKEKNVTPLIWYNSSTAWCDPTPLYRLNTPEVRDKEFEWLKEIGIQGVKIDFFGGDSIGTMNYYIDLLEDAARHKLLVNFHGAAIPRGWQRTYPNLMSVEAVYGSEWYNNKPTLTDNAAWHNCTLPFTRNVIGPMDYTPCTFTNSQHPHITTDAHELALLVIFESALQHLADRPEGYRQQPVEVQNFIRYLPVAWEDTRLLSGYPAESVVIARKSKDSWYISGLNGTENTKSFRVNLEFIKDSIQLIQLFSDTTDGKQIKIENSAFDTKELNIECQPRGGFVVWVKLRK", "MKENGYEMKRYGYPVKRYCQTMDLKDDPELIAKYRECHSKEKSWREIREGIRSVGILEMEIYICGNRLFMIVETPIDFDWESAMAKLATLPRQQEWEDFVSVFQQCRKGELAKEKWSMMERMFYLYE", "MKNIYNTLGMTKACLCALAVSLVTTGCSDLLDKEPPSAISDGSFWTGEGDAMLALTGCYRFQTGWSHDDFATPQGLLYLDFAGGNGTEKENFSTLMASSNTVATNSNLRWYWGNAYTQIAKYNTFLENITDCPMEESKKEQWSAEVKCLRAYFFLNLAFYYKDVPMPLKTLSVDEANSISQTPQTEVYSQVEKDLKTAIDLLPVQYSSEEYGRFTKGAAKVLLSRLYLAQERWGDAATILRSVIESGIYELDRRNGEDSYEKLFQIGGEYSPEIIFCIMGIKDLYTNSRYQYLYPEAAYGGWHQFSPYNELVKEYFCADGKDIKTSEVYDENDPYVNRDLRLYASIFLPPLGSYEGTKYNDIIYDCFKGANTADSYNKFALFNGYCPKKGCDPSITNNLGSTPTYTPIMRYAEVLLSYLEAVNESQPNAVDQNLLDLTINDIRDRVKLVGIKKTDVATQELVREAVRKERRVELAFEGLRYYDVLRWGIAEKELNHTFTGVKLSDDPQARNYRGSGATASPVDENMYYQFEKRTWAPHNRYFPIPQNDLNVNKNLKQNEGYN", "MRNRLECMQPIRFLVVLLMCCLSYTTWAQTQSNVNGLVTDFSGEPLIGVSILVKGTSNGTVTDLDGKFSLSAEMGNMLQISYVGYISQEIKVESNKLLRIIMEEDTKKLEEVVVVGYGTQKKVNLTGAVSAVSAEDLASKPVMSTAQALAGLAPGLSVLQTSGRPGQGATVKIRGTGTFSKAGTDPLVLIDGLSGNIDDVDPNDIQSISFLKDAASASIYGNRAANGVILIETKKGAQGKTTITYSNSFGWQRPTELPDFLPSWEYAEYYNMAMRNMGKQEAYLPEQIQKYRDGSDPDNYPNVNHLKWLLESGSGFQHQHNLSIQGGNATTSYNLSVGYRNQEGMTAKTSNERMTALFTMKSEIAKGLMLNLNINAYNNKYNAPNGEPQSIDGMIGYAVRQGPIYAGQKSDGSFGYQDNYSPEAWLASESFVQNVSRNISASGQLTWNTPVDGLSFIGKAGVNYWTKYDKAYRADTYFDDSKTVGPATLNVWTANNTYTTFEALATYEKQIKNHTFKLLAGTSVEQSNNKGLEGYRNTFPNNYLYELGSGDKSTATNDSSLEEYALLSFFGRINYAWKDRYLLEANLRYDGSSRFADGHRWGLFPSVSAGWRISEEDFWKNAAVSAVVDNLKLRASYGVLGNQNIGVYPYQQIYELGHDYPFGNPATLQSGAYMKTYNNPEITWEKTAITDIGLDFSLLNGRFSGTLDYFYKYTSDILAPVEVSSIMGREVGQSNVGAVSNKGIEINLTYNGQIGRDFRFSISPNFTWVKNAVEKLANGATEEINNNRIVGQPIGIIYGYETDGLFVDQAEIDAAPEQLVSKSGLKPGYVKYKDISGPDGVPDGKVDAQYDRTVLGSTTPKFYYGLNLSASYKGFDFSALLQGLGGHKRLIGSYMAYAFYNGGQIQRWQAESCWKEENPDKWAEYPRLETLNMNDTNLQTSDYWVRNASFLRVKNIQIGYTFPKAWTKKIGLENVRVYVSGQNLFSFNSFYKGWDPENEIGTGDSPSYYPVNSIYSFGFNFKF", "MKAKHFLLASALLLWTGVLEAQTYQVPVSEKNEKMQEGEFEPTWESLQNYKVPEWFRNAKFGIWAHWGPQCVEGSGDWMARSLYLEGSREYKHHVEHYGHPSEVGFKDILPLFKAEKWDPDKLVSFYKKIGAQYFFALGNHHDNYDLWDSQYQEWNSVNIGPKKDILAGWAEAAKKNGLPFGISFHADHAWSWYEPAQRYDRHGEKAGVPYDGCLTKEDGKGKWWEGYDPQKLYAQNHPLSAGSWADGMIHRQWAWGNGVCIPTQEYVTNFYDRTVDAINRYNPDLIYFDVTGVPFYPISDAGLKIAAHFYNHNMVVRKGDFSAVMFGKILTDEQRKALVWDVERGSPNSIYEEPWQTCSCLGGWHYDTRLAENGWYKSASDVVKLLVDVVSKNGNLLLSVPLRADGTFDEKEEAILNEFGNWMSMNKEAIYDTRPWKVFGEGPIANADIKINAQGFNEGAYTKATASEIRFTQTKKYLYATVLAWPEEKQVVIQSLATGSELYPDKITKIELLGYGKVSFTRTAQGVVIDMPDVQLNKIAPVFKIKK", "MTQSIDQLHLLILNVGLAIHNADWNWKNVSSPFTRLYYIMEGTAQIIFPDGMQELKPHHLYLVPSFTTHSYQCNSHFTHYYLHIYEDHQSESGILEDWNFPIEIPAGNLELPLIKRLCEINPTMQLPQSDPTSYDNNPTLIRNIIKNKQRTFCDKVESRGIVYQLIARFLKDAKPKVEVNDNRIQKVLSHIRKNIYKTVDIDSLAAISCLSKDHFIRLFKKEVGTTPLQYINQKKIEKAQLILITEDIPVKNIAYLLAYEDHSYFNRLFKKITDVTPQQYRELYKK", "MNYNEIGKTGMRVSNLSFGASSLGGVFHDIREAEGIKAVYTAVENGMNFIDVSPYYGHYKAETVLGKALKEIPRDKYYLSTKVGRYGKDGVNTWDYSGKRATESVYESLDRLHIEYIDLINVHDVEFSDMNQVVNETLPALVELKKKGIVGHVGITDLQLENLKWIIDHSEPGTVESVLNFCHYCLNDEKLTDYLDYFESKNIGIINASPLSMGLLSQRGVPAWHPAPQSLVEACRKAVQHCLSKNYPIEKLAIQYSVSNPRIATTLFSSANPDNVLKNITYAEEPIDWNLVKEVQEIIGEQMRVSWANS", "MMDFNIIDAHSHLWLRQDTEVNGLPIRTLTNGRSLFMGEERQMVPPFMIDGRNSAEVFLSNMDYAQVSAAVVTQEFIDGIQNEYLAKVSHKYPDRFFVCGMCEFRKPGYLQQAKELISSGFKAIKIPAHRLFLKEGRVMLNSDEMMEMFHYMQEKDIILSIDLADGDTQVQEMKEIIQEYPQLRIAIGHFGMVTTPHWQEQIKLARHKNVMIESGGITWLFNSEFYPFHGAVKAIKEAADLVGMDKLMWGSDYPRTITAITYKMSYDFILKTTEMTQEEKALFLGKNAERFYGFKNIISLPYIKNMSE", "MKNKNYYVPLMLIFCLFFLWAISSNLLPTMIRQLMKTCELNAFEASFTETAYWLAYFICPIPIAMFMKKYSYKSGIIFGLLLAACGGLLFFPAAMLKEYWAYLCIFFIIATGMCFLETAANPYVTALGDPKSAPRRLNLAQSFNGLGAFIAAMFLSKLILSGNHYTRDTLPTSFPGGWEGYIQTETDAMKLPYLLLAMLLIVIAIIFIFSKLPKIKEGNTMEGVEYKGEKLIDFGVLKRSHLRWGVIAQFFYNGGQTAINSLFLVYCCTYAELPENTATTFFGLYMLAFLAGRWTGTLLMVKFRPQDMLLVYALINVLLCIVVVCFGGWTGLYAMLGISFFMSIMYPTQFSLALTDLGNNTKSGSAFLVMAIVGNACLPQLTAYMMHLNEHIYHIAYTIPMICFLFCAYYGWKGYKVID", "MKAIQITAPSEMKVVDIEKPVLEPGEVLVKIKYVGFCGSDLNTFLGRNPMVKLPVIPGHEVGAVIEAVGKDVPDSLKPGMSVTVNPYTNCGKCASCRNGRVNACEHNETLGVQRNGAMCEYIALPWSKIIPAHRIPPRDCALIEPMSVGFHAVSRAQVTDIDIVMVIGCGMIGMGAIVRSALRGATVIAVDLDDEKLELAKRIGAHHTINSMTENVHECLTKITEGLGPDVVIEAVGSPATYVMAVNEVGFTGRVVCIGYAKSEVSFQTKYFVQKELDIRGSRNALPTDFRAVIRYMEQGTCPKDELISKVVKPEAAIQAMKEWAATPGKVFRILVEFD", "MDTLLPFALLCFTSFFTLTNPLGTMPVFLTMTKGMDDEERRHIVKRATIISFITLLAFTFSGQFLFKFFGISTNGFRIAAGFIILKIGYDMLQARFTNTKLKDEEIKTYANDISITPLSIPMLCGPGAIANGIMLMDDANTWTMKGILIGIIGLIYFITYLILRASTRLVSILGETGNNVMMRLMGLILMVIAVECFVSGFRPILIDIIQSSRI" ]
[ "ATCCAACCTTACT", "AGCATGTCATTTTTTATCTGATACGACAAATTTATGCATGAGAGAAATTATATCAAATAGAATTTTATCCATTTATATGGTTAATTGTTCACTTTAAACCAACTATTCTCTATATATCCTAGATTTTTTCACTGATATACTGCTCCCATAAAAAAGAAGGTATACTTTTTGATACACCTTCCCCTTAACGATAATTTGACATTACATCGAATTCTTTTAAATAACTTTTTAAGCATAGGAAAGATAGGATACGATTCTTAAAAGTATTTTTATTTTTCAAGTATGAATATACAAAATGAAAGCAGAAATAAAAAACTAAAAACTTTATGATGGATCTTTACTATAGTTCTTCTTTTAAAGTAGAATACCCTTTATAAAAATAGGGAGAATTTTAGTCCAAACAATGATCTGACATGATTGAGAACTAAGACTCAATTATTAGATTTGTATGCTTAGTCAACAGATGTTTGATGCTTATTGGGAATTTAAGGAGTGTATAAATCTATAAAAAAGAAGAAGATTTTCCGTATTACCATGTTATTGACTGAACATCTTGGCATATTCCCAATATGTACTTTGGGTATCTTTAATAATAATAAAACCTGCACATTCCTGCATAATCCCAATAATGGTCTTTATTAGATGAATGATGTCTAAGAACTTCAACCTAGAGACTATTAACTTTATAAAAGATGTGACTTAAAAAAAATACTAATATATGGATTGCTCAAATTGTTTTCAATTGAAAAATCCATATATCAGTATTTCATTTCCCAAGTTTTGCATCATATTTTTCTTGATTAACTAAGCCCCTACCGTTTTCATTGGTAAAACTTACGCAAATTCAATGACAATACTTCAGCAATTTTTTACCAAAGTATTGTCAACATTAGCGTCACGTCAAATTGGAGCAAATTAATCATTAATAAAAATAACCTAAAAGAATTAATGA", "AGCATGAATATTTA", "ACTACAAAATTTTAATTATAAAATATAAGGTTACAATCCGATTTGTTAAAGCGTATTGAATTCAAAATAATATCAATGTTGGCATTGTTCTTTCAAGCAAATTAGAAAAAAAATAGTCAACAGTTTTATTAAAAATAAGCAAACAAAGTCTTATTTTTTCCGATTATATTATTAACTATTAGATTAAACAAAGAAAATATAAAAAGGCTCTTTTATAAAAGCACTACTTCGGTAAATTTTCACCTGGATGTTAAAAGTTAAACATAGAGCAGACTGAAACTAGCTCAATAAACATATAAGAGATCATTGAAAAATTGTCAAAAACAGATGGTTAGGCAATAAGAATTGTAGATAAAAAAGTAACTAAATAGCTGATAACAAAGAAGAAAAAATTACGTAATTCATTGTTTTTTTATAATTTAACAGAACCAGATTCCAATTGGATTTTTGCTTTAAAGACAACAAACAACATGCTGGAATCACCAACTGTCAGTCAACAGACTTCAGAAAGCTGGATTTTCACTTCAATGCATCGCTCGTTGCCATCAACTTGGTCAAAGCGATATGTAAGAAACCCGAAATAACATATTCCATTCCTCTTGCAAGTCAGTTATACACAATGCTTATATACTTGAACGATTTATTTGCATATTTGAAATTAACTTAGGCCCACAAGTTATTGACAAACTTTTCAAAGAACTCATTTTTTTACTGCCAAAGCTGCTTAGACTTGGCTAATTTTTAACGAACTATCACAATGAAGAATCCAATGTT", "GACATTTCTATAAATTATAATTTAAAGGTAAAAGAGGATGCTTTATACATATTGATGCATCCTCTTATTATTGTACGTTTATTCTA", "AATCATGTTTTTAATTTAAGTTCAACAA", "AATAATTAATTCAAATTGTAATTGAAGTATTCATTAGTTA", "TTGATTGCATTATTAAGA", "ACTTTAATTATTAAGTTAAACAAAAGTTAGTTTTCTATTCTCTCAGGAGAAATAGGTCTCCTACCTGTGAAAAAGTGTTGCAAATATATGCGCGAATATTAAAAAAGCCAATGTAGGATTGTACAAAAGCATGGAATTTTCCGTCTTTTCAACTGAAAAATAGTACAAACTTCTGTGAACAATCTCAAAATGGATAGTTTCAAGAGCATTTTTGTTATACAAGAGTGCTTTTATTTCTGATTGTTACTTTAATATTGTATTGTAAAACGTATAAACTAAAATTTGAAT", "TCAATGTTATTATATATGCATAAATGTCTTTCATATCATGTAAATGTTATTATTGTATTACCTTAAAAAGTAAGTGTGGTTCATAAATCAAGCAAATGCACTTAGACAGCTGATAAAGTACACTTACTTTTTGGAGATATTTTTTAAGTTCAAGTCAGGATATAAACTACTCCATATAAATTTGAGAGCAAAGTAAAATATTTCATAAATACTAACTAAAAGAGTTTTTTTTCCAACAGCAATAAGAGCACTTTATATTCTCTATTATTGTATCATCTATATTATAAAATTCGATGAAATGGGCTTTTTTTGATACTTTCAACTTTATATATCAAGTTAACTTTTGTTTAACTTAATAATTAAAGT", "TATATAACGGATAAAAACAAATTATCGAT", "ACCGTAATATATCACTGATAATATATGGACCTCTTCATCTATATGCTTTAGATTTAGAGGTCCATTATTGTTTTTCAGATAAGGATTATGCGCTTTTATGCCTATACCTTTAAAAA", "CTCCTCCTTATTTTTATTATAGATTTATACACTCATCATATCCATGGCTGCTGGCCATTCCTGCTTTCCACGATGAAATCAAGCCATGATTTATCATATGAATTAAAAGAAAGCATACAATAACCAAAGTTCAAGGTAGCATCCCTATCAAAAGAATAGATATTCAGACAAAGAGAACTTTGATTCTTTTGCAGTTAACAAATAATATTCACCCATTC", "CCCAATAAGAACACTGATAAATAAAAAATACACTCGAAATGCGTACACATGCATAATATTCAAGGAAGATGTACACATTTCCATTGTAGGAAATCCCAGACCATCCTATTTTTGCGCTACCATTAAAACACTAAAACAAC", "TAATATGATTGGTTAGTGAATCGATTTTTCATAGACTATGATTTAAAGGTTAGATTTAGGTTAAGTAAAAAGGAGCGACTGTGAAGCCGCTCCTTTTTGTTCTATCAATCATACGTTT", "GTATACAATGATTTAATTGTGAGTATACAAAGATAAAAAGAGATTTGGACTTTTTTCTGCACATACAAGGCATATCCATGTAAAATCGTACAAATATATCGCACATTACCCCTATTTTATACGAATTTCCATCTATATGTACTTCTTTCTATTTACATGCAGATGAAAAGACCTTAATTTAGCAACATCAAATTCAAAGTAAAAAGATACT", "TAACAATCTATATCAATC", "TTTAAAAGTAGGAACAA", "AAGCAAAGACAGACC", "TAAAAAACAAAATAGA", "GATATCCGATAGTGGCAGCCTATTGGCGGAGTTAATCCAGACTGTATTTGAAAGACTGAATTAATTCCGCCAATGTTTTTTACCTCATGCTCAGTGAGTGCACCTGCAACCGATCAAGCCGCAGCGAGACAGCATTTTATAGCAAAACGGCGTATTTACGGATAGTATCCAAATGTTCCCTAAGCGTCTGATCATTACCAGCCTGCCGCATATTATATTCCGGTTACAGCCGCATCAGCGAACCGACAGGATATCCAGCATCGCTTCAAACATCATGACTGTAGGAGTAGTCAAGCCTCAATTGAATGTATATCACTCCCTACCCTATAGCATAAGCCTTTTCTTTCTCCAGGTTAAGCCTTTTTATACGGATATGTTCTATCTCCATAGCCTTTGGAGTTATCTCCATAAGCTTTGGAGTTATCTCCCAAGGCTATGGAGTTAACTCCAAAGGCCTGCGGAGATAAAACAGGCAGGAAGAAAAGCCTTAACCATACGTCAGGAAAGGGTTAGGGATACGGATAAGATCCTTTAAGGACGAATCGGATGCCAAAGTCTTTTGCAGATGCCTTTTTCGGATGAAAGTCCCGCTAACATTCTACAGCTCCAAAACTTTTCCGTCAGTGAATAGTCGAGATGCCATCCGTACCGGTTCCCGATAAGCATACCAACCGTCCGTATCGTTACATACAGCCTACAGCTTTCAAACGGATGTGTCTATTCCAAGGGGTAACGAAGCTAAGACAAAATGTGACAGGAATTCCCAAACTATCAGAGGAAAGGGAAGTGATAACAGATAAAAAGTGGTGGTAGCAGATGTTTTTTCATGCTGCTACCACCACCTTTGAAACGCCCTGTTCATGGGTGTTTACGAAAGAGGATGGTAGTAGTGGTAGCAGTTTTGCAAAACTCTGTTGCAGGTGGGAGGAAAATTCAAACAGGAACTAAAA", "AATAGTAGTTTAATATCAATAGTATGGTGCGAATGTAACAAAACAATTTGTAAAAAGGAAACTTTTATGCAGAGATATAGACATAAAAAAAGGAGCAACGCCTTGCTCCAACCTTTGTTAACCTTAAATCTAATACTATGAAAAACACAATGCAAAGGTACGAACATTATTGAAATTTGCAAATTCGACAAAAAGAAAATTATGTTCTCTAACATGATTTAAGGAGATCCGCCACATTTGTTAATGAACATTTCCCTGTCAGATTATAAGTGACATTTTTACAGTTATATTTC", "AAAAAAGATGTAATT", "TGAGCTCTACCATAAAGAACACAAGTTTATAATTTTATAATATAAGAAAAAGGATATGATTTTTATCATATCCTTTTCTTATTATTATCTAGAATGAAAG", "GATATATTATTATTTAGGGTTAGTTATTTTGAGCAAATGTACACAATGAGAAAAAAGAGAGCAAATTTTTTTTCAATTATTTCATATAGCATTGCAACTTTTTGTATCTTCGGCACGTCTAACCAGACAAACACAAGTTAAATTCATCGGTA", "CTGACACAC", "AATT", "AGCCGTCCGACGTAACGGATAAAGTTTCCATGCAAGAACAAACAAGAATAAAAAAATAATAAAACAAACAGAT", "CAGAATATCATT", "ATTATTAAGATTCACCACAGATTTTCACAGTCTATTCATAATCAGATTCTGCGTTGACCTGTGGTGAATCATCATAAAAACGACCTTTACAATTCCGGACAATTCATTGTGTTTCGGACTCTTATTTACGTCGGTTTTCGTTGTTTTTCAAATCATA", "AGCTGTTCCGTTTTTAGTTTGTTAGTTCTATGGCACAAAAATAGGGGAAATCGCTTTCCCCCACAATTGATTTTCCCCATAAGTTCATAGGGCTTTTCCTATATCCGGTAGGGAAAAGCTCCA", "TAGCTAAATTTTTTCTCAAAGATAGGAAAAAAGCATTATCTTTACTCAGTATTAACCAAGAAAACCCCAATTAGTT", "GCATAACTTTCTCCTATACCATTCAAACTTTCTCATCCATATCTTGTTATAGGGAGTATTCAAACCTAAACTAAAGATGTAC", "TCTTTGCTCTA", "AA", "CTTTTTTTAAGTTTTATACCATAATATCGACAAAATTACGAAAAAACTTTCTTTTTTACTGATTTATATTCTAAAATGTTCTAATTTTGCACGCAGAACGTACTTACATGCTGAGAGATAGTTCTAAAGTATTACTAAATTTTATCTTATAAAACAAAAAACAAA", "TTTATTAAATAATTTGTCATAATCTTTATCTTTGCGTCAATCATTGGTTTAATGAATTGACCAAGATAAAGATTATATTGTTTCTTACAGTTATTCGCCCGTCAAACTATCCCACAACATTATGCTGAAAGGAAAAACACTTCGGCGGATACCGAAAAAACGAAGTGTTTTATTTATATCCCCGATAAAACAATCTTCTTGTTTCCGTCAAGAGTTCTTCTTAATATAATATAATTAAGCCGTTTTACCCCAACCTTTAAACCATTCCTTACAGACAATGGAACGCGTAGCAAGCGGATAAAAGAACCATTAACCATACCAAGGAAAACGCCATACCCCCCAAAAACATTTCAGCAAATCCCCAAACAATTCACCTGACAGGCCCCCGTCTCGTCCAACCGACATGAATCAAAACACCATAAAAAAAGAGCATTGCAACAAACTGCAATACTCTCTTCTTTCCAATATTCTATGATAG", "AATACATTTA", "TTTCTTATCTGTTTATTCTTACACTTTTGTTGTTTTTTCCTGTTTTCAACCTTTAATAAGGGCGAAATAATATGCAAAGTAAATGATTTTTTTCAATAAAAGTCGTATTTTTGCGTGCATATCATCAGAACATTAAGGAATTTAAATATAT", "AAA", "TATAGAGG", "TTTTCTAAAAATCTTTGGTACTTAAGAAATATTGCTTATCTTTGCACCCGCTTACCAAAAGCAATGTCGCGCGTGTGGCGTAATTGGTAGCCGCGCCAGACTTAGGATCTGGTGTCGTGAGACGTGTAGGTTCGAGTCCTATCACGCGCACAAGTTTCCGTATAGAAACAGACAAAAGCCCTCGAAATTATCCGATTTCGAGGGCTTTTCTTTACTAGAAGAAACTAGTTCTCAAAAATCATGTGGCATA", "AAACTCTAACTTTATATTAGTTATCAATAAGTATTAATTAACCTTCCACCAATCCAGCATAAACTATTTTTATTCTATCTCCTCAAAGGGATAAGCTTATCTATCATCATTAATAAAAACTTCCATAGCAATATCAAAACAATTGTCTATATGCTAAAAACACTTACCCTTATC", "TGTACCTTCTATTATATTTTAAGGAAAAAGAGAATACTTCATCAGCACCCTCTCTTTCCTCTCTTTTTTAA", "CTTATCTTAAACTTAATCCTGC", "AATGATTCTTGATTGAATGATTTAC", "TATTCTATTGATTATTGGGTTAATTTTCAAGACATAACAAGGGCTTACCGACCTAAAAAAGCCGATAAATAAACCTACTTTATGCTATAT", "AGTATAATTGCTTTATATGTTTTTCAATTATCAATAGTGCAAATATACAGAGTTGAAACCAATTTATATTATCCAAATGCGCCATTATGTAGGATAATATCGACTTTTACCTGAAATAATTCAAAAACAAATACCAAAACAGGTGATTTGATATGAAAATATCGATTTCCCATTGGATTATATATATACTTTTGCTTACTTTGTACGAAACTGAATCTTTCATTCTAATAACTTAAGGAAT", "CCGTCTTATTATTTTTACGCAAAGGTTTACGTACTTTTTTCAACCTCTTCCACCATATACCTAAAGGTTATTCCTCTATTTTTGATGCCGGAAATAAATCTAAAAATAAATAGAGCT", "TACAAAGGAAAATA", "TCAACTGACACTTATAAATCCATACC", "ACTGATTAAAAATAAATAAAAA", "CCACATCTATACAATTACCCAAAATGTGCTCAAAGTGATCGTTTTCTACTTCGAGCACATTCTCTTTTTTGA", "TGACGTATTATTTTTAGGTTATGGGCAAAAGTAATCCATAATTGGGAG" ]
[ false, false, false, false, false, false, false, false, false, true, true, true, false, true, true, false, false, true, true, true, true, true, true, true, false, false, true, false, false, true, true, true, true, true, false, false, true, true, false, false, false, true, false, false, true, true, true, false, false, false, false, false, false, true, true, true, true, true, false ]
[ 1, 3, 5, 7, 8, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 61, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 128, 129, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 172, 174, 176, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239, 241 ]
[ 0, 2, 4, 6, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 171, 173, 175, 177, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, 240, 242 ]
[ "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122442|+|555:983", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122443|+|1071:1367", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122444|+|1401:2138", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122445|-|2195:3676", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122446|-|3654:4634", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122447|-|4603:5970", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122448|-|6107:6400", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122449|-|6473:9643", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122450|-|9725:10747", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122451|-|10771:12096", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122452|-|12133:12762", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122453|-|12816:13583", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122454|-|13607:14992", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122455|-|15004:18222", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122456|-|18276:19487", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122457|-|20443:22758", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122458|-|22739:26554", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122459|-|26561:27619", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122460|-|27723:29351", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122461|-|29364:32666", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122462|-|32904:33893", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122463|-|33958:34563", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122464|+|35218:37503", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122465|+|37970:39304", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122466|+|39460:40608", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122467|+|40617:41393", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122468|-|41438:41584", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122469|+|42062:42172", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122470|-|42250:43455", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122471|-|43565:46522", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122472|+|46757:48094", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122473|+|48256:48648", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122474|+|48641:49942", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122475|+|49939:50451", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122476|+|50479:52263", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122477|-|52361:53302", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122478|+|53459:54331", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122479|+|54546:56009", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122480|+|56036:57022", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122481|+|57029:58204", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122482|+|58219:59469", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122483|+|59505:59933", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122484|+|60119:60484", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122485|+|60507:62357", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122486|-|62425:63528", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122487|-|63536:64294", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122488|-|64417:65043", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122489|-|65061:66080", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122490|-|66261:66464", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122491|-|66473:67780", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122492|-|67780:69153", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122493|+|69275:70645", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122494|+|70699:71328", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122495|+|71558:73414", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122496|+|73573:73977", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122497|+|74122:75048", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122498|+|75169:75756", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122499|-|75775:78081", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122500|-|78285:79586", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122501|-|79689:79871", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122502|-|79953:80138", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122503|+|80563:82437", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122504|+|82600:82992", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122505|+|83013:83249", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122506|+|83404:85605", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122507|+|85655:86797", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122508|+|86831:87031", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122509|+|87009:88520", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122510|+|88513:89094", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122511|-|89060:89452", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122512|-|90070:90231", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122513|-|90461:92515", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122514|+|92573:93070", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122515|+|93119:94258", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122516|+|94728:97820", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122517|+|97833:99650", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122518|-|99837:103064", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122519|-|103123:105192", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122520|-|105224:106759", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122521|-|106780:110283", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122522|-|110341:111327", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122523|-|111457:112038", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122524|-|112078:113712", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122525|-|113827:115497", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122526|+|115783:117693", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122527|+|117703:119853", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122528|-|120176:121237", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122529|-|121251:123212", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122530|-|123470:123832", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122531|-|123977:126286", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122532|+|126457:127953", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122533|-|128072:129265", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122534|-|129382:132498", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122535|-|132503:133633", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122536|-|133688:135085", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122537|-|135217:135759", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122538|+|135965:136858", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122539|+|136864:137883", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122540|+|137919:138299", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122541|+|138341:140047", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122542|+|140506:141114", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122543|-|141194:141604", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122544|-|141632:142720", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122545|+|142785:144002", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122546|+|144528:146525", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122547|+|146547:146660", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122548|+|146608:147510", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122549|+|147537:147914", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122550|+|147961:149205", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122551|+|149226:149849", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122552|+|149884:151380", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122553|+|151499:151999", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122554|-|152726:153031", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122555|-|153036:153653", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122556|-|154006:154656", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122557|+|155441:155551", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122558|+|155926:157341", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122559|-|157465:158010", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122560|-|158195:158779", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122561|-|158815:160197", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122563|-|160641:162278", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122564|-|162320:162592", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122565|+|162688:164439", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122566|+|164448:165482", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122567|+|165495:166913", "2510065017|RJ2H1_RJ2H1-contig-005.5|CDS|2510122568|+|166977:168167" ]
[ "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000001|+|183:554", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000002|+|984:1070", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000003|+|1368:1400", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000004|+|2139:2194", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000005|+|5971:6106", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000006|+|6401:6472", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000007|+|9644:9724", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000008|+|10748:10770", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000009|+|12097:12132", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000010|+|12763:12815", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000011|+|13584:13606", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000012|+|14993:15003", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000013|+|18223:18275", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000014|+|19488:20442", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000015|+|26555:26560", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000016|+|27620:27722", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000017|+|29352:29363", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000018|+|32667:32903", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000019|+|33894:33957", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000020|+|34564:35217", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000021|+|37504:37969", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000022|+|39305:39459", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000023|+|40609:40616", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000024|+|41394:41437", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000025|+|41585:42061", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000026|+|42173:42249", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000027|+|43456:43564", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000028|+|46523:46756", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000029|+|48095:48255", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000030|+|50452:50478", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000031|+|52264:52360", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000032|+|53303:53458", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000033|+|54332:54545", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000034|+|56010:56035", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000035|+|57023:57028", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000036|+|58205:58218", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000037|+|59470:59504", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000038|+|59934:60118", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000039|+|60485:60506", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000040|+|62358:62424", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000041|+|63529:63535", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000042|+|64295:64416", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000043|+|65044:65060", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000044|+|66081:66260", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000045|+|66465:66472", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000046|+|69154:69274", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000047|+|70646:70698", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000048|+|71329:71557", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000049|+|73415:73572", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000050|+|73978:74121", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000051|+|75049:75168", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000052|+|75757:75774", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000053|+|78082:78284", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000054|+|79587:79688", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000055|+|79872:79952", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000056|+|80139:80562", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000057|+|82438:82599", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000058|+|82993:83012", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000059|+|83250:83403", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000060|+|85606:85654", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000061|+|86798:86830", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000062|+|89453:90069", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000063|+|90232:90460", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000064|+|92516:92572", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000065|+|93071:93118", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000066|+|94259:94727", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000067|+|97821:97832", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000068|+|99651:99836", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000069|+|103065:103122", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000070|+|105193:105223", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000071|+|106760:106779", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000072|+|110284:110340", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000073|+|111328:111456", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000074|+|112039:112077", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000075|+|113713:113826", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000076|+|115498:115782", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000077|+|117694:117702", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000078|+|119854:120175", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000079|+|121238:121250", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000080|+|123213:123469", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000081|+|123833:123976", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000082|+|126287:126456", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000083|+|127954:128071", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000084|+|129266:129381", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000085|+|132499:132502", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000086|+|133634:133687", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000087|+|135086:135216", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000088|+|135760:135964", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000089|+|136859:136863", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000090|+|137884:137918", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000091|+|138300:138340", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000092|+|140048:140505", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000093|+|141115:141193", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000094|+|141605:141631", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000095|+|142721:142784", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000096|+|144003:144527", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000097|+|146526:146546", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000098|+|147511:147536", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000099|+|147915:147960", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000100|+|149206:149225", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000101|+|149850:149883", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000102|+|151381:151498", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000103|+|152000:152725", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000104|+|153032:153035", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000105|+|153654:154005", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000106|+|154657:155440", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000107|+|155552:155925", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000108|+|157342:157464", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000109|+|158011:158194", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000110|+|158780:158814", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000111|+|160198:160640", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000112|+|162279:162319", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000113|+|162593:162687", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000114|+|164440:164447", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000115|+|165483:165494", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000116|+|166914:166976", "2510065017|RJ2H1_RJ2H1-contig-005.5|IG|IG_000117|+|168168:168339" ]
[ "MPTACSPQDCTSCTSMIGGRPGLYKYLLKIRAVIPLPPVSVRFRFLSSAFLFSSYTVPPLSTKAPVTSLPFPRSTFCAPSCFPPFQLSPASSVCPPPPLLVTFSLCSLIFPHYNVRARVWVWKCCKFCKFASFIPTLYHKGN", "MNITSTIITASDGTPLSLYDVCRFLSKQQWKHILKQLKQEGIHIERIEAYEYPEVRDIKHLFIRFEKEKEDTPFYLLSPEIFSKLTNAIIQEYSSNIK", "MKNINGQGNEITIILPHKKIDCISSHHEQFNQIIHQSHIIITGNNNHVSMHFDSEENVEKLLLNEGFLLIIKGNDNTVNLGTIILRYSNILGMSGLKLIIGQLPGLGTGVSRVANNCRVDIGNRVVINGVTLYLQEDKSNVSIGEDSQLSWGIDIWCTDAHTITNLKREPINFAQSIEIGKHAWVGKDVKIGKNTKIPDNSIVGWGSIVTKVFNEPNIILAGIPAKIVKRGINWDRRCINKYLLE", "MWQLDWSKLAEVLTYNAKQPMIFSSGLFLFLFLGFSLIYMLLQKKDTARILFVTLFSYYFYYKSSGFYFFLLGVVTVTDFLLAGRMANTETQWKRRVLLLASLGINLGLLCYFKYTNFFYQILAPLWNGKFQPLDIFLPVGISFFTFQSLSYTIDVYRRELVPLNRLLDYTFYVSFFPQLVAGPIVRARDFIPQIRQPLFVSSEMFGTGVFFIISGLFKKAVISDYISVNFVERIFDNPALYSGVENLFGVYGYALQIYCDFSGYSDMAIGFALLLGFRFPMNFNSPYKADSITDFWHRWHISLSTWLRDYLYISLGGNRKGKVRTYINLCLTMLLGGLWHGASWNFVIWGGFHGIALAAQKFWRNLLHKPKTAGSKGIRKFFAVLVTFNFVCFCWIFFRNTTFEASVVMLKQICTAFHPEVFMQLIEGYWKVFVLMGIGYLLHFAPDSWQNACCRGVVKLPLLGKALLLVVLIYLVIQIKSSDIQPFIYFQF", "MKDGKPMRRNNRFPGLSGIAMVLFLFLLFPCLYAQDAIPALSRPLEPLRIPGETKEMHRGMRLITVHDSLPASFTHSLDNVIEDENRSLSPFFQKLNDMTGPVRIVHIGDSHVRGHLYPLITRRRLEHDFGAEAVYPDVISYRTGGLAHETGEPGIVYHMIGINGATSVTFSDDEKIKEIASLHPDLIIVSFGTNEAHSRRYLAQAHKMQIGRLLGMLKAACPEAAFLLTTPPGAYVGRRRARTINPRTVTAARIIKEYAQENKMAVWDMYNIVGGKTDACRNWTKHHMLRADGIHFTPDGYRLQGNLLHQALIKAYNEYVATGLE", "MKNYIPATFLLTLIVVGVLMGLYFLPSMSVGGKPLRKVDLLADIRPDVEEEVCDSDTIVLPPPVKPIFVDTCKTGITCIEDYSDSTMRGMKHFYEALSKVKTMKRPVRIAYFGDSFIEADIFTADLREMLQQEFGGCGVGYVPVTSSISGYRPTVRHTFGGWSSHSSNDSVGFDKMQQDISGHYFFPREGAYVQLKGQSKYASRLDTCEVSTFYFLNKGFAAVRSKVNNAAEGELHEEVGTGGVQAVSVRGRIGQVRWSVEQADSVTFYGVAMDGRQGISLDNFSVRGSSGLHLRSIPLHTLCDFQRLRPYDLIVVQYGLNVATERGVKYDGYKKGMLSVIEHLKTAFPETSILLVSVGDREYKNENGDLRTMPGVKNLIRYQQSIAADSHIAFWNMYEAMGGQGSIVDMIGQKMANLDYTHINFKGGKHLAGILFETLMYGKEQYERRKAYEEE", "MKSVFITFDQAFYERILALLDRQNCRGFSYWQQLQGRGSVKGEPHYGSHAWPSMCSAIMTVVDDTKVEPLLDALHKMDKETEQLGLRAFVWNIEKTI", "MSLYEGAVKKPIMTSLCFLAVAIFGLFSLSKLPVDLYPDIDTNTIMVMTSYQGASASDIENNVTRPLENTLNSVSNLKHITSKSSENISVITLEFEYGYDIDVLTNDVRDKLDMVSSELPDEVNTPIIFKFSTDMIPILLLSVQAEESQPALYKILDDNVVNPLARVPGVGTVSIAGAPKREVNIYCDPNKLEAYDLTIETISSIVGAENKNTPGGTFDVGSNTYSLRVEGEFKDPKEMENIVVGIRNGASVYLRDVATVVDSVEERAQETYNNGVQGAMIVVQKQSGANSVNISRKVMEQLPKLQKSLPSDVKLGVIVNTSDNILNTIDSLTETIMYAILFVVLVVFVFLGRWRATVIICITIPMSLIASFIYLAITDGGSLNIISLSCLSIAIGNVVDDAIVVLENVTTHIERGSEPKQAAIHGTNEVAISVIASTLTMIAVFFPLTMVSGMSGVLFRQLGWMMCVIMTISTISALSFTPMMCAQMLRLQKKQSKWFVTFYKPIERALDGLDNWYQKRLNWAVRHRKTIIAGCFGFFLLSLICAKGIGTEFFPSQDNSRISVQLQLPIGARVERAQALAQELTERWLKKYEGVMRICNYTVGQADADNTWASIQDNGSHIISFNINLYNPDQRSVTLAEVCDGMREDLKAYPELDKAQVILGGSSGGMGGQATADFEIYGYDFTETDKAAAELKEALLKVNGVSEVNISRQDYQPEYQVDFDREKLALHGLNLSTAALYLRNRVNGALSSYYREDGDEYDIKVRYAPQFRTSIESLENILIYTNEGKAIRVKDIGTVVERSAPPTIERKDRERIVTVSAVISGAPLGSVVAAGESIIDKMDLPGGISIQVAGSYEDQQDSFSDLGTLAVLIIILVFIVMAAQFESLTYPFIIMFSIPFAFSGVLMALYGTGTTLNVMSLLGGIMLIGIVVKNGIVLIDYITLCRERGMSVIHSVVVSGRSRLRPVLMTTLTTILGMVPMAIGGGEGSEMWQPLGVSVIGGLTVSTVLTLILVPVLYCSFAGTGIKRNRKKMKASRELNDYYQAHKTEMTKGKKE", "MRKYFQFAAWLVVTMLGACSGGTESKEAADTAMEDKPVVRLASVTSRDVDQIEEYTATVEAEAKNNIAPTSPGRIDRIFVEVGDHVSKGQKLVQMDAANLKQMKLQLENEETEFRRMDELYKVGGASKSEWDAAKTALDVRRTSYNNLLENTQLLSPIHGVVTARNFDNGDLYSSASTPVLVIEQITPVKLLINVSEPYFPKVTKGMIVKVKFDVYGDEEFEGKVSLVYPTIDAATHTFPVEVKLANTRQRIRPGMFGRVTVSFGTLRHVVVPDQAIVKRAGSGDRYVYVYKDGKVSYNKVELGRRMGTEYELISGVEDNSQVVVAGQTRLADGVEVAVN", "MKFYCKPTLTLFLLLYVAIQSAQAQDTLRITLQDAVRIALSDNPTIKVAGQEILLKKEARREAYAGLFPEASLVGSYSRAIKKQSFAMMGEVIEVGTDNTYSGGLSVSLPVFAPALYKSISLTSTDVNLAVEKSRASRLDMVNQVTKAFFQLLLAQDSYEVLLKSYKQSEDNYNVVKAKYEQGTVSEYDKISADVQMRSLKPTVVSARNGVNLANLQLKVLMGMESDVKVAVEGNLKDYEMSMFTRQAMPRPDNLTNNSTLKQLELNALQLKQTLKLQYTNFMPTLSASFQYMYTSMNDNFKFKEYDWRPYSTIGLNLSIPLFKGSNFTQLKQTRIQMKQLEENRINTERQLTMQATGYLDNMAASTEQVVSNKEAVFQAEKGRTIAEKRYEVGKGTILELNSSEVALTEAQLTYNQSIYDYLVAKADLDLVLGIDEVTEQ", "MDKTVVKEHIVLAAAKSFAQKGVKTVRMDDIAAGLSISKRTLYELFHDKEDLLLDVMKLHREEMQEYMTQVASKAENVLEVLLKFFQRSAQDFQNTNRKFFEDIEKYPKVMRYIDESRKENLDSAMEFYRKGVEQGIFRNDVNYNIVRAMVCEQMDLLLHSEICKSYSLGEIYETVVFMHMRGISTEKGLKIVDNFLLNLKGNEHNKYG", "MISPLAYVDPSAKIGKNVTIHPFAYIDKNVEIGDDNVIMPNASIMSGARIGNGNTIYNGAVIAATPQDFKYTGDDTIARIGNNNTIRENAVIIRATFAGDETVVGSGNFIMQGARISHDVTIGNNCIIGNGSQVSGCCVVEDYAILTSNVLMQGKTRLGTYAAVQGGCRFTKDIPPYCVAAHEPTAFYSINTTVLQHEGFSETVIKHIAHAFRILYKVNTSTEDALRRIEEQVPSSPEIVHLIEFVRSSKLGIIK", "MKKIIGLCCATFLLSGCHIYKSYDRPESIDATGIYRDPVAANDTLAANDTTNMGNLSWKEIFRDPKLQMLIEEGLANNVDMQAAILRVKEAKALLTSARLSYLPSLALAPQGSLTSVDKSTPVKNYTLPASASWEVDLFGKLLNAHRGQKASYLQSKAYQQAVRSQLIGGIANAYYSLLMLDRQVSVTEQNVALMKETVRTMEAMKEAGMTTEAAVAQSKGAYHQTEASLADLKRQVRETENSISVLLAKAPQNIDRGTLEEQVMPADLAVGVPLQLLENRPDVKAAELALADAYYTTNQARSAFYPSVNITGTLGWTNGSNGTVISNPAVMLWNAIGSLTQPIFQRGKLIANLKVSKAEEQIAKMNYQQTILEAGKEVSDALFLYDTADKKLSEHQAQVSEMQKAVEMNNDLFQAGKATYLEIITAQQSLLSAQLNEVSDTFQRMQAVINLYSALGGGRE", "MKLDRFINRPVLSTVISVLIVILGIIGLATLPVTQYPDIAPPTVQVRATYTGANSTAVLNSVIAPLEDQINGVENMMYIQSSASNNGAADINVYFNQGTDPDMAAVNVQNRVSMAQGLLPAEVTKVGVTTQKRQNSMLMVFSLYDETDSYNIEFIENYANINLIPEIKRVKGVGDANVLGQDYSMRIWLKPDVMAQYKLVPTDVSAALAEQNIEAAPGQFGERGNQTFQYTIRYKGRLQQTTEFEDIVIKALPDGNVLRLGDVADIELGRLAYTFNNMVNGHKAVSCIVYQMAGSNATETISDLEKVLAKAQESLPTGLNINIAQNANDFLFASIHEVIKTLIEAFILVFIVVYIFLQDMRSTLIPAIAIPVALVATFFVLKLIGFSVNLLTLSAMVLAIAIVVDDAIVVVEGVHAKLDQGYKSSREASIDAMSELGGAIVSITLVMMSVFIPVSFMGGTAGTFYRQFGITMAIAIGFSALNALTLSPALCAIFLKPHDEGHGTKKMTRVERFHTAFNAAYDSILKKYKKHVVFFIHKKWLSFGLVTASIILLVFFMKVTPTGMVPNEDTGTIMGAVTLPPGTSQERAMEILNRVDSLVAAEPAVDSRTVISGFGFIGGQGPSYGSIIIKLKDWEERSMMQNSDIVVGTLFMRAQKIIKDAQVLFFAPPMIPGYSASSDIELNMQDKTGGDLNHFYDVVLDYMDALKARPEINSAQTTFNPNFPQYMLDIDAAACKKAGISPSDILTTMQGYFGGLYASNFNRFGKMYRVMIQAEPEATKNLESLNSIKIRNGNEMAPISQFVSIKKVYGPDVISRFNLYTAIKVMVAPASGYTSGQALQAIAEVAKESLPTGYGYELGGMAREEASTSGSSTGIIFILCFVFVYLLLSAQYESYILPLSVLLSVPFGLLGSFLFVNGFAALGNIPALKMILGTMSNDIYMQIALIMLMGLLAKNAILIVEFALDRRKQGMSISWAAVLGAAARLRPILMTSLAMIVGLIPLMLAMGVGAHGNRTLGASAIGGMLIGMIFQIFIVPVLFVVFQWLQEKFKPIEWESVDNTEVEPEIEQYTRK", "MKMTVNSMKCISWSRLAIFTAAMTVLSSCGGGQSGMKLGDDEFTVVAVQSTASQQSTSYPATIKGVQDIEVRPQVSGFIVKLCVDEGATVKKGQALFQIDPTQYAAAERQAKAAVEMAKSNVNTLSLNEQQKKNLYDKKIISDFEYQSAVDQLLSAKASLAQAEAQLISARQNLGFCTVTSPSDGVVGTFPYRIGSLVSPSVTQPLTTVSEIGEMYVYFSMTEKQLLGLTRAGGTLKEQLEKMPAVKLELADGTMYTEEGKIDAVSGVIDQTTGSVSMRAVFPNKQLVLRSGGMANVIFPYTMEDIILIPQSATQEIQDKKFVYVLQSDNTLKHTEIKVSNLSDGKNYIVTSGLKPGDKIVVEGVQTLKDGQTITPITPEQKEAKYQQHLKDQKEGNIATAFK", "MKQVKDRYFYKIWALYIFLFSFGIHLNAKGRYLPEWKEYVVMQADMSSTITQVETLQSYLEQGIEAVMVKDDAILRKQATEKGMVILSQETLEIYQQRQKVKGADVIIFARLKSDTYIRYALEENCFLERLDCNIYGSSRWLNKYLEGTLEITTQLVAANKLRIDIRNLADIPLILKPIPQTSICLNELEPIELQGSARKVIFASLSDMTLSSYDLNVEVVNLFSTETNMPYVYNIPVKGMLFSKSESGVLNCAIIPQPKTIHSNTHSKFVINEQTCIQVATNKAKTEIHFLTDRLKQAASLTPEIKQFLVPKNNIIVFKELPKNTLGKEGYRLQVTEDSIEIEANEKNGFFYAIQTLLQLLPPEIYSSTTAIERSWEVPAVFIEDTPRFAYRGMHLDVSRHFYPVSFVKKYIDLLAMQKMNYFHWHLTDDQGWRIEIKRYPFLTEKGAWRDSTAINHPSSFSQPVFEKKRYGGYYTQEQIREVVAYAAEHHVTIVPEIDIPGHMLAALTAYPSLGCIQKGYQVGTQWGIYSDVLCAGNAACYTFLKDVMQEVIELFPGPYIHIGGDECPNERWKSCEKCQSWMRKNHISDEYALQSYVIEYVGKYLKKHHKRLIGWDEILEGGIGREAVIMSWRGVKGGITAAKAGNLVIMAPNTHMYLNHYQSNMLFEPLAHGRVASLEWVYSFNPIPDVLTPEEAKKVLGIQGNVWTEYLPTYQLVEYMAYPRASAVAEIGWSQPENRNWKDYLKRLQIQFERWRYYQVNCALHYKLP", "MKKLIITCLCALIGLCVHSQTQYINPFIGTQGMGHTFPGACVPHGGVQLSPETDTIPHSVDGVYQKEVYKYCAGYQYDDTTIVGFSHTHFSGTGHSDLGDILLMPTTGKIQLNPGTKSNPTLGYRSTFRHENETASPGYYSVLLDEYQVKAELTTTERVGVHRYTYPKGEGNLILDLNHGIYNYDGKTLWSGICVESDTLVTGFRMTNGWARMNLIYFAISFSHPILRYESKDTSKRSLYGGFWRKFDVQHNFPEMEGRELKAGFVFDLSDGRSLEIKVAISAVDKEGALLNLKKETQGKNFDKVLAEAKSKWNKAVSSISVNGTEEVKELFYTSLYRTLIHPSVYMDVDGRYRGIDHSIHNAEHFTNYTIFSLWDTFRALHPLINLIDANKSKDMMESIMAHQGQSIHKALPVWSHMGNENWCMIGYHGVSLLSDAFAKGIPMDGKKALEAMVQSSNLTYYDGLGSYIEKGYVPLNENVSSASISLEYSYDDWTIYRMALMAGNAELANQYKQRAYNYQKSFLNGYARPRYKDGRWKEDFNIYETHGQGFIEGNSLNYSFFVPHDVKGMINLMGGDKAFIRRLDNLFGSSLDPSYYAHTEDVTKEGILGGYIHGNEPSHHIPYLYMWTSQPWKTSENIYKIIDKMYNTRIDGLCGNDDCGQMSAWYIFTALGFYPVCPGSDEYIFGLPQIQQAEISLKAGKKLKIQVCNQSEENKYIQAIYWNGERYTKRFISHHTLIEGGNLIYEMGNKPAETCFDKYSLPYSLSSEDNHRIIPAVQEQQVYASNLNLSSGYHIVLQDNRLENERLWLKKYLQNDFQLIENSQGKTIRLILQSSSEQKEDEYQIDIQDEVKIISPSARGIFYGIQTLRQLMITTAGQCSLPQLAIKDRPYYPWRAYMLDESRVFQGKEAVKSILDEMARLKMNIFHWHLTDDQGWRIEIKKYPKLCQIGARRDSTQLNGWKGNSFDGKVHEGYYTQKEIKEIIEYAQSLHIQIIPEIEMPGHSSAVIAAYPEFGTTKKQIKVPCSFGVQYEVLDVSSQKVIQFLHDVLDEVIALFPSPIIHIGGDEVKYDQWNASVAISNYIKKLGVANPAELQIEFTNAISEWLKGRNKHMMGWNDIMGNKIHEYNSAEDAIALKSKLAEGTIVQFWKGDLDLIEETAQKGYDIVNSYHYGTYLDYDKSRIPLAKSYAFNPIPAGMDKSLQYKILGLGCQMWGEQILTVESMNRMTFPRIAAYAEIGWVSPARKNYMEFLPALMRLVKFNKHYETGER", "MKKLSFFLLCFLLFISSYSQNKPTLSDKNSFSMILLPDPQSYTKFDTNQPIFELMTAWVASVKKNLAVKAVLCTGDLVEQNECLVPDNVNGNQTSEEQWKAASRAFERLDHRLPYIICGGNHDYGYKRAENRLCNISKYFPVTRNLLWKDCLVSVCNNAFGVPTLENAAYEFTNKNWGNILVIVLEFAPRDEILEWAKSLAISERFENHKVFVLTHSYLRWDGSIIEKESYGVSPANYGKVIWEKLLYPCKNIRMLICGHYCSTEGFVYNVGQRCDKNIAGKNVFQMMFNAQTEGGGWHGNGGDGWLRIMEFMPDGKTIKIKTFSPFFAISPTTEKYAWRTEEFDQFDIVLD", "MIRKYLLYAFAFTSLIFTSCMDSFLDRNPYGAIDETTFYTEAEHANLGAMACYAKLQCLNGHWAFAQLELGMTGDFSSAGFKDAQPFYGATFNPNESSIVQGVWKRCYEGIAVCNINIDGISKMGDQIIAAEKRNMYLAETRFIRAFWYLRLVQFYGDVPLRSASVNDPTNSSEVQLAATSKEEIFKSLIIPDLEFATENLPDKWDEAYTHRATKGTAFAYLSEAYLIMKDYENALKAGLEVEKFDYELLDDPGRVFHIEEENSKEIIFSVGIAEGIDKYRRELYFGSKEDLGGDLGHLMRGDTYSADYFYPSKEFVDFFQVIDGKSIKDNSPYFDASQAWKNRDPRFDGTFFTIMDEVVTTTGKKMNWRDEWLVNTPTGYDIQKRGVWYGEESWTQRVDVHLMRLPRVYLHIAEAYALQANPDFEKCSEYVEKVRSRARRFALAHPDKYIPEGLDESKVLPPFVIDSKESAMEAINYESRVEFFTEDVIRYFDLKRWGTLAEEWSRVGDFIWEDKLYNLPYPAAELSANPNLKQSHIGWGN", "MNNLLITKENKKTKRFILVFLLFTIYFFNGSLILAQNERISVNVKNESVEVVLKKITKQTGVNFFYDQKKISQSSRVNLNVSNQTIETVLKSLSIQTGLYFNRNKNTINVGFDTKEKGLKILKGKVVDQNGEAVIGASIQVKGTTAGVITDINGNYELTNVPINAQIAISYIGYQTIILNANSKKLALVTLREDTELLDEVVVVGYGTMKKKDLLGATSMVSGDQLATNSSISVGGALQGKMSGINILSSSGFPGAETSISIRGVGTFGNGDASPLVVIDGIPVDQGFETLNPSDIESVNVLKDASSAAIYGSRAANGVILITTKKGAEGKAKVSLNATWGIQKPSHMMDLLSAEEFVSAILEMRDNKKAIDGGNPTTKYDGLNSADFGVGTNWGDHIYSSAPTLNINANISGGTDKLHYYLSAEYLNQEGIALNTGYQKAGFRSNIEAQVSKMFKIGNNANMTYRYTEGSGGTRFSDVIFNAPIIPAYDEDGSYGEPDTQLTGSKNAIAEVGWNTPNNHNYRLMDNLFMEFQITDWLKFRFNGGIDIVYNEYKSFLPKFNDGGQTNTRNSYTETRSKNFMWMTDYLLYFDKTFGKHAIHAMGGFSQQLFTKDDLSGTAKDFVSEVDNMHVINGGTNARERGLSGGKSELALASYFGRINYDYAGRYLFSFNLRADGSSRFRKDKRWGVFPSFSGAWRISEEKFFNVKPVSNLKLRASWGQLGNQSIGSWYPTIASVSKENVVFGTAADNQILYAGYTQSKLGNKSLEWETTTVTNIGVDLGFFNNSLSFSADYFVKNTSGILRSMVLPLSVGLGAPNMNYAEVQNRGLDLEISYKGNIRDLHYSVGANVSFLHNEIKKLSSGINEEVIDIGCYGGVTINRIGEPISALYGYKTDGVITTSEEAKKYKDMGQGNAKVGRLKYKDLDGNGVIDGKDRTILGSFIPKATAGMTLSADWKGFDLNMVFSGVFGRKQHSPMSFQNRMPNRNMSRHWYDNRWTLGSDPAGKYPALIQGENYEEMTDLMVANSSFVKMKSLTLGYTFNLKPTRIRVFLSGENLFTIKDKTFDGFDPENGNSVGHYTNWGDDFPTPRILLIGTNLTF", "MSKNNIFKENFQRYIDGFYSKNELESILKQIKNYCTDEDIDQLTEQLWSNLGDETLANRFEKATCEKEAWKLLAKSKRVKRMEKVRRFIYYSLSTAALVFLMIKGFGYYEERVEKRTPIITVTTDYGEHKTIILPDNTELAINSCTMVKYPEQFVGNNRIVELTGEGCFKVTHNPEKPFIVKMENMSITVLGTIFNVKSHPYDQTDLVEVKEGKVQVDLPEAMMRISSGEHVIINKISDSYSKEKDIMEKFAIWRTGGIRFNSTPIQDVAKELERIYHCKVIFSGNKPYDNLITGIHERATLKDVLNSIEYVTGIRYKYQNNTVILYNN", "MTENLNNDEESRLIERLILGEEKAFCKLYVQYKPRLFKFAIALLKSQNVAEDICQDIFFNIWENRYFLKCGTSFSSFLFSMARNRIINYLRDESCHKRILESLYEQAIDFDNSTKNTILANDLGEHMKHAVKLLSNRQREVFELSRHHMLTNKEIAEQLNISISTVQDHLSASLKIIRTYFKKEGLLHTSQIIFFQILFQF", "MVKITKEAALLYHSQGKPGKIEVVPTKPYSTQTDLSLAYSPGVAEPCLEIEKDPQTAYDYTAKGNLVAVISNGTAVLGLGDIGALSGKPVMEGKGLLFKIYAGIDVFDIEVNEKDPEKFIQAVKAIAPTFGGINLEDIKAPECFEIENRLKEELDIPVMHDDQHGTAIISSAGLLNALEVAGKKIENVRIVVNGAGASATSCTKLYVALGARKENILMLDSKGVITSDRPNLTESKKFFATDRRDVHTLEEAIKGADVFLGLSKGNVLTQDMVRSMADHPIVFALANPTPEISYEDAMASRPDVLMSTGRSDYPNQINNVIGFPYIFRGALDTQAKAINEEMKLAAVHAIADLAKQPVPDVVNEAYHVNNFTFGPDYFIPKPVDPRLITEVSMAVAKAAMESGVARKNITDWEAYKTRLRELMGQESKLTRQLYETARRDPQRVVFAEGIHPTMLKAAVEAKAEGICHPILLGNDERIEKLAKELDLSLEGIEIINLRHDREAERRERYARILSEKRARQGANLQESNDKMFERNYFGMMMVETGEADAFITGLYTKYSNTIKVAKEVIGIQPEYKHFGTMHILNSKKGTYFVADTLINRHPDTDTLIDIAKLSKKTVEFFNHTPTMAMLSYSNFGSDTEGSPAKVHDAVDYMQKEYPELAIDGEMQVNFALNTKLRDEKYPFTRLKGKEVNTLVFPNLSSANATYQLIQSMSETEVIGPIQMGLNKPIHFTDCEASVRDIVNITAVAVIDAIVDKKKKEK", "MNAAKVLEDLKRRFPNEPEYHQAVEEVLSTIEEEYNKHPDFDKVNLIERLCIPDRVYQFRVTWMDDKGNIQTNMGYRVQHNNAIGPYKGGIRFHSSVNLGILKFLAFEQTFKNSLTTLPMGGGKGGSDFSPRGKSNAEVMRFCQAFMLELWRHIGPETDVPAGDIGVGGREVGFMFGMYKKLSHEFSGVLTGKGREFGGSLIRPEATGYGNIYFLMEMLKTKGTDLKGKTCLVSGSGNVAQYTVEKVIELGGKVVTMSDSDGYIYDPDGIDREKLDFIMELKNLYRGRIREYAEKYGCKYVAGARPWGEKGDIALPSATQNELNGDEAKQLVANGVIAVSEGANMPSTPEAIRVFQEAKILYAPGKAANAGGVSVSGLEMTQNSIKLGWSQEEVDEKLKSIMKNIHEACVQYGTEADGYVNYVKGANVAGFMKVAKAMMAQGIV", "MKLKNLFTCTVTGLLLCTSCIKDEAPNAEADILNCILPAEMLTGTDIDYNRPYDKSLNAYPIYIEVNNGTDLTRLAPTFELTEGASIEPANGSTQNFTNPVRYTVTSEDKNWHRTYAINIHYPETKSIPTVFNFENVKTVPYNKNEYYVLYEAASGYSTLTWSSGNQGFALTGSGYTPNDFPTSISPNGRTGNCLQLITRKTGSLGTLVGMPIAAGNLFIGSFDIGSAMSDALSATKFGTTFYYEPIKLVGYYKYKAGPEFYENGESTNRKDVFNIYALFYEKTKDVQMLDGHIAKNNYEHENMVAAAVITDTHETSEWTRFELDFNYEHYGKTIDPQKLANGGYNVSIVLSASKDGDVFQGAPGSTLLIDDLKLVCKQPLR", "MKKYILTLILALASLGLYAQTNRNKTIINAALHGWEYEIKAGVNLGGTAPLPFPEEIRSIDGYNPTLSITIEGNMTKWLDTKKKWGIITGLRLENKGMRTKATVKNYNMEIIGYDGNRLKGNWTGGVRTKVQNSYITIPVLAAYKLSPRTTLKLGPYFSYLMDGDFSGHVYEGYLRETNPTGDKINFTNGAIATYDFSGDLRKFQWGVQAGVDWKAFKHLKVHADLNWGLNDIFNKDFKTVTFDMYAIYLNVGFGYAF", "MSRTLLKVAGEQNDPLRVKVFPHDFVDKPLLPLILLQKCIVYRKIEVG", "MRKTMFHYTSHIFTGDPSCSGKLKNFAQVIKGEIQK", "MKKNLFYYLFAVICSVALFTSCSDDDDEKMVNPVPQTTFTGENGLQLTYNGAPMPGKKVTFTPDATNAQKATLRLEGEFDLNGILGKAKSAAAREDVSMPTAPGVLPGSPVVTLPVDLTINGDQCSFAGTSETDYCTFSYKGEVSAGAMELALSEVKLKNAKLAGMTWKLKPYNQEVEEQDPVRLVWESEKGIPLFGSSEMPVESVLKIALRMPLIAVGAENKVSATDMLGTVLKDVTFMEDGNIVATYKDAANGGTEWTKSPVNLAQYVVENDNQIKVFLNPAAIIAAVNNAGRAIDVQTVIQQAIQILYPMLVNGVPVAFEQTEDALSVYLNTELLLPLLKTLVVPLLSDEEVVAMLVELMKKDPDFGEMAGLAEPMLKAFPEIIESTTKVEIGLNFVK", "MLSKLKLNQLYFKDTSFVNLMTKRIFNVLLVANPYDAFMLEDDGRIDEKIFNEYMNLSLRYPPRFTQVSTEEAAWKQLENTTFDLVICMPGSDNSDTFEIARSIKEQYPHIPLVVLTPFSHGITARMEHEDLSIFEYVFCWLGNTDLLVSIIKLIEDKMNLEHDIKEVGVQMILLVEDSIRFYSSVLPNLYKFVLKQSQEFATEALNAHQRTLRMRGRPKIVLARTYEEAMDLYNKYQNNVLGVITDARYPRGGVVDPMAGIKLLAEVRSRDPFVPLILQSAEVDNKVYASRYGASFVDKNSKKMNIDLREIVSDDFGFGDFIFRNPDTLEEVARVHNLKELQNVIFAIPKESLLYHISRNHVSRWLYSRAMFPPAEFLKQITWESLQDIDAHRRIIFEAIVKYRKMKNQGVVAVFQRDRFDRYSNFARIGEGSLGGKGRGLAFIDNMVKRHVEFDEFENATVVIPKTVVLCTDIFDEFMDTNSLYQVALSDADDDTILKAFLRAKLPDRLVEDFFAFFDVVKSPIAIRSSSLLEDSHYQPFAGIYSTYMIPYLDDKYEMLRMLSDAIKGVYASVFFRDSKAYMQATSNVIDQEKMAVILQQVVGTQYGDRFYPSISGVARSLNYYPIGDEKAEEGTVSLALGLGKYIVDGGLTLRVCPYHPNQVLQTSEMEIALRETQTQFYALDLKNTGHNFSLDDGFNLLKLPVKEADNDGALTFIASTYDPYDMIIRDGIYPGGRKVITFANVLQHDVFPLPRILQLVQEYGQSEMRRPVEIEFAVTLNQQKKNGTFYLLQIRPMVDVKANLEEDLNLIKDEDVLLKSNNSLGHGIMEDIQDVIYVKTDGYTASNNPTIAYEIEKMNRKFLDEGKHYILVGPGRWGSSDSWLGIPVKWPHISAARVIVEAGLTNYRVDPSQGTHFFQNLTSFGVGYFTINAYMKDGIYNQEVLDTRPAIEETRFIRHVRFDKPLIVKMDGKKKLGVVMLSE", "MDINQIMTSLEAKHPGESEYLQAVKEVLLSIEDIYNQHPEFEKASLIERLVEPDRIFTFKVPWVDDKGKVQVNLGYRVQFNNAIGPYKGGIRFHASVNLSILKFLGFEQTFKNALTTLPMGGGKGGSDFSPRGKSDAEIMRFCQAFMLELWRHVGPDMDVPAGDIGVGGREVGYMFGMYKKLTREFTGTFTGKGLEFGGSLIRPEATGFGGLYFVNQMLKAKGIDIKGKTVAVSGFGNVAWGAVTKATELGAKVVTISGPDGYIYDPDGVSGDKIDYMLELRASGNDIVAPYAEKYPNSTFVAGRRPWEVKVDIALPCATQNELNGEDACNLIKNSVLCIGEISNMGCTPEAIDAFIENKLMYAPGKAVNAGGVATSGLEMSQNAMHMSWSAQEVDDKLHQIMYGIHEQCVKYGTEPDGYINYVKGANIAGFMKVAHAMMAQGIV", "MEILQLDGLEPQLFNLIGPLAMNPKVLRANNNYPFKTTERFQWYIAVEDNDVTGFVPVEQKSGGYVINNYYVHNDDQEVLVELLGAVKPKNNLYAIVQTKHEAIFSNCGFQTEHRWTNYIKMIYNTNKNE", "MNNKRTKGENVYQLVQKRLKFLFNEFDNIYVSFSGGKDSGVLLNLCIQYIREHNLDRKIGVYHMDYEAQYQMTTEYVEQTFRENQDILEIYHVCVPFKVVTCASMFQTYWRPWDESMHAHWVRPMPKNCYKKEDFPFYNEEMWDYTFQTSFASWYHKKHDAVRTCCLVGIRTQESLDRWRTIHGNNRLNSYHNLMWTRRLGYDLYNAYPIYDWTTEDIWTAYARFKWPFNQMYELYYKAGVPLDRQRVASPFLSTAQETLKLYKAIDPNTWGRMLGRVNGVNFTGIYGGTRAMGWQNIKLPEGYTWKEYMYFLLDTLPEETKQSYLEKLRVSREFWKYKGGCLDLSTIRKLTDLGIKFYVQKKTNYKTDKLPVQMDYLDDIDIAEFKEIPTYKRMCICILKNDHVCKYMGFALTKKEKLRRDRVMQKYKNIIK", "MKEEFESPVYHVHRVPVEKVRANSYNPNHVAAPEMKLLELSIWEDGYTMPCVCYYIPDEDIYELVDGYHRYCVLKTSKRVFEREKGLLPVVVIEKDLSNRMASTIRHNRARGTHSIELMVDIVAELTKAGMSDEWIKKNIGMDADELLRLKQISGLMELFADREFTIPEP", "LKYNSLIIGLSVLALQSCTDNLVYTGTDIEVVLTGNTYQAAFTESSPVSTFNSGNQILLNASGSLQIDNRILTYMDNQWKAENEFSWSDLTGKTNVTAVYPVYPDLDYVQENLYKNNSLEDILYVKDEFPAGNSIHLQFKHLFSLLTLHLEGNLQTYFQKIEVTCPAVSSIIPKSAEIVLADNGTHTTTIAQVSPSGNYSFIVPPVGNMVIAINMVTNGKKYTTQLETKSFTGNKEYTYHLKISEKTPGIMTAEDWIAFSQLINSNTFTQYKGKTLDDFGETMNGITTYYLLNDIDFKDVDCTELKQIGYAQTNYYFSQTFDGQNHTLYNIPINSSNGTTGVFGAVNITGIVKNLHIESSKVSITSKSKSTAEGTSILVGRNKGKILNCCVKECQIAANPTKTNQSANTGGIAGTSTGEITNCYVTNTQIIYDANSKIKAGPAGGIAGSSQAQGLIANCYSANNIIKNRESYNGGICGKASDGAHIENCYVYNIDLITTKGLFAGIAANSFFIHNYYDNAKITFIGKNDDGNQLSKNAQYTGTFMNKEDIPIYRLLNQWIDETAPTLYPGYPFTRWTDGGENLPAVFISESLKK", "MSKVTVVGAGNVGATCANVLAFNEVADEVVMLDVKEGVSEGKAMDMMQTAQLLGFDTNIVGCTNDYEKTANSDVVVITSGIPRKPGMTREELIGVNAGIVKSVAQNILKYSPNAIIVVISNPMDTMTYLSLKALGLPKNRIIGMGGALDSSRFKYFLSQALGCNANEVEGMVIGGHGDTTMIPLTRFATYKGMPVSNFLSEEKLQEVAAATMVGGATLTKLLGTSAWYAPGAAGAFVVESIIHNQGKMVPCSVYLEGEYGESDICCGVPVILGKNGIEKIVELPLNEEEKAKFAASAAAVRKTNAALHEVGAL", "MDKSKKLIIVIILLVVIIGGVSFYAFHQAKENKEMSELFAVEKLEMENEYTTFATQYDELQIQINNDSLREKLESEKLKTQRLLEELRQVKTSNAAEIMRLKKELKTVRAVLRTYVIQIDSLNKLNQALAEENQEVKQKYTQATRQINNLSQEKKNLNEKVTLAAQLDATGISVEPRNKRGKTAKKVKDVKKIAISFTIVKNITAKTGERTLYIRIAKPDNDILTKNASNTFPYENRNLVYSIKKYIEYTGEEQNVTVYWDVEEYLPAGTYHVYIFADGTMIGQQAFSMK", "MKKIAAFILFIGVLDSISAQSTLTLDSCRALALSNNKELRISAEKITAAHYEKKAAFTNYLPKIAAIGTYMHTQKEISLLSDEQKGVISQIGTTAQGSIQETFQQLAASNPELAQILQPLAPLIPGIGNALNKVGQGLVDALRTDTRNMYAGAVTLTQPIFMGGKIVAYNKITKYAEQLAESQHATGMQDIILSTDQAYWQVISLINKKKLAQSYLQLVSQLDSDVDKMITEGVATKADGLSVKVKVNEAEMKLTQIDNGLSLSKMVLCQLCGLPLNDEIRLADEDVESLTLLEYHVGDNVATALANREELRSLDLANKIYDQKVNITRAGFLPTVALTANYMVTNPSLVNGFERKFRGMWAVGAMVQIPIWNWGDGMYKVKAAKAEANIARYQLADAKEKVELQVSQASYKVNEAAKRLSMAEKNLEKADENLRYAKLGFMEGVIPTSNVLEAQTAWLSAQSDKIDAQIDVKLTEVYLRKSMGVLK", "MAERSQHSNILLAFISLTAVIVIVSLIGFFTLGKGPEIIQGQAEADEYRVSSKVPGRILEFRVKEGDKVKAGDTLAILEAPDVKAKLSQAQAAEQAAQALNEKAQRGTRQEQLQAAYEMWQKAKAGVEIAEKSYNRVNRLFEQGVMSAQKRDEAKAQFDAMTATEKAARSQYEMAKNGAQREDKAAAAAQVERAKGAVAEVSSYIDETILTASADGEVTEIFPKAGELVGTGAPIMNVARLNDMWVTFNVREDFLKDFTVGNEISAFIPAFDKEIKFKVTYMKDLGTYAAWKATKTTGQFDLKTFEVKAVPVERTDGLRPGMSAIIEK", "MNNTVHNRIFRIARREVFRIATRPLYLFCMIIAPLFCYLFFTTLMWNGLPTDMPAGVVDLDNTATTRSIIRNLDAFQQTKIIAHYPSFADARKAMQQGKIYAFYYIPKGTTEKALASRQPKVSFYTNYSYLVAGSLLYKDQRTMSELAGGAIGRATLYAKGATEDQAMAFLQPIVIDSHVLNNPWLNYSVYLSNTIIPGILMLLIFMTTVYTIGSEMKTNTQKEWMDMADNSITVALTGKLLPQTVVFFVMATFYNVYLYGFLHYPCNSGILPMLFAGLLLVLASQAFGVFLFGLFTTVRLALSTASLWGVISFSISGMSFPVMAMNPVLQALANLFPLRHYFLIYVDLALNGYPLIYAWHSVVALMLFMLLPFFILKRLRTVLLHYVYIP", "MKTYKLKDIISQGTKDLFYIWWQELKAVVKDQGVLIFFILVPLGYPLLYTFIYTNETVREVPAAVVDNNRSSLSREYLRLVDAGADLEIVSHCSDMEEAKTLLKNGKVYGIIYIPESFSRDITKGVQTHVTIYCDMSGMLYYKAMLTANTNASLVMNKQIKIERSGNTTIRQDEVSTSPIAYEDISIFNPQNGFASFLIPAVLILIIQQTLLLGVGLSAGTARENNRFRDLIPMSRHYHGTLRIVLGKSLTYLMIYAVMATYMLCLVPKMFSLVQIAQAGTLAAFMFPYILACIFFAMTCSIFIHHREACMMIYVFTSVPLLFISGISWPGTAIPKFWEVVSWLFPSTFGINGFVRINNMGATLTDVLTEYRVLWIQTGVYFLTTCIVYRRQITLSRRHVYERLKEIKKRRRTNVV", "MMKKLFILACVCLLITSCNSNSKNTNDSAISTETTDMHNAENSLDYDGTYTGTFPAADCPGINMTLTIKKDKTFELISEYIDRQDATFKEYGTYSVEGNIMTLINGEDKQYYKVGENTLTALNQDKQAITGELADHYILHKK", "MEKLTIQEEEVMLHIWSMGECFVKDIVAKFPEPKPPYTTVASIVNNLKRKGYVTAKRFGNTYQYTPVVKQSEYKRTFVSGVVRNYFADSYKEMVSFFAKEQKISAADLKDIINLIEKEEDK", "MITTAPELIYMLKVNIGIALFYAFYKLFCCRDTFFQWRRIALLSFLALSFLYPLMDMQAWVKEQPAINELADYYALMMLTETNTSTATVVTAPVAIPTPDLLDIIKFVYWIGILLLSARFMIQLSSIFRLVLKSKNINVDQISIRSLSEPANPFSFWQWIFIYLPGLKEDEKQEILTHEQTHVRQWHSIDVIISEIVNIICWMNPFAWLLKTEIRLNLEYLADHKVMESGTNKKAYQYHLLGLANQNRQTGLYNNFNLSHLKNRIKMMNKKRTRTTGHIKYALFAPLTAALLLVSNIETVARTAERLIYSTEESTPRPEREEVASFVNTTVQGLVTFTITVTNSEGKPQPNITLQIKPGNEVKTFKTNAEGKATIEVDMTTPKYVSLDVSSPKSSKHQSLLLSANKPNVTAIFDTDDDIAAYIKAGKQIRIKLQISNNDNQQLAGVELISSSTNAKATTNAHGEAQLTVGVGETIAINHKGYQEGKFTVKELCPIKDMENPELVRLLLVGEDPVYQIADNMPEFPRGMTACLQYLARNIKYPVIAQKEGAQGKVIVQMVIEKDGSVDHVSIVRSITPELDAEAARVVKSMPKWKPATVKDKAVRCRYTVPVTFKLQ", "MMTLYPKLILDALATVRYPGTGKNLVEAEMVADNLRIDGMSVSFSLIFEKPTDPFMKSMIKAAETAIHTYVSPDVQVAIATESRQAARPEPGKLLPLVKNVIAVSSGKGGVGKSTVAANLAVALAKLGYKVGLLDADIFGPSVPKMFQVEDARPYAERIDGRDLIVPIEKYGIKLLSIGFFVDPDQATLWRGGMASNALKQLIGDAAWGELDYFILDTPPGTSDIHLTLVQTLAITGAVIVSTPQQVALADARKGINMYTNDKVNVPILGLVENMSWFTPAELPENKYYLFGKEGCKQLAEEMNVPLLGQIPIVQSICENGDKGTPAALNEDSITGRAFIELAENVVKQTEKRNAEQAPTHIVEMKK", "MGKGKLEKFADMREYPHVFEYPYSVADNVTFDMKGNWNRDFFKNDNPIVLELGCGRGEYTVGLGRMYSDKNFIGVDIKGARMWTGATEALKDGMTNVAFLRTNIEIIDRFFAPGEVSEIWLTFSDPQMKKATKRLTSTYFMERYRKFLKPDGLIHLKTDSNFMFTYTRYMVEENKLPVEFMTEDLYHSGLVDDILGIRTYYEQQWLDRGLNIKYMKFRLPQEKELHEPDVEIELDEYRSYNRSKRSGLKTSK", "MKTNSELKNRAIDALTGNWGLGAVITLVYGLVMNAPTLPYRIMESVASSSFSLIALLLLPLGFGYTVLFLDVIRGIKLDFARLFDGFKDYGRILGTMLLTTVYTFLWTLLLVIPGIMKSYSYAMTLFILKDYPELQYDAAIEKSMAMMSGRKMKMFLLDLSFIGWAILCCFTLGIGFLFLAPYVEASHAAFYEDLKKELGESVEAISE", "MKEIDWANLSFGYMKTDYNVRCYYRDGAWGELELCSEETLNIHMAATCLHYGQEAFEGLKAYRGKDGKIRIFRPEANAERLQSTCDGILMPELPTEKFVAAIKKVVKANERFIPPYESGAALYIRPLLIGTGAQVGVHPANEYLFVVFVTPVGPYFKGGFSTNPYVIIREFDRAAPYGTGRFKVGGNYAASLRANKKAHDLGYSCEFYLDAKEKKYIDECGAANFFGIKNNTYITPKSSSILPSITNKSLMQLAEDLGIKVECRPVPEEELETFEEAGACGTAAVISPIQRIDDLENKKSYIISKDGKPGPVCTKLYNKLRAIQYGDEPDTHNWVTILD", "MAAKKQTYEQAMKRLEEIVSRIDSNELDIDSLGVNLKEAQELIKFCRDKLYKADEEIKKMLDNNVSM", "MEEHPLSLYELNALVKRSIHACLPDTYWVQAELSDVRSNYSGHCYLEFVQKEPRGNNLIAKARGTIWSNVYRLLKPYFEEETGQAFVAGIKVMVKVAVEFHELYGYSLTVQDIDPTYTLGDMARRRREILKQLEEEGVLTLNKELEIPLLAQRIAVISSATAAGYGDFCNQLEQNPYGFVFYPRLFPAIMQGERVEQSVITALDAIHACRDDWDVVVIIRGGGATSDLSGFDTYELAANCAQFPIPIITGIGHERDDTVLDCVSHTRVKTPTAAAEYLINHLHDTAKVLEDYASAVLHTISTRMERENTRLNRMVERIPMQTRMRLKEEHYRQERIMKHLEVGLQSRLTKETHCLKMMETRLGIVSQRRLVKEKHRLQLLEQELKAASPENLLKRGYSITLKNGKAVIDASVLQPGDELTTRFAKGEIKSIVTKK", "MNSQKGIVGCLLLACTLQMSAQVKTYKYRVNFRDKAETTYALDKPSAYLSERALERRMKQGLPVDSTDIPVCRSYIDMLVGKGAQLVSKSKWNNTVVVQVSDTSVIDKVAALPFVTAVRKVWTAPDSIPARNANRKKEVTNRVTKSNNYYGDAWRQIAVHHGDSLHAAGFRGKGMQIAVIDAGFYNADEISVFKGMDLLGTRDFVNSHSDIYAENYHGMKVLSCMAANKPNVLVGTAPEASYWLLRSEDDDTEQPVEEDYWAEALEFADSVGVDVVNTSLGYYEFDDTTMNYRYRDLDGHYSLMSHSASLAADKGLVLVCSAGNSGRGTWKKITPPGDAENVITVGAADRNLVNADFSSVGNTTDGRVKPDVMAVGVASAVAGNDGTVSHANGTSFASPTLCGLVACFWQACPWLTAKQVVEAVRNAGDRKEYPDNIYGYGVPDIWKACQIELEKKK", "MAKTGTPTELGTQPIGKLLLQYAIPAIIAMTASSLYNMVDSIFIGHGVGPLAISGLAITFPLMNLAAAFGSLVGVGASTLVSVKLGQKDYATAQNILGNVVTLNFIIGIGFSILTLLFLDPILYFFGASPDTISYARDYMVIILLGNVITHMYLGLNALLRASGHPQKAMTATITTVIINTILDPIFIYLFHWGIQGAAIATILAQIISLVWQFKTFTNKNELLHLRRGIYKLRGTIVKNTIAIGMSPFLMNLAACFIVIFINKGLKEYDGDLAIGAFGIVNRIVFLFVMIVMGLNQGMQPIAGYNFGAQQYHRVNQVLKLTIYGATAVTTTGFLVGELMPELAVSAFTTHEGLIQLSATGLRIVVIFFPIIGFQMVTSNFFQSIGMAGKAIFLSLTRQLLFLLPSIILLPLCWGSAGIWWSMPISDLAASVVAGIMLYRQFKIFKTHGNKLKVEN", "MNEHYVINLGRQLGSGGKEIGEKLAQEFGIAFYDKELIKLASEESGLCKEFFEKADEKASQSIIGGLFGTRFPFISDGAIPYGSCLSNDALFKIQSDVIRELAEKQSCLFVGRCADYILRDHPRCVNIFVSASKEARIERLMHIHHISTEAAEELMEKADKKRSAYYNYYSYKTWGAAETYHLCIDSSVLGIDGTVQFIKQFVKLKLGF", "MITMSSFKYAGLIISIIISLISCTHNKNYPTAFQPELAKAEAMMYRYPDSALHILQGIQPDIPSENEQYATWALLMTQAQYKNQIEQSDSLINIAYSYFTKHDNAQRKALALYYKGILRHESHHAEDALSFYLEAATEIEKTNDYQLGFLINSEVGLMYLYRKLNDYAMEYFEKAHHNAELSDNQTYIAFSFIYIARAFSQKKQYNKAIEYYEKAIKIGQVNNYPTILASAMNETSFLFLKTGENKKALQYAKDCIKIKKTDQRIFSLGDTYRYLKMYDSAYFYLNQACLSPNIHTARSAYQALYYISQEEKDYKKAVEYSNKLWFYQDSIGKTDRNKALIEMQEKYDQQKIINENNLSQIKKDRIIRNVLIALIILSFIIAITNYLYQRKIVSQKQEISEKEEKIRYFTMKIHENETLINRNKMRIEELTIQMEGSLEIKEQWKEQNKIRQEIQQQNETLKLENNNLQNHISNYAQSLKEKSKELEAMEHLSKENQYLHKREAFLCNQLIKQTELFNKLKTTKYIDNKLWQEIKEKIDLLFDNYTKRLCHQIPSLTDGDIQICCLIKLRFSNGDIANMLAISPTSVSKRKLRLKERIVQEIGSLGENQSLDLWLMEY", "MKLKSIKKKIWSIVIIVTTLIGILPVQANTTETPVKDVELDGRWDDPIRSAATNCPITVFTDGYLLTLKNASPDRDMTIRITDMAKGGVVYENDIPEVQSAYITISIANFPAEEYKLEITGTPSGHLTGYFTQE", "MLNFLLDIAPDKSQLLLTIKLAGILREKKQEVYYTYTSNPAFTPVLYDKGISNCVLYPDDFRWLKPDLTLLDCRHAAHASLYWQLAIDYIFISMQLPDQKNIQDKDISILYLPPTPYLSSGSGPRLETLTKRLQDIKKDKERNIIVGLLGKGNKNSKILEDFYRVIKRSSIRNPRYQFILLTDIPNVYQSSELPDNMELFRTLNLNTILPLCDLALTDSHSDAWLDCTFAQIPVLKYSPKDMINITPMKLEQQIEYALQNKTTLTQKAKELCDFFERKNREINKIADMLIERAGRNRYNSCRLRPHTY", "MTEYELDKIKKSFVRSNAKCPVEVACLLTVIKYYNGKETDIHTLTEWCKINGKLTLAGMKQGAIYSGMKAEICLQNIHQLTQRKLPIILFTLNDFNVPGYVVCYGIHESRFIIWEPEFGLMQYWADEMKTLWIKGIALTLFPTQDFMNSANLHLKWWEIYSWSKLWKRKVEHWYEYIWLNVPLFRPMVYKLGKNK", "MRTLILLLGLLLNSIVIDAQSVSGSLVDEKGNPVSFANVVLLSSKDSSFVQGTISNEQGIFSIDQTSGNNILRISCLGFIPVTKAYAQFPVTIVMHEDVNLLGEVVVKGNRPSYKLTAEGLQTHVQGTVLSKMGTAEDVLKHIPGLQKKNDAYEVFGKGSPIIYVNGRLLRDLSELDQLKSEDIKNVELITSPGARYDASVKAVVKITTRPIKGEGFGFDVRSGYNQWEYAGFVEQLNWNYRRDKLDVFGTVYYRKSEGFDESRFTQDVHVDTLWHQDNYQFAKTNQQAFTNIAGVNYAFDENNSIGVKYTLKANPDARYHTIFNSDVYADGTHYDYLANDINATAYYNPSHSVNVYYKGMAGKTEIDFNADYLFDKNGDNTIQREESSNKEDRVVTSTNTLRSELFAAKLVLSRPLLGGNVMIGAEYSHTERQDDYVNPEGYVPTSFSELKEQNISPFLEYSRNTPIGQLSVGGRYEWVNFDYYEDGKYMEGQSRNFSNFFPSVSLGSEIGGVQLQLSYAAKTRRPSYQQLSNNVTYANRFTLQSGNPLLEHEVVHNVSLMGAWKFMQFSVDYNDRRNAIIYWGEQMEYNPAVTLISFKNLHSLKSVSAFLSLAPKFGIWSPQLNWGVQKQWLDLETTDGLLKMNKPMFTGAFNNTFNFNHGWMASVEMNYQSKGDMENCSETKNIFYVDAGVTKFFWGDRMSVKLSGTDLFHGMKSGNRMYYNRASSLQINNYDSRKVMLTVRYKFNATRNKYKGSGAGESEKERL", "MLYTLNNNYVLRQELNDCYRLYNKKTLRSYTISYKLFFILEQFRKQSYSLEHLIEEFNVRNIDLSDFYHFIEKDEFFDLLVMANNFKGPFVKYKISKDLSSYTAYSPERVDFLITKHCNLACKHCFEGSSPSFEVKRISSSDTDRILSQFEAANIQTLKITGGEPFSHPDIDNFLFKAIQCHFETIILTNALLLNKQRIDMIKKGHIQLGISLDGMTSDTHDFIRGKGAFDKLIRILKILSLEDIKFSITCTINKKNLCQIDEIIDYSLNELGAQTLFLNRLRPMGRMNQNTNIVLSEQENDNVYKLYLNQKGKYNKRIILADDSALKSNSHDNKIVCAAGNSLIAIDENFNVYPCIYGIDNPEYKMGNLIDQNLDVIWKSSKWNIFRGNLTLEDLTDCRNCKLHAVCVMKNCRLKPVYEGRSFTSSISYCNK", "MKPEETIFDGISDSEMESISGGIAEVQSESQSESAASDGYAVKCCNNKLKTKDEEKVKPD", "MKPEETIFDDISDSEMESIAGGTAEVQSESQGESAAGDGYAIKCCNNKGKQEQQKTVDPTT", "MRKKRVINWMVILSILLTGCKQKKDTLQTLLPPLVKAEHIMYEYPDSALHILQEMQMPASSDKLQKATWALLLTQAKYKNYIEEVEDSTLINIAYNYFMQQEDAQRRAMVLYYKGILCKKAGKTEEAQKLYLAAIEEVEKLEDYQLAHLIYVELGEFYVFRELYEDAFKNFEKALHYAELANNDKYICSSYIFLARAISALNQMNTSIEYYQKAILLAEKIKDNYLCSGAMNELAGIYTNIKDYQSALKYAQKALQINETDEIESLGQNFLGLGEIYYYLAIPDSSYYYFNKALYSSSIYTVRAAYQGLYYVSRDNHEYEKISVYCDQLLNYQDSIQTLNKSRELAEIQKKYDQQKIINENSQLEMDKKNMLNMILLAGIGIAMGIAIMVYVYQKKLLRKERLLQRKEEEINQNTIKIQENEMIIVRNRNRMEELTMQIEENKGVQEQLEEQHKALVEIQQQNESLKQENETLQNNIDKYSFTLNEKSNELNRLEILTKENQRLRDRETYLSNLLIKDIRVIKNLKDKKSPINILQWDEIKKNIDLLFDNYTIRLSQVIPSMTESDLQVCCLIKLRFSNLDIADILNISPTSVSKRKFRLKERIIQKLGSLGESHTLDLWLLEF", "MKTQKFKILSLRMALLTILLSGLSMQNIYAFSETTKNLPLNGRWEVKARSLSPIPTASYDSQSIYIENPSPNCDITITITSSTGEEVYRQTFSESQTAYMVIRIGNLTSGQYTLQMANNQGNYLTGVFGI", "MIKVDLKKIFYMDYLIHIRKTGTAAEFATKVGVARSTFFEYMDYMRNELNIVILYDRSAKTYYYSNKGLYDSLKQWIA", "MSKFPFYKQLDGMDCGPTCLRMIAKYYGKTFSVQQLREQSYIQRTGVNLLGISEAAASIGLRATGIRASMDKLKQQSKLPCIIHWNQEHFVVLYKIEKKKGKTWFYIADPAYGLLKYEEQELKKCWISTTQGGIEKGIAMLLDVTPQFYEAEPIKYEKLSLWYLFHYVRPYKKAMMQLVIGLLAGSLLQLVFPFLTQTIVDQGIGHRNLNFIQLILAAQLMLVFSRTLIEVIRRCILLHISTRVNVSLISDFLSKLMRLPMRFFDSKLAGDLIRRIEDHNRIESFLTQSVLNILFSTLTVVIFGIVLAIYSWKIFLIFILFSLAYIGWVKLFMRKRADLNRKNFEQMSVNQNNLMQLIYGMQDIKLLGCEQQKRWEWENIQASLFRINMSSLNLGQWQQVGAVLINEVKNVLITVLSATAVLHGSITLGVMLSIQYIIGQMQGPVTQFVSFMQDTQDAQLSLERLGEIHGKPDEETEGMDQETEISGKDPIRLNNVIFTYGSEKSKRIIKGLSLDIPAGKTTAIVGLSGSGKTTLIKLMLGFYPPTEGTVTIGRQSLQKISFKEWRKHCGVVMQEGFIFGDTIANNIVPDGNMIDKKRLLYAVEMANIRDFVESLPLKYNTKIGNTGQGLSQGQKQRILIARAIYRNPDYLFFDEATNALDTDNEKVIQGNLEKFFKDKTVVIVAHRLSTVKNADQIVVLKEGEITEKGTHDELITRQGDYYRLVKNQLELSA", "MEEIYKPETEDAGKVEVYSRENNEMLGDMPQWLIHTGSYIVYGLIVFLVAGTALFKYPDTIKKAVTIDDMGSVEWITANQGGMIERFFIENQSQVKRNDTLGILKNTASLEDVQTFCNVLTNIEWYYRTNDINYLQDYPFNLIMGEMAPAYEQFTQAVRTCVMYQEFDLYPQKKKFLDDELKILNESKQANALEILKVKREEFELEINHKMEMGKNRRMLELAYENMVNSLRTWENKYLIKSRHDGIVVWGKSWGMSHRVNEGDTLCTVISKQQANPLGHIRLSQDEVAEVAVGDKVNIELNKYPTHSYGVLPGKIASISFVPYNKSYAVEVAFPEGLTTTNHKEIKYEIDLSGKAEIITSSRSILSRIFAPIYELFKEK", "MKKEEENGKKPEYINYSEEEQLLDLNELMDVQGGIDDKELSKCGLGCYTGGFVDPTKTQDENTGNE", "MKIPEMNKVYVLNPHYHLRHDIHRVALFSSTGTDTDCSRNWHTFIHPLQAVMLSFFTYDRPLQTTLPLLCDFFCRSKEEMIKWVSDFIDNPTPIYTSSQQGEIYFPKRILIEAEKAGKALRFDRLQANSFVWKKLDLTTRRLYSGPLLLTFMLTNQCVTHCKYCYADTSTQIKSPLTTQRMMELIKEASDLQVQQVNLIGGEIFLHKDWKIILKELVKRGIAPEFISTKMPVTQKLLQDVQETGYQGIVQISLDAIHSEILTASLGVNGNYAKEMLHGLQLLDDSGLNYQISSVLTNYNCQMNVLAELLHELSHLKHIRDWRIIPASNSIYKEYNVFSHLKPTKAQITKVFNQIRPLLTQVSFPVILGKEVTDKNYQDTWGGSRCFKGSECSALTTHMFILPDGKVTVCEQLYWHPQFIIGNVTTQSLKEVWHSPQALHLCSLSRQDINKESPCRECRLFEDCFSYQNRCWSDIIKAYGKDCWDFPDPRCCFAPAMKNKLSYD", "MTEDELDRIKKSFVRSSDECPMEVACLLTVMKYYGGQQDARTLAEWCKVDGKYTLMGMKQAAIRAGMEAEICLQNMEQLSTRKFPAILFAINDFEVPGYVVCYGIHEGRFIIWEPGFGPMQYWENQMKTLWIRGITLTLFPTHEFMQKTDFQLKWWELYPWSRKWKRRLNRWYEYIWLNYPWFREMVTQLRRK", "MYINRYTYEGGNPFLLPSLSHNITLGTTYKWVYLSAGYQYVKDAIISFSGAYSEDDPTIALINMINAPDYDKIFASLVLSPTMGLWSPQNKRIVCNKKLSLTAKGFNLPLWNNWTWLTPFISVLAVLPFP", "MIEDYHFIYSQWNGLLHICLPEPPSTTPEVMHRKFGLKNPSDFSAQSQGGYSG", "MESKYHYFKRDISWLSFNYRVLLEADDDSLPLYERINFISIYSSNLEEFYKIRVAEHKAIASGGQSDDMTQEEARHLIHQITEAVNSQMEDRIRIYEHKIVPALRRHHIIFYQSKQEVEPFHQEFISNFFKEEIFPYLQPVPVCKNRIKTFLRDNRLYLSVRVTRKDTGEKEYYIIKLPYSKVPRFIELPRQGENFYLMYMEDIIKANINRMFPGYDLDCSYCCKISRDADIFVDDATSSEVMVEQLRKKVKKRKIGAVCRFVYDRKMPADYLEFLVDAFGINRDDLVPGDKHLNLEDLAHLPNPSKELCTQLKPRPMTLNCLDEKESIFRYVSKKDLMLHFPYHSFEHFIHFLYEAVHDPSCKEIMITQYRVAENSVVINTLIAAAQNGKKVTVFVELKARFDEENNLATAEMMKRAGIHIIFSIPGLKVHAKVALVLRYNKEGKQTRSYAYISTGNFNEKTARIYADSGLFTSNEIIVNDLYTLFRVLQKEVTEPKFKRLLVARFNLLPELRRRIGYEINMAKAGKEARIILKMNALQDPAMIDELYKASEAGVKIDLIVRGICCLIPNQPYSRNIRITRIVDSFLEHARVWYFHHGGKPLLFMGSPDWMRRNLYRRIEAVTPILDEDLKQQLIDMLAIQLKDNRKAGWVDENLNNVLKRNPEEEPVRAQYAFYEYLKRKNK", "MTRIGLLSDTHAFWDDKYLKYFENCDEIWHAGDIGSVEVARKLSAFRPFRAVYGNIDGQDIRRLYPQTNRFTVDGAEVLIKHIGGYPGNYDPSIRGSLLVKPPQLFISGHSHILKVKYDKTLDMLHINPGAAGISGFHKVRTLVRFCIDNGEFKDLEVIELADKL", "MKTYLVTGAAGFIGANYLKYILAKHDDIRVVVLDALTYAGNLGTIASDIDNERCFFVKGDICDRDLADQLFSEYKFDYIVNFAAESHVDRSIENPQLFLQTNILGTQNLLDAARRAWVTGKDENGYPTWRKDVRYHQVSTDEVYGSLGAEGFFTETTPLCPHSPYSASKTSADMIVMAYRDTYKMPVTITRCSNNYGPYHFPEKLIPLIIKNILEGKKLPVYGDGKNVRDWLYVEDHCKAIDLVLRKGREGEVYNVGGHNEKENIEIVKLTIATIHRMMTETPEYRKILKKKELNDKGEISIDWINESLITFVKDRLGHDQRYAIDPTKITNELGWYPETKFETGIVKTIQWYLENQAWVENVTSGDYQKYYERMYKNR", "MKNMKKNPKQNRESSLMRSPLILGLSIVLCIPVAYSHAKSSNIVKNESVQSILQGRTIKGQIIDENNEPLIGVSVIIKGASTIGTITDFDGNYALEVPAGKNVLEISYIGYKTQEITIGKNTQLNIKMQPDTQTLDEVVVIGYGTVKKRDLTGAVASVKSEDIVRMPTSNVLEAIQGQVAGLDITRSSGEAGSGVNMTLRGTRSINGDNSPLFIIDGMEGSYDELNPNDIASIEVLKDASSTAVYGAAGANGVIIITTKTPKKDKFSIDLDAYYGWNVISSFPEVNRGEDYINFRREAQRTVGAWNSPADDGNLFPSYMQKYIDNNQWVDWFDLASQTGITNSYNLSTSYSNDRVTSYFSLGYYNLEGLLKGDELERYSARAKIDFKANEMVKYGLNLYAMYSENDKRYSRIWNRILCMPPLGTPYDEDGNLVDYPLGDGNMNPLADMGEDQYVNNVKTLSVAPQIYVELTPLKGLSFKSLLGGYFRNMKESKYTGTKSYQGLESGLVQATIPNTFTYNYKWQNILTYNFKIKEDHDFTITGVTEWSKDQKEKATATANKFDTDSYLYHNLGAATGTPTVSSSYVGSQKMSYVARINYSYKGRYLLTLSSRWDGSSILADGNKWDMFPAGAIAWRISDEPFMQNVKAVSNLKLRASYGVTGNAGASEYATLDYSRTGIIGFQDVGVPYSGYSQSIANKSLGWEKSYMTDLGFDLGLFSDRLNVAFDWYRTDTKDILFEKNLPYATGGYGSSPFKIWSNVGETRNTGVELSITSRNFVGPEFQWSTTLAFSTNKEEVIKTTSEGPLQFGDYYLIPGEAIKTYYGYKYAGIWGTAEAEEAAKYSQKPGQVHIAENGTPDYKLNADDYYVLGSATPKWSGSLLNNFNYKNFDLSILLIARWDWTIPYGLTGWYRTDGLTPSPTVCDYWTPENQSARYPRPDASITNGQDPYQQWANYFDGSYLKVKTISLGYTFPKKWLNAVKIDRMRVYFTANNPFIFTKCDYLKNYDPEKGGNDDDAPLSKQYVFGVNISF", "MKKHKLLSISAVIMMLSAYGCSLDEFNPSGISTEQEWSTPAGYEKKVNDCYFDLVRIVYGQAEDTYLMVAEGGTDIWQDTNPDGTNGNWSKLLRYEDYGASNGMLNEGYAGFYGILSACNAAVHYADKVEGLSETRINELVSEARFIRAHALYNIVEQWGGKYLPLEPMNSPISVLPCSSVNDFYKVILEDLEFAMKNLPITQEVKGHVTRAAAYHLYAKACLTFSTYTDGLGNTTALTDSESKTYLEKAKAAADYLIQNAGSLGVKLYDDVEAVFDENNNKNNEEALFIVCHSTITAYNPRGNYFNRVWKHSEAYNNNTSGIYLSGMTPSYATNINGYEVPKLAKGNCYMEPSKYMLDLYGEKDGRYKAFFKDTYYVNNATNSTKNGYTWNEADAQRYGLSTSRVGNSAYDITLGDTAVYLSRKTYTQAERNACRYAIFNLEDNYADTKSPLKFFPSLKKADCPSLYAGSNASKPYSSADCIVYRLGETYLISAEIDWRLGNNQSAAERLNTLRNRACKGHDHSMDITASEVTQDFLLDEYAREMIGEWNRWMTLKRFRAFESRITKANPQITKFDKNIHYLRPIPTAELLLIDNANEYQNPGY", "MKIRSILTGIAISLSLAGMAQSQYDGAPVNRSANETSTDNVEVRKNKYPRSDNDWENFNVLHINRLPSAANFMGYPTKELALQGDKSQSPYFQSLNGTWKFHFVPRSDERPMDFFQKGYDVSGWDDIKVPSNWELQGFGYPFYVGSGYGIKKNPPLIAVENSPVGSYRRTFTIPAHWNKRQIILYFGGVASAFYVWVNGEKVGYSQDSKTPSEFDITPYVKQGENEIAVQVFKFSDGYYLEDQDYWRFAGIQRDVYVYARSETHVRDYEVVTDLDGEYKNADFHLFVELGKAGEGKIKGAEVEVSLLDKAGKSIYNERKRWNAADRELHFKKEVREPLLWSAEKPNLYRLLIALRVNGKPEQYISQYIGFRKSEIKHAQLLVNGKSVYIKGVNRHEHDPYNGHVVDEASMLRDIQLMKENNINSVRTSHYPNDPRWYELCDIYGMYVVDEANIESHGMGYKPDQCLANQPEWEKAFIDRTERMFERDKNHPCVIIWSLGNETGEGCNFAATYKWVHANDRSQRPVHSEDGIKGPYTDIFCPMYKKIDVLINHSLYLPTKPLILCEYAHAMGNSEGNLQDYWDVIEKYPSLQGGHIWDWVDQGLYAKTPDGKFYWAYGGDLAPKGTPSSANFCMNGLIAADRTLKPHIHEVKKVYQNIAFSLLDYHEGWVELRNKYFFTDLSDFNFTWKLEGNGELLATGTIDNVSLAPRQTGKFKTSFPAIQVKPGVEYFLNFYASLKNEDGLLKAGTKLADAQVSLPFYQPFVAEVQSSPVIADDAASLLTLTAGNLSVGFDKETGALTSYKEGSTELIKEALRPNFWRPVTDNDMGNGMNKTLRPWRDAGRQAKLLSMKQKALGKEAYEVVSHYKLPVGESDFIVAYHFSGKGYLGVNCTFIPGNDTLPLLPRMGVSITLNKQFSQMEWLGRGPHENYIDRNTSSYVGLYKGSVADQYFPYDRPQENGNKTEVRWMSLTDTAGQGLMVVGQPYVSTSAYLFPTEDLDEPGLRKSQRHLSDIQFKDMVTWNIDLKQMGVGGDTSWGAYPHQPYLIPAERMSFSFRFCPAKQHGVSGNRQYLNFK", "MKHLFPIYGMCSILWLGACTPVATQPEKSLFTTQEDFPNLLNVKNVPEQPVDGDLNLFSDLGAWSGYALPVNQSRAFAGAFIGPMTMHGRGWIAQTLAQPTLVVNGEKYDLVRNMQTAKYLPGKLVQHFKDARLEFTTELCFATSRTAFVRSVITNLSDTPLNVSLTWSGGVFEENTTATKVDKGVRFHYPFYGRRWGTNRQIITLRNEPPVDEVTATVLFHTADEVMTVGNDSLQVVEKSDYLLQSGKSYTSEYSQTLTLKGEEADKEYVAIKSIPFDQCFAENAQRWNQGLQRILSADSPYMKENAYRNIAVKALMTLNSNWRTPAGDIFHGCSFPSYTGFIGGCWSWDAWQIASGNVYYNPEGAKSEMLSLFDYQAENGMVPDFIGYNKARNNWRDSKPPVASWGAMNVYKVTGDKAFLDEIFDKLYKFHQWWYAERDHDHNGICEYGSTDGTLIAAAWESGMDNGVRFDDTRMLKNEMEKAWSMDQENICLNSFLYVDKLTLSEMASILGKQELSEQLAKEAEVIKLYVQTKMYDSESGFFYDIRLNDRTPVKVMGAEGWLPLWAGIATPEQAESVKNIMMDEKHFNSYLPLGTLDVSHPALRPTFGYWRGPVWFNQVYFGITGLKRYGYVEEADLLTRKFMAHAQGLMTDGPIHENYNPLTGEVLNAPNFGWSSALILRLLLDQ", "MKFKNILLYAALLSGMSFSSCTDFLDEDSNPNALSPGIFWKSEGDIMKGLTSVYGALQPNASWAIPFERYIVIDGYRSDEITHRDDVTSWMNISSFNVEPTNSVVKTEWTNLYKGINYANQCLTNIPTVPGDSESLNALKKQSIAEARFLRAYFYYRLYVNFGERVPIYKEALAGTDEEFYPPQANPGELVSLIETELKEVQSDLPESYEESEKGRVTRYTAAALLGKFYMFRKELGKAEVEFKKIIDKEGSLFGLMENWADNFDGMHKNNKESLFEIQFTGDRSGGQYEYNLFTVHLGPMAGLDAYEEAYPTDWMCQTLLADKTIDGKSSDRALHTLIFDDPECRPFYYKNGKSFKDYHKEGEIFWHKYVTYTEGMSDYWDYSFFNVSVIRYADVLLLYAECLNDKGETTEAINIINKVRARVNVPALPLTMSKAEVLKHLQDKERPCELALEGSRWYDLVRWGIVEETLKAHNKPFVENYVDTKHQLFPIPHSEFLLNPDWEQNPNYSK", "MKGRRRTTIFRPGLMSNLIIYYKLINYKCMDNIMKCTPLGEQKSLWLRKTLLIMKFFVLFLLLGTVPCWAGIAYSQNVKMSLDMENTTVHDVINAIEKKGNFYFTYNLNQVNVNRKVSIKVENKTINQILDRLFSKSGIDYKIENRHIVLYKQATPEVEAVKQQKSINGIVADEQGEPIIGASVLEKGTTNGTITDLDGRFTLSIGKGTELIVSYVGYTTKTVKIGNQSTIKIVLIEDTKTLDEVVVIGYGTQKKSDVSGSVTSVSGDKLSKIPTANAEMALQGMAPGLSVNFGSGAAGSSATLQVRGVTSWKDDDGENSESNGPLVIIDGVPGNMSYLNPEDIKSISVLKDAATAAIYGSRSAAGVILIETHRGTMNSAPKITFSGYWGLSAMPKRLEVCNSAEFIKVRKMALTNAGTDESRWPKYISEYERDPSQFADTDWQKEYYQRGFTQKYNIGYTAGSANSNVSLSAFYSKTDGVTIATGDEKFGFRLNSDVTRGKFKMGESVSYSRWSADLESNSGFSSIYQVTNMEPLAFLYDENNDGGYGGAISGMGMSDAGNQVAFNKLIDNTSSTDYIAASGYLQYEPIKGLIVKFNASRNMYFSKSRLFTPTYEIGAAKRNTMASLSESRSQTTNDLLELTANYDKTIAEIHNLSLLLGLSQEENKYEDLSASGSDFENNSMSLMGHAKSNYSVGGTKTRSALRSLFGRVNYNYMMRYMIMASFRYDGSSRFAKGNKWGFFPSVSLGWNIANEPFWENLKETVSMFKLRLSYGALGNQNIGLYRYIPTLSYNTHALNYPFDGRETSMGYAITAFPSSNIKWETTVYKNIGVDISLWNNKLELSAEAYIKNTRDMLSSRNISLATGYNSSILVNDGKLRTTGFEMQAIYHGKAGGLKYDLDLNLSHYKSVLKSMANPDYLYEYGALRTYVGGEIGEFWVYQTAGIFQNQAEVDEWNTAHGYKDQNGNWQPLQPVAKPGDIRFIDQNGDGMLDTNDRVKVGSGTPKVSLGFNINLAYKDFDLVANFYGDFGAKRYNYTKYQLERMDHVFNYGKNALNAWTPENPDTDIPRAVSGDPNKNSRTSTRFVENGDYLRLNNLQIGYNLPVKYCKKMGLSNLRIYVGATRLFTITNYKGYDPSTGSSVGQMGYDYAAIPLSRDFMMGLKFGF", "MKDEILIRYINKHCTATEEKEILEWLKEKDNRQRLFELEQIWGLKAEMRFSDKGRMDEAYRQLSRQLGLSGQIEKREKPIQRFTVREWWKYAAVLVAVCLFATNLFYITKEEPEAYNTVVVPKGQRVSLLLSDGTTVWLNAESRFSYPAKFSEKYRTVTLEGEGYFEVAHNPKCPFTVKLPMLNIRVLGTKFNAKAYLDEPSWITLKEGSVEVSTLDDRSKERMSPNDQVYYTVSDGLVLIRNSAQANVDSWTTGDLRFDNKTLKEMAKVIERRYDVKIRIMDSSLVEEYFTCHFRKDLTIAQAMELLKETRRVDYRIEKKTVYLYKK", "MQTISIDINKVQKGDETEFRLLFNLFYPRLMSVACRFVPEHIAEDIVQSVFVMYWEQKSALIPNAIHSFLYKCTQNNCLNYLKHQAVVLGHEEEVRLAEERMAFQLAGSDANELWNEVVERDIRKLLKASISKLPPKCRQAFELSYFKEMTYKEIAEAMHISSRTVEEHVQKATKFLREDLKEVLFCLLFLLR", "MISVDGLTVEFGGTTLFSDISFQINEKDRIALMGKNGAGKSTLLKILAGVRQPSRGKVSAPKDCVIAYLPQHLMTEDGRTVFEEASQAFAHLHAMEAEIEAINKELETRTDYESDSYMELIEKVSAMSEKFYSIDLTHFEEDVEKALLGLGFMREDFNRPTSDFSGGWRMRIELAKLLLQNPDVLLLDEPTNHLDIESIQWLEDFLVNSAKAVIVISHDRKFVDNITTRTIEVTMGRIYDYKVNYSQYLILRKERREQQMKQYEEQQKMIQETKDFIERFKGTYSKTLQVQSRVKMLEKLELVEVDEEDTSALRLKFPPSPRSGNYPVIMDGVGKTYGDHVVFKNASLTIERGDKVAFVGKNGEGKSTLVKCIMREIEHEGTLTLGHNVQIGYFAQNQASLLDENLTVFQTIDDVAKGEIRNKIRDLLGAFMFGGPEASMKKVKVLSGGERTRLAMIKLLLEPVNLLILDEPTNHLDMKTKDILKQALMDFDGTLIVVSHDRDFLDGLVTKVYEFGNKKVKEHLCGIYEFLETKKMESLQELEK", "MDWLQNLLFDENSIAHIVLLYSFVIAVGVLLGKIKFFGVSLGVTFVLFTGIVCGHFGLTGNTQILTFLQDFGLILFVFCIGLQVGPSFFSSFKKGGIAMNLVAMGIVALNIAVAVALYYGLNGRIELPMMVGILCGAVTNTPGLGAANEALSQLNYSGPQIAMGYACAYPLGVLGIIGSIIAIRYICRINLKKEEEDIAKEEAANPHLTPRMMHLEVHNEALAGKTLLQVRDFMGRDFVCSRILQNGHVSIPNRDTVFHLGDQLFVVCAEDDAEAIIAFIGPKIEVDWEKQDTPMVSRRILITQPKMNGKQLGEFHFSSMYGVNVTRVNRSGMDIFASRNLTLQVGDRVMVVGPQDAVERVANLMGNSLKRLDHPNIVTIFVGIFLGIFFGSLPIAFPGIPTPVKLGLAGGPLIVSILIGRFGYKLKLVTYTTMSANLMLREIGIALFLASVGIKAGANFVNTVVDGDGLLYVGCGFLITVIPLLIMGAVARWHYKMNYFMLMGLIAGSNTDPPALAYSNQTAGNNAPAVGYSTVYPVSMFLRILTAQLLILILAS", "MADSKEKLFSDFPAVSTEQWMEKITADLKGADFEKKLVWRTNEGFKVKPFYRQEDLEGLKTTEGLPGEFPYVRGTKKNDNTWFVRQEIKVECPKEANAKALDILNKGVDSLGFYVKKKDLSPEYIETLLNDICAECIELNFSTCQGHTVELAQLLVAYFQKKGYDLTKLQGSVNYDPMGKMMVKGKDLSNFITTAKELVEVLAPLPKFRCICVNAIELNNAGSYISQELGYALAWGNEYLSKLVEAGVPAALAAKKIKFNFGISSNYFLEIAKFRAARMLWADIVKEYHPQCNRQPECPNKAEDGTCLCACKMVAHAETSTFNLTLFDAHVNLLRTQTEAMSAALAGVNSITVTPFDKTYETPDDFSERIARNQQLLLKEECHFNKVVDPAAGSYFIENLTISIATQAWELFLKVEDEGGMLEAVKAGKVQEAINASNKARHDSVSKRKEILLGTNQYPNFNEKAGEKAPVEAKCCCGGNHDSCEKPFATLNFDRAASQFEALRLQTEKSGKRPKAFMLTIGNLAMRQARAQFSCNFLACAGYEVIDNLGFPTVEAGVEAAMKAGADIVVICSSDDEYAEYAIPAFKALDGRAIFIVAGAPACMEELKAAGIENFIHVRVNVLDTLKEYNAKLGIK", "MKPNFKNIDIYAGFQPQNGMEWQKENGITADWKTPEHINVKPVYTKEDLEGMEHLNYVAGIPPYLRGPYSMMYTFRPWTIRQYAGFSTAEESNAFYRRNLASGQKGLSVAFDLPTHRGYDPDHERVVGDVGKAGVSICSLENMKVLFDGIPLNKMSVSMTMNGAVLPIMAFYINAGLEQGAKLEEMAGTIQNDILKEFMVRNTYIYPPAFSMKIISDIFEYTSQKMPKFNSISISGYHMQEAGATADIELAYTLADGLEYLRAGVAAGIDIDAFAPRLSFFWAIGMNHFMEIAKMRAARMLWAKIVKQFNPKNPKSLALRTHSQTSGWSLTEQDPFNNVGRTCIEAMAAALGHTQSLHTNALDEAIALPTDFSARIARNTQIYIQEETYVCKNVDPWGGSYYVESLTNELAHKAWEHIQEIESLGGMAKAIETGVPKMRIEEAAARTQARIDSGAQTIVGTNKYRLEKEDPIDILEVDNTAVRLEQIENLKRLKEGRNQAEVDKALAAITECVKTGKGNLLELAVEAAHVRATLGEISDACETIVGRYKAVIRTISGVYSSESKKDTDFARACELTEEFAKKEGRRPRIMIAKMGQDGHDRGAKVVATGYADCGFDVDMGPLFQTPAEAARDAVENDVHVVGVSSLAAGHKTLIPQIIEELKKLGREDILVIAGGVIPAQDYDFLYKAGVVAIFGPGTSVAKAAVQIMDILLDEEE", "MRSIKNITLGLATVGVMSLSGCAGDYLDTILSTSVSETTINTSLDNLYIALNGIHKEMVSQESGYQCLGGEPGFKVNDVNHYSEMVAWGLKARVALAMQDYNNAAVYAGKSISLAEAGGHRLMTGSQLNCGFANITTDTKEAMYAAMTPDDKTVYFYSYYAYMSWNFNSSAIRQGVKCINVDAYETMSETDLRRAWWDPTGEASVPSSSYAKNAYQNRKFTARSTADAVGDVAFMRLAEMYLTQAEALVRAGKDSEAQTVFTKFQITRDPSYVSKGNIGDALAEEIMNSRRVELWGEGFRFYDLKRLHLSIKRGSNFDIAFCTFLEKDKDAQGWVWEIPKIETDFNSLCTKNY", "MAPIYPVHKHDLETGAYLDANGKATTDPGEYIYDYEGTRLSNNGRDAIAETEFNQRELVRVNQTGHTYLTLTPVEGLNLTANYSINNIDYRRKVYENPYVGDGTAGPGRLNQMSTRTLTQTFNQLITYSKSIGNHNFDVLLGHENYSYKYEYLYGMKTQETVSGMYEFGNFVNISSLSSYTNTYKKEGYFGRINYDYAHKYYASLSYRHDGSSRFAKENRWGNFWSFGVGWRISEEAFMKDVKWVNNLKLRASYGETGNDNILDSDGDPDYYPYQTLYGLGYKNGSEAGAYFTVIANPSLKWETQISTDIALEFGLFDRLTGTIEYFKKDSKDLLFDVSQPASVGVTSIIQNIGKVTNSGVEIELDYNAFKNKDWSVSVGANATFVKNKIKNLPATMKENGYISGSKKWLEGKSIYEFWLRQWHGVDPQTGDGLYVADVSKYNQGNIGTGDGNITQSQFDEYKKTVVTIDGKELTNSYTYAKYDFSGSSIPDVYGGFNVRVSYKNFDLAAVFSYQLGGQILDTNYATMMSMTEFGYAQSPDLLKAWKQAGDITEVPRIDNSAAHTTNIGQSYSTRWLTSSDYLNLRSVTIGYQLPKTWLSKVMLKSARLNLTAENLFMLKARQGLNPMANYSGVTYNEYMPSRNITLGLNVSF", "MKMKKNLIDYELLWEKITDYAKATGRTTARPVLLLYYVLRSPETPSSDKMLIVAALSYLVLPIDLISAKRLPIIGWIDEAVSLVYAYKKVCRYVTPEIESEVDNILERWFPEGKYELIEE", "MIAILVVSMVQGVFAQQIIIKGTVKDATSKKAAEYVNVVLQTADSVFVGGTTTNGKGDFLLNKVYAGNYLLALSCVGYRTQFIVLDGIKQNINLGEILLEDDAVAMEGVTVSASGQISHSDRKLIFPSERQMKVSTNGVNLLQQMMLPRIQINPMNNEIGVLGGGELQLRINGAKAEVEEIKALQPSDIIRIEYHDNPGLRYGNAEVVLDYIVRRPETGGNFGVDLSQGMNAMWGEYNVFGKVNHKKSEFGVSYYMGPRDFYGMYRDNEEEFHLADGTTLHRIEEGEPGHGSMFMNNLSMNYNLQQTENSLFSATFRLRSNSQPHWNYQGVLTNIADSDDKVDMIDRTKESWSRPSLDLYYQQGLKNKQLLVFNVVGTYNKEKSRRLYQESLQDELLTDINNNVLGDKYSLIGEAVYEKQFSKGNSLSFGLRHTQSFANNEYRNGHYYETDMNQGDTYVYGEYRGKVKKLDYRLGVGVTRSYYKQSGDDSYENYSFNPRLVLHYALPGNSFVRWKSDISNASPSLGDLSAVEQIVDSLQIRRGNPNLKAYLRYHTELTYEWQKGIFYSNLWGAYDYQPNAIMDEKYQDGDKIVQTWDNQKDWQKLSGRLTLRVGPIKDMLQFSFTGGVNHYMSHGNTYSHTYTNWYCNAEASFNYKQFSLYWQMNTNWNNFWGETLSGGENIQVLVMYYTHKNLRVGLGAFNPFTDNYKQQTENWNKYASYKKTNYVKESSQMFLASVSYNFSFGRKFKTGQRRVNNSDNDSGVMSTGK", "MKKILLTLALAFCCAAGQGQTTAKPADVNIQELNTKWAKFTQYAEQKQINKAVEEGIRISTLFTQNRQYKEAFATCRQMDALIYYNEQEKKSPEYKLRFMVGKERLRMYTNLKNTEQCKILLKQLHSYTDQLKSDSLQEELLMTEANYYQTFGMTDKSLECYNILFQKRSAGKDEKGIDQCYKDMLGYAEQNNNAPLAIAMRKLYTSWQDSIKAVKTANELNTLQQKYETSQKTLQEKEDKITTNLIIIIALCVLSAILAAGLLFLATLLFKHIRQVKKLKHSLQIANENNEQKSKFIGNISAQIEPSLNTIDEATKETISTPILHENIKALKELMTAIQTYISLEETREEHYPLKELNINTLCESIMEKAKINFKSGVEAVVNVPRVNIKTNAEELERILNHLLNNAAEYTKSGKISLEFKKRSAHTHQFILTDTGTGIPVEAREKLFKPFAEIRDLTQGNGLGLPTCSLIAYKLNGTLTLDTDYKKGTRFILELHV", "MDTINNDLTNLLQQMGVHKESLGITQRIVIIAGILIIAFVADYFCRKIVVPTIKKLTARTQATWDDYLFNDAVLDNMCHLIPPIILYVLLPFAFPHEPVTLTFILKLCWVYITAVAMKLICSFLTSLYTISSEHEKLKNHPLKGVYQMIKLIVICVGVIIIVSTLIDKDPVNILTGLGASAAILMLVFKDTIMGLVAGVQLSANDMLRPGDWITMPKYGADGTVIEVTLTTVKVRNWDNTITTVPPYALVSDSFQNWRGMRESGGRRVKRSINIDMNTVRFCTPEQMKKFEKQVWMSGFEKTGKEEVNLYVFRHYMEYYLRHNPRVNTELILMVRQLQPTPQGLPIELYFFSANKDWIPYERLQAEVFDHLLAVLPEFGLRVFQIPSGLDVLSLSSH", "MFSKFFIERPIFATVLALLFVVAGLVTLNILPVAQYPDITPPTVQVSAVYPGANAETVAQTVGIPIEQQVNGVDGMLYMSSNSSASGAYSLTVTFEVGTDIDMATVMVQNRVSVALNSLPEAVKVQGVTVQKQSSNIVMMLTLSGDSIYDGLYLTNYANLNLVDQLTRVPGVGAVDVMGAGDYSMRVWLNPETMRIRNITPQQVYAAIQSQNMEVSAGYVGQPIGSSADNDFQYTLNVKGRLSTPEEFGDIILRVEDQGKVLRLKDVAKIELGSAGYGVVSRLKGQPTAAIAVYQLPGSNSLDVSKHVRARMAELAETFPAGVKYNVTLDTTDVVHDSIDEVMKTFVEAIILVVLVIFFFLQSWRAVLIPCLTIPVSLIGTLAVMAALGFSINTLTLFGLILAIAIVVDDAIVVTENATRILDEGKLDARAATEQAMGEITGPIVGVVLVLLAVFIPTTLISGISGQLYKQFALTIAASTVISGFNSLTLTPAVCALLLRPTPTHKARLFLWFDKMNDWMQRVYDASVKWLLARPVIAIGSYIVISVIAILMFVKWPSTFIPEEDDGYFMIAIQLPAASSLERTQMVGKQIDAILSEYPEVKTYLGVNGFSIMGGGQLPNAATYFVVLKNWKERAGKEHTAQAVVNRFNGQAYAMIQEAQVFGIIPPAIPGMGNTGGLQLELEDRKSLGPEELQKAVEALLANYHNEPAVASMSSMYQADVPQYFLNIDRDKVQLMGLQLNQVFSTLGYYMGQAYVNDFVEFGRIYQVKLEAGEQAQKVIDDVLKLSVVNSKGEMVPFSSFTQIEQKLGMDQINRYNMYSAASITCTPAAGSSSGQAIEAMGSLIKNQLGGEFGYEWTSVAYQETQAGNTTTIILIMALLVAYLVLAAQYESWTSPVAAVMGLPVAILGAMLGCWVMGVPVSIYTQIGIILLIALSAKNGILIVEFARDYRKAGNPIREAAYEAGHVRLRPILMTSFTFVLGVMPLLFATGAGAGSRVALGAAVVFGMAVNTIFATIYIPNWYEVMQHIQEKWLTKKK", "MKKIMIAFAWTVCVLTACDKNKPSQTEMQALPVDVAKPLVENVTLTKDYPGYLEAESTVDLVGRVNGTLQSKNFAPGSRVRQGQVLFVIEPTLYENSVKQAEAELKTAKANLEYAVSSYQRMKEAIKSDAVSRIQYLQAESHVAACEAAVSNAEAALKTARTNLSYCYVKAPCDGVVDVSAYSVGAYIGGALQPVKLATVYKDNRMYSYFNIADNQYLTYELAQEAASKIPAETHFVTLRLGTDGAQSWKAKLDYLSPNVTLTTGTLRLRAELDNPDGMLRPGLFVSVTLPYGEARNAVLVNDASIGTDQLGKYLYVVNDSDIVNYRHIEVGQLADHNMRVVKSGLSPSERYVTKALLKVRQGMKIKPIEQTNKLQ", "MRKIRRWGVWLLLIIPLALNAQQRAEKLLNVPLPEHWQEEDAMFQQLLPVDDHWWTVFQDATLDSLIHVAVRQNPSVLTALNRIDMAKANLRIARGGYYPALSLDAGWNRQQTSGNTGTGQPQSRVGYYDATVNMSWQVDVFGSIRQRVKAQKENFAASREEYNATMVSLCAEVASAYFNLREAQQELSVLQRNALSQKAVVDITEVRYNTGLASKLDVAQAKSVYYSTLASIPATESGIIQYMNALAVLLGLYPQDVTAALETGKPLPDYIEPVGVGLPGQLLLRRPDVRVAERQVNAQAALLGASKTDWLPSFFLNGSFGYASHDMKDFTRRSSMTWSIAPSMSWTIFNGGQRANNVRLQRAQLDETINQFNTTVLTAVQEVDNAMSSYKNSIKQIVACREMLNQGKEAFKLSLDLYKQGLSPFQNVLDAQRSLLSYENSLVQAQGYSLLCLIQMYQALGGGW", "MKKVLFLFAFLLLGIGAMAQKVIYDETNQTGVRTVVCEGMNLGVSNNMDVYVALAGFQYKSTIRYSLAVTIGSGHEVEIPNDSKCVLTLDNGKALELPTVAGGASVLQHVDVEMSDVYQSFRRFAYYNIKAKELKKIGKNGIVQMDIQLSPGNYSIAFNQDVLGSMLTGSMSLINNMFGK", "MSLKIVVLAKQVPDTRNVGKDAMNADGTINRAALPAIFNPEDLNALEQALRLKDTHPGSTVTILTMGPGRAAEIIREGLYRGADNGYLLTDRAFAGADTLATSYAIATAIRKIGECDLIIGGRQAIDGDTAQVGPQVAEKLGLSQITYTEEILNVDETARRITVKRHIDGGVETVEGPLPIVLTVNGSAAPCRPRNAKLLQKYKRALGAQEKAAITKDGSELPYAELYEKFPYLNITEWSVADVEGDTKQCGLSGSPTKVKKIENIVFQAKESKTMTGSDQDVEGLIVELLANHTIG", "MNNVFVYCEIEGTTVADVSLELLTKGRKLANQLGCQLEAIAAGTGLAGIEKQVLPYGVDKLHVFDAPGLFPYTSLPHTSILVNLFKEEKPQICLMGATVIGRDLGPRVSSALTSGLTADCTQLEIGTHEDKKNGITYENLLYQIRPAFGGNIVATIVNPEHRPQMATVREGVMKKEILDENYKGEVVNHDVAKFVPETDYVVKVIDRHVEKAKHNLKGAPIVIAGGYGMGSKEGFDKLFELAKELHAEVGASRAAVDAGYADHDRQIGQTGVTVRPKLYIACGISGQIQHIAGMQDAGIIISVNSDPNAPINTIADYVINGTVEEVVPKLIKYYKKNSK", "MKSQSFEQLIVWQKAHSYVLAIYKITKQYPKEELFCLVNQMRRAAASITANIAEGYAKISSKDKLRFYNISQGSLEETRNFIILSKDLGYITLQDKEQLGIQAAEISRLLNAYCIALLKNVSPPTT", "MANFYTDIPELKYHLNNPMMERICQLKERDYRDKDEFDYAPQDYADAMDSYDKILEITGEITGETIAPNAEGVDEEGPHCGNGRVEYASGTKQNLDAMVKAGLNGMTMPRRFGGLNFPITPYTMCAEIVAAADAGFGNIWSLQDCIETLYEFGNEDQHSRFIPRICAGETMSMDLTEPDAGSDLQSVMLKATFDEANNCWRLNGVKRFITNGDANLHLVLARSEEGTKDGRGLSMFIYDKNEGGVDVRRIENKLGIHGSPTCELVYKNAKAELCGDRKLGLIKYVMALMNGARLGIAAQSVGLSQAAYNEGLAYAKERKQFGKAIIDFPAVYDMLSIMKAKLDAGRALLYQTSRYVDIYKALDDIARERKLTPEERQEQKVYAKLADSFTPLSKGMNSEYANQNAYDCIQIHGGSGFMMEYACQRIYRDARITSIYEGTTQLQTVAAIRYVTNGSYIATLRNYEAIPCSEDMQPLMDRVKEMANKFDACTNAVKEAQNQELLDFVARRLYEMAAVCIMSHLLIQDATKAPELFAKSAHVYVNYAEAEIEKHFNFIRKFKADDLADYRL", "MKELELTSNAALTDAVYETALNGSFLEVKLVAGSVGDDEPRGMQPEYECYHISYPDKKDTILFEQPLDAADDKVTVYDLGKKIKSIEGCCHKTLTKGESRTATARKVVAHGPMWIYVAIAVGMDKAGTESPFMIVQGAGTYGSEDTTENEMIGYANGRIHEMTALLVRRARLEKLHLASIRAGYKYLFVEPGRIGKAKVKEG", "MATLKEFLKADRFATCAGVELLEIKPGYARACMEVTDRHLNGGGVCQGGALFTLADLAFAAVANSRKKLTLSVNANITFLRPAKLGYVYAEAVEVFNHHRIPFVEVKITDGQGELIAVFTSSGYRKESDLPVDALE", "MKIGDKVRFLSEVGGGVVTGFQGKDMVLVEDADGFDIPMPIKECVVIDTDDYNMKRKPVAPAPKQEVAGAKAKGASVKVRPDEEEDERPVTYRPAERKGGDILNVMLAFVPQDLKAISATAFDAYLINDSNFTLYFTYLTAEGSNWRVRSHGMVEPNTKFYIEEFEKSVLNELERVAVQLIAFKDNKSFLFKPAVSVELRIDTVKFYKLHTFRESIFFEEPSLIYDIVKNDVPVKQVFVSADDIKDALLQKKVPEPQVAKQPQQKKVKNDIMEVDLHAHELLDTTAGMSNSEILNYQLDVFRKTLEECKNKKGQKIVFIHGKGDGVLRKAILQELKYKYKNYESQDASFREYGFGATMVIIH", "MEDTKHIKISEFNYPLPDERIAKFPLATRDQSKLLVYRHGEVSEDIFTSLPHYLESGELMIFNNTKVIQARLHFRKETGALIEVFCLEPVQPNDYVLNFQQTRQCSWLCMIGNLKKWKEGTLSRIVNVKGKQIVLSATRGECKGTSHWIDFSWDDDTITFADLLEVAGELPIPPYLNRETQESDKETYQTVYSKIKGSVAAPTAGLHFTERVLAALDERGIDREELTLHVGAGTFKPVKSEEIEGHEMHTEYISVSKHTIEKLIAHNGKAIAVGTTSVRTLESLYYIGVLISHNPDATQDELHVQQWMPYEDKNDLTPVEALQQILDYLNRHEMEALHSSTQIIIAPGYTYKIVKKMVTNFHQPQSTLLLLVSAFVKGNWRRIYDYALGHDFRFLSYGDSSLLIP", "MTITLSTDLPAYPTFTEGIRRAPDRGYRLTPAQTETALRNALRYIPVELHEQLAPELMDELLTRGKIYGYRFRPEGDLKAKPIDEYKGNCIEGKAFQVMIDNNLSFDIALYPYELVTYGETGQVCQNWMQYRLIKQYLEVMTNEQTLVVESGHPLGLFKSHPEAPRVIITNSMMVGMFDNQKDWEIAAQMGVANYGQMTAGGWMYIGPQGIVHGTFNTLLNAGRMKLGVPQDGNLNGHLFVSSGLGGMSGAQPKAAEIAGAVAIIAEVDYSRIETRHRQGWVQHITSDLSEAYRLAADAIDRRIPCSIAYHGNVVNLLEYALHHNIHIELLSDQTSCHAVYEGGYCPAGISFEERTRMLKEDRETFDEMVNETLRRHFHVIKELVARGTYFFDYGNSFMKAIYDAGVKEISRNGTDEKDGFIWPSYVEDIMGPQLFDYGYGPFRWVCLSGKKEDLIKTDRAAMECIPKDRRGQDMDNWIWIRDAEKNNLVVGTQARILYQDALGRMNIALRFNEMVRRGEVGPIMLGRDHHDVSGTDSPFRETSNIKDGSNVMADMAVQCFAGNCARGMSLVALHNGGGVGIGKAINGGFGMVCDGSERVDRILRSSMLWDVMGGVARRSWARNPHAMETSAEFNESHADGYHITLPHIAEDDLINKVLKNKGIN", "MQLFHSSASMDVPYKPNSKEYELDKNYGMRPQLQRGT", "MSWTKIMECVPNFSEGRDLQKIDEIVSPFRAKAGVKLLDYSNDEDHNRLVVTVVGEPDALKEAVIEAIGIAVELIDLNHHQGQHPRMGAVDVVPFIPIKGCTMEDAIAVSKEVGQRVASQYNLPVFLYEKSASAPHRENLAAIRKGEFEGMKEKIHQPEWHPDFGPAERHPTAGTVAIGARMPLVAYNINLNTPSLEIAHDIAKKIRFIGGGLRYCKAMGVELKDRGITQVSMNLTDYSKTAIYRAFEMVRFESKRYGVSIIGSEIVGLVPMEALIDTASYYLGLENFSMQQVLEAQIME", "MTQIASQEDVDKILKAFYLVYNTLGYGFLERVYQNALYFELIEQGFKCEVQKQINVFYKNHKVGEYYADMVINNSIILELKAADALRPEHEFQLINYLKATDIEIGYLLNFGKHPEFKRKIFSHH", "MSNNLIIINAHIVTPQGRTARKGEAMNELLNIPCGTVRVTDGIITYVGENRISHEKPGYKVLDARGNVLLPGFVDSHTHLVFGGFRPDEFIWRLNGDSYMSIMERGGGIINTVRATREASFEELKHKAEWFLDTMSRMGVTTVEGKSGYGLDRDTELKQLSVMQAINECPDRKVDIATTFLGAHALPEEYKGRSDAYIDFLINEMLPMIHQKQLAENCDIFCEKGVFTVEQSRKLLKAAQALGFGAKLHADEIVSFGGAELAGELKALSADHLLQASDEGIKALAQNNVVATLLPLTAFTLKEPYARGRKMIDSGCAVALATDLNPGSCFSGSIPLTFALACIYMKLTVAEAITAITLNGAAALGRADRIGSIEAGKQGDFVLLGTDNPHILPYYTGMNAVKLTIKGGRILHSN", "MLTELTIKEFINKVLSNDPVPGGGSVSALNGALATSLAAMVANLTIGRKKYVEVNEIMEDLSVRFEELTRQLITDVDRDSEAYDRVFSAFKLPKETDEEKAIRSEAIQKETKYAAQVPMEVARTVHSILPMIDVVARKGNSNAVTDACVSMMCARTAILGALLNVRINLTSIKDEKFVKEMSEEADMIEQTTIAEEQKILDYVKTIF", "MKNVYQIGSGDLTFDIIERIINENLKLELAPEAKDRIQKCRNYLDRKIKESDVPLYGITTGFGSLCNRNISSDELSTLQENLVKSHACSVGAEMPHVIVKLMFLLKAHALSLGHSGVQVITVQRIIDFFNNDVMPIVYDRGSLGASGDLAPLANLFLPLIGVGDVYYKGKRCEAISVLDEFGWEPVKLKSKEGLALLNGTQFMSANGVYAILKAFRLSKKADLIAAISLEAFDGRIDPFMDCIQQMRPHKGQIETGAAFRRILEGSEIIAQPKQHVQDPYSFRCIPQVHGATKDAINHVASVLLTEINSVTDNPTIFPDEDLIISGGNFHGQPLALVYDYLAIAMAELGNISERRVAQLIMGLRGLPEFLVANPGLNSGFMIPQYAAASMVSQNKMYCYAASSDSIVSSNGQEDHVSMGANAATKLYKVMDNLEHILAIELMNAAQGLDFRRPLRSSPFIEKFLATYRNEVPFIKEDIVMYKEIHKTVAFLKRHQVNY", "MNYDNKEEMFPIVDEQGNITGAATRGECHNGSKLLHPVVHLHVFNSKGELYLQKRPDWKDIQPGKWDTAVGGHIDLSENVETALKREVKEELGITDFTPELLTSYVFESTREKELVFSHKTTYDGPIIPSEELDGGRFWSLEEIRSNIGKEIFTPNFENEFQKLGF", "MDLPDWFKGFEKGIARLSSEQRAAFFSECSKNCVDGGVLSIYRKLYKDANGDMDVFFQMANELPGVKSEIVEKGRVYHLIACHIYILKSYPNLQSDADHGN", "MKNEVLYLLLNNYADHEAVFLASAIACDERSIKENPKYTNKVVAPTLDVVRSCSGFHTLPDYSFETMPNDYAALVLIGGFGWLDELEADKVVPIVRRAIKKGIIVGAICNAASFLAKHGFLNEIKHTGNGLEQLQLWGGGNYTNKAGYMNEQAVSDKRIVTANGTGYLEFAKELLLLLENDTPEQIEMFYCFNKEGLVKLFSQLP", "MPLTDENRGTNADGSNSEDYCVYCYKKGEFTQDFTMSQMIEFCLQFLDQWNVQTECKLSPVQAKEQMLQHFPYLKRWKEKDERTLMEKATHLLAQCENVTIASIDANGYPRPVQMSKIHAKSFNEVWMVTSVGSMKVNDFKANNKAGLCYDYYGDGVALRGTVEIITDDTIRKDIWQDWFIHHFPDGPSDPNYVLLHFIGTEATFWINGEFSHSNI", "MATDGVKIIDGDLAHDTYEYIMELYDSGASAEIIKK", "MIKNLSTFFVGIALSCLAGCTPIPKETTATKEYAPLEVPVPERPAGQQDVIELTTPKLDTVRVGFIGLGMRGPSAVERWTHIPGTKIVALCDLLPENAEKAQKIVTNAGMEAPALYSGSEDAWKQLCERNDIDLVYIATDWKHHTEMGIYAMEHGKHAAIEVPAAMSLDEIWALINTSEKTRKHCMQLENCVYDFFELTTLNMAQKGLFGEVLHVEGSYIHNLEEFWPYYWNNWRLDYNREFRGDVYATHGLGPACQLLNIHRGDRMKTLVAMDTKAVTGPELVKQYQKEEAPDFQNGDHTMTFIRTENGKTIHIQHDVMNPRPYSRMYQLTGTKGFANKYPIEQYCFRPDQIDSTSIPDHENLSMHSAVPEKVKEALMSQYKHPIHQELEETAKKIGGHGGMDFIMDYRLVYCLRNGLPLDMDVYDLAEWCCMADLTRLSIENGNAPVAVPDFTRGNWNKVDGYHHAFAQ", "MAMIDDILEFNKKFVESKGYEKYITNKYPDKKIAILSCMDTRLTELLPAALGIRNGDVKIIKNAGGVISHPFGSVIRSLMVAIYELGVKEVMVVAHSDCGACHMNSNEMIEHMKARGIKQETIDMIRFCGVDFGAWLDGFEDTEKSVKGTVRAIMEHPLIPEDIIVRGFIIDSVTGELTKV", "MDAFIQKFCEKYSLPIALSLQLLDNMEKFTFHKGDFLVQEGGRNSNFYIVSKGIWRGHYLNDGVDVSVWFASEGEAIFSSWGYVENTVSLVSIEAMCDSELYGISKAKLEVLYAGSVELANFGRRLFEQQFLGLESWMITGGSPRAKERYLTLLEENPELLQYVPLKHIASYLWITPQSLSRIRAELGRRKKDQ", "LHPLNKKVKFMKDSIDFGSMEIPKLFRKLLIPTVLGMVFSAVFVITDGIFVGQGIGSDALAAVNITAPLFLISTGIGLMFGVGASVVASIHLSQGKLKTARINVTQAVVISSLFLAVLWGVVCLFAPQVAVWLGSSERLLPLAVEYMYWFLPFLVFSALLSSGMFFVRLDGSPNYAMLCNAIPAVVNILLDYVFIFILKWGMMGAALATSLGYILGAGMIVVYLSRRRNVIHFCRVKLSKKSMRLTWRNVKYMCHLGVSTFLCEAAIACMMFAGNYVFIHYLGEDGVAAFSIACYFFPIIFMVYNAIGQSAQPILSYNFGAGDTMRVRSAFRLALGTAVTCGLVFFALTALFNHQIVAMFIDRSYPAYDIAVAGLPLFASGFIFFAVNIVSIGYFQSVERARPAMMVTVLRGFVFMVLCLLGLPLLLKVPGIWLAVPLAEILTFLVIMVIYYRKHQWVRR", "MAKDIKFNIDARDELKKGVDELANAVKVTLGPKGRNVIIEKKFGAPHITKDGVTVAKEVELADAFQNTGAQLVKSVASKTGDDAGDGTTTATVLAQSIVGVGLKNVTAGANPMDLKRGIDKAVAKVVESIKSQAEMVGDNYDKIEQVAAVSANNDPTIGKLIADAMRKVSKDGVITIEEAKGTDTTIGVVEGMQFDRGYLSAYFVTDTEKMECVMEHPYILIYDKKISNLKDFLPILEPAVQSGRPLLVIAEDVDSEALTTLVVNRLRSQLKICAVKAPGFGDRRKAMLEDIAVLTGGIVISEEKGLKLEQATLEMLGTCDKVTVSKDNTTIVNGAGAKENIQERINQIKAEIKNTTSDYDKEKLQERLAKLSGGVAVLYVGAASEVEMKEKKDRVDDALCATRAAIEEGIVPGGGVAYIRASEALEGLKGDNEDETTGIEIIKRAIEEPLRQIVANAGKEGAVVVQKVREGKGDFGYNARTDVYENLHAAGVVDPAKVTRVALENAASIAGMFLTTECVIVEKKEDKPEMPMGAPGMGGMGGMM", "MNIKPLADRVLILPAPAEEKTIGGIIIPDTAKEKPLQGEVVAIGNGTKDEEMVLHVGDQVLYGKYSGTELEHDGKKYLIMRQSDVLAVLG", "MKKEKLTVLFCQIGTAITYLSLKWFPLPLPLSVAFTKFIIFTYKDSYINQSATFHSDRTNLNIKREKCDFSFFNISIGHKLSLSLQNLIINPFNYMAFTNNIMIVRHRLLTELVKLWKNGELTTDKIDRLPLELSPRRSKHAGRCCVHKERAVWKYKSLPLLGLDMDDETDELTPLSEYAARAIERANNGKPKDNIMCVIDEACSACVQINYEITDLCRGCTARSCQYNCPKGAVHVHADTGKAWIDHDTCISCGICHKSCPYHAIVYIPVPCEESCPVKAISKDEHGIEHIDENKCIYCGKCMNACPFGAIFEISQTFDVLQRIRKGEQVVAIVAPSILGQFSTTIEQVYGAFRQIGFTDIIEVAQGAMSTVEHEAHELIEKLEEGQKFMTTSCCPSYIELVNKYIPDMKKYVSGTGSPMYYAARIAKEKYPDAKIVFVGPCVAKRKEAQRDEAVDFVMTFEEISSIFDAFEINLEIVQPYAMEFSSVREAHGFAQAGGVMGAVKAFLKMEADKINAIQVSDLNKKNIGTLRAYAKSGKAPGQFIEVMACEGGCITGPSTHSGSNNGKRQLVQELAKQKKTY", "MKRLIDKLRHYHSLTGEEYANLLSCQDTGTLLYLQQQAREVTLAHFGNGIFIRGLIEVSNRCHNNCHYCGIRKGNTAITRYALKRETILECCREGYALGFRTFVMQGGEDPALTDEWIEKTVAAIHCEFPDCAITLSLGEKSREAYERFFRAGANRYLLRHETHNEEHYRKLHPEEMSLKHRLQCLQWLKEIGYQTGTGIMVGTPGQTLTHLVEDLLFIEQFQPQMIGIGPFIPHHDTPFGTEPAGSVGMTLKLLSLFRLMHPSALIPSTTALATLSPDGREKGILAGANVVMPNLSPREQREKYTLYDNKAAFGAEAAEGLRALAQQLETIGYRISTDRGDYH", "MYKADSKIAEEFIDHQEILDTLEYAHNNKSNRALIEQLIDKAAQFKGLSHREAALLLECDQPDLTERIFRLAQEIKHKFYGNRIVMFAPLYLSNYCVNGCVYCPYHLKNKTIARKKLTQEEIRKEVIALQDMGHKRLALEAGEDPLRNPIEYILESIQTIYSIKHKNGAIRRVNVNIAATTVENYRKLKDAGIGTYILFQETYHKENYESLHPTGPKSKYAYHTEAMDRAMEAGIDDVGLGVLFGLNTYRYDFTGLLMHAEHLEATFGVGPHTISVPRICPADDISTQDFPDAISDDIFCKIVAVIRIAVPYTGMIISTRESAATRRKVLNLGISQISGGSRTSVGGYAIPETPEENSAQFDISDTRTLDEVVNWLLDLGHIPSFCTACYRAGRTGDRFMSLVKSGQIANCCAPNALMTLKEYLEDYAAPDTRAKGIQLIKKELEHIPNPKIKEIAIRNLKEIEEGKRDFRF", "MSLNNTPAANRLHIVLFGKRNSGKSSLINALTGQDTALVSDIPGTTTDAVSKAMEIQHIGPCLFIDTPGFDDEGELGEMRITRTLKAIERTDIALLLCEDGNCEDEKQWMEQLNKRNIPVILILNKADIRKDIASTRDCIEKECGQSPLIISAKEQTGIEKILQAILEKLPADFGQQTITGDLVKEGDLVLLVMPQDIQAPKGRLILPQVQTMRELLDKKCLVMSCTTDKIAATLQALSYPPKLIITDSQVFHAVYEQKPAESLLTSFSVLMAGYKGDILYYMEGASAIDRLTPQSRVLIAEACTHAPATEDIGRVKLPRMLRKKIGEELQIDIVAGTDFPEDLTPYHLIIHCGACMFNRKYVLNRIDNARKQQIPMTNYGVAIAHLNGILDKIAY" ]
[ "TGCAACAAAATAATATGTTCCAGAATTCCACTCTATTTCTTTATTGTGATCTGTGAATGATCTGATCTCCTCCTGTTTTCTTGTTCACGTTTTTTCTCTTTTGCGAAATATATGCGTCTTGATGAGGAGATTTCTTTAAAAGACCATTACTACAGCCAGTGCCGGCTGAAGAACAGCAGCTGGCAGGTCTTCTGGCAGGACAGTGCCTCGGAACAGGATGCCATGCCGTGCGTCTTCATACAGTTCATATGTTCCGAAAGGTTAGTAACATGTGTTCCGTAAAGTTAGTAACGTGTGGCCCTATACGTTACTAACATACAGGCCTGCACGTTAGTAACGTGTTCCATTTAGATTGATAACGCGTTATTCCTC", "AAATAAATAATATTCTGGCATTTAGTCAATGCCCCCATAAACTATATAATTTTTTATTGCTATATTTGTCCTCATCAAATCATCAAA", "AAAAAGTTGTACAATCCATTAAATTAGGATGAG", "TATTTAGGGTGTCTGAAAAGATAGCTAGTCAGACCCCCGATTTGATAACTATTCCG", "ATTGCTATATTTGTAAATTAACCGCAAAAGTAGTGATTTTTTAGACGGAGTACTTCATGATAGAGGCTAAAAAAAGAAAAAAGACTGCGCCAGAAAGAGGGCAACAGTCTTTTTCTTTAATGATGAAAAGACAGAT", "TATTCCATTTTTTATTTTAAGTTCTGTCATCCGGTCTCCTATTCATTTCAATCCGGATAACAACTATATTAA", "GTTTGTTAAATTAAAAATTAAGAACTAAAAAATCATATATAGGTAAATAAATATGGAGTATTATCAACTAATTCTCAATTT", "ACTGTTATCTTTATGTTAATGTG", "TAACTATTATTCTTTATTTAGTGACTTATTTAATTT", "TCTTTTTTTCCTGACGCTATTCATTCTTAACATAAAGGGAGACAAAGATACAT", "ATTCATATTATTTTTAAGGTTAA", "TGTTCTTCTGT", "TCTCTATATACCTTATTTATATAAACGAAGTTAATCTGTTTATTTTATACTGA", "AACTAATATTATTGAACTTTACTTATTTGTCGAATTAACAGATGTTTATAGAAAACTTTTTAAACCCAATTAGTTTTTACTGCCGCAAAATTAGTCATTTTTCCGGCATTTGTTCGCTTAGCCCATTAGCTTTAACTATAAATAACGTGCGCATATAGAAGGATATTAATTATGTAAAATATATTCCATATATATTCAATCTGTTTCCAGATCTAAACAAGCAAACCATATTACAACTCATACATGATACGGACATGAATGAAACTATTTTTTCGCTATCTTTGTCCAGCAAAATACGAAAGAATTTAAAAACTGTATTTGCTTGATACACAAAATTTAATTTTATAGATAGATATTTCTGGTAACAATTTGACAACCTTTAAAGCGCTTTGCTCTACTGCGGTTTACTTCATAGCTCCAAACAAATCCAAATCCATACAAAGTTTTATAAATAATCACTTCTGACTTGCCGTACGCAAACCAAATTCAAAAAAGACACAAACAAAGAATAACCCACAAAGTAGCAAGTGAACCTCTATTGTCGTGGATTATTCTTTTTATTATCTGTATTAAACAGTAAAGATTGTCTAAACACTTCCGTTCTTGTTTTCTTTGGTAACTCAAAACGTCACTATAAGATACGCTACGAAAATATGCATCTGTACTTGTGACAATTTTCGATTGTAGTTATTCTTTCTCCTTTCAAGCTGATCTGTTTACTATTACCGCACTGGGCAACGGCTAACAAGTCCGTTTTCCACAATATTCAGTCAGAGAATACCTGCCCGTAATCCACACATAATAATAACAATTACAGAACTTCTATCACAATCATAGAAAAGCGATGCTTTCTACTTATTGTTGTGCCGCCCTTCATGTTTCTCCCATGACCGTTGCCCATTCTATATTCCTCCCTTCTTCCTCTCCATGTTTTCCTGCCACCTTCAGAACAACA", "TACTTT", "GGTAAATATCGTTTTATGGAAACCATCCGTTCATATTCCATATTAGATCAATAGTAGAATAATGAACGGATGATATTTTATAATAAATATTGATTTATTAAAA", "ATGTATCTTGTG", "AAATTCGTACGTTTGTTTTAAATAGATTATTTGGTGAACAAAATGGTTAAGTTGTTCATGGTAACTCGCAATTATATGAACAACTGTTTAAGACAAACATGGAGGAGTTGTTTTTACCTAACAACTCCTTTTTTTAAGTAGGAGGTTTCATAGGCATTTATTCATAAGGTTAATATTTTAGTGTCTTTATAAAGAATACACGCAACTATTTCTATTATGCGAACACGTTAACACATT", "ATGCATTGATTAGTATATGTAGTACACTTAACCCATCGAAGGCTAGGAGTACATTAACATTATT", "AATCCGTAAACAGATTTTGTAGTAGTTAATAATTGAAAAGTTGCACAAAGATATGTCAAGTTAAGAAATGCACAAAATATTTAGTATTACAAATCAATTAAACCTATTAGACATTTTTTTCACTTTATACAACTTATCTAAGAAAATTATTACTCTCTTGGGATTTTTAGTTACAAAATGACTTCGGGTAAAACTGTCCTACTATATGTAAACCACTGCAGATTACGGTGATCAAACTTTCTGCAAAACTTTTTAGAGTTTATCGAAAATGTTTGTATGAGTGTCGTTTTCACTTCGTTCCACCATCTTTTCCCGCGCCGTTACCACAATTCAAATCCGATAACAGAATAGTAACACACCTCCAGTTCAGCTTGGCTTTCAAACGATTTCAACTAGCACTTATCATAAGTGACAAGACTACCTAAAACATCAATTCTTAATCATTCTTCTACGATTTGCTCAATATCTCACTTTTTCACTATCTTTGTATTAGAAAATACGAAAGAATGAAATCTGATTTTCACATTGGTCAAAATCAACACTTAAAAAATCATCAATTCGACATCATTTTACAGTATTTAGGCTTCAAATTATAATTTTATTTATATTTTTGCCACCAATTTAAAACGATATCTAATTATAAGGAAAAAGATT", "ATAACTTTCACCACAGATTGCATAGATTTACACAGATTATTAATCATGCTTGTTAGTACCAGCAAATTCTATCTGTGTAAATCAAGGCTATTTGTGGTGAAATATATTCAATTCATTAAAACAATACTATGAGAAGAAAACCGCTTTCCCTCCCACAAATCGTAACCTTAGCCCTTTTATGGATTGCCATTTGCTATATTATTCTAACAGGGAGCGAACATATTGACGGGCCTTTAATACTATCCATTATCATTTCGGGAGCTTTAGTCTTTATTCCACTATTAAAATATCTCAAGGAAAGAGGGAAATAATCTTTTATCCGCTTTTTAGCTTACATTTTGTACATATTGATATTTTTACACGCATTTTCTTTATAATTTGTTGCATATTTCAATTCTTATCTATATTTTTGCACCATCAAAAGAAATAAGAAGAATTAATTAACAATTATAAAAAGAAGTAGATT", "TAAAAACCATTCCTTTATTATTAAGTATATTTGCAGTTCAAGGTGCGGGAAAGACAATTTTCCGCACCTTTCTATTTTGAATGCAAACACTTTCACGGAAAATCAGTACCTTTGCAATCTGTTATGTATAAGAACAACTATTAAATTACAAATTC", "AAACAGAT", "TCCAAAAGTTTTCCACCACTGACTATTTGTTGTTCTACTCCCCC", "ATTAGTTTTTTCTCTAGAAAGTTGGGGACGTTTATACCCTCATGCTCTCACTACATGTATAATATGTTAATTATAAATGACTTATACATAAATGCTAATAATATATGCTCTCACTTATACTCTCATGCCCTCATTACGTTCCGAAACAGATATTCTCGTAATCTACTTGTTTTCAGCTATATATTCTTTTTCATAAGTTGTTTCGGCTTAATACAAAGTAGATATTTTCAGGATTTTTCCTCGCAATAATACGTATAAAGGCTTTGTACTTCCACAGAATGACAGCTTACAAAGTCCCAATAGTAGTAAAAAAGATCAAGACGTTTTTAAAAAAGCTCTTTGTCTTTTTTTAAAAGCTCTTGATCTTTTTAAAAAACGTCCTTATCTTTGATGTAAAATTTTATTTGAAACATATAAGATTTCACATACGTCTATATAATTCTATGCAGATATACGTACAAAAATCCATTTTCTGAT", "AAGTAACTATGAAAAAAGGCGAAGAGAGGTACCTCTCTTCGCCTTACTACTATACAAATAATTTCAGCTTTCCTTCT", "TGTTTAGTTAATTTAATTTATACTCGAAAAATCGTGCAAATATAATAAAAAGTTAAGCGTATAACTATTTTTATCGAAATTTAGGACACATTTTACGTAATTTAGAACA", "GGTTATTTGATTTACATGGATAATGTAATAGCAAAATACGTCAATAATATTGTTTTATGCAACTTATTCGCAATAAAAAAGAACTTTTGGGTAGAGAGGTCTTACCACAACGATTAACTTTTTATCACCACCAAACTAAAATTTAGCCAAAAATATTTTGCCAATTCACCAAAAAACATACCTTTGCATCAGAAATGATTTTCATTTTGTCAAAACCTTAAATGCATAATAGTT", "AGGCATTCTATTAGCATAAAAATATCTCGTTTAGTTGTATTTGTATTTTGTATTGTAGCTTGCGCTGCCCGCCTGTGAAGGTATGGCAGCGTTTTTTTATGGTATATCCAATCTTTTTTATACATTTGTAGCTTCAAGTAAACGTATGTAAAAATTAAGTT", "AGCAATTTTCATTAATACATTATTCAG", "GTGAACTTACTTTTTCAGGGATTCTGTACAAATTAAAAGCCGCTTTCTCATTTCACTGAAAAAACGGCTTTTTATATAAAATTATAGCTTGTTCTTA", "AATCTCTATATTTTGTTTAAAGTTGATAATAAAATCGCCTTCTTAAAAGCACCGCAAAGTTACAATGATATTCCGAATTAAAGAAAAATTTCCATAATATTTTTCGAGCAGTCTACATAAATTATTGTACTTTTGTCCAAAATTCAATGTAACACT", "CAAGGAACATTCTCCCCTCCAATATATTTAATAAAAAAACAGCCGGTTGGAAACGAACCGGCTGTTTTTTTCTCCACCAAGCAAAAGCAGTAAACATACAGATTGCAGAATTATAAAAATATTTTAAAATAACATCCAGAATATGGCTTTCTTGAAGATTTGTCTTATTTTTGTTGCATAAACAACTTAAAAACAACAAAACAAGAACACTATA", "AAATTAAAGATTAAAAACGAAAAGAT", "CAAACA", "AACGGCAAACAGGT", "ATTTGTTTATAAATTAAGAACTAAATGAATTATTT", "ACTTTACTTCATCTGTCATTTTCATGCCAAAGTGAAGGGTCCGCTTCAATCTATCACAGCCGGATTCTTCACTTTGTTTTTTTATCTGCCCACAATCTGTATTCACACTAATTAACTCCCATTCCTTTTGCTTATTACGATTTTTTCGTAGATTTGCGAAAACATCGTAATCAATTCATTTTCTT", "TCCTGCTAAATTCACAAATACT", "AGAGCATAAAAACAGAAAAGAGGCTGTTTCGAACAGTCTCTTTTCATCTTAAAAATATATTCCTAAA", "TCTGTTA", "GAAAATTAGTCTATCATTAATTTGTTTCCACAGGCAAAGGTAGGAATATCCTGTGAAAGAGAAAAAAAATAGACCCGCAATTCACTTGCAGGTCTATTTTTCTTATCTTTCTATTTTCTATA", "AAGAATCTATTTTTCTA", "AGTGTATCTTAATTATGTTATTATTTACGAATGAGAGCAAAATTACGTTTTATATTTGCAAATTCCAATCAAAACAGCTTTTTTATTTATAGCTTTTATAATTTGCCAATATGTCAATGTGCTAAGGTACAAATATGCCATGCAGAATATAGCGCAGCCAATTGGCACATTAGCATATTG", "ACTTCGTT", "TAATTCTATTCCGTTTATTTTTTTTAATGCAAAGATAAACAAACTTTTCCCTCACACTCATTTTTTGTGTACCTTTGCAGCCGAATTCAGGTTAAACAGGTAAAAAGACAGAAAATAAAGT", "GAATTAAAAATTAGTTTCCTTATATTTGCCCACATAATCAATAGACAACGACT", "CTACTTTAAAAATGGTATACAGACAATTCAAAGGGTTACCCCAGCTATAATGAAGGGACATGCCTGATTTTTTATTCCGTATTTAAAATAATTCAGGCACATCCCTTTATATTATACCATGGCAGGATAAATCAGAAAACATCAATCTTCAAACTTTTCCTATAAAATCAACCAATTCATTCACTTACGCAACATATCCCATAAGATTCCTATCGCCAATAATATAGAA", "AAATAAACAATTGTCTATATCGCCCTCCTATAAAAAAAGGTAATAAGCTAGTTATTAACTTATTACCTTTTTCCATTTGTCCACATGATATCTGCACGTTTTTAAGATTTATCCTCTAACTTTGCCCCAAACAAAACAATTAAAACAAACATAAAGTC", "CAGACCTGTTTTCATAACCCAACTAAAGTATACTTAAACCACTAACTTGATTCATTAGAAATTGGAACATGAAAGTTCCAATTTCTAATGAATATAATTTGTCCAAAAAGTTCATTAAACAAATCCATATTAACGCAATAATAT", "AGTAATTCAGCGTTCATACCTACTTCCACGTCCAAATGAACGTTAATCGCTCCAATGAATTTCTTTTTTTAAGTGATTGCGGCCGCTCCATGAGTTTTCTCTTATAAAACAAACCGGGTT", "AATGTGAAAAGTAGGCAC", "ATATTCTATACTTTTTAATTATTCGTGCCTATTTCGTCATTTTTACACAAATATATAATCATTATTATTTATCAAAACAACATTTATGCAAGCGAGAGAGATTATTTTCATCCACAATACTCCCATGCACTATTTGTTCCATGTCCTATATCTAATAAATGCATAATTATTATTAACAACATGAATTCCATAATTCATTCTTT", "AATATTTCTTTATTATAATTCAATATTAGGGGAAATTTCATTTTCAGCAAACTCCCCCTAATATTAGATTGTTTACAAACATCAAGATCAGCCACATTACCG", "AAACTTTAAGTATTTATTAATAAAGGCCTACTCTATTAAAGCTTTTCGGCATTTGCTCCTTTAGCTAGTAAAATCCAAACT", "AAACTTTAAGTATTTATTAATAAGGCCTACTCTATTAAGCTTTTCGGCATCCGCTCCTTTTATCAGTAAGGTCTGGGCTATAATTATTCAGTGATATAATTATATTTAATTTGATATTGCAAGTATAATCCTACATAGTCCGACCATTCCGGACTGAATAAAAAAAAAAGAATATTTTTAACTTTTTTTGCATTAAAACAAAAAAGCTTACTATTCATCCCAAAGAAGCGTATTCTGTTTGCATTCAATAGGATCAAAACATTTCCAACAAAAAGCTACTGAAATTTAATAGAAAATTTTAGGAAATAAAAAGAGAAGACAGACTACACCTGTAACATATTTATAAGAATTCAACATCTTTCCTTTCACATCTCATGTATAATACCTACATTTACTACCATTATATATAAAAGACATAATAACT", "TGGAACAGACTGTCCATAAGAGAAACTATTCCAAAATCATAAATAACTAATAATCAACATAATATTTTTCTCAAGCAGTCCATATATAAGGAAATCAAGTCCACATCTATTTTAAACTAAAACCTTAACTTTATCATCGTTAAAACAACTAAATCAAATAAT", "CTCTTAAAAGAAAAAATATA", "GTTAACCACAGTCCATACTCACCACAGATTACACGAATTAACGCACGCTTAATCCCAGCAAGCTGATTCTTATGCTTTGCACGATTCCGCTGTGTGTAACTTGTGGTAAACTAACAAATCGGCTGACACGCAAAGTAAAACAGAAGAAACGATT", "GAAATCAGAAAATTGAGAATTAAAAATTGAGAAATAATAGCAAGAAGGT", "ACCGATTCATAACCTTTATAAAAAACACATGAT", "TACATTACTTCGCAACTGAGCATAATATGGACGAGAGTATAATAGTTGCGCATCTGAATATAGTTGTATTGTTCCCATATTTGAACTAGTGCAAGGTAGGAGAATAGTGGAATAGCCAACTGAAGAAATTGGTAATACTCCTTTTGTTTCATTTGATTTTATATTAAAAGCTCCGGTTTGATCACTGATAGTACCTTGTAAAAAAGTAAAATCAGGCAAAGAAAACAACACTATATTGGCAAACTCTAGCGGCTGTTTTTTTCATCCACTATTATTCCACTAATCATCTGTGCATGTATGCAAAGAGAAACCAGTAAGAGGCAGAAAGTTATATATAATTTTTTCATAACAATATCAATTATTTATCGGTTAAACCGGAACGCAAATGACACAAATCTAACCTCCGGCAGGTTTATATCCATGCTGTACTGTTATTAATTTGTATCATTTGTGTTCCAATTTTAATTTATAGAACGAAATAAATCCGCGTTCCATCCATCAATTCCCATTTATCATCCGGTGGTATGATGGCGGATAATCATTATGTATCATGCATCGCCGCATCTTACATATCTTTTACAAACAGACCTGTTAAAACCGGATGTGTCAAAACTAAA", "ACCACAAAATATTTGTTTATAGAATGGGATGACGGATGGAACACGGATTTTTTTACTTTACCTGTCATTGGGTTTGCAAGTACAAATTTAAATTTTAAGTCCGTTTTTGTCCGCTTCGTCCGTGTAGTTCGCATTCCATCCCCCCGTTTTATAAATTTCCATTTATCATCCGGTGGTATGATTGCGGATAATCATTTCAAATAAGATAAAATATGTTATTTCATATTCT", "ATTATGCTTAGATTTGTTCCAAATATAGTACCTTTGTCGATAATAAACAAAAAAACT", "GATTAAAAAATCTTTCCGAACTTTGCACGCACTAATATCAAAAACAAT", "AGAATACATCTTATAATATTTAAAAAAGTCCCCGGATAAGAAAGGCGTTCTTATCCGGGGACTTTTTTATCTTAATATTTCCAACACCAGATTTCAGCTATAATAAAACAATAAAATAGAATTTGATATAGATATGTTCAACAACATAGCATATTCACTTTTATCTTCGATTACTTTCGTATATTTTTGCACCTACAAGATAATTATAACACAAACAGTGCACTAAAAATAAAATAAAGAAATCATTTTAATATTTATAAATATAAAATCATAAAATAGCAAGAATAAAGAAATAAAACAAAAAACACAAACAAATCTCCAAAGTATTAAAAGGCAGAAACATGAAAAAAGAAAGAAAACAAAAGATTAATCTAACATAATAAGTTTACGATTGAAACCAAACTGATTCAACAACTTGATATAACAATCAACCTTTAAAAACAAATAGTGAATTAATAAAGAAGCAAAA", "AAACTTATACAG", "CCGGTATGACAAATATAAAAAATAAAAAGACAGCTTGGATAGAATTCACTATCCAAGCTGCTTTTTTAAGAGAGATACTTCACTCACTGAACCATCCCCCTTCTGACCTTAAATTATTCACAAAAGGGCTACCGCAAATAAGTAAACAATAATTATCAGCCCTCTTTCTTTTTGAACGAACCTATG", "CATAAATATATCTTTTAATAAATTCGGAGTATCCGCATACTGATTTTTACTTCCACTA", "AAACGGTTCTTCCAAAATTATACAAACAAGA", "AATCTTCGTATTAAATTTAA", "TTTTTGCAAAGGTTTTACTTCATAGGCATCCGTTGTTTTTCAAAGTTTCACATACTG", "TTACTTTCTTTATTGTCTTTCATTATTAAGACAATTAACCTAGAAGGAACTACGGGGTTAAAAAGAAAAAAAAATGCTTTTTTTGCATTTTATTTTTTACAGACTCCAGAAAAAGCCTGCATGAAGGTA", "CTCCACATCCAATCCAAAGTTAACAGATACAAAGCTAAT", "ATTTATTCTTTTAATCAGCAAGAGGGTGCGTCAAAAAAATGATTCAAGCTACCATTTTTTGACACCCCCTCCTACAAGTTTTATACTTTATTGTTTTTATACTTTTTACCAATA", "GATATAATACTATGTTTTTATAAATTGCCGCAAAATTAATGAATTCCCCTCACTCAGCCCAACAATTTGAAAACTATTATTTCATGATTCCTATTTATTTTGCAATATCCACTTTGCAATAAGAACATCTTTTGTTTTCGTCACTTAACAAAATGACAGAAACCTCTCTTTCTCCTTTATGAAAATAGCTAAAAAAAGGATTCTATTTAAAAGGTTTTAGTATATTTGCCCGTACTATTGAAAAAGTATGTGATATAATTCTTAAATATTAATACCGAATAAACT", "GGAAGAACA", "GCTTTTTCACCTGAATAGTTTATCATTTTAAACAATAGTAAAGAATCTGTTTACACTTATTTCGTGTTTACAGGTTCTTTACTTTATTCAAGACAATGGATTATAACGATAGACCAAGGATAAATTAAATCCATTTCTTCTAAATTACCAAAAAACATCAACAAAATTGATATTAGACAACCCTAGTAATACAAAAAGAAAACCAGAAAAGCTATCGGTCTTGTAAAACAAAGGTTATGTCAAGCAAAAAGGTGGTACAAAACTTTCAAGAAAAATTCTGCCCACCTCCTTTAAGAGAAAATTTATGTGTTAGAGAGAATTA", "AATTCTTTTATTT", "GGTACGAATAAAACGGGTAAGGTTACTATATGAACTTAGGTCATGTTAATAATTGAATTATAGGGAATTAATAAGACTCAAAACATATTGTAACACTTTTACATACCTCACATATTCTCAGACAAAATGAAGTTCCTTGGGGAGAGTTACAATTTACCTTTGTCTACAATCAGCAAGGGCCACGCCGAAATTCGACGAAGCCCATTCCTAAAATACTCCATTTACCCATCCTTACTCCCCAAAGACAAGTACAATAT", "ATATGATTTTGTTAAGGGTAATATATCTATTATTCCGGTAAATTTATGAACTTAACTTCGTAAGCCAAGCAACTTATGTTCGTGAGTCAAGTTACTTAACTTACTTGCCCCATGAACTTAAGTTCATTCAAATGATTATCTTGT", "TACTATTTTTCTCATTTTAAATATTATGATTTTATTCTTTTTACAAGATGGCCTGTCCTGCTTAAAAGTTATTGTTTTACTGTTTAGACGCTAGAAGCTGAAAAAAAGTTGCACTTCCCTAAACTTTTTGCTTAAAAAAAGGTTATATTTGCAGAAAACCTGTTTCAATA", "TAGTGTACATTCTCACATATTAACACGGATTATTCATTTTGACAATCAATAAATTTGATGTAATCCATGTTAATATGTGATGATTCCTGTACCTCTCCGCTAGTGAGATTATAAATAA", "ATTTCCATGTGTTTGTCGCAAAGATACACAAATTCACCACAGATAACGCAAATCCATACAGTTTTTAAATCGAGTTGTCCGTATAAATCTGACCAATCTGTGGTGAAACCAAACAA", "AAGG", "ATCTTGGGTTAGGTTTTTATTATTTCTATAGTTCAACAGCTTTTCCGTCGCAGC", "AAGTTTATCACATTAAAAAACATTAGGAAAACACATGAAACAACGTTTTGTTTAACCCTTTGACTTTCAAAAACAAGACAGCCTCTCCTTCTGAGAAGAAAAGGCTGCACCATACAATATGAAAAAAGAAG", "AATTCCTTTTTCATTTATTTTAAATCATAACAAACAAAACGCTATAAATATCCATTAATTGTGCCGAAAGTTACAAAAAAACAGCAAAACCATTGCGCAAACAGCATAACTTTGTGCATATTTTCTCCTCATATCCTTTTGTTGCTCAAATTGTTTAAGTAAATTTGTCGCCAGAATATTACTAATACCAAATATATTAAAGTAT", "CGATT", "TCAGTAGTAGTCATAAGTAGTCAAAGTAGAAAAAA", "CTACCCTTAGCTACTATTAACCACTCTAACTACTAAAAATT", "ACTTCATTCCATTCTATAAAACGACGTTCTATTCTTCACGGAGTAGAACGTCGTTTATAGTATAAGATTTACTTTTAAACACATACTGATGCAAAATCAAAAACGCCCGTTTCACAACGAGCGTTTTCCTTATAAACAAAGCCTCAGCCGACCTTATTTACTAACAAACTTATTATTAACGTATTTATAAACTGCCTCTTCATATCCTTCCTACAACTATATCAATCCCTCTATCCAGACAAACTAATAATCCGTCTACCTTTCTACTACCCGATCTTGATTCTCAAACTTTTTCTTTTTCCAAAAGTAAAATTAAAGCTTTATCATCATTGGAACAAGAGTTATCGACCAATCTCCTTATTTCATCTATTAACACCCGTTTTTGTTCCTTATCACACTATTTCGAACTTTTCTTTCCACCTACTGTTATGAGAGAAACTTATTAAAAACCTTGACTT", "GCCCCCTTGTCTTCACTGATAACCATCATTTTAAAAGCCTGTTGCCTTCTTTCAACAACAGGCTTTTATCTATTATAAA", "ATCACAATTTATATGAATAAGAAAGTC", "ACTCTTTTCTTTTTTAGTTAGCGCAAATATCGGTACTTTTGCGCAGAAAAAGAAATAGATTCAG", "GACTTCATCACGGATATAAAGCCTTTTTTCCGTATCACCAAACGTTTTCTACTATATGGCTAAGGCTTTTCTTCCTGCTTGTTTTATCTCCGCAAGCCTTTGGAGTTATCTCCCAAGGCTTGGGAGTTAACTCCAAAGCTTATGGAGATAACTCCAAAGGCTATGGAGATAGAATACATCCGTATAAAAAGGCTTAATTTGGAGAAAGAAAAAACTTATGCAATAGGCTGTGATATGATAGACATTCATTTATTCCGCCGGTAGGTTCTTACTTAAAAAAATCCAAAGAAAGATATAAACGGGAAAATAAGAACCAGCTTCCACTAAATTTCTACCGCCCCCTTTATTTACTATACACAAGAACAGGCAACCTACTTTGTCATTATGGCAAGCTTTTTATATCCGTGTGCGTTTACCTTTACATCAAGTCTATAGATAAAAAACAACTTTATGTCACTAAACATATCTCCAAACCCATAGTATTCCAATCATTTTGCTATCTTTGTGACAAAACACTTACATACT", "CCAAAAACCGGAACACCATGG", "TATAGAATAGAACGCAAATGACACAA", "TATTTGTGTCATTTGCGTCATTTGCGTTCCATTAAAACTAACAACT", "CCAATTAAATACTAATAGCC", "TCCCAACCTCCGCGGTTAAAAAAAGAATCAGACC", "ACGGTATTTCGGACTCGGATTATAAAGGTCTCACATCCCTTTTTACTATTAAAGACTATAAGATCCATATAATCCGAGCCCGAATTCATATCTTTGCAGTAACAAAAAAATAGCGAAG", "AATAGTTTTTTAATGAAAAGTGGCAAATTCTTGATGCAGGTCAAGAATTTGCCACTTTTGCATACATTTTATCTTTATCAGAGTACAATCTAGAACAAAACAGCATAATTTTGTGTTGTAAAACATAAAAAGAAAGGATAACGAGATTATGAAAAAGGTAAAAAGTATTTTTAAGAAAGCCCTTGCTATGATGGGTGAAAACGAAGTAAAAGCTTGGGGTATAGGGATTAAGTAAGAAAACAACCCTATTTTTTAATCAAAGAAAGGATAACATTATGAAGAAGTTGAAGGAGATCATCAAAGCAGGTCTCAAAGATATGGGAAATGACGCCCTCCGTGCTTGGGGGTACGATACAAAGTAAAAGATACAAAGGATTAGTTTTTAACGTTTTAAAAAAAGGTAACAGGATGAAAAGAGTAAAAAGTTTTTTCAAAGGATATATGAGGGCTATTGAAATTAATGCCGCATTAATGTATGGTTATAGACCTAAATAAAAACCGTACTTTTTGATTGAGGGAATTGAATTACCATTATAATAAAAAAGCAGTCTTGAAAAGTCAAGACTGCTTTTTTATTATAAATACAGTATAAGTGAAATCAATTTATCCGACAAGCAATACACTAATATTAAAAATATATTGTATTTCTGCAAAAAGAATATACCTCTTTGAAATCAAAGAGGTAGAAAATTCACCTCATTTTAGGATAATGAGGTGGCAACCGTC", "ACAA", "ATTTATTTTCTTCTGATGGTTTGACATTTACGTTTTGTCATCTCTTCGGCAAAGGCTTGTTCACCTTGTTCCTTAATATAGCTAATGCAAGCGGAACGGTCAGAATTAAACAGAAATGCAAATACTTTGCTTATCCAATTGGAAAACAACTTGCATTCCCTGACATCATGGGAGCATTCAGCACAAGTATTAAACTTGTTTTCTTGGCAACATGAGCGGATTTTACACCAAGTTGCCTTTTTATTTGGTTTACATCCGGGACATTTCTCTGCCAAGAACTTACGGCAAGCTCCACAATAAAGACCGCAAGCGGCTATGTTTTTATTATCAGGTACAATCGTTCTCATAAATA", "ACCACAACTTTGACAAAACTGTTGTTCCATATATGTATTCTATTCGATGCCTATCAGTCCCTTTATAGGCGGTTATAAAATGCGAGAGCGTGGAACTGCAATGCTACCGCGTCTAAATTGGAGGTCGTAGACAACCTTTGGCACAGATATGATAATAACAGCCCACGCTATAGCGTGAGAACCACTATACTATTTCTTGTACCAAGTCCGAGATTTCCTACGTTTCCAACCTACAAGATGAACATAACGCTTCTTTTTTCTCGTATGTCTTGGAAATAATTGTCTTTATCCGACTACAAAAATCGGATATTTTTCTGATAATCTTTTATCTTCTAATGCTTTTATTTACTTTTAGAATAGAATTTAGGGTGCATCCTATATTTTCAGTCCTTTATCCTGTTTAGTAGATGCAGTCTCCAAACCGTTTCTCCATTCATTCGCTTTCTACCTAAACCATTGCACAAGTGGAACATCGTTCACACCTATTTGAAAATACCCTTTCCGAGAATCTCAATGTGTTCCGGCAGAAGTCAATCAACGGCAATAGCTTTTCCACATAAGGGAAGTTCCATAAATTTTGTTATGTTTTTCAAGATAATCAAGGAAAGCAAAGTAAGTTGATATTAGTTTCACACGGAAAACAACGTCTGTATCCCAAAGAATTAGTACCTTTAGAACGAGATAACTCTTCGCACTTGCAATATACTTTTTACGTATTGCGTATTTTAAATAATCCATTGCGTGTATTTATCGGCAAGCGGGATAGTAAAAACATAAAATTGAA", "ATTCCATTCATAAAAGAAGATTATGGAGATGAAACGGATTTTTATCACGAAATTTTTGTTACAGCTTACGCCTTGGCATTTTGGGAAATCGGAGAACTGACTGATGAAATCTTAAAATGAAGTAAAACGAGTTATTGAACTTAAAGCAGGTGTAAATCTTTGGACAAAAGATGTAGACGAAAAGGAAGGCAAAGAAAGACAAAAAGTCCTTGACCGATTTTTAAAAATAATATCTGCACCAAACTCAAAAGTTAGAAATAGAAATAATCAATTTTAGTTGCTGCAATCTGCCGATTTTATGAGGTTTTTCCAACGATATTCCCTATTTTTGCAACAATCTACTATTAACCTATAAATTTCAATTAAACAGAACC", "AAAACTGAAACAAATACAGACTTCAATAAAAAGAGAGGTATATTCTGCATCAGGCAGAAATACCTCCCTTTCTTATAATACACAAAATCACATGAACATTATTGTGTATAAAAAACTCACATT", "AAGTTTTTTTAGGATTCACTACAAACTTACACAAAAATAAAATACGATGACACTTTTAAGATAAATATTTATCGGATGCCAACTTTTCTACCATCCATCAAGGCAAAGATGAACCATACAAGAAAAAGCAAAGAGAACAATGCGTTTCCATACGAAAGAACCAACCCCGTAAATGCTAAAATTG", "ATATCCACCCGATTAATTACTACAAAAGTAAAAAA", "AGATAGAGCGTTATTTCAGAGTAAAAATTACCATTTGGTAATTTCCAACTATTTTTTCCTATGAAAAAATTTGTTCTTTTAAAAGATATTCTTACCTTTGCACCCGCTAAACAAAAAGCAATGCCTCTTTAGCTCAGTTGGCCAGAGCACGTGATTTGTAATCTCGGGGTCGTTGGTTCGAATCCGACAAGAGGCTCACTAAAAAAGGAAGTGTTTCTGAACGTTCAGAAACACTTCCTTTTTTATAGGACAGTTCAATTTGAAATAAACCCCACTAATCTTTTAAGAGTGATCCACAGTTTCCTCACATAACATAATTTCTCGGATGAGCCGAAATTTAGAGAGTAAATAAAACTTGAGAAGATAATCCACTATGGTCTATAAAAAACTCCCTGTCAACATTTCGCCAACAGGGAGTCCGTTAATCATTTATGGGGCAAAGA", "AATTCTATTTACAATTTAATGATTTACAATGTACTATTTAA", "AGTTCTTAATTTTTATATGTTATACTTTTAATTATATCAATTATCCGTCATCTTTAAGCAGTGACGCAAAACATCTTGCGAAAAACGTGCCAAAC", "AGGTATGC", "CCCCTAACAAAC", "CCGCTCATGCGTCAAGCAACCTTTTCAATACAGATAATGAACCTAATTCAAGCCCAATAAAAT", "AAAGACATCTGTTCTTTTTTGTTTCCATCACCACAAACCATTTATCTTCAACGGTTTGTGGTGTAAAAGGTTCCGTAATTCCCCTTAACCGGTATGTATGTGTCTTTTTACCATTGTTTCTGAAGGCTCATAGATTTTCCTTCTTGATGTGTCCTGTATCTATTTACTTCAG" ]
[ true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, true, false, false, true, true, true, true, true, false, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, false, false, false, false, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, false, false, false, false, false, false, false, false, true, true, false, false, false, false, true, false, false, false, false, false, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, false, false, false, true, true, false, false, false, false, false, true, true, true, true ]
[ 1, 3, 4, 6, 8, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 73, 75, 77, 78 ]
[ 0, 2, 5, 7, 10, 12, 14, 16, 18, 20, 22, 24, 26, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 74, 76, 79 ]
[ "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122571|-|1564:1854", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122572|-|1864:2886", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122573|-|2883:3548", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122574|-|3558:4442", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122575|-|4462:6177", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122576|-|6174:6854", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122577|-|6856:7716", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122578|-|7727:9952", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122579|-|10055:10228", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122580|-|10354:11718", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122581|-|11877:12695", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122582|-|12733:13749", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122583|-|13762:15186", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122584|-|15220:16716", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122585|-|16742:17680", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122586|-|17670:18515", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122587|-|18857:19612", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122588|-|19624:20238", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122589|-|20360:21964", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122590|-|22129:26454", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122591|-|26665:27345", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122592|+|27557:28459", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122593|-|28564:29475", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122594|-|29610:31952", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122595|-|31964:36613", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122596|+|37324:38169", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122597|+|38182:39426", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122598|+|39520:40830", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122599|+|40844:43411", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122600|+|43513:44895", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122601|+|45180:45485", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122602|-|45550:46221", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122603|-|46223:46969", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122604|-|47000:47599", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122606|+|48038:49207", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122607|+|49266:49496", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122608|+|49543:49965", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122609|-|50022:51791", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122610|-|51788:55330", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122611|-|55433:55969", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122612|-|55973:56371", "2510065017|RJ2H1_RJ2H1-contig-006.6|CDS|2510122613|-|56368:56610" ]
[ "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000001|+|224:1563", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000002|+|1855:1863", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000003|+|3549:3557", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000004|+|4443:4461", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000005|+|6855:6855", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000006|+|7717:7726", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000007|+|9953:10054", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000008|+|10229:10353", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000009|+|11719:11876", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000010|+|12696:12732", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000011|+|13750:13761", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000012|+|15187:15219", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000013|+|16717:16741", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000014|+|18516:18856", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000015|+|19613:19623", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000016|+|20239:20359", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000017|+|21965:22128", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000018|+|26455:26664", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000019|+|27346:27556", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000020|+|28460:28563", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000021|+|29476:29609", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000022|+|31953:31963", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000023|+|36614:37323", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000024|+|38170:38181", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000025|+|39427:39519", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000026|+|40831:40843", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000027|+|43412:43512", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000028|+|44896:45179", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000029|+|45486:45549", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000030|+|46222:46222", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000031|+|46970:46999", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000032|+|47600:48037", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000033|+|49208:49265", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000034|+|49497:49542", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000035|+|49966:50021", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000036|+|55331:55432", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000037|+|55970:55972", "2510065017|RJ2H1_RJ2H1-contig-006.6|IG|IG_000038|+|56611:56712" ]
[ "MYILVTYDVDTTSKEGARRLRCVAKACLDYGQRVQNSVFECVVTEAQYSLLKGRVRDIIDMSLDSVRFYILSKNENKRVEVIGVETAYKLEEALII", "MRKLLNTLYVTTPEAYLSKDGLNVVISVQQEEVFRIPVINIEGIVTFGYMGASPGVMKLCSDNGISLTFLSPQGRFISRVQGATKGNVLLRKKQYQLSDDASWSLHVVRLMIGGKIQNYRNILRRYIRDYGENEDINRAVQVLERAKRDALKAQDKTELIGYEGMASNAYFEVLPILILNQKTDFPFHGRNRRPPKDAVNAMLSFAYTLIANDVAAALETVGLDPYVGFLHTLRPGRTSLALDMMEELRAYLGDRFILSLINKRQISVKDFLFQGDNGVVMTDKGKKTFITAWQARKREVIIHPYLNEKVEIGLLPYVQAMLMARYIRQDIDDYPVFLIK", "MYNEDDMLMLSGIQHFRFCPRQWALIHIEQQWDDNRLTIEGQILHKHVDDPFYRQKCGDQITLRAVNIASRELGLYGISDAIELLPSSSFENTILHPNYPGRWQPVAVEYKHGKPKRNEVDEVQLAAQIMCIEEMYAIHIPYGSFFYGELRHRVNVDITEELRNIVRQCARDMHDIFSKAVIPKAEYGKHCDKCSLKDICMPEMVNNCTSVDNYLTKNLYL", "MSELKNRIDFVYIFDVQDGNPNGDPDAGNLPRIDAETGIGLVTDVCLKRKVRNYVQIAQAGKAGNDILVKSKEISGEEVFINEEIRKMYKEDLGIELKIKEKAPADKSSAGKIAMCRRFFDVRTFGAVLSTGPNAGQVRGPIQMTFARSQDMIVSAEHALTVCVARDEEKTYESQVGIQGRKATIPYGLYVCHGFISANLAQQTGFSEEDLELFWEALKNMFDVDRSAARGLMSAQKLIVFKHDSILGNAPANKLFELVKVEKVCDGAPRSFIDYSVTIDKENAPSNVTIEELI", "MILKALYDYYHRSGDDVAPFGLEYKEIGFIIVIDRCGKFLRFEDRRIDKKSAQRFLVKKSVSRSSAPVANYLYDNCKYVFGYSDKGDMEKIRKYFEVFKAKVKDIYDAFPDNEDIKAVYAFYQQDHSFIVGAMQKDSLWDDIAKNLNKKYSTFSFLIEGDTKIVASKRELINLFDENHGVAGNLCLITGRYSKVVEITTATKILGSQETAKLVAFQVNSGYDSYGKSKGYNAPISEEAEFAYTTALNHLLRSDSHNKFMVGSRTYLFWASSNSEASKESENSLFSLLGRIEEENDDSNRRIKLVYDTFQSIYNGKLSANDDDKFFILGLAPNSARIAVVYWNEMPLREFAGLISKHFTDMEMVDTRKDKKPYVGMHSILGNVTLGGKSSDATPNLPDAVVRSIFQGLPYPASLFQACIRRIRAEQSVNIVRAAIIKAYLNRLNENNNHKKLDIMLDKENQNQGYLCGRLFAVLENLQYAANKQDSIRSSYMNAASSTPSTVFSTVLKLSNSHYAKLAKEKKGLANFFDNQKKEIIVLIQNFPNTLSLEDQGRFFLGYYHQKAHRENQETEE", "MEYTDKEYCLEVWGDWACFTRPELKVERVSYDVITPSAARAIFEAILFKRYAMRWQVTKIEVLRPIKWATIRRNEVGAVASKSPIIIEDKRQQKNTLLLLDVRYRIYAKLVFIPVKDRPKEAFAKHQPSADENPMKYYQMFERRASQGQCFTQPYLGCREFSANWKYIESTDNLDYPLAEDRDFGIMLYDMDFEENPQKPNAMFYRAQMKKGVIVVPDKDSEEVLR", "MTKKETLKLFEERKVRTVWDDEKEKWYFSIVDVVSVLTDSVDATAYWRKLKQRLKEEGNETVTNCHGLKMKATDGKMRLTDVADTEQLLRIIQSIPSPKAEPFKQWMAHVASERLDQMQDPELSIEQAMMDYKRLGYSDNWINQRLKSIEIRKDLTDQWKLHNVEEGVQYATLTDIIYQQWTGKSAKEYKQFKGLKKENLRDNMTNEELVLNMLAELSTTSITKAKNPQTLGENMQCAADGGDVARVAREQLEQKTGREVVTPLSAKRFFEGQKPEDLLENKENDE", "MIEENQLISHLYQNRDNGQWMIQTNDEHQKGVADMAASFAGQFGLPSWGRALGLLHDKGKERAAFQQYIRKMNGLPTSDKKRYDDHTHAFVGGILAKELMGKDVSHLLVNQIISHHTGLHDFGDVENILKERLLSKEINEGDISINKPLLFQEFIDSPFSKSKVEWKHFHHLSRMLFSCLVDADRLDTERFMDVESWRKRGNSATLADLLPQLEAYMQKLQSNAADTKVNRIRQQVKEQCSRTSSSEKGFYSLTVPTGGGKTLSSLLWAMKHAVSHSMNRIIIAIPYTSIIVQTAGLLKEIFGEENVLEHHSNFDPDDIKDEENREKAKLATENWDYPIIVTTNVQLFESMFSNKTSDCRKLHNMANSILVLDEVQMLPTGFLRPIVDALKAYQEMFGVSVLFTTASQPVLSGLIEGTNPKADFKGIEHIKEIIPEEFALHDQLRRVKLSIDDTGKTYDEIAAKVSEYNKVLCIVNTRKDAKELYDRLPNDGVKLHLSRMMCPAHLHETIGKIKTLLKDESQPIVRVIATQLVEAGVDIDFPVVFRQEAGLDSVLQAAGRCNREGRSAMGHTFVFSLAAEKRKLFGSMADSNNARLNLPEDSDWFAPSTMKAYFCQLYSRKQTFDEKDIKHWLYKPTELCFETASKEFHLIDDTSINVIINWENSMELIEQLKESGCTYSLVKQLAKFTVGIRSYDFKQLKGYGLVEEILEGIYVLADRSQYNKATGLSLDNHWLEEVLMI", "MWIIRLFFLPSLHLTDSKRDVVTEIFVSRRNGLFSFFRVEATKRNACSCRCWLYFLF", "MAAKPGIPKGTRDFSPVEMAKRNYIFNTIRDVFHLFGYQQIETPSMENLSTLMGKYGDEGDKLLFKIQNSGDYFNGITDEELLSRNAVKLASKFCEKGLRYDLTVPFARYVVMHRDEISFPFKRYQIQPVWRADRPQKGRYREFYQCDADVVGSNSLLNEVELVQMIDRVFGKFGVRVSIKINNRKILTGIAEIIGEADKIVDITVAIDKLDKIGLENVNAELASKGIPQEAIDKLQPIILLSGSNEEKLETLKTVLATSEAGLKGVEESEFILKTVSALGVKSEVELDLTLARGLNYYTGAIFEVKALDVQIGSISGGGRYDNLTGVFGMDGMSGVGISFGADRIFDVLNQLDLYPKEAVNGTELLFVNFGDKEAAYCLPILAKVREAGVRAEIYPDASKMKKQMGYANDKQIPFVAIVGENEMNEGKLTLKNMTTGEQSLVTPDELLAVVKA", "MKSLQADFFKVMKEGAIQYAAPLPFLDLVCVGTATQTEVEEQVDLMYSLIHQQVDAIVLVPIDSKALVQPVVEAVRKGVPVINIDIRLDTQLLEQAGVEVAFVGPDNFAAAYEVGKLLDKKLRNEDKVAIIEGLAAADNAQQRKRGFIKAIEEKGLRLVASEPADWETEKAAEVFQAMWMRYPDLKAVYCSNDAMALGVLQQMQEKNCYLPVVGFDNDAVMKEFLSTGKLVATADIFSSQMAVRGIEFALDVLEGKIENRGVHSTAYEIIKQ", "MILVDNYFLAILCCVICCACWGSWANTQKMVAAKQWSFELFYWDLTVGLFLTALLGAVTLGSMGSEGRTFFQDLAVMDWSSIQYAFLGGVVWNFGNIFLTAAIAVAGMSVGFPIGGGLAWIGGIVFNYLLISLAGQTYQGNQLLLWSGVLVIIVAILICGKAYGKLSSGKASTPKKGILLAIMAGIAIMFFYGLVVKSLDPQYVAGGTGTLTPYTGVFFFAVGILASTPIFNTFAMKHPVEGKAVTMKDYFAGDAKTHLTGMLGGFIWMGGMVISFMGAGAANPAISYALSNAAPVVAMIWGVFVWKEFKEAPKGTNKLIAAMFSLFIIGLISITLSN", "MKNKKKMCFGVIIGTRAYFNSELAKDVRKQLLETLTKEGYDYVILPEDATPTGSSSIETREDGLKCAKLFRENRDRIDGIIVSLPNFGFEIGIINAISVADLNVPVLVQACDDENDKVDLDSRRDAFCGKISVCNNLYQYGIPFTDTTLHTYSIYSDLLAADINKFAAICRVVNGLRHARIGAIGTRPAGFQTVRASEKLLQASGITVVPVDLSEILSAARKIEDADETLQAKLKEIRQYAAVPEQYNDKLILQAKFGVAVEQWMEANEIDAVAIQCWDSLEQNYGCAACVTMSMLSEKLIPAACEVDIAGAVSMYALTLASGRPSALLDWNNNFAEDRDKCVCTHCGNFPKSFVMNDLKLGTLGVLGRTLGKVHTFGAVYGKVKQGDFTFFRISTDDTKGCIKSYLGKGDLTDDPYGMDGCIAVTKVDNLQPLMKYICKNGFEHHVAMCRGNVKDILAEAIETYLNWNIYIHE", "MISRIITIDQSTSATKAMLFSEDCELLHRVNIEHQQFYPQIGWVEHDAEEIYKNTIEAIHCLLEQEEVNGKDISYSLAITNQRETVVVWNRHTGKSVYHAVVWQCQRGAAICKELKDKGYSELVQRKTGLLIDPYFSASGAKWILDNVENGRELAEKGDLLMGTIDSWLIWKLTEGRKHLTDYTNASRTMLFNIHTLDWDEELLKLFTIPRSMMPEALPCDAVFGETTIEGLFKSPIQIAGVLGDSHGALTGQMCFEAGMGKVTYGTGSSVMVNIGEEAVAAPEGLVTSVGFSALGKVYYAFEGNIHCTGATIKWMVEKLGLVDSFNQIETLATSVKNNDGVYLVPAFTGLGAPWWKPDAKAAIWGMTLNAGKAHVLRAGLESIAYQVKDLIDMMTRQAGIELKALRVDGGPTKNQFLMQFQADMLHAVINRSEIEEASALGAVVMNGFARKKWASFQEAAAMRTIDNCIAPCMEEKELQSLYSGWREAVKKVIGQNN", "MANNMIACRKSFTDTLLELARQDKDIVAVTTDARGSVTLGDFAKELPAQFVECGIAEQDAVGISAGLAHSGKKVFVCGPACFYVARSLEQVKVDLAYSQNNVKILGVSGGVAYGALGATHHSLHDIAVLRTFPGMNIVLPCDARQTRKLVKLLVDYPEPVYVRVGRAAVPDVYENDDFDFVLGKANMLLNGTDLTIIAAGETVYHAYQAGLMLQEKGIKARVLDMSSIKPVDAEAIRKAAEETGRIITVEEHSQFGGLGAIVVETLSENPIPVRIIGIPDENVVHGNSHEIFAHYGLDKEGICKTALEFVKK", "MQVETLELQSEKNRKRLVEIVYKAKAGHIGGDLSCLNVLTALYFDIMRVWPDKPKETKRDRFVMSKGHCVEALYVTLEAKGFISREVTDTLGEFGSILSGHPTIEVPGIEVNTGALGHGLSVGVGMAMAAKMDKADYKTYVLMGDGEQGEGSIYEAAMAGNQYKLDNLVAIIDRNRLQISGTTEEVMSLESMRDRWTAFGWDVLEMNGDEMEDIIRTFRSIDYTNKKPHLLISHTTKGKGVSYMEGIAKWHHGVPTAEQYEEAVREVSERIEKLEKENNGK", "MALNQRRVKILHLIREDGHAKVQDLSKIFNVTDVTIRQDLEALEQMGYIQREHGGAFLKGVGSFAKTGQLFNQTHLEEKKEIAQKAIQFIQEGDSIILDSGSTTTEIAKLLMNYKDLTVITNALNIALILGENHGINLIVTGGEFKAPTLSLTGKMAADSFKDIRANKLFLATAGISSDMKLTYPSLSDLVVKSAMIESASKVYLVADCSKIGVSAFASLGSVSLANAIITDSTITEEDFERLKELEVEVI", "MLNTVEEGLEDIRQGKVLIVVDDEDRENEGDFIVSGEKITPEIVNFMITHGRGLLCAPLPHSRCEELCLVPMAEENTSLLGTPFTMSVDLRGYGCTTGVSAFDRSSTIKALVAGNLNPSEFARPGHVFPLYGAENGVLERNGHTEATLDMTRMAGLKPGGALVEILNEDGTMARLPQLGEIAAKFGLKIVSIKDIQEYRKKHNL", "MSTKFYVIAVWTLLSFVVKVNAQDKVECWDRFELSFKQVTKGNPFDTRLSATFVCGKEKKTVEGFYDGENTYRIRFMPAVAGEWRYVTSSSIGAMNGRKGTFTVIPAGKDNHGMVLVDGEHNFKYADGTRYYPMGTTAYAWTHMKETTQEATLKSFGEAGFNKVRMCVFPKNYSLVKDEPALYPFEIRKTIKDKEGNERKEWDFDRFDPAFFQHLEKRIDQLNRLGIEADLILFHPYDKGRWGFDAMSNEVNVRYIKYITARLASFRNVWWSMANEWDYVKAKTVDDWKLLTKTVVENDPYRHLCSIHGATATYFDYWMPEFTHVSIQDEAPVLSSTASATLRKIYRKPVICDEVGYEGNLPYRWGRLSPQQMTCFILNGLLGGIYVTHGECYQQGNEPIFWAQGGSLKGESWKRVKFLRTIIEAAPHPLEMADISRDLVTSTAGPDYYLVNMGKDVKGFWTFNLPVKNADYNKLQKNKRFKVEIIDVWAMTVTEYPVIFETTEELDYRVFDIHHRGVRIPDAPYIVLRITEVK", "MMRNTIKLKYIWCTLFLLLHWGFVKAQPICVARQYTVRDGLIQSNPAQILQSHNGFIWVSTWNGVSRFDGRDFETFQFDSLLNQHMQRLENTADGNLWMIAYDRHSLYLYDIRENKLINVLKQYEQHFNTPLQIENLYPLSKGITWVTLNNGGCFRISDKECIVSSGIQYITAIDDVELGKVSRVFEDKQGEEWVFSDKGVSIFGKRTISSYPFSMFETMDNLVFLASQNGRLAYYDVNTMQFNIVPFQEKIQHINGIKVLKDNQLAVLSDKGLYLCRFPELAMEKYDFSLPGRDDAAVRKVYQDSKGFLWIFTGLPGIIRLDPETGVKQYLNTPSGYMASSPENELFIYEDPNGVVWTIPYKGIFSYYDEKSRELKVYFTPGRNHIPYSPIIKTTYVDKQNNLWIKSQRSFIKMFFPPSPYTYRELDNYFDTKSFLFDSDEHLWIATKKGIIRIMDSQKNLLGYLSPDGELAQTETVFAEGGIYVMLKDQAQTIWLGSKENGLFRLVPRNRPYHYEVYHYMNNPSDPYSISDNKISCIDEDHNGRIWIGTYGGGLNLVEEKEDGAIRFIHAENKLSGFPINRTNSIRCMVEGPGHTILVGTIEGLITFSSDFSDYENIRFYLNLPRPQATDGLCSADVMSVLRTTDETIYCYCYGGGLCKLVSSNLLSDELRFRSFGKETSPLARALIEDKNHNIWIGSETDITLFDVHDQTFESFGETFFNRSFNYSECLPVTDRQGDILMGTEGGMLVFSPDSIVKQTYEAPIVVTGIKYSEDNLSHVLSDADYLEIPTRRRNFTISFAALDYTNSLDIEYAYKLDDNQWYYIGKKNSVSFVSLPAGKYQFQIKATNGDGIWMNTVKTVTLQVLPTFWETGWAKAFYLVVVLVISLAIGYVFFYIYYLKHKVNMEQRLAEIKVRSFIDISHELRTPLTLISGPVSEVLSQEPLTSRTRHHLQLVQKNINRMLLLINQVLDFRKIQNKKMGLTIEYRDIIIMLHNIMDNFRLLATEKNINFSLQTTLPSVFLWIDSDKFEKIIFNLLSNAFKYTPDNKSITLIVMESGQFVSIAVKDEGIGISKDKVSSIFERFTTVSKENDMQPSSGIGLSLVNELVKMLHGEIQVESEVKKGSVFKLVLHKGKEIYAQDKNVEYILNDTSEEQETVLAEPEQNDKISLPDMPPATKETLVKVMVVEDNAELRQFICEILSGTYRVVGVADGVMALEKIEEEIPDFIITDIMMPRMDGIELIRHIKENVNTCDIPLIILSAKSSVEDRIQCLQLGIDDYIPKPFSSDYLKSRIENLIRQRKVLQSAFLSKYGAQPKKEPLEAVAYPVSQIVPLDELFMQKLVGFMEENYSNPGLRVNDLAEFMNMSRSVFNRKVNGIMGISPIEYIKNYRLNKAKSFIQSGMSFSEVAFAVGFSDPGYFGKAFKKAFNQTLTEYKNNN", "MSMYWILFIGIAVISYIVQNSLQSKFKKYSKMPLASGMTGKDVAEKMLHDNGIYDVRVTSTPGMLTDHYNPANKTVNLSEGVYGSNSVAAAAVAAHECGHAVQHARAYAPLKMRSALVPVVQFSSSIMTWVLLAGILMVNTFPQLLLAGICLFAMTTLFSFITLPVEINASQRALVWLSKAGITNSYNHHAAEDALRSAAYTYVVAALSSLATLIYYIMIYMGRRD", "MEHEIGNISIARIRQMNPSLKGINNDFVMDRSEHYIPENMNPIFKYPLRLDGIIISIREKGSAKVNINLREYDIEQNDLIICAPGDILQSMLSPGIHLSQMFLISSDFLKEMYINLNSFMPFFISLKENPKFHLMEEEVQELKSFYELIEETVSRNDNFRTEIVRRLMGAYLYKIGSILHRKQPEFLSENPKSLKREEVLFNQFINLLTEHHRKERRVDFYAEQLFLSPKHFSTVVKKVSGKTAGEWIDEYVILEAKALLKYSVMSIQEVAYFMNFPNPSFFGKYFKHHTGLSPSEYKMQ", "MKPTLFLLAAGMGSRYGGLKQLDGLGPNGETIMDYSIYDAINAGFGKLVFVIRKDFEQDFREKIISKYEGHIPCELVFQALDNLPEGFTCPAERTKPWGTNHAVMMGADVIQEPFAVINCDDFYGRDSFQVMGKFLSALPEGAKNTYAMVGFRVGNTLSESGTVSRGICGTNADHLLTSVVERTKIQRIDGEVKYIDDNGEWTATPDTTPVSMNFWGFTPDYFAYSKEFFKAFLSDPKNMENLKSEFFIPLMVDKLISDGTATVEVLDTTSKWFGVTYPEDRQSVVDKIQALVDAGEYPAKLF", "MERKHNINLLLLSVLLLMTAACSTTRNLPEDETLYVGVKNMEILNEDKTPAGVQTLEEVEAALSYPPNNAILGSNSLRFPIPFGLWIYNDFVKYQDKKGVGHWIFNKLGSTPVYLSTVNPETRVKVATNLLHDYGFFNGAVTYSVDSLKNPRKAKLSYKIDMANPYYLDSVMYLKYPPRADSLIRAAYDQRVLHKGDNFSVLKLEEERQRLSTLFRNNGYYYFRPEFITFRADTLRKPGMVSLQVVPKAGVPADAKRPYYIGNTSVYLTGYKGEEPTDSIVFPGMTLHYSGKKPGIRLGVLAKRFFYQKGQLYSQARQNFTQEALARLGIFKFAEFRYAPQDTLPGCDTLNVRMNATFDLPYDGELEFNVTTKSTDQTGPGAIFSLSRKNFMRTAATLSFQLKGSYEWQTNSTADGNSSKLNSYELGTSFSLEYPRLVLPWMSKKMMRSRFPQHTSFKLYASQLNRARFFKMLSFGGTVSYDFQPSRVWKHTVTPFRLAFNTLQHTTTRFDTIVDKNRSLKISLGNQFIPAMSYTFTYDNAPLKKRNNLWWETSFTSAGNLTSLVYAAFGKGFKETDKKLLNSPYAQFLKMTSEVRCLFKVGEKQHIATRLMGGILYAYGNQTVAPYSEQFYIGGANSIRAFTVRSIGPGSYRPEDKQYGYLDETGDVKLEANVEYRFPILGDLYGAAFLDAGNVWLLREQKNEEGENIRPGGLLTLRNFAKSIALGTGVGLRYDLTFLVIRLDLGIALHDPYDTGKKGYYNIPKFKDGLGLHFAIGYPF", "MKKKYVRWTLWTIASPFILFIILCILIYLPPIQNFLVDKAAVYASEATGMNISVGRISLSFPLNLVVTNVDAASPHNDTLLSVRRLQVNVQLLPLLKKQVEVDGISLQGATVNSNDLIHGMKLNGTLGELFISSHGVALDPETAIVNKVLLKDTDLSLCLNDTAAADTAASDTTYWKIILQDIDLQNVSFALDMPLDSLSLAASLDNAILRDGLIDLHKSAYSLQTFRIENGRVRYDNGKPLAADSLSSGLDPSHIALTDIGVKLDSLYYGGRNMKAIISQFVLKERSGVEIVSATGRMVSNDKVLRVPSLKLETRDSYLELNAAMDWNALDFKDEGLVSARLMADIGKPDVVRFMGSMDEKFIRQYPSEPLRIRTGIDGDLNKLKLTTLTAELPGALGLFARGELTHLTDSLLRGGDITLEAETKDLKFVSTLAEGIEIPYGTRLEGKFTMAGTKMGTDLLLMQPEAQAVVAADTIPITVYNDSISVADDFKMERAARLFAKYDLSRDRYEADLAVNHFDLHQFMPADSLYTLSTRLKVEGEGFDFFSPRTYFNAEGGIDRFHYGSYHLTGISLAAGLEKSKVHASLAVKNWTMDIKAHLDGILKPHDVSGDLKMDVAHLDWQALHLMDTRFQTSQHLGVRFSSDLRKRYVVEAEMTNATIVTAKRTSHSKDLFAGFSTSRDSTFAYLRAGDLDLSLEGAGHMEYISGRADLLMKKLAEQWESKHIEQEELREFLPGLCLKISSGPDNPIANYLSMMGLSYTRLFMDVDSSPAEGLNGEAYLYGLRTDSLTLDTIYLDVQQDLNGINMLSGVVNGPKPGQEAFDVTLEGNVGNNSAQLLVQYLNARKEQGVYMGVMADLRRHGIRMKVFPEHPTLVYRPFTVNKNNYIYLADNGRIHANLDLHDEQGTGLSFYTNREDTIAKQDMTVELSRINLKEFRRILPYMPDMEGWIGAEAHYIDSGPYMMVSSDLRIDEFKYEGSALGNWELGGVYLPGEAKDHHLDAYIRHDGEEIAHLGGIYLPAEEGTGSLSADIAFEHFPLNVANPFVPDRMVELDGDIDGTLSMKGDPAKPLLNGELALDSVTFFMPEMSAMFRFDNEPVQVVNSKMMFKEFDIFTKGKTPFTINGEVDFSDLERTAVNLKMHAENYELLNAPRTKRAMVYGKMYVDFNATLRGPVEELVMRGNMNILGKTNVTYVLKDSPLTVNDRLGDMVTFVNFNDTTSVEESSVQQISLGGMDVAMTMHIDQAVQARVDLVPDGSNYMLLEGGGDLSFQYTPQGDMLLTGRYSLMSGEMKYQIPIIPLKTFNIQNGSYVEWTGNIMNPQLNITAAERVRASVGEDGKTSRIVGFDVGIALSQSLENLGLAFTLSAPEDASVQDQLNAMSVEERGKLAVTMLVTGMYMAEGNSTGGFNVNNALNSFLQSEISNIAGKALDINVGMETVDDADSGGKRTDYNFQFAKRFWNNRFRIVVGGKVSTGSTVQQDETFIDNVSIEYRLDNSGTRYVKLFHDKNYESVLEGEIIETGVGIVLRKKMSHLGELFIFKSKKKK", "MTSNKILLICLAFIALTACNAGSKRQTNHHMENEKRTLVKLETTMGNITVALYNETPKHRDNFIKLVKEGVYDSTLFHRVIKQFMIQAGDPDSKNASDTAMLGSGDVGYTIPAEFNPKFFHKKGVLAAARQGDDVNPEKASSGCQFYIVTGRKFTEPQLLGMENKINEQREEALFDSLARQHMKEIYKMRKAGDNAGLLELQDTLEAQARELADKEEKFRFTPEQIKAYSTIGGAPHLDGSYTVFGEVTEGMEVVDNIEIAKTNRADRPIENIRILKASIQ", "MININRYTLSNGLRVIHNEDNTTQMVALNLLYDVGARDEDPDHTGFAHLFEHLMFGGSVHVPDYDTPVQNAGGENNAWTNNDITNYYITLPRQNVETGFWLESDRMLSLDFNPRSLEVQRQVVIEEFKQRNLNQPYGDASHLLRALAYKVHPYQWPTIGKEISHIANATLEEVKAFFFKYYAPDNAILAVTGHITFEETVTLAEKWFGPIPRRNVAPRSLPAEPRQTEERRLTVERNVPVDALFMAFHICERRHPDYYAFDMLSDLLSSGRSCRLVQHLVQEKQVFNSIDAYISGSIDEGLFHITGKPAPGVTLEAAEAAVWQELKALTEESVDEDELEKVKNRYESEQIFNNLNYLNVATNLAYFELTGKAEDINNEVNKYRSVTAGQIKEAAQKTFVRENCSTLYYKSNLPT", "MQHYKALFTLGIPIVIGQIGVIILGFADTLMIGHHSTNELAAASFVNNMFTLAIIFATGFSYGLTPIVGSLFGRGETHVVGRMLKNSLFANTLLAVLLTLIMWILYLNIHRLGQPEELLPLMRPYFIVLLISLLFVLLFNGFKQFADGITDTRVSMWILLTGNVMNIIGNYILIYGKLGMPEMGLLGAGISTLASRIMMVIVFAVIFFCTRRYHIYKEGFLHNTLNRADFLHLNKLGWPIAMQMGMETASFSLSAIMVGWIGTTALAAHQVMLAISQICFMMYYGMGAAVAVRVSNFRGQNDRMNVRRSAYAGFHIMLLIALIGALPIFLLRNELGGWFSDSPAVSVMVAQLIIPFIIYQFGDGLQINFANALRGIADVKPMMYIAFIAYFLISLPAGYFFGFIMDWGIIGIWMAFPFGLTTAGILYYLRFNRDTK", "MSLPNRSTKLKVAFGYILLTALLFISIGYIYQEMKSLSGTGDDEAILSQRRHVTNQIISQLYQAEVIGQSLSTGQIEQYYRYQRAMKQATTALDSLRTLLTDSIQLARLDTVGMLFMDKERNMRNLLKAIQDGGTDILYKQHIDELIAQQDSLLSLPHVRKKVITHTNSYIIRKKPKSFFKRLGEVFSPGKADSTQVNNVIQEEYTDTLTEAYSPADTVVTMLKNIQSRVTDTQQERMEMVNRRTQSLRLSGLKLSQKVNQLLSTIEEEEQALAQNKHIQEEYIRQSSIRTVAGIAIVAVVLAIFFLVLIWRDITRSNHYRRELEKAKRRAEDLLAAREKLMLTITHDIKAPVGSILGYTDLLERITTEERQRFYLNNMQSSANHLLSLVKSLLDYHRLDAHKMDVNQVSFNPHQLFDTIYISFKPMADSKQLELNYHCNESLNRVYIGDPFRIRQIAENLLSNALKFTKEGGITLRAALENGQLHFSISDTGCGISQEEQQRIFQEFTRLHNAQGQEGFGLGLAITRKLVLLLEGDIKIESEQDKGSCFHVYLPLPEGPSHPDENLSGPGAEHTPASPDATGIRQTDTPREDSEPIHLILIDDDRIQLQLTTAMLERPGVTVTCCHHPEELLNQLKEKRFDVLLTDIQMPAMNGFDLLKAIRTLDTSWVQTLPVIALTARSDMDENYFCSHGFAGCLHKPFTINELFTAIFQVTGKDVPATGNPTPHIAPAKENHPQQETLDFSALTAFSEDDPEAASEILRTFISETKKNRECMEEALAKKNMEDITAIAHKLLPLFTMLGATRCIPALTWMEQRRGTTEIGEEAIEKTNFIIEEIEKVIDEAKKQIEIPNEA", "MPHSILIVEDDLTFATMLKTWLGKKGFSVDTASSNARARKQLDAQPYDLVLSDLRLPDQDGIFLLSWMKEQKYNIPLIIMTGYADIQSAVQAIKHGASDYISKPIQPDELLKKINEALQNKALPTPVGIEKNTVAPKASKNKAVPHSATVPPSNFLEGESEAARQLYNYVGLVAPTPMSVLINGASGTGKEYVAHRIHQLSKRADKPFIAIDCGSIPKELAASEFFGHVKGSFTGALNDKTGAFVEANGGTLFLDEIGNLSYEVQIQLLRALQERRIRPVGSNKEIEVDVRLVSATNENLQQAIEKGNFREDLYHRINEFTLRMPALKERQEDILLFANFFLDQANRELDRQLIGFDTAASQALQTYSWPGNLRQLKNIVKRATLLAQSNFITLAELGYELQEPIRSAGPQTFSLHDEAAEKKRILEALKQTGNNKSKAAILLGIDRKTLYNKLKLYDIQ", "MKKLFVAAALVMGLGTSAAFADNFMVDSVTVTMINDFTPIEVKDLPAAVQEAITKNYAESTIKEAAVEANEDGTKTYKVVLTDEEGTESTVLFSESGEVLK", "MIVVYEDNHIIVVNKTSSEIVQGDKTGDTPLSEMVKQYLKEKYNKPGNVFIGVTHRLDRPVSGLVVFAKTSKALPRLNEMFRNGEVKKTYWAIVKECPKETEGELVHYLVRNEKQNKSYAYDKEVKNSKKAVLHYKLIGHSQNYYLLEVDLKTGRHHQIRCQLAKMGCPIKGDLKYGFPRSNPDGSICLHARTVQFVHPVSKEMIQLTAPVPEGNLWNGFEMD", "MGLLTGKTAIVTGAARGIGKAIALKFAAEGANIAFTDLVIDENGQNTEKEIAALGVKVKGYASNAANFEDTEKVVNQIKDDFGSVDILVNNAGITKDGLMMRMSEAQWDAVIAVNLKSAFNFIHACTPIMMRQKSGSIINMASVVGVHGNAGQCNYSASKAGMIGLAKSIAQELGSRGIRANAIAPGFIITDMTAKLSDEVKAEWAKKIPLRRGGTPEDVADVATFLASDMSSYVSGQVIQVDGGMNM", "MAVSKTRAKLVDVARQLFAKNGVDDTTMNDIAVASKKGRRTLYTYFKSKEDIYMAVVESELEMLSGAMEKVAEQDIAPDTKILRLIETHLDSIKMVVFRNGTLRAGFFRDIWRVEAVRKNFDRTETKLFRQVLTEGKEKGIFDIDNVNIVADIVHYCVKGIEAPYIRGQIGEELDDETGWAYVAKIVYGALGRKEQNKE", "MFSERKRKGTSLYDVVTYTLPKLHTGKNWYVDFKCYDPLEGSMKRKKFMLDSIAKISERKKRATEIITVTTQRLRNGWNPWAEATTDRQCANFVYVTEIYNKYLEKLTSAQTLKQKTLYDYQSRLNMLLEYNNSRHLPIMYMYQFDQAYISDFLDYILLDRDASARTRNNYRTWLSTFCTWLKEKKYIEDNPTDKIRSLAEETKFRSALTKEDLAQLHEYLKETNKHFLLACQMEYYTFIRPDELSNIRLGDIKIKEQKVFVSSTISKNRRDGMVGLNDSLVKLMIELDIFRNSSDYYLFGKDFKPSIQKADSRIFREYFNKVRAFLRYPKNYQFYSLKDSGIRDLANAEGIVIARDQARHADISTTNKYLKGDNMTVHEETKHFEGNL", "MYLYNVNKTRTMNEKEEISALLHRLTQLKMELKMTEFTFKNNKKLTEQQVNSILDEKLRIEKFIRILENRLKELEN", "MSDIKKELKELEEIMHSTDEDREQKFEKKFLYIREHYTSEKDNEAIYNFTLNGYKQINNELENMTRYLELQNQIKSVKEIIPVSYIARNYFGKSAAWLQQRLYGYKVRGKVYTLNEKDIKTLNLALQDISKKIGSLTIAL", "MTELYINGQLAVLSEDFYFTLASENPYFTRSSNYSLDIELPMSANYAIFGHINRLDVTKKKTILTAVLMVDARCLLSGSAVLMSVEETRVKVQLVSGNAEFNLLTNDDIYVDELDLGSDWTPVVGRPIGGFLPASEMVDYYGSVDQVESVWLPVFYQDVNPENLNNNAQYEDGSNRFLPCPEFLRTCIQPYLMTVITKIVEHFGYTFDTSFFDNNFLRNVYVCSAVASLGISSALPHWTVSEFFDELEKFLGVITIVDEHTKVVRFAKLNDYFSFSDKEVINEDAVLHEFSVEIEDKKDDKDISSGNVSYDLSSVTDDGFLRLDRELIEAAQKTEYNTYDELVQAYNAMSDENRKKTLFVVGRRYYINYNEGDKNSLREVNLYADLIRNPESETSASLRIVPAKIVQYNIGTYTFLSHNFDYVRTDTPLFFNIPVVSFHKVGYEQSAFNIQEAIEGNVELQEKQKKSDIMEVAINTGIFNRHTVNGQSFDYAYPFTDYQQRPEGLVSTLMPYSLSLNDVCPDSIGNKLSSLNLFHSDIPYTIQFITDKLPDVNKVFLIGNKQYLCEKIEAEIDVNGINKVMKGIFYRID", "MAIHEKATVELQVNGEQARKEMQLMEQHALSLKARIVEAQNAGDTKKVKQLQKELKETNTALRAMRDNARNIDAAMNNIGLATPKELRRLLKDIDAKLNSGHIARGSEEWKKYQAQLKLVNAEIRKVNDEIKETEGWLTRFNNRFAKWGALAASGIAAITGISMTLNKMRKDRDDKEASAANLKALTGLDDVSIQWLARQTEILSTSMHKSGLRVTQSSKEILEAYMLVGSAKPDLLGNKEALNAVTIEAMRLSKAAKMDLKEAVDAVTLSMNQYGASSEKAADYANVMAAGSKYGSAAVQSITAAVTKAGVSASTANVPIEQLVGSIETLAEKGIVNEVAGTGLKMFFLRLQTGADETNPKIVGLQTALKNLQKLSTEEIVKRFGAETYTVAQTLIDGADKVEYYTKAVTGTNVAMEQAAINSETNEARLAQLKNKIRETGIELMEKLNPSLNMLTGWTTKLLSMAPALIDWLVKYKGALASTCVTLLALIAYKKADVAWAKLQVVWNEKIVASLLSLGKFVKANPYAFLAVGVAALIGRLIDLKREQNRVTESQKSMLRISNDLNDKYGEQESKIRLLTNVIHNQNFSYDERRRCIDELKKIVPGYNGMLNEEGKLMNDNTGAIKDYLVQLEKQIKLEAAREELAGLYQEQRKTEKLKRKQQEEVKRANANFNSAQFMASARTTNLGTQGTRALAKATDASTQQARSQLTIANQELNKTQARLDQLNQAIKDVNAEIAASDIQLDVVKNDDKKNGGGTLTEGERSKAKKDALVKEEKEYFSELAHLKQLYIDSDLMTQEEYARLVEDLEMQHLNRQLDIAGMEPDEIEKINQKILDAQIKFKERCRQEDDKEYKEAQQRALTAREKRYQLDIEAAARYHYQNLTSEEDYLRLLSDLEYGYYSDMLANYQLTEQQKADFQKQINANRLKEDEREYQKRKEAQDKERALAQKYTDMAKGIAEDYGQTLGEMIANGELTMKSFLRETLLMAVDALEKVIEIAAVEITAKNAAATAPLSFIGIAKAAAQIAAIKVAFAAVKGMIGNFYTGGFTGSGEWDRPQGIVHSNEFVANRFAVANPAIRPVLNLIDHAQRTNTVGSLTASDVSAVVAPSAVVPVSEGGTEVDLELYRLVVECTETMKKVKSRLQEPLVAETYVTGKHGINQAQKEYNRLNNNKSRNKL", "MLVRVMNWVSRHILLAPFMCLFLLFGSCGSSHKAVKSDVEVISKDSASESVNIVHGSSISLSELITTNSNYVIDFCIYDTRKPPDSLTGKPPLLADGHVEGDFSKNKRKETATKDSTEVKVDKEATSTKHEETKTEGVKDKKESTLLKQIGFACVCATVLLVVVLIIRKHWRNRQSSS", "MKYFTIAELCKSTTADRLGINNRCRQEHVTALTALVDNVLDPLRTWWGKPITVNSGYRCPELNAAVKGSKTSQHMVGEAADIDTGDRQQNKLLFEYIRKNLPYDQLIDESNFAWVHVSYRADGNNRMQILKL", "MKKETKEEVQIYTAVGMLVAGVALSVAGFIVEPTGQIHESVLWFFAQCLMYAGGIFGIGVYVTTKFNHLVDKLNDKEDKK" ]
[ "GTTAGTTTATGTTTTAATATATCTTATAAAGACAAGAAAAACAATCGACACAAACAGACAATAACACATTAATAATCAACAATATAACAAATAAACAACAAGGAATAGTATAGTAAAAAATATAATATATTTACTCTGGAACGCTTAGTTGAATTTATAGTTTCACCAAGGTGAAGCAAGCGTATCACTTGGGTGACTACGCCTCTCCACTTAGATGCCAATGTATTGTCACCTAGGTGAAACCACAAAAGAAGACAGACAAAAGATAAGCATCCGGGATTGTGCGTGTTTTTTCCAGTCATAACAAAGTCAAAAGCCTAACGTACTTCGTTTGGCTTTTGATTTTATAACAGCTTGAAGAGAAATACACAAGAGGCCGAATGCTTACAATTCTTCCATAAACTTGACCAACGAGTGAACAATGCTTCAATCCATATACACCCACGCAGGGTGTGACTATGGTTGTCTATACACGTATTCACCTCGTCATTGTTTCAATCCACACACCCACGCAGGGTGTGACCTTTTTGTACATTTGCAATGTGTTCAGAATATGTTTCAATCCACACACCCACGCAGGGTGTGACAATCCCTTGCCCCGTTTGACGAGGGTGCATAATCGTTTCAATCCACACACCCACGCAGGGTGTGACTCTAGGTCAATAATAAAGATTACAGAGAGTAAAGTTTCAATCCACACACCCACGCAGGGTGTGACAATGGAGAATTAGATGTTGATTTAGTTGTTTTCCGTTTCAATCCACACACCCACGCAGGGTGTGACAGGACGTGCTGCTCGCGCTGCTTCCCAAGAAGGTTTCAATCCACACACCCACGCAGGGTGTGACTGATTATAAGAATGTTAAGCTTCGTAAACATACGGTTTCAATCCACACACCCACGCAGGGTGTGACCGATAGATACGGAAATAACCTTATTCCTTTTCTGTTTCAATCCACACACCCACGCAGGGTGTGACGTCGGATTCTTTGAAAAAGGTTGTGATTTCGTGGTTTCAATCCACACACCCACGCAGGGTGTGACATTGTCAGACTATTATAGAGGTCTTACTAATTGGTTTCAATCCACACACCCACGCAGGGTGTGACCGTCGCCAAGTGATTGATAAACGTAAATAATTATGTTTCAATCCACACACCCACGCAGGGTGTGACTCTATATCTAATAACATATTATAAATCAGCAAATTTAATCCACTGTTATGCGAATCATCATTATTATTCAACTTCATAACAAAGAAATACCCTACAAATAATTTAATCTACTGATTATTAACACATGCGAAGATACTACTTTTTTTGTAACACACCACATTCGCA", "AATTAAAAA", "ACGATGGAA", "AATTGTATATTTTTAAAAA", "A", "ATCCGTTTCT", "CTGATTAAATTTATAAGGCTAGCATTCCACAAATATAGTGAATAATATCAGACTCTCGCAAAAGAAAATCATATTTCAAGTCAGCTCCATCAGTTTTCATCC", "AGGTAATCCAGCATTTATATTTCAATACAAATAACGTATAAAGCAAACGTTATCGGGCATTCCCATGTGATGCAATCATCCATCAATGAAGCTTTTATGGTATGATTGCAGATAATTAGTAAACA", "AATGATTCTATTTAATTTATTTTGAGCCACAAAATTACACTAAATATTTCATTCGTAAAAAGAATACTTCTTAATATTGCTCATTGCCGGCAATATTAAGAAGTTAAACAGCCGGTGCCTTAAGTGCATATCTCAGTATGGGCCGTTTCCCTACGAAC", "TACAAGCCCTATTTTATAATTTCTCTTCATCTAATTT", "ATTTCATCGATA", "TTCTAATGGTATTAAAAGTTACGATATATTCAG", "ACTATTAGATTTAAAAAGGATATGT", "ATTATTATCATTTACTTTCTTATACTTTCGCAAATAACGGAATTTAACTGGAAACATTCAATACAAAACGAAATAAAATGAAAATAAATTTGATTATATTAACAATAAACGCCTAAATAATCTATAAATCAGGACAATTAATTTATTCTGCTAACAAAGTTATATCTCTATATAAACAAGACTCTCCGATTGTCTATTTTGTACCCAACAGAACTGTTATAAAAAAAGAAGCAAACAATAAGGAGAAATAAGGAAGTAAAATCAAAGTTGATAAAAAAGGTGATCAAGAATGTATTTTCCAACATTCTCAATCACCCATATTACCTTTGTTTCAGTAAGAA", "ATTTATTAGAT", "TTTAGTGTATCTAGGGAGTTAATTATACCGCAAATTTAAGCTAAAACAAAAAGAATCGAAAACTATTAGCCTTTTTTATGATAAAAAAAATCAATCATCTCCCGTCAGAAGGCTTATCCCA", "CCTATCATGTTTTAAAAATTATACTCATAAAAGTTTTCCGGAACATTTTCATACTGTGTCAATGAGCACAAAGATAGATTTTACCCTTGAGAGCCAAAGGTAAAATCTTACGTCAATAAGGTATTTTCTTGCTATTTACCTTCATAAACAGCACAAAACGGAAC", "CAAATTATTCTAAATGACCAAGTATTTGATGGCTCCCAAATGTTTTTTCATAGGTATTATCTCATTTTGCTGACAGGGCAAAAATACAAATTAAAACGAAAAGAAAAAGAGATTAAAACAAAAATTATCTCGAAACTAATCAAAATATAACTAGAAAAGGCTGCCCGCAGGCAGCCTTCTATAATAAAAATATATTTCCCTTATAAGGTC", "AGTTTTGTTCTTTATAATTCTGTTGTTAAATATACACGTTATTATGTACAAATAGTTTGCCAGCATCCCCCTTTTAAATAGAATTAAGAATTCCTGCCATCACACGCCTCCGAATTCACATCCGTCAATTTCAATTAAAAAATAATATTATGAGAAAAAAAGAGTGGATTATTGACTTTTGATTTATACATTTGAGGCAAAATTAAGAAAT", "TGAAAACAGAAAATAAAAAATAGTAATCCAACGGGGGTTGTCCAAAAAAAAACGGACAGCCCCCGAAAGTAAATTATATAAGTGTGACTACACTACCTCTCATC", "AGTTTATTTATTTAAAATTGAATATTTGATGGTACAAAGCTACAAAATAATACGGAGGAAAAAAGCTACATTCCATTCAAATTCGAGCATAAATCAATCATTTCCCTCCTTTTGACGCAAATCAAGCCCTATTT", "ATCTCCAACAA", "CGAGTGTCTGTACAAGTATTCCTGCAAATTTAAACAATTTTATCGGCATAATGGTTGAAAGAACGCAGCTTTTATCTTTTGTTTCCCATAATTTATTAAAAAAGAACTTACGGACTACCTGTTGCCACTAGGAAAGATCTATAATCTTACCCCCGCAAAATTTAAATCTTTCAGCTCTCCCGTCTGTTCCCATAATATGAACAATACGGACAAGCCGACAGATTTCCAAGAAGACGGAAGCTACTTCCAACGTAGACGCAGTTCCTTTTTCTCACACACGTGCGCGCATATTACAAATATGATATAAATCCGGCATCCTTCATCAAAGACTTCCCTCTGAAACGATGGATGCCATACTACTATTCAATGCGAATTTCAAGACTTATGCAAACCATGTTATTCAGAAACTTTTTTAATTTTCTTTTTTCAAGTCTTATCATCTTCCGGTTTTGCCGCCGGTCTTTTTATCTATTTTCTTTCGTCTTTTCTCTTTCCGGTGCTTCTCTTCCCCTCACTCTGCCCCATTTACTTTTCTTCTCTACAAATTATAAGAACACGCACGTTTGTGAGGAAAAAAGACCTGTTTCTGCCGCGTCTGCGTCTGAAGTATTCCTCCGCTCTCTCCCTTTTTTTATCCTTCCTTTCTTCGCTTTTCCGCTCTTACTCTCAATTTTATTTCCTATCTTTGTCCGATAAATAAAAAATAGCTC", "TTTGCGACTCAG", "TAATGTGCTAATTTGCCAACTGGCTGCGCCATGCGGAACACAGCGCAGCCAGTTGGCAAATTAGCATATTGGCACATTAGCAATTTATTAACC", "AAATAAAACCACC", "AGAATTAAGCCCTGTTAAGTTAAAAGGTATTAGAAATAGAGTCCGTGTTTCGGTACACCGTGAAAAAAGCTTATTTTTGCACGAATAAAAACCACATAAAG", "CTTTACCAACAAGAATCTACTGTACCGTAAGGCTGTTACCCCTGCTGTTCCAGTAGGGCACAACCTTACAGTATATACCCCATTCCCCCATCGGCCATGTGGAATAATTCCACAAGATATTCCCCATATTCCACACTTTTTCCCATCTTCCTTTCATCCCAATCGAAGGCAATTTGCTAAATATCAGCACTTTAAAAAACAATCCCGTTTTCTGGCACAGATATTGTTTTTCTGTTATCAGAGAAAACTAAACAACATAGTATTAACAGTAAAAACCTACAATT", "ATGAAAGATGCCTAAAAGTAAGAAAAGGGAATGCTGTGAAGCATTCCCTTTTTGTTTTCTATCT", "A", "AATTTTTGATTTTATAATTATAAAGTAATT", "ATAAGTTCAATTGCACATTACATATATATGTGAGCAAAATTACATTTTTTCTTTGTACCACACAAACAAATAAAGTATAATCTTAAAGTAAATGTTAATTAACATATTACATACACTCTATATATCAACACATTAGATAAAAAGATTATTTTATTTGAGAAAAAGATGCAGAAATAATTTGGAGATTAGAAAAAAAGCCGTACCTTTGCACCCGTAATCAAAACACAACATCGCGGAGTGGAGCAGTTGGTAGCTCGTTGGGCTCATAACCCAAAGGTCGTCTGTTCGAGTCAGGCCTCCGCAACTAAAAAGAGGATAAATGATTGAATCACAATCTTTTATCCTCTTTACTTTTAAAACAGTCGGACAAAAGTCGGACAAAAAATAATTGAATTCATTAACGGTATACGTTTTCGAACTCGAAAAACGTATAAAAAA", "AAAGAAGGTTAATACATAGTAAAATTACTATGTATTATTTGCACATAATAAATTTACT", "TTGTTAAACCAGTCCCCTTAAACAAGGGGACACAACCCTATATAAT", "TGGTCTGTTTTATTGACACGATCCCCGTAGTTGAACCGCTACGGGGATTTTCTATT", "CTTTTTTTATTTCAAAAATAAGGTTATAAAAACAGCCGGTAAAAGACAAGAAAAACCCGACTCATCACGAGCCGGGGCAGTCCAATTTATAAATTTAAAGTC", "AGT", "CATTATTTGTATTTGAAAAACGGCACAATATTAAATCAAAAACGACCAAGTGGAAAAGACATCACCTACCCCTAAATAGAAAACAAATAGGATTCATGAAGT" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, true, false, false, false, true, true, true, false, false, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16 ]
[ "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122616|-|2946:3446", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122617|+|3534:4301", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122618|+|4408:5607", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122619|-|5685:6791", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122620|-|6819:8276", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122621|-|8313:9224", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122622|-|9236:9850", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122623|-|9880:11436", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122624|-|11449:11565" ]
[ "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000001|+|2926:2945", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000002|+|3447:3533", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000003|+|4302:4407", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000004|+|5608:5684", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000005|+|6792:6818", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000006|+|8277:8312", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000007|+|9225:9235", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000008|+|9851:9879", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000009|+|11437:11448" ]
[ "MKMKKLGLLFMMLCMVFAVNAQELKKGDKLPDFHLKSAVYGDVSSAELKGKVVLVSLFATWCGPCQLELAEIEKTLWPEYKDNKDFVLLVIGREHTDEQLRAYNERKKFTFPLYPDPKREVFSLFAEKSIPRAYLFNKEGEAVYTSIGYEKEEFGYLMNAIAEALK", "MMTFISCAKTMTGRSKIQTPVTSTPQFQTEAIQNALDMSQFSAEELERLLRVNSKIAAENYMRFQDFCSDSPSALAALLAYTGIVYKRIHPQDFTPEDFQYAQDHLRITSFLYGLLRPLDQIKNYRMEGDIKLPERGGISMFDYWKPILTDTFIKEIKARGGILINLASGEMKDLFDWKRVEQEVQVITPEFQVWKKGKLTTVVVYAKMCRGELTRFIIKNRIENPGDLKGFKWEGFSFDAGHSTDTHYLFSLLS", "MKKLMFLLAAASLSSVAFAQQKSNSQNVLVGESVDVMEVPVDKYKVETNHFFDNWFFSVGGGAQVLFGDQSDLGKFKKRIAPALQISIGKWFTPGLGLRLQYSGLQSKSFSSEPSAYSKPHMLSEGYYQDKFNYMNLHGDILFNVSNMIAGYNEDRIYDFVPFVGFGFAHSYDAPRRNSLAFNFGIINTFHLASAWDLNLELYGMGTENKFDGKTTSKGPDFMAGATVGITYKFPQRGFKPAPDVDAIMALTSSQMDAINTALAQQIEQNRQLKAQLANQPTEVVTEQVTVNQIAPAPQSVFFQIGSAVLPTNSTVNLQQIADLVKDNPGLKLKVTGYADSDTGSAAWNKQLSEDRANNVANELVKLGVNKNNLIISGMGGVNTLTPPAFNRRVIIEAQ", "MGLLEFNKLPINTLVGADWKTFKAITAGREIDPAYRGKYRLTKAVCRLLSTLAPLQEKRYRKLLADKPLEHDPVFILGHWRSGTTFMHNVFSCDKHFGYNTTYQTVFPHLMMWGQPFFKKNMSWLMPDKRPTDNMELAVDLPQEEEFALANMMPYTYYNFWFLPKYQQEYADKYLLFNDISDEELKVFEDIFTKLIKISLWNTGGTQFLSKNPPHTGRVKELVKMFPNAKFIYLMRNPYTVFESTRNFFTNTIQPLKLEDISPEALEQNVLSIYAKLYHKYEADKQFIPEGNLMEVKFEDFEADAMAMTEHIYKSLSIPGFEAAAPAISQYIGGKKGYKKNKYKYDDRTVRLVEENWKFALDQWGYSI", "MENKLNIKEYLDKDEQKDLLRLLTAGSVDDGKSTLIGRLLFDSKKLYEDQLAALERDSKRVGNAGDHIDYALLCDGLKAEREQGITIDVAYRYFSTNNRKFIIADTPGHEQYTRNMITGGSTANLAIILVDARLGVITQTCRHTFLVSLLGIKHVVLAVNKMDLVGFSEERFNEIVTEYKKFVEPLGIPDVTCIPLSALDGDNVVQKSERTPWYKGTSLLELLETVSIENDHNLTDFRFPVQYVLRPNLDFRGFCGKVASGVIHKGDEVMALPSGKKSHIKSIVTYDGELEYAFPPQAVTLTLEDEIDVSRGDMLVHADNVPVIDRNFEAMLVWMDEEAMDLDKSFFIKHTTNTGRTRIDSIKYKVDVNTMEHLSVENGKLKKEDLPLQLNQIARVTFTTAKPLFFDSYQKNKACGSFILIDPITNNTSAVGMIIDRVEAKDMLNAMEVPTLNLAAMGIGEEHYEAIEKVVKELDRQGISVKIEK", "MEENYKLSHLRELEAESIHIIREVAAEFENPVMLYSIGKDSSVMVRLAEKAFYPGKVPFPLMHIDSKWKFREMIEFRDQYAKEHGWNLIVESNMEAFNAGVGPFTHGSKVHTDLMKTQALLHGLDKYRFDAAFGGARRDEEKSRAKERIFSFRDRFHQWDPKNQRPELWDIYNAKIHKGESIRVFPLSNWTELDIWQYIRLENIPIVPLYFAKERPVVNIDGNLIMADDDRLPEEYRDRIEMKKVRFRTLGCWPLTGAVESDADTIEKIVEEMMTTTKSERTTRVIDFDQDASMEQKKREGYF", "MEEENIYPIFDRMLSRKDKEELLGQRGVMLWLTGLSGSGKSTIAIALERELHKRGLLCRILDGDNIRSGINNNLGFSAEDRVENIRRIAEIGKLFVDTGIITIAAFISPGNELRQMAARIIGIEDFLEIYVSTPLVECEKRDVKGLYAKARRGEIKNFTGISAPFEAPEHPALSLDTSKLSLEESVNTLLELVLPIVGKKGEKI", "MLTFEMIIVFLGLTGMVTALVLDKMRPGMILFSVTVLFLCFGILTPKEMLEGFSNKGMITVALLFLISEGVRQSGALGQLIKKLLPQEKTTVMKAQARMLPAISFVSAFLNNTPVVVIFAPIIKRWAESVRIPATKFLIPLSYATILGGMCTLIGTSTNLVVDGMILDAGYKGFGMFELGRVGIFIALAGIVYLLFFSSRLLPEVRKDTVGDEHGEADASSFHRVEAVIGARFPGINKRVGDFNFVRHYGAEVKEIKRSGVSIVENLSRVKFHEGDTLVLWADDSFISTWGDSSVFVLLANGKDTEPKAGRKKRWFALTLLVLMIVGATVGELPFMEELLPGIDLDMFFFAAVTTVIMAWTKLFPARKYTKYISWDILITIACAFAISRAMVNSGVADIIAHGIIDMSDDYSPHVLLAVLFIITNLFTELITNNAAAALAFPLALSLSNQLGVSPMPFFVVICIAASASFSTPIGYQTNLIVQGIGNYKFTDFVRIGLPLNILTFIISVILIPLIWPF", "GHAIAKASGAEIYQAGKEEPLKYNKPDLLNPWFVVKRN" ]
[ "CTTGAAATATCAACAGGGTC", "AGTTCTCAATATTTAATTATGGAATAACAAAGACAAATATATCTGTTTTTCTTATATTTGCCGCCAGAAAATATTATTTTTTAGCCT", "AAAAATATTAAATAGTCAACCTACTCAAACTTTTTATCAGCACTCATGTTATTTAGTTGAATTTGGGAAGATAAACCTGGACAATAATTAACTAATAGAAATGAGT", "TATACACTGATTTCTGTCAGCAGAAGATAATCATGCCCGCAATTCCTTATAAAAACAGTATTGCGGGCATTTATTTT", "TACTTTTCTTTTTTTAATTGTACGCTG", "CACCCATTCCTTCATTTTAAATTCCTACTTATCCAT", "TTTATAATGAA", "TCTCTATTTTCATTTTGTCTTTAACTTCA", "CGTTTCCGGATA" ]
[ false, true, true, false, false, false, false, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21 ]
[ "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122625|-|11664:12296", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122626|-|12311:13519", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122627|-|13748:15616", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122628|-|15638:15934", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122629|-|15937:17604", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122630|-|17622:18980", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122631|-|18985:19857", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122632|-|19894:21231", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122633|-|21244:22719", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122634|+|22728:22937", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122635|+|22971:23405", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122636|-|23529:23678" ]
[ "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000011|+|12297:12310", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000012|+|13520:13747", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000013|+|15617:15637", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000014|+|15935:15936", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000015|+|17605:17621", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000016|+|18981:18984", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000017|+|19858:19893", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000018|+|21232:21243", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000019|+|22720:22727", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000020|+|22938:22970", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000021|+|23406:23528" ]
[ "MQTEYILKAMDAALAAGKEILNVYNDPSSDFQVERKADNSPLTLADRKAHETIMTYLQETDYPVLSEEGKHLPYEKRAQWDTLWIVDPLDGTKEFIKRNGEFTVNIALVKEGVPVFGVIYVPVKETLYWGEVATGAYKMEGVTGRAGRSLEEMKASAFRMPCAETNEVFVIVASRSHLSAETEEYIEEKRKIYPHVELVSVGSSIKICKVC", "MKITLLSLVLCLLCSLNMAAQGSDIGSNPEDTTIHQLSKKELRRRKVAKRNIHYNILGGPSYTPDFGALIGGSALVTFRMNPSDTLQKRSVLPMAVAVMFEGGLNLMVKPQLFFKNDKFRIFGKFTYKNTLENFYGIGYATNKHYERSDSTSEYRYSGFQINPWFLFRLGKSNFFAGPQIDLSYDKISEPAKYLVDQPDYKRLGGTAHGYSNFSSGVGFLLTYDSRDIPANAYKGIYLDFRGLMYQKFLGGDNNFYRLEVDYRQYKKVGNRKVIAWTAQTKNVFGDVPMNQYALSGTPFDLRGYYMGQYRDKSSHVVLAEYRQMFNTDKSTWVKRMLHHLGFVAWGGCGFMGPTMGKIEGVLPNAGVGLRIEVQPRMNVRLDFGRNFANDQSLFYFNMTEAF", "MSDIIRLLPDSVANQIAAGEVIQRPASVIKELVENAIDAGAQHVDVLVVDAGKTSIQVIDDGKGMSETDARLSFERHATSKIREAADLFALHTMGFRGEALASIAAVAQVELRTRMEGEELGTMLTISGSKVEGQEAVSCPKGSSFSVKNLFFNVPARRKFLKSNQTELSNILTEFERIVLVNPEVSFTLHHNGAELFNLPALQLRQRIMGVFGKKINQELLSLDVDTTMVRVSGFVGKPETARKKGARQYFFVNGRYMRHPYFHKAIMDAYEQLVPVGEQVSYFIYFEVDPANIDVNIHPTKTEIKFENEQAIWQILAAAVKETLGKFNAVPSIDFDTEGMPDIPAFDASPYTGIQPPKTTYNPDYNPFNVSAAPPSSYSKPSKDWEQLYAGLERHASSQNFHPDENDYRAEEASPAEENPGLYDHVEDSSVSEKSGQHYQFKGRFILTSVKSGLMIIDQQRAHIRILYDKYIDQISRRQGVSQGMLFPDIVQFPLSEVAILQEIMEDLSFLGFELTDLGGGSYAINGVPAGIEGLNPIDLIQNMVHTAMEKGGKVKEEVQSILALTLAKAAAIVPGQVLTNEEMTGLVDGLFAVATPNYTPDGKTVLSVINEDDLEKLFK", "MGMFKMEKPRRFNHQYIYVDERKEKLQKLEEKAKRELGMLPPKEFSPEDIRGKFVQGTKHLKRRKESGRKPMTYGALFVAILVLLYILHYLVTGEFTF", "MLGKRKNKYSSGRHRILVVSVLCLFGFCLLAQVRPAKKGEQKPAKSKVYLLHSDVLKKSPLNPDPDAQILIGNVAFRHDSVYMYCDSACFYEKTNSLEAFDNVKMVQGDTLFLYGDYLFYDGNTQIAQVRYNVRMENKNTTLLTDSLNYDRIYNLGYYFDGGTLMDEENVLTSEWGEYSPATKISVFNYDVKLVNPKFTLTSDTLRYSTATKIANILGPSDIVSDANHIYSELGFYNTQIGQAELLDRSVLTNEGKRLTGDSLFYDRVKGYGEAFDNVIMTDTVNKNMLTGDYCYYNELTKYAFATKKAVAVDYSQGDSLFMHADTLQMYTYYLNTDSMFRETRAYHKVRMYRTDVQGVCDSLVFSSKDSCLTMYYDPILWNNNQQLLGEKIMIYMNDSTIDWAHIQNQALSVEQLDSTSYNQVTGKEMKAWFQGGEMRKVDVIGSVRLVYYPMESDSTLIGMNVSETSLLNMFLENRKMKKMIMSPKSNGTLYPMLQRPPEKMKLDNFVWFDYIRPLDKEDIFKWRGKKAGQGLKKSNRSAVPLPNHNLFNKKK", "MCTKVYALMLMLFAVVSVYGQDNVIDEVVWVVGDEAILKSDVENERLNAQYEGRKFDGDPYCIIPEQLAIQKLFLHQAAIDSIEVSEQEIISDVERRTNWLIDQIGSKEKVEEYYNKTSTQIREMLRENIRDGKTVQKMQQQIVGDIKITPAEVRRYFKDLPQDSIPFIPTQVEVQIITMEPKIPQEEIERVKKTLRDYTERVTSGEIAFSTLARLYSEDEGSRRRGGELGFMGRAELVPEYANVAFNLQDPNKVSKIVESEFGFHIIQLIEKRGDRINTRHILLKPKVDEKDLEAALLRLDSIANDIRNEKFTFDDAATYISHDKDTRNNHGLMANPQTGTARFEMQQLAQVSQEAAKMVEGMNVGEISKPFTMINAKGKEICAIVKLKTRLNGHKATITEDYQRLKGIVMEKRSEEKLDKWIRDKQKHTYVRINEKWQKCDFKYPGWVKK", "MNIVKNWGVLLVIAGALSGCGQEHDHKGRTPLVEVAGEYLYKEDLQAALPFHISKDDSVLFAEHYIKNWVEDVLLFDKAEGNIPDNAKIAKLVENYRRALIMHTYQEELVNQKLANEISDEEISAYYEKNKELFHTEHPFVKGLFIKVPLHSQDLASVRKWYKKNNRDAIESLEKYSLRNAVSYDYFYDRWMPLSDIAVKIPLKALDTDENYLDKNRNIEVKDTAFCYFLHVEEFLGKDQQRPLDFAKTEIKEILINLKRVEFINKVKEELYRHASDRNKINYYYLNSNE", "MPHRMVGILSLLLVFALEMRAQGEVVLSIDGEPVKRSEFEYYFRKSSHRTPLSFLPSFINYKLKVRYAHDMGIDTLPVFRRQVDWYRGKLLRTYLADAEKEEQAARRLYLQGEQRLQANDWIKIAHISKYLSQNASRQEEMRVRQQMDSVYEALREGADFATLARRYSDDEACKNVGGVLPWMPVNKNMQEWIDKLESLERNKISAPFYSPMGIHIVKWIDRRQGVSFEEKREQLLNYLEKNGNCTWKELSAEQKEELEFRVQELQDGLLAAYLSQKYQSGDEAWNEDDLERFFKQHKSDYAWELPHYRGAVIHCKDRKTASAIKKQLKKKPVSQWKDILHTLTGDDASSKVRMEAGVFQIGTNRYIDKLVFKCGSFQPEPDLPYTFVMGKKLKKGPESYEDVREAVVKDYLTVYEDAWLKDLKQKYKVEINQEVLKTVNNNGSN", "MSFIADKIVMDGLTYDDVLLIPAYSEVLPKTVELTTKFSRNIELKVPFVTAAMDTVTEAKMAIAIAREGGIGVIHKNMSIEEQARQVAIVKRAENGMIYDPVTIKRGSTVRDALALMSEYRIGGIPVVDDERYLVGIVTNRDLRFEKDMDKRIDEVMTKENIVTTNQSTDMEAASRILQEHKIEKLPVVDKEGKLVGLITYKDITKAKDKPMACKDAKGRLRVAAGVGVTADTLDRMQALVDAGADAIVIDTAHGHSMYVIEKLKEAKKRFPNIDIVVGNIATGEAAKMLVEAGADGVKVGIGPGSICTTRVVAGVGVPQLSAVYDVAKALKGTGIPLIADGGLRYSGDVVKALAAGGYSVMIGSLVAGTEESPGDTIIFNGRKFKSYRGMGSLEAMENGSKDRYFQSGTADVKKLVPEGIAARVPYKGTLYEVIYQLVGGLRAGMGYCGAANIEQLHDAKFTRITNAGVLESHPHDVAITSEAPNYSRPQ", "MRLDFIACKYTHFIQYGKTIEYFFAKRIRNFAEIKRISPPNGSHKTITKKQGVHETNEALLFFRSSVFH", "MEKQPQFPFAPKDFARCLNATCPRSQNCLRRTAALQDTDEHLFLKVVNPLRYPKEGEECELFRSTDKVRMAWGVTHLLDNVPYKEGSLLRNMIINHLGRSQYYRCFRKERPFAPQDQQTIRMLFRQRGISEEPSFDYYTNEFNW", "PAEILKILHQEVDIPLNAKRVAAFGRILRKQGIPSRHTRKGTVYQLVRA" ]
[ "AGTGTTTACTTCCA", "ATAATAGTTTATTGTCTGCCCAAAGTCCTTACTCAAAAAAACTTTCTACGGCAAATGAGTTTTCAAAAGTACAAAAGTCCTGCCAAAGAATAACGGTGTGTTGAATTTGTTTTTATTTAGTTCAAGAATCTTCACGTTCTTTATAATGTAACAATGTGTCAATATGCCAATGTGTCAATTACCATGCGGACATAGAGCAGCACATTGGCAAACCAGCACATTGGCAAA", "ATATCTTATAAACTTACGTAA", "AG", "ATACTTTATACACTACA", "CAAT", "ATAACATTAAAATAGTGAACGAAGATACTGCAATAA", "CATTCATACATT", "AGGGCTTT", "ATTTGTACTTTGAACAAAAGAAACAAAAGAAAC", "TATCCGTCACACTGTCACAGCCGCATGCAACACATTGTACGACAATAGTTTACCACTATGACAGATGGTGTAACGGATGGTGTGACGGACTTTTATCTGTCACACCGCCGCCTCCGGATCTCA" ]
[ false, false, false, false, false, false, false, false, false, true, true, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 17, 19, 21, 23, 25 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 18, 20, 22, 24, 26 ]
[ "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122637|-|23739:25673", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122638|-|25993:26214", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122639|-|26351:28531", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122640|-|28689:29939", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122641|-|30063:30734", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122642|-|30915:32270", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122643|+|32652:32897", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122644|-|32972:33793", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122645|+|33898:34665", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122646|+|34662:35426", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122647|-|35505:36818", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122648|-|36852:37733", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122649|-|37816:38823", "2510065017|RJ2H1_RJ2H1-contig-007.7|CDS|2510122650|-|38925:39110" ]
[ "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000023|+|25674:25992", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000024|+|26215:26350", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000025|+|28532:28688", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000026|+|29940:30062", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000027|+|30735:30914", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000028|+|32271:32651", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000029|+|32898:32971", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000030|+|33794:33897", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000031|+|35427:35504", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000032|+|36819:36851", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000033|+|37734:37815", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000034|+|38824:38924", "2510065017|RJ2H1_RJ2H1-contig-007.7|IG|IG_000035|+|39111:39121" ]
[ "MIKITQVRDGGNHVTQSTLTLDAWVERMKEEVKTCPVTMFRSQLRHVLPGDHCEAADKLPKMMPAAEFRKTEGGQCMKAYNGLVELTVGPLAGRAEVEQVKREAWKLPQTRCAFMGASGHSVKILVAFTRPDNTLPLTRAEAEAFHAHAYIMAVKCYQPQLSFDIRPKEPFLEQYSRLSYDPDVLYRPDSVQFYLSQPMGMPDEMALHTEPAVSGQRPLERALGSYETADALSMLFEAALRQAYIDLDKPDPADEKSSYSWDTLLSQLALNCFRSGIPEEAVVSRARFRHFVRKPLELVRQVVRNVYSRRQDFGSKCALSREQQLSVLIAEFMKRRYEFRFNVQTGEAEFRERHSFCFRFQPVDKRALNSIALDAQAEGIPLWDRDISRYVYSNRVPVYNPLEDFLFNLPEWDGRDRIRPLAQTVPCDNPHWPELFHRWFLNMVAHWRGMDKRYANSVSPLLVGAQGTRKSTFCRSIMPPSECSYYTDSLDFSRKKDVELSLNRFALINIDEFDQVSATQQGFLKHILQKPVVNARRPYGTSVVEMRRYASFIATSNHKDLLTDPSGSRRFICIEVKGVIDTSRPIDYDQLYAQAMHELAHGERYWFNDADEYVMTEANREFQQYSPEEQFLFRYFRMAEAGEEG", "MRNLNCHIRHICHTAVYALELQRVAMVTDSVTDTVTDFCQSCTYATASFYMHDVGSFTQWNSLFRPVEQIVSC", "MTENINLTDALKKYFGFDTFKGNQEAIIRNLLAGNDTFVLMPTGGGKSLCYQLPSLIMDGTAIVISPLIALMKNQVDAMRNFSEEDGVAHFINSSLNKSAIDQVKSDILSGKTKLLYVAPESLTKEENVDFLKGVKISFYAVDEAHCISEWGHDFRPEYRRIRPIINEIGKAPVIALTATATPKVRMDIQKNLGMQDAQEFKSSFNRPNLYYEVRSKTNNIDRDIIKFIKANPGKSGIIYCLSRKKVEELAEVLQANGINARAYHAGMDSATRTANQDGFLKEDIDVIVATIAFGMGIDKPDVRFVIHYDIPKSLEGYYQETGRAGRDGGEGQCITFYSNKDLQKLEKFMQGKPVAEQEIGKQLLLETAAYAESSICRRKSLLHYFGEEYTEENCGNCDNCLNPKKQVEAQDSLCAVIEAIIAVKENFKADYIIDILLGKETSEVLAHKHEELEVFGSGMGEEEKMWNAVIRQALIAGYLSKDVENYGLLKVTPEGHKFLKKPKSFKIVEDNDFEEEEEETPVRGGASCAVDPVLYSMLKDLRKKLSKKLDVPPYVIFQDPSLEAMATIYPVTLEELQNIPGVGAGKAKRYGQEFCVLIKKHCEENEIERPEDLRVRTVANKSKLKVSIIQAIDRKVALDDIAVSKGLEFGELLDEVEAIVYSGTKLNIDYFLEEIMDEDHLNDIYDYFKESTTDKIDDAMDELGDDYTEDEIRLVRIKFISEMAN", "LEDNKTPRNSRRKCSFCGRSENEVGFLITGMNGCICDSCSEQAYEIMQEAMHQKKGAPGELNLKELPKPKEIKEFLDQYIIGQDDAKRYLSVAVYNHYKRLLQKVDKDDIEIEKSNIIMVGSTGTGKTLLARTIAKLLHVPFTIVDATVLTEAGYVGEDIESLLTRLLQVADYNVAEAERGIVFIDEIDKIARKGDNPSITRDVSGEGVQQGLLKLLEGSIVNVPPQGGRKHPDQKMIPVNTKNILFICGGAFDGIERKIAQRLNTHVVGYSAAKDVVKIDRGNLMQYIAPQDLKSFGLIPEIIGRLPILTYLNPLDRTALRNILTEPKNSIIKQYVKLFEMDGVKLEFQPEVFEYIVDKAIEYKLGARGLRSIVETIMMDVMFEIPSQKAKKYEVTLDFAKQQMGKANISRMQTA", "MDDFRKFATKHLGMNSMVLDDVIKSQGYLNPYILEERQLNVTQLDVFSRLMMDRIIFLGTQIDDYTANTLQAQLLYLDSVESGKDISIYINSPGGSVYAGLGIYDTMQFINSDVATICTGMAASMAAVLLVAGTEGKRSALTHSRVMIHQPMGGAQGQASDIEITAREIQKLKKELYTIIADHSHTDFDKVWADSDRDYWMTAQEAKEYGMIDEVLSRKPAAL", "MNISLQNVDKVSALLTLKLEKADYQARVEKTLKTYRQKANIPGFRPGMVPMSLIKKQFGKSVLVEEVDKMMQEKVNEYIRDNKVNMLGMPLPNEEKMKPIDFDTQEDFEFVFDIALAPEFTAEISAADTVDYYTIEVSDELIEQQVKMYTQRAAKYDKVEQYEDKDMIKGLLAELDENGNTKEGGIQVEGAVMMPAYMKNDEQKTIFNGAKVNDVLVFNPAVAFDNNEAELSSLLKIKKEEVAGVKGNFSFQVEEITRMVPAELNQELFDNVFGEGTVSSEEEFRAKIKEGIAKQFESDSDYKFLIDVREYLVNKIGKLEFPDALLKRIMLLNNEEKGEAFVAENYDKSIEELTWHLIKEQLLKNNEIKVEQADVMNMAKEATRIQFAQYGMLNIPEEVLENYAKEMLKKQESVEGLVNRAAESKLSAALKAKATLNHKTVSMEEFNKMFE", "MNMYVGNLNYRVKEGDLEQAMAAYGVVTSVKVIKDRETGKSKGFAFVEMENDAEAAQAMNELNGSEFMGRQLVIKEARPRD", "MSEQEIEQQNTVTDDNRMILRTENLVKKYGKRTVVSHVSFDVKQGEIVGLLGPNGAGKTTSFYMTTGLIVPNEGHIFLNDLDITSYPVYKRAQNGIGYLAQEASVFRKMSVEDNIASVLELTNTTPEYQKDKLESLIAEFRLQKVRKNLGDQLSGGERRRTEIARCLAIDPKFIMLDEPFAGVDPIAVEDIQHIVWKLKDKNIGILITDHNALETLRLTDRAYLLFEGKILFQGTPEELAENPVVREKYLGTNFVLRRKDFQLAEKDRQGKNV", "LQEIVKTMMIRPITTVGKYIMLMGRTFARPERMHMFFKQYLNEMVQLGVNSIGIVLLISFFIGAVITIQIKLNIESPWMPRFVVGYTTREIMLLEFSSSIMCLILSGKVGSNIASEIGTMRVTQQIDALEIMGVNSAGYLILPKIIALMTMIPFLVIFSISSGIIGAFCTCWFGGVMNAEDLAYGLQYSFQEWFVWCSFIKSIFFAFIISSVSAYFGYTVEGGSIAVGKASTDSVVMSSVLILFSDLVLTQLLMG", "MIQVKSLYKSFEGKDVLVDINATFNNGKTNLIIGQSGSGKTVLMKCIVGLLTPEKGEILYDGRNFLNMNKKEKKTLRREMGMIFQSAALFDSLTVLENVMFPLDMFSNDTYRDRVKRAQFCLDRVNLIEAQSKYPGEISGGMQKRVAIARAIALNPQYLFCDEPNSGLDPKTSLVIDELIHDITTEYNMTTIINTHDMNSVMGIGDSILYIYQGHKEWEGTKDDVFTATNERLNNFIFASDLLRKVREVEEHRK", "MGNLVAIVGRPNVGKSTLFNRLTKTRQAIVNEQAGTTRDRQYGKSEWVGHEFSVVDTGGWVVNSDDVFEEEIRKQVSLAIDEADVILFVVDVVNGVTDLDMAVASILRRTKKPVIMVANKTDNNELQYNAAEFYKLGLGDPYCISALSGSGTGELLDLVVGKFSKEGEELLDEDIPRFAVVGRPNAGKSSIINAFIGEDRNIVTEIAGTTRDSIYTRYEKFGFDFYLVDTAGIRKKNKVSEDLEYYSVIRSIRSIENSDVCILMLDATRGIEGQDLNIFSLIQRNQKGLVVVVNKWDLVENKNAKVMKTYEEAIRSRLAPFVDFPIIFASALTKQRIFKVLETAKEVYQARTTRIPTARLNEEMLPLIEAYPPPSNKGKYIKIKYCTQLPNTQVPSFVFFANLPQYVKEPYKRFLENKIREKWNLSGTPINIFIRQK", "MHKAGFVNIVGNPNVGKSTLMNLLVGERISIATFKSQTTRHRIMGILNTDDMQIVFSDTPGVVKPNYKLQESMLNFSESALVDADILLYVTDVVEKTDKNADFIEKVRNVKVPVLLLINKIDLTNQEDLVKLVEAWHEQLPQAEIIPISATSKFNVDTVMKRIKELLPDSPPYFGKDQWTDKPARFFVTEIIREKILLYYDKEIPYSVEVVVEQFKEDAKSIHINAVIYVERESQKGIIIGKQGRALKKVATEARKTLEHFFQKSIYLETFVKVDKDWRSSDKELKNFGYQMD", "MMEKINAVITGVGGYVPDYVLTNEEISRMVDTNDEWIMTRIGVKERRILNEEGLGTSYMARKAAKQLMQKTASNPDDIDAVIVATTTPDYHFPSTASILCDKLGLKNAFAFDLQAACCGFLYLMETAASLIASGRHKKIIIVGADKMSSMVNYQDRATCPIFGDGAAACMVEATTEDYGIMDSILRTDGKGLPFLHMKAGGSVCPPSYFTVDHKMHYLYQEGRTVFKYAVSNMSDITATIAEKNGLNKDNIDWVIPHQANLRIIDAVASRLEVPLEKVMINIQRYGNTSGATLPLCLWDYEKQLKKGDNLIFTAFGAGFTYGAVYVKWGYDGSKR", "MAHPKRRQSKTRTAKRRTHDKAVAPTLAICPNCGEWHVYHTVCGACGYYRGKLAIEKEAAV" ]
[ "TTATCGTGTATTTATTCGTTTTTTCCAATCTATAAAGATACGGATAAAATCGGGAAACAAAGCAATATACAGGAAGGTTTCTTTCAAAAAAAAGGACGCGAAGGGTACAGGAATTTCCGATAGGGAGGTGAAGCGGAAAGATATTGAAGGAATGCATTCGCGACAGGTATAATCCAGACCATTATATGCTATGATACAATGTATTGCACCATTTGGAACAATGAGTTTTTCTAATAGAAACCATTAATTCTAGCGCATGGTACAACCTGTCTTTACATATAGGAATAAATTGTTCCACTGATAGGAATAATTTGTTCCA", "AATACCAAATTTAAGGTTTATGCAAATACAACGGATATTTTCTCCCGAAACAAGAATATGCTATAAAAACATATGAAAAGCCGGACAAACATCTCTGTCGCCCGGCTTTTTTATTATCAAAACAATCAAAGAAGCA", "TGTATCAATAGTTTTTCACGGTTTTCCCGATTTCTTGTTTTACATCTTTTGTTGCCTAACAAAGTTATAAACAAGTTCTCAAATTACACGAATATTTCGGGTAATATTTTTCAGAAGAATTGCATTTTTTCTTTCTCTCTCCCTTTATATCTTGATA", "TGTTTATTCCTTTAAATATCCAAGTTTCCTAATGCGTCTTTAAGAAAGAAGCCTGCATGAAATGATAGCGGAAACCTGGGTTGTTTTTAGTACTGTTATTCTTATCGAATGGAAATAAATACA", "AATCTAATGGTTTAGTTATTAGTAACAAAAAAGGGTTTTCAACCTCTATTTTTCAAACAAACAAAAGTACAAAAAAATACGGTTAACGTATCCAATACTCTTATCTATAACGAAAATTTATGGTCAAAAACCCCTTTTTTAGAAAGTTTTAGCAATCACTTACTTCGACTTACTAAGTTA", "TCTGAACTTTATTTATTTAATTATTACTTCTTTCTGTTTTTCACCAAAGAGGTTGCAAAATTAGTGTTTAATCTTTCAATAACCAAATACACAGACTAAAAAATACTAATTGACAGCAGAAAGTATCCATTTAAAATGACTGACTAAGAAACCGATTCAAAAAAAAGAAAAAAAAGTTGTTTTTCTTCTTGCAAATTCAAAATTTATGCGTTCCTTTGTGCCCGACTGGTGATACTAATTATAGCTAGTGATTTTTATTACAAGGTTTTACTTTTGTACGAATAGCACTTACGTAAACTCTCTGTCGTTCGAATCCCCTACTTCATTAATAATCACCACTCAGAGAGTATTTTTTATTTTAATTATTTTTATTTTTTCACT", "TTACTTATCTGATACAATCAAAAAAAAGCTCTGAGCAAATTCAGAGCTTTTTTTTATATCCCACATCTCCGGTT", "ATCCATGTATTTTGCGACAAAAATACTAAAAAAGTTTAGGAAACGCATAACAGAACAGAAAATCAGAACTTCTTTTGCATTTATATAGACAAAAAAGGCTACTT", "TGATAAAATGATTAGTGGTTAATAACCAGCGGATATCACGGTGAAACCCATTAACCCACTAATCATTAACCACTATTC", "TTTCTTTCTTGTTTACGGCTATCACAGTCGATT", "AATTCTTTCATTTTATTGGTTACAGACAAAAAAACGCATCGGAATACGGATGCGTTCATTTGTATTTGTAGTTTAATGATTA", "TCCAATTTAATTAGTTTATACCCTTACAAAAAAGTAGCCGACAGAGCCGAAGCTCGCCGGCTTTATTTTATAAAACGTACAAACGTGTTTAAAGCTACTGA", "TTTTCTAAACT" ]
[ false, false, false, false, false, false, true, false, true, true, false, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 20, 22, 24, 26, 28, 29, 31, 33, 35, 36, 38, 40, 42, 44, 45, 47, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 100, 102, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 21, 23, 25, 27, 30, 32, 34, 37, 39, 41, 43, 46, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 101, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130 ]
[ "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122653|+|498:617", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122654|+|634:882", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122655|+|912:1499", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122656|+|1510:1800", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122657|+|2044:3045", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122658|+|3123:5198", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122659|-|5211:5804", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122660|-|5809:6267", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122661|-|6373:7128", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122662|-|7159:8460", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122663|-|8450:8992", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122664|-|9106:9996", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122665|-|10019:10483", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122666|-|10704:12800", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122667|-|12802:13461", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122668|-|13458:14009", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122669|-|14013:14906", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122670|-|14916:15293", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122671|-|15342:16058", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122672|-|16055:16771", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122673|+|16875:17762", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122674|+|17934:19244", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122675|-|20204:20656", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122676|-|20705:23788", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122677|-|23785:24987", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122678|+|25159:25434", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122679|+|25424:25765", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122680|-|25818:28169", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122681|-|28223:30682", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122682|-|30752:32386", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122683|-|32408:35356", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122684|-|35568:38408", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122685|-|38596:40950", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122686|-|40961:43459", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122687|-|43487:45430", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122688|+|46011:49082", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122689|+|49095:50648", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122690|-|50768:51769", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122691|-|52094:53278", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122692|-|53241:54089", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122693|-|54216:55106", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122694|-|55141:56826", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122695|-|56853:59870", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122696|-|59905:61797", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122697|-|61812:64940", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122698|+|65203:69255", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122699|-|69464:70504", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122700|-|70646:72961", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122701|-|73053:74291", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122702|-|74750:76048", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122703|+|76167:77024", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122704|+|77056:77820", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122705|-|77898:78908", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122706|-|78892:79794", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122707|-|80018:80713", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122708|-|80694:81047", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122709|+|81250:82263", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122710|+|82289:83485", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122711|-|83551:84318", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122712|-|84451:84771", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122713|-|85281:88184", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122714|-|88294:89964", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122715|-|89976:92942", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122716|+|93284:97315", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122717|-|97436:98125", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122718|-|98199:99188", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122719|-|99290:99856", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122720|+|100059:100214", "2510065017|RJ2H1_RJ2H1-contig-008.8|CDS|2510122721|-|100393:101628" ]
[ "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000001|+|222:497", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000002|+|618:633", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000003|+|883:911", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000004|+|1500:1509", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000005|+|1801:2043", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000006|+|3046:3122", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000007|+|5199:5210", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000008|+|5805:5808", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000009|+|6268:6372", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000010|+|7129:7158", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000011|+|8993:9105", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000012|+|9997:10018", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000013|+|10484:10703", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000014|+|12801:12801", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000015|+|14010:14012", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000016|+|14907:14915", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000017|+|15294:15341", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000018|+|16772:16874", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000019|+|17763:17933", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000020|+|19245:20203", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000021|+|20657:20704", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000022|+|24988:25158", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000023|+|25766:25817", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000024|+|28170:28222", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000025|+|30683:30751", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000026|+|32387:32407", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000027|+|35357:35567", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000028|+|38409:38595", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000029|+|40951:40960", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000030|+|43460:43486", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000031|+|45431:46010", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000032|+|49083:49094", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000033|+|50649:50767", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000034|+|51770:52093", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000035|+|54090:54215", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000036|+|55107:55140", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000037|+|56827:56852", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000038|+|59871:59904", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000039|+|61798:61811", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000040|+|64941:65202", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000041|+|69256:69463", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000042|+|70505:70645", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000043|+|72962:73052", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000044|+|74292:74749", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000045|+|76049:76166", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000046|+|77025:77055", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000047|+|77821:77897", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000048|+|79795:80017", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000049|+|81048:81249", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000050|+|82264:82288", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000051|+|83486:83550", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000052|+|84319:84450", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000053|+|84772:85280", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000054|+|88185:88293", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000055|+|89965:89975", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000056|+|92943:93283", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000057|+|97316:97435", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000058|+|98126:98198", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000059|+|99189:99289", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000060|+|99857:100058", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000061|+|100215:100392", "2510065017|RJ2H1_RJ2H1-contig-008.8|IG|IG_000062|+|101629:101821" ]
[ "MKTNTIFYKKRATILACATLAIPRFATESIAQTTNFISP", "MKTNQNNEKTVPTQEQIEAACRQSARHFWNWLTWSLVYYVVINLFLIAINWFTSPHYWWVLWVIAGWGISLLMTAIEKSIKY", "MEERKLNEKESLELIAQMIQNTKNRLETNCGMPFLFWGYTTLFVSLLIWFLVVTTRNYYWQYLWFLLPIIAGTGTYLSTRNQQPGIKTHLDKVINYIWLVFGITGFLISMLAMFFWQLPILFIILLLMGMGTTLTGLVVGYKTVTICGTLGALSSIGCLFYPGPNQILIFAPVFIFMMVIPGHVLNHAARKQKKS", "MFKELNPLLHSELRLAVMSILVSVEEAEFSYLKQQTGATAGNLSVQIDKLNKAGYVEVIKTFKGKMPCTICKITLKGLEAFEEYVDALKSYININT", "MEFVTNEKLTIVGAAGMIGSNMAQTAIMMGLTPNICLYDPYAPGLEGVAEELYHCGFEGMNITFTSDIKEALTDAKYIVNSGGAARKAGMTREDLLKGNAAIAEEFGKNVKAYCPDVKHIVVIFNPADITGLITLLYSGLKPSQVTTLAALDSTRLRSELSKHFGIAMDNVENCRTYGGHGEQMAVYASTAKVNGKALLDIIGTDALTKEQWTEIQQKVTKGGANIINLRGRSSFQSPSYVSIEMIAAAMGGKPFRWPAGTYVSNGKFDHIMMAWETSITKDGCHLKEVKGTPEEEAALEKSYAHLCALRDEVIAMGVLPPVSEWNKLNPYIK", "MIDQPTIDRILDAAQIVDVVSEFVTLRKRGVNFVGLCPFHDDKTPSFYVSPAKGLCKCFACGKGGNAVHFVMEHEQMTYPEALRWLAKKYNIEIKERELTDEEKQVQNIRESLFVVNEFARDYFQNILYNHADGKAIAMSYFRQRGIRDDIVKKFQLGYSTTAPDALAQEAMRKGYKKEFLLKTGLCYEKEDGSLRDRFWGRVIFPWFNISGKVLGFGGRVLDSRTKGVNQKYVNSPESEIFSKRKELYGIYQAKAAIVKADCVYMVEGYTDVIAMHQCGLENVVANSGTALSEQQIRLLHRFTSNITLLYDGDEAGIKASIRGIDMLLAEGMNIKVLLLPDGDDPDSFSRKHNATEFRKYIDDHEENFIRFKTNLLLKDAQRDPIKRAGLISDMARSIGLIPDKVIRYTCLTECATLLNVNEQIILDEIKKHLLQRDDNYLEQIKKEKDASATTGSLPPADTPFPAGSIPPADMPTLGVDDNVPPPFPPAEAEAGYQSYIPQEGREKYVFYVKEQLLLQTLIRHGEKVMCYVETEENTETPLTVIEYISMDLKQDELQFHNPLHRKILAEAEAHLHDPNFTAERYFLAHPDPTISKLAADMINDRYQLSKSNSQAMVKDEERLHELVPHQLIDFKLAILEEDMKYTLQALNKPEVVANADKCLEVMAHFKELSELQKIMAKRAGDRVVLK", "MEELLHPEWSAEKIEQLKGHYQSILSLLGEDVEREGLLKTPERVAKAMLTLTRGYEQDPHAILLGAKFKEEYSQMVIVKDIDFFSLCEHHMLPFYGKAHVAYIPNGYITGLSKIARVVDVFSHRLQVQERMTLQIKECIQETLNPLGVMVVVEAKHMCMQMRGVEKQNAITTTSDFTGAFNQAKTREEFMNLIRHNR", "MRYIFILLFLSLGLSALAQQNIVESLQRNRAGEGTVTIRQDPKITGLIGSIYTGNVTGGEQKMLKARGYRVQVYAGNNSRVARNEANNVAAKVKEEFPDMPVYAYFQPPRWLCRVGDFRSIEEADAAMRRLKATGVFKEVSIVREQINIPLD", "MRKNIVAGNWKMNKNLQEGIALAKELNEALAADKPNCDVVICTPFIHLASVTPIVDKAVIGVGAENCADKVSGAYTGEVSAEMVASTGAEYVILGHSERRAYYHETVEILEEKVKLALANNLKPIFCIGEVLEEREANKQNEVVAAQLASVFSLSAEDFSKIILAYEPVWAIGTGKTATAEQAQEIHAFIRSLIADKYGKEVADNTSILYGGSCKPSNAKELFANPDVDGGLIGGAALKVVDFKGIIDAFK", "LESKQLHSIIGIWTNACRFLLAAVFIFSGFVKAVDPLGTQYKIQDYLEVFGWAASVPAFLPFLASVLQAMVEFCLGVYLLFGIRRRMTTLFVVLIMGVMTPLTLWLALSNPISDCGCFGDAVTLTNWETFGKNVLLLIAAVSVFKWGNRITPLVTKRFDWLVAMYTFLYISGMTLYCYRELPVFDFRPYHIGADIRKGMEIPEGEKPTVYETRFILQKDGVEKEFTLENYPDSTWTFVDSKTMVKEQGYEPPIHDFSIIRQEDGEDITDEVLDDDNYTFLLVAHQLSQADDSTIDLINELYDYSVEHGYQFYCLTSSPDSDIEDWQERTGAEYPFCLMDDITLKTMIRSNPGLMLLKNGVVINKWSVNSLPDEYVLTDRLEKLPLAQINEKTFSHKVVLVLAWFVFPLLFFSMVDVIWEHFHRKKKLKENRTK", "MKDTKQQFEHVIAICRDLFAKKLHDYGAAWRIMRPSSVTDQIFIKANRIRSIETKGVAMVDEGIRSEFIAIVNYGIIGLVQLELGYAETDDMTEERALELYDRYAKQALELMLAKNHDYDEAWRSMRVSSYTDLILMKIYRTKQIEGHDGATLVSEGIDANYMDMINYSVFGLIKLEFGE", "MILKCVKVVAAAAFALVSLNVSGQDLLARQAPIDRKLKAVDSVALIRQIKAEKSAYPAYSLYPNWSNERVHAYGNTVTIPDTFRIDMTGFRMPTEHTKITSKFGPRRRRMHNGLDIKVYIGDTIRAAFSGKVRMVKYERRGYGKYVVIRHENGLETVYGHLSKQIVDENQYVEAGEPIGLGGNTGRSTGSHLHFETRFLGQAINPALLFDFEKQDIVADSYLFRKGNNRYQRNRTNSKNVNLLASSDGTIRYHKVRSGDTLSRIAQKTGTSIDALCKLNHITRRTILRPGQVLRCS", "MMEKTLVILKPCTLQRGLVGEIINRFERKGLRLAGMKMVQLTDEVLSEHYAHLSSKPFFQRVKDAMMVCPVIVCCFEGVDAVQVVRTLTGPTNGRLAAPGTIRGDYSMSFQENIVHASDSPETAEVELKRFFKPEEIFEYKQAVFGSLYANDEY", "MFDITTRDIKYLQGVGPQRATVLNKELNLFSLHDLLYYFPYKYVDRSRLYYIHEIDGNMPYIQLKGEILSFETLGEGRQRRLVGHFSDGTGIIDLVWFQGIKYLLEHYKTRTEYIVFGKPTVFNGRINVAHPDMDPSGELTLSTMGLQPYYNTTERMKRGFLNSHGLEKLMKNALALLQEPLAETLPPRLVEEHHLMSLDEAIRNIHFPKNPELLRKAQYRLKFEELFYVQLNILRYSKDRQRKYRGLRFERVGEIFNTFYSQNLPFELTGAQKRVIKEIRKDMGSGRQMNRLLQGDVGSGKTLVALMSMLIALDNGYQACMMAPTEILAAQHYETIRKFLFGMDVRVELLMGSVKGKKREKILKDLLTGDVQILIGTHAVLEDTVGFSSLGMVIIDEQHRFGVAQRAKLWSKNVCPPHVLVMTATPIPRTLAMTLYGDLDVSVIDELPPGRKPIQTIHQFDNRRASLYASIRKQIEEGRQIYIVYPLIKESEKMDIKNLEEGYELICAEFPDCQVSKVHGKMKPAEKDAEMQRFVSGETQIMVATTVIEVGVNVPNASVMVIENAERFGLSQLHQLRGRVGRGADQSYCILVTTYKLTEETRKRLEIMVQTNDGFEIAEADLKLRGPGDLEGTQQSGVAFDLKIADIARDGQLLQYVRDVANRIVDEDPAGTHPENAILWQQLQALRKTNINWAAIS", "MNRHVIIVAGGKGLRMGGDIPKQFLPVGGKPVLMRTIEAFYAFDSSIHIILVLPVSQQAYWKDLCETYHFALRHDIADGGETRFHSVKNGLAYVKGEGLVGVHDGVRPFVSREVIAGCYEAAQTKQAVIPVIDVVETVRHLTKPGSETVPRNDYKLVQTPQVFEVQLLKEAYQQEYTDAFTDDASVVEAMGREVCLVQGNRENIKLTTPFDLKIAEVLI", "MKTIYVFLAEGFEEVEALTPVDVLRRAGLPVKTVSVTGVLTVNGAHGVPVVADMVFEEVKEGDAEMIVLPGGLPGATNLDAHEGLGKLIMTFAEAGRPLSAICAAPLVYGKRGLLKGKKVTCYPGFEKYLEGAEYTAALVEKDGNFITGKGPGAAMAFSFAIAEKYVGAEKVTELKQGMMIAE", "MKKNKITGLIGTAVLHILLLILLLVIAIRRPQVQEEGGVPVMLGNTELSQGNADPYTLTDIDIMNEPEAPAPDVSEPETVPPVEAKEEIITQTEEETVAVPKKEPKKETPKKEKPKKETPKKDVPKKEAVKPKEKTEAEKRAEAEKAAAEKKAAAERAAAEAAAKKIAGAFGKGTQMGNKGTGTTGSGLEGSPTGNSSEGKSGGVGGYGTFDLNGRSLGSGGLPMPVYNVQDEGRVVVTITVNPAGQVISTSINKRTNTVNASLRKAAEEAARKARFNQVDGVNNQTGTITYYFKLK", "MASMTDIIFLLLIFFMITSTMVSPNAIKVLLPQGRQQTSAKPLTRVIIDKNLNFYTAFGNEDEMPIDLEELPAFLQRCAEKEPDMYVALYADEAVPYREIVRVLNIANENHFKMVLATRPPEKKR", "MMNITLLAAQAALADTLAGGNPVLTPVSEEARMNLWDMAMKGGWIMLVLALLSVVCFYIFFERMAVIRKAGKDDPLFMERIRDYIRTGEIKSAINYCRITNTPSARMIEKGITRMGRPVADVQAAIENSGNIEVAKLENGLPVVATIAGGAPMIGFLGTVTGMVQAFWEMSNAGNNIDITLLSGGIYEAMITTVGGLVVGIAAMFAYNYLVTRVDKVVSQMEARTMDFMDLLNEPVQK", "MTKLSVNINKVATLRNARGGNNPDVVKVALDCEAFGAEGITVHPRPDERHIRRSDVYELRPLLTTEFNIEGYPSPEFVDLVLKVKPHQVTLVPDAPDQITSNAGWDTKTNLSFLTELMDTFGQAGIRTSIFVGTDKEMIEYAAKAGADRVELYTEPYATMYPRNPEAAIAPFIEAAKVTRSLGMGLNAGHDLSLVNLKYMHTHIPWLDEVSIGHALISDALYMGLKQTIEEYKNCLRS", "MNIRPMKFALFGNTYQAKKSAHVERLLSILSQHNAEVHICREFYEFLTDDLKISIRHAGVFDGNNFEADMILSIGGDGTFLKAASRVGSRNIPILGINTGRLGFLADVSPEEMEDTFNDIYNGNYRIEDRSVLQVSCKEQELKGYPFGLNEIAVLKRDSSSMISIHTAINGAYLTTYQADGLVIATPTGSTAYSLSIGGPVIVPHSNTIAITPVAPHSLNVRPIVINDDWEITLDIESRSHNFLIAIDGRSETCREGSRLTIRKADYKINVVKRNSHIFFNTLRNKMMWGADGRG", "MKGTIQKWKLVILLDALLFLSNMTFAKDTINQYTEGNPIDTTAVSISDKTNIPDRLYVDSLSLKRHFIHRIGIEARPGYIFPTSSFFRGENLNWKPIENSLSLHLKYSFQFHPNTYNDRIYRGAYQGIGVGYYNMYEKPQLGNPLTVYLFQGARIARFNQRLSLNYEWNFGASFGWKPYHSDLNPYNKVIGSKVNAYLNTNFYFNWMLSPKFDFTTGVAVTHFSNGNTKFPNAGLNSIGLKVGLVYNINRKEECFAKPLYQSPVPKFPRHISYDLVLFGSWRRKGVTIGEGQMVASPETYPVLGFNFAPMYNFGYKFRAGISLDGVYDGSANVYSPDGYGDELLKPSAGKQLALGVSGRAEYVMPYFTVGIGLGTNVIHAGGDLKSFYQILALKIEVTRNSFLHIGYNLQDFHDPNYLMLGFGFRFNNKYPTFHRR", "MKKTLIGLLGSTVICVLFLAGCIDEHYGEDSNTIVTNLTGYAWERTFQIRTEDGRDAEVCEHYEFGLNGKASCKSRTTCDGEEVEEHVHYFRYDFITPNNRYLLLDYCYWQIDRISSSVLSIYETFENPVVVMGQTREYKSFSAVPLGDG", "MKIVAIRGKNLASLEGEFMIDFTVEPLCSAGIFAITGSTGAGKSTLLDALCLALFDCTPRMNKAKENNVSVMDVADRGIAQNDSRSILRRGTAEGYSEVDFVALTGEVFRSRWTVRRSRGKVSGSLQKVEMTLTNLTSGVEQQGTKTELLSRISELIGLSFEQFNRSVLLAQGDFATFLKARQPDKAEILEKLTGTEIYSRISASIYERTKRAESEYKLLQERIKGIELLTDEQLQQLSAEKEELQVRLSVSKKKEEALSGKLKWLEEEGRLNTGCIQAEESLSGIRKEIEAARPRYELLARIEQAQDIRDVYMEQRNARKQIDAGRSRLGEIEVAIRQNAALQTSANVQWEAAQKELAEFKTYLSQQEPQLDKARALDVQLVENRKQLAESQKEAALALQAKDNLHQSVCKTEKDISLLMEKQTALQTWFERYTAYSQLIPAVELITSLLTNLEMARAQSVSNRQLLDKVKKASEAEEQRLKSVQQEAERLNRLLPAEVLLLREQLEEGKPCPVCGSFHHPMREQTNVQSLQEEELNRAKEQVAKETEQLKNTLNARQLEMARLSALIENYVAQVDDTLKKVETYVSIIPNWKDLLEQGTLKHYVQQFGRQWNARLQEQTEIKEALTSKSAQRDSLKKEEANAIGLYEEKKQKEERQQAELNERARLRSSLLNGESTETVVATHARRQKELEQQLEKAMNLHHSLTVQAESYKGQTAQMEKELVRLTAVLQQDELKINEWLTLQKETYAELEQLLSKDKSWILAEKQALGTLKEKETTLQAILAERRQKREEHQQAPLKPDTTENKESLIETLTACTAAKEMETGRLAQIEVTIQNHIKGKERIADIEKELEEKNSIYENWAKLNELFGSQTGAKFKEIAQGYTLDVLLLYANRHLQDLAPRYELQRIPDTLALQIVDLDMMGEVRSVHSLSGGESFLVSLALALGLSSLSSNRMNVESLFIDEGFGSLDMDTLRIAMDALERLQMQGRKIGVISHVAEMMERIPAQVQVVKTGSGRSKVQVMGPI", "MKILHTADWHLGQTFYEYDRREEHLHFLEWLKQQIRQHEIDVLLIAGDVFDSPNPSAESQRMYYRFLREVTSENPSLQIIIIAGNHDSAARLEAPNPLLEDMNVTVRGVVRRNAEGDIDLQHLIVPLYTEGEVTAYCLAVPYLRQGDYPSAENYSKGVQLLYEQLFNEVKEKGLPVIAMGHLQATGSEISEDDRSERTVIGGLECVSPDAFDEAIAYTALGHLHRSQRVSHRENVRYSGTPMPMSFAERNNASGVVMITISAEGTGIERLAFEPLAGVMSIPRQARPLEEVLQAIGDLPDGDVTLRSPYLEIKILMTEPEPSYKYKIEEALKGKAVRLARIAAMLPQKKASGIVATSYEELQTIRPLDMALDVFKRKYGGTEMPDTMKQLLESVIKEAGV", "MSTITLESIQNELIREILDIKNVKVLESVRKTLVHAKKEMESVSTMVAEDEEPYMTKSEIMDGLSEACKDIKLMREGKLKGRPIEELLNEL", "MNYKIKVYRHFEKEVKKLSKRYKSLKQDLTILAAELMQNPDMGTDLGNGLHKVRMSIASKGKGKRGGARVITLIATLSKEEKEIGLHFIYDKSERENITDKELQAVLKDNGII", "MNLLKTILSTSVFAIASVALHAQLPAKVESFPVRDVRLTASPFKHAEDMDIRYLLGIDPDRLLAPYLKEAGLSPKAENYTNWENTGLDGHIGGHYLSALSYMYAATGNKEIKARLDYMISELKRCQDAAGDGYLCGVPNGRKMWKEIEEGNIRASGFGLNDRWVPLYNIHKIYAGLRDATLQTDSREAKEMLVKLTDWMIRLVSKLSDEQIQDMLRSEHGGLNETFADMAAITGDKRYLKLAHQFSHHTVLQPLLRQEDKLTGMHANTQIPKVIGFKRIADLEGNRDWSEAARYFWETVVNHRSITIGGNSVREHFHPADDFSSMLTSEQGPETCNTYNMLRLTKMLYETSADVHFMDYYERALYNHILSTQDPVQGGFVYFTPMRAGHYRVYSQPQTSFWCCVGSGMENHARYGEMIYGHKDNNLYVNLFIPSTLRWGDTQIEQQTAFPDEEGSTLVISPEKGKKEFTLLFRIPEWTKPEALRLSVNGKRQNVTVKEGYVSLNRTWSKGDKVRLELPMHLRAIALPDGSANYSILYGPIVLAARLGKQNQDGMFADDSRGGHIAAGPRLPLQTMPVIVGDKNNLLSHLKKVEGKPLTFTLSGVYPERYEGMTVEPFFRLYECRYMVYWPVLSVQELQARQEQLAKEEKERAALDGMTADKVICGEQQPESDHFIRMENSRTGDDEGIHWREAAGWFSYRMKTNGKQVNKVRIRFRSEIRKDAKVWINGQEVGRLAGKPASDVSVGIFDVPASMQSNEQLEIKIGKGNEKVTPHIYEVRLVTE", "MSKKISISLLLLCLGLFSQAQNYQKMPQGVKTTVQGMDVEVAFYSPSIVRVYKTPEGSSYDKKSLVVMKEPEETFVEFAMNKEYIRLKSDALQVEVNSSTGGINFYDATGRVLLKDKDYGTQFTPFDDAGTFSYNVRQAFLLDKDEVIYGLGQQQTGKVNQRNQKLFLRNKNMSICIPFIHSVKGYGLYWDNYSPTMFTDNPQEMSFDSEVGDCADYYFIYGSNADGVIAGVRDLTGQAPLYPLWTLGFWQCRERYKSPDELCEVVDEYRDRKVPLDGIIQDWQYWGCDSNWNSMKFQNPRYINKMGDKEYMKYLPNGENPDARYGTPRIKSPQEMIDYIHKRNAHIMISVWASFGPWTEMYHKMDSLNALLHFETWPPKAGVKPYDPFNPVARSIYWNEMKKNIFDLGMDGWWLDSTEPDHLEIQDKDFDTKTYLGSFRRVHNAFPLMSNKGVYEHQRATTSDKRVFLLTRSSFLGQQRYASHSWSGDVVSTWEVMRKQLAAGLNYSLCGIPYWNTDLGGFFAWKYNNDVNNIAYHELHVRWYQWGAFQPIMRSHNSSPVAVEIYQFGDKGDWAYDALEKYTHLRYRLLPYLYSTTWEVTNKAGSIIRPLVMDFPKDKKVLDMDTEYMFGRSFLVRPVTDSLYTWQDKKQNGYQKNMRKIEKTDVYLPEGSNWFDFWTGAKLAGGQTIQREVPIDIMPVYIRAGSIIPWGPAVQYATEKSWDDLEIRVYPGANATFILYEDENDNYNYEKGVYSTITFRWDDSNRTLHISDREGRYPGMLEKRKFKVVLVNHRSGEGDKPLKGGKMVNYTGTAIEVKL", "MKTNIKLWTMGLMTAALLGNTACTDLLHESYGQVVSEDYVPKTEEDVSYLVNAAYIPWRETLLQWNGVVRAQELCADQDVLPARDGIGWVDGYIYKRWHQHTWTTEDDGVLQGWERTYNGVNTCNRILSQIEEGVISVDGETKEKLIAELKVLRASYYYILIDLYGNVPIVTDFKDTSLPQQSTRKEVFDFIVKEITENMDLLSETPRGYYYGRFNKWAAHTLLAKMYLNAEVWSGTAQWQKCIDECDIVINYAEKSGEYALENNQKDVFVTHNENSKEIIFALPFDEIYVTGWNDFDFHMYTLAPENQDTYQLTARPWGGVCAVPQFINSFDEDDARLEDNYIQGQQYTYSGEILKRSIDGKPLIYTVDVPSIDQSDVDDGFRWGKFEYATGITNRLSNDWPLLRYADVLMMKAESLMRLGKSGAGALVTQVRERAFKNEPEKAQVTDAELMGGSVYDYGRRDSYKTEHDGGTDIKYGRFLDELGWEFCQEGRRRQDMIRFGIFTTKAWFSHDKSDETKNLYPIPNKVLLTNSNLKQNPGYSK", "MKHLFLLLTLLSFSLTALAQQKVTGKVKDSSGEPVIGASVVVKGNNTMGTITDFDGNFMLDVPAKSVLVISYIGYVTQEVPTAGKNSLEIVLKEDTKTLDEVVVIGYGTQRKGDVTSSVASVKADNFVKGAVKDVGQLIQGKVAGLAITNPNGDPTGSTQIRLRGTNTIGGANTAPLVLIDGIPGELGTVAPEDVESVDVLKDGSAAAIYGTRGTNGVILITTKQAKGVDINQVEYNGYVSTSLIAKKLDMLNADEFRTLYPDQDHGADTDWIDEISRTPVSHVHNLSLMGGNSKTNYIANLNYASRQGIMKKSDFESFQGRIEVTHRMFDDKLKLKFGLFGKKNQMESTTSGGSFRGWVYGQATRRNPTDPVRNEDGTWNENVSKFEYENPLALLYEAEGNVKKTQLRYNGNIVYNPIKDLTLSAVFSYIRDNMNRGYGETLNHISALRDGLAGWSSVGAYTKMEKLMELTAQYNKEIGAHKFSVLGGYSYNETDFEELWIDNYGFQDDYFGGWHNIGIGSALKDGKANIGSKKTPTNLIGFFGRATYSFKNRYLLMGALRYEGASQLWGTDNAWGLFPSISVGWRITEEAFMKNQKIFDDLKLRVGYGVTGSQPKDPFLGVAMLKYGSYAFVNGNWVQTIVPASNPNPDLKWEEKKETNIGLDFVSWGGRLSGSIDYYNRDVDGLIYEYGVPTPPNLYNKTMANGGTMRNRGVEVLVTVVPVQNKDFEWSTTGTFSLNSNKLISLSGSIFKSDYDYFNTGTVEYSGQVADSHRVQVGESIGNFYGFKVVDVDSEGRWIYEDRNGELVNYKDFTHAPEDKHVIGNGLPKWYAGWNNTLRYKNFDLNVTMRGAFGFQIINGGRMNYENVKNSRFENRLKSVNDLVFGKHTLSPEVEPEFNSYYVEDGDYWKIDNITLGYSFGQVGKYIKSLRIYGSVLNALTITGYKGIDPEVSTDGLTPGYDTRDRYPSVRSFTFGVNVKF", "MILTESTMKYILTFILSFLSFLVCSQNITITDIPTIDQLPVNAIHRVFRDSEGYMWYGTVNGLCRDDGYHVKVFRSDIETPGLLEDNLVECIAEDKKGNIWFGTDKGVYILDKSDYSVHPMDRERLKNIPVMYLYATSDGYMWLSYRSILAKYDINGQLVKEYPLRNKYGRTTISGFCESRNHEIIISVWNGRVYHLDKEKDEFVPYPDKMRRQNPTVMVQDNEQDYFWLATWGDGVVRFDPSAPEDSMFVYSEIPVNAAGEEDGVILSLVQDDKLGYIWLTTGHDFMSLQIQPDKTLKQLKFQTGLLPVNHMLVEVLKGRGCLWVSAFDRPSFIVHLMDNMTKDYALPALADRVNRSPAVMALCDDGDGMMWMMQERTGLVLYDLKQDKVKIYSDFPELVSLSLDNGREMTRARINNGIWVAKDLNRLVYGMIRKGMEMYLVDLIDLNGQVESNATVTKLYEDSHGILWIGLNKGLCSYDVRQKRIKQVYPDVGHVMGIVENKEGLIWICTQDNGLFQTTADEKLRSFKLDKNFSCLSIAPDWILWLGTCDGGVYSYDPSENKLVSYNEACGMNGNQVNQIVADAYNHIWIDTNQKLIEFNPRNGSFRTYLTTDGSILLRRFLPTAVCQAKDGNIYWGGIPGICMVTPSNGLERKASAVKTKITDIKLQGESLIFGDRKSSNSINRIELHPDDQNLEISFSSLNHRYASKIRYAYRLIGVDKAWVYVEGGKNSAFYNHLSKGTYTFQVKATDENGLWSKEVTELTIRRLPAFYETWWAYLFYVLIVMGVSGYSLYLYLKRVDRKNNEMWADSKEMIKMRIYLDSKVNLPEPEFAQLDKLLLEKAVKAVEDNLTEPDFDVTALADAMNMSRSTLTRKLKAITGRTPLDFIRNIKMKHARHMLEDKDKSVTEVAATLGYFNRKYFTTCFKEEFGMTPSEFQKSQHEE", "MKMKKLLTLLLAVLLLAGCAGNKPGTFEAGKNTFLLNGKPFVVKAAEVHYPRIPREYWEHRIEMCKALGMNTLCLYVFWNLHEETPGKYDFTGNKDIAAFCKLAQKHGMYVIVRPGPYVCAEWEMGGLPWWLLKNDSVQLRTLDPFYMQHVGAFMHEVGKQLQDLQITRGGNIIMVQVENEYGSYGTDKPYVSAIRDTVRAAGFTEVPLFQCDWSSNFLNNGLDDLLWTINFGTGADIDKQFAKLKEVRPDAPLMCSEFWSGWFDHWGRKHETRDGQIMVDGLKEMMDKGISFSLYMTHGGTTFGWWGGANNPAYSAMCSSYDYDAPISEAGWTTDKYFALRDMLKDYLDEGQTLPEVPEALPVMEIPAIKFTQIAPLVDNLPEPKQTEEIQPMEKFDQGWGSILYRTHLPEDVKAGTVLKITEQHDWTQVFADGKLLGRLDRRGGEQELTLPALKAGTQLDLLVEAMGRVNFDKSIHDRKGITEKVELVNGKNAETLKGWTVYNLPVDYEFVSSRNFQDMNSSAACGIEKNDESVPAYYRAAFTLDKVADTFLNMESWGKGMVWVNGHAMGRFWEIGPQQTLFMPGCWLKKGVNEIIVLDLKGPKEATIVGLNKPILDMLRVAVPETHRKQGQTIKLEKETPVSAGTFKPGNGWQEVKVPVTKGRYFCLEGLSSFDNTNIAAIAEFDVLDEKGEKISRENWKIVYADSEETRSGNRTADKIYDLQESTFWQTVDNTAYPHQVVIDLGKEYNVTGFRILPRAEQGAPGMIKDYKVYVKATGFGY", "MKKTLLSLAIASLAAGQSVCAAVEKVYNEPDSVYIFSYAHPEDEGRSGLKFAWSPDGDKWLSVSDGFAYLKCDFGRWGAEKRMIKPLLEKAEDGRWYCRWQLTPSGKVWGTSHSSDLLKWAPQQYVNAEKPAVPRLVTARQIVLDKDTLNGYMQKVPYADIEQLIRFAEHKKFRDIQNNERTEQDAVRFAGLKPVAATIRVDAGRVKPISEHLIGIFFEDINYGADGGLYAELVQNRDFEYSAKDGARDKNWNSTYAWSIQGTDAELSVSEDSPIHANNAHYAVLEVHRPGAALVNNGFDGIAVKKGEKYDFSVFSKVLDDTKGGKVLVRLTTKDGKEIAQAAIRVSSTEWKKQKAVLTATADAADAVLSVCPQMAGKYALDMVSLFPQNTFKGRKNGLRADLAQTLADLHPRFVRFPGGCVAHGDGVDNIYDWKGSIGALEERKPLRNLWGYHQTRGLGYHEYFLFCEDMGAEPVPVVAAGVPCQNSGTCSHHSVGELGCGGQQGGIPMEEMPQYVQDVLDLIEYANGDAKKTVWGKKRAQAGHPKPFNLKYIGIGNEDLITDIFEERFTMIFNAVKEKYPEVTVIGTVGPFYEGSDYEEGWKFATKMGIPMVDEHYYNTPGWFINNQDFYDRYDRNKAKVYLGEYAAHLPGRPNNIETALAEALYLTSVERNADVVTMTSYAPLLAKEGHTQWNPDLIYFNNTEVKPTVGYYAQQMYGQNAGSEYIASSVTLDNAQDAVKKRIGVSVVRDGKTGNMIVKLVNLLPVAVNAQVELPSLEGMNTTAVKTVLAGKPTDQQVRPVSGTIEVSEKFGYELPAYSFTVIRINKNEK", "MKNKFFITLFACLLPWMAGAQQIISKQNNVAEKDYIAYLFTYFTGNHISEEAVCYAVSTDGYTYWALNDNKPVIDSKIISSTGGVRDPHILRCEDGKTFYMVVTDMVSDNGWDSNRAMVLLKSTDLVNWNHSVINMQKCYAGQEKLKRVWAPQTIFDAEAGKYLVYWSMKYGDGADVIYYAYANKEFTDLEGEPKPLFIPENKKSCIDGDIVYKDGIYHLFYKTEGHGNGIRVATTRSLTSGQWEEEPDYKQQTPEAVEGAGTFKLIGQNKYILMYDVYMKGKYQFTETTDLKNFKVIDSEVKMNFHPRHGTIIPITRAELKRITDKWPSKEMGNMTIPNNPVLQGFHADPEILYSHQTKKYYIYSTTDGQPGWGGWYFSVFSSDNLKDWKDEGVMLDLKSDQVAWADGNAWAPCIEEKMVDGKYKYFFYFSGNPVAGGGKQIGVALADSPIGPFKDLGHPIITESPVGHGQQIDVDVFTDPVSGKSYLYWGNGYMAGAELNEDMVSIKKNTLTVLTPKGGSLKDYAFREAAYVFYRNGLYYFMWSVDDTGSPNYHVAYGTSKSPLGPIKVAKKPVVLIQDPAKEIYGPAHNAVLQIPGTDEWYIVYHRINKNFIDREKGPGVHREVCIDRMEFNPDGTIRKVVPTL", "MQFYQKRRENCVKTHVILKKASFYACLTLFAGMAFPMPLWASQSTAIVQQHVKQITGIVNDTSGAPVIGANVVEVGSTNGTITDVDGKFTLNVSVGAKLKVSYIGYNDQQITVGNSNSYTIILKEDTESLDEVVVVGYGTQKKVNLTGSVATISSDKLVNRTSANVTNMLAGQMPGVTIIQNTGQPGADAGVLRVRGLGTMGDASAMVVVDGVESTMSSVDPNDIENISILKDAAASAIYGVRAANGVILITTKKGTKGRTIVSYDGYVGWQSASRMPKFLDSYNYAVLMNEAYTNDGLKGPYDETALQKFKDGSDPDFYPNSDWLGTLLSENGLFNNHHLSIKGGGDKVTYSLAFNYHDKDGLIVNTNYNKFNVRANIDAQINSRLKLTTNMAVYRSNMTAPAAGISNLMHYAFRETPVTPIQLSNGNYALFKNEHNSVAYAREGGTYKEINSNFQGNVGMELDIIDGLKLRGVAASTFNLTDNPTHVNTMTFYQAGSDTPVKKTTNSITEYDIKSMELNLQAYLDYNKTFGKHTIGALLGYSQIYKQTRYLQAYRKNLPNSNSLDQINAGEVTGQTTYGTEIEYALRSAFGRVNYSYDDRYLLEANLRYDGTSRFPKNNRFGAFPSFSIGWRISEEEFFKADWVDNLKLRASWGLLGNQETVNSDNSSNYYPYQNTYLFGYDYSFGNTLTPGISISNPMANQDITWEKTDQWNVGVDAAFLGNKLTLGADWFRKETRDILLQLPVPNMMGVSAPMQNAGVVRNTGIELQLGHNNRINDWSYSIGANFSYVTTKIMDLKGGDTPGQSVGDPLWAYYGYVCDGIFQNEEEIKNHPTQSMGTPVPGDLKYRDLNGDKVVDSKDRQVLGSYFPKINFGLNLSVQYKDFDLSALLQGAADVKSAPVAEIRYAFYNGGKVTEQHLDRWTPENPNATYPRLSMSDSKNRVTSSFWMQDASYAKLRNLQVGYSLPKQLISKYGISRLRVYCSIDNLFMISGFDGVDPEAISGNYYPLTRNYSFGLNVTF", "MRLKYNFIGLILACGLGLSSCNDSFLDRNPKDQLSDASFWKNAEDAQKFATGIYLYLIEPENHTIMTDCYTDNAIPVHVTAEQGQLSAGTATSSNPHFLQLWKNAYQCIRRCLVFYEHIGDVPMDEKEKAQLTAEVQFLEAFSYANILKYMGGASLLDHPLELNEKLPSRSSEEETYNHIVGLLDKAAASLPDIRSNSDHGKPSAGACYALKARVAFYAHKYDVAEAAARKVMGMNVYGLYDNYGDLFQPVAELCNEIIFDREYLENPKNSNEGSYIGQFFAPVMMGGWEALSPTQDLIDSYPCKDGKSIKESPFYNPEDPFADRDPRLGFSVLWNGSQIAGKTFNLNNMGDGSHTRTGYSMKKYINPDNDGINNYDWTNFIYIRYAEVLLTFAEARNENLSAPDTEVYDAVNQIRQRPSVNLPPLPSGLSKDQMREAIRLERRLEFAFEGMHLFDTRSYKTTEKDVTKPVYGVNAKGESIFIETRKFNANRDYLWAIPLEEVDLAQGALKQNPGWD", "MKKIGLFLLLGFSLSWLVSACQERQQERRKEVPLDSIVLSDPCILADRKTAMYYMTGTGGMLWKSKDLKLWEGPFHVAKTDSGSWMGPKPMIWAAELHPYKGKYYYFATFTNQAVKIDTVQGNVIERRASHVLVSDNPDGPYVPMKDSTYLPADKPTLDGTFWVDKDGKPYMVYCYEWLQALDGTIEKIELKPDLSGTIGEGKLLFRASESPWSREKDADGKDKPNKVTDGPYLFRTGTGRLGMIWTSWIYNVYTQGVAYSESGTLDGPWIQEKDPITPPNFGHGMLFQTLDGKWLMSVHSHKDVNGRYIRIPHLFEVDFSRDKLVVGKPYIP", "MRLIMYVFIRKNKIHRYGYKKIIIGLCLGIYTFCGCSNEPLQPIRSGEIWPDNNGEHINAHGGGVMYHDGTYYWFGENKCDTTSSAMVGVMCYSSRNLTDWKNEGVALSVVDNDSSDIARGCILERPKVIYNAKTGKFVMWFHLELKGKGYAAARAGVAVSDTPAGPYRFIRSGRVNAGKLPVDMDGQAVAVLDTLNAKNYEKWWTPEWTDAVNKGLIVKRDLDGGQMSRDMTLYVDEDGKAYHIYSSEENLTLQIAELSDDYLSHTGNYVRVAPAGHNEAPAIFKKDGTYWMITSGCTGWAPNEARMFSSSSIFGPWSQHPNPCVGPKSELTFGGQSTYILKVEGKKDAFIFMADIWRPEHPSDARYIWLPVQFKEGIPYVEWMDNWTLDFFQ", "MIKLFQLLKLCVVLLIGGHCNAQDYQLVWSDEFNKDGCLDESIWNYEQGYARNEELQWYQSDNAWCQGGLLVIEARSEKRKNPLYKAGSYDWRTSREYIECTSSSITTFGKKEFLYGRFEVRARIPVGKGAWPAIWTLGSSMEWPSCGEIDIMEFYRKHGIPHILANAAWGTDRQWNAKWNSKAIPFIHFLEKNSDWASEFHIWRMDWDEEAIRLYLDDELLNEILLKDTYNGSIGSYKNPFMQPQYILLNLAVGGINGGEVEINTYPIRYEIDYVRVYQKK", "MKFNIKYTIAALSVFMFTAGCDDKLDAIDVIGYTGVPVAIETVTSEPLPGQIQLRWEVPAGDFSYLKIWYYDPLTKETVYKIVSKGTTELLIDETRARFGEYEFNFQTFNANDEGGEIKVVRALSGVAPASYTLVSRSQIKLVAEQLSTNAQEPSEGPIKNAVDGDKNTFFHTNWHEAIPYPQWIQVNLKEPHENFLVGYINRTDNSWTTSGRPSVVDLQISNNGIDWETVGTLDGLPSSAGSEYISTFFMPGKTFTYFRFSVTSATGSSSYWNIGEFMMYDAEVAIDDPETAPLD", "MKLKKILLGLAYMSVMASCADLDYHEYTVYDKDYVFTDFGRTGAVVTNIYSYLDYDLPSEVSYCSACDEAQFAWSWSSIHDWINGAWSSTNSHSLWNYYSGIRDANFFLEESQNADFSELKEDKNYVAEMNRFNRYKYEVRFLRAYFYFNLVRAYGDIPLVTKVLTEEEANQVKRTPAAEVFDFIIKECDEIADELPVDYSKLENDAANGESPETGRITKQAVLALKARTLLYQASPLFNIANDVNLWKQAALASKKVIDFCAENGIKMGKYSELWGTDNWKASEMIFVRRIGDTSSPEYTNFPVGMENGGSGNCPTQTLVDAYEMKVTGKAWDEPESGYDPNKPYDNRDPRMEMTIAVNGEKWPDTNPNPLEIYIGGRNALPIAGATPTGYYLKKYLDKTIDISASTSSGGKRHNWITYRLGEFYLNYAEAVFNYLGSADAVSTEFTMSAREAVNVVRNRSDVQMPEVPEGLTNNQFVEKYRRERMVELAFEQHRFWDVRRWKAGDTQRSIVQMQITKNNDTYTYKRVISNRYWDDKMYLFPIPDSEIRKNPNLIQNPGW", "MKNKILALTILAFTSMNAMAQEEVNVTGKVVDKVGNPVSGAAVSVLGQPLTMVSTDVMGNFTISVSKDAQLWIQTPYDAKKVVKAEMDKKMTVIMDFFSNKVNYGFGLEQTYTESTGAASTVYSDDIATRSSYTVGNSLYGNVLGLTTMQKTGTMWEQIPSMFIRGQKSLNGNNGILLVVDGLERDNAYQVLRYLTPEEVESVTVLRDAAAVALYGYKGANGVVNIVTKRGLYKKREISFAYDHGFTYQNRLPEMSDSYTYARAMNEALANDGKAAKYSQNELNAFKSGKYPYYYPNVNWWDEVFRERGSSDIATLTFRGGASKLRYYTMLNLQNGRGFYKNANENDGYSTQEKYSKANFRSNLDIDLTPKTKMQVNIMGMLNEFSRPGYGSDNLIGKLYMTPSAAFPIRTENGLWGGNATWDGYNNPVALAQGRGYSKGHTLGLWADMSLRQDLSSITKGLGASFRMGYDNVASYWEDHCKDYAYGSTVVTEWKNGEPSAFSEYTGGSDSEMKGGSKLDWQYRSFNFMANVDWKRQFGEHKVYTTLMYTYKYDNNANINSNLYHCNWSWYTHYGYKNRYFFDFALVNSASNLLETGNQWHISPTVGLAWVASNESLLNNYSWLNFLKLRASFGVINTDNIPYNGYWYETMNGSGGGYPIQDNFSNGGSWQEGQLPSINGTTEKAYKYNVGLDATLFGGLTLTADAFYEKRQDIWVYTGGKNSSILGATASYANAGVVDSKGLEFGANYIKSLGKVRFNFGGTFTLTKNKIVEQLEEPKAYEYLRATGKAVGQIFGYQAVGFFVDQSDIDDSPSQQFGVVKPGDIKYKDQNNDNVINEFDQIPLGYNSSVPEIYYSFNIGAEWKGIGVNFDFQGVGNYTSWTTLNGLYRPLSNGNAISNYYYENRWTPETPNARYPRLTTETVQNNTQSSSVWLVDGSFLKLRNCEVYYKLPHSVVNKWHLNSAKLYVRGVDLFCWDHIKEIDPESIGNGIPTTRSINIGLSVGF", "MKHFEKWFIIAFVGATLATSCVDDIKFGDAFLEKAPSVAVTQDTIFGKAEYARRFLWNTYSKLYYGLATNWNDVDGKMNTGMFECLSDCFHSHNSWDGLNRHYYSGTYTASTEDGTAETRFGFTKEETWQAIRSSWIFIENVDRVPDMDGNEKERLKAEAKVIIASRYFDMFRHFGGLPIVKASFAAENEYDVPRATVEETVNFMIGLLDEAATVLPWDLTEDGEANWQGRMTKAAAMGLKCKILLFAASPLFNDNEPYCTAEPQEAVINHQVWYGGYKPELWKACLTACEEFFQALQVNGHYELVQAVGDTNDAYRAAFNKAYFLRENSELLISTRIIGKYNWDWWYYWGDWVPNGGYTPTLEYMEMFPMATGESFDFDKAVQNNNMFFENNDYNKPTRDPRLYETILVNGAKWSGRSVELWVGGRENANSTSTETGQYATGFGLYKFYKEGKGSLANNYLEWPYLRMSEMYLIYAEALLKNNQPKLAIEMVDKVRARVGLKGLIESNQDKNLLSDSNALMEEILRERACELGLEDVRFFDMIRNKRADLFERPLHGLLIERADGGSGSWSDKPEDKRGPFPTKFKYTQFKISNSARAWWTNFNSKWYLSAFPVNEVNKGYGLTQNPGW", "MKSNSFMRNRKAFTTVLLFCTGFIASPPLVVSAADKVMAVQLIHQQQTIKGIVVDASGEPVIGANVVVEGTTMGTITDFEGTFSISVPKNGKIKISFIGYKDQVLTPQSGKNLRVVLEDDSQMLGEVQVVAYGAQKKVSITGAISSMKGEDLLKTPAASMSNVLSGQITGISSVQYSGEPGADEADLYVRGIATWNNAKPLIQVDGVEREFSQIDPNEVESITVLKDASATAVFGVRGANGVILITTKRGAEGKAKISFSTSAGVNLRTKQLEFANSYQYASYYNDLQVNDGGVPTFTEEQLIKFRDHTDPILYPDINWIDYCMNKAAFQSQHNVNISGGTDRMRYFVSAGMFTQDGMFKQLAASDNFNFNYKRYNYRANLDFDATKTTLISVNIGGRIETKRTPESGEDQNQLFRKLYWAVPFAGAGIVDGKRVVSNADYLPFTGSDGLNSYYGKGFRSTTTNVLNVDLVLDQKLDFITKGLSFKLKGSYNTSYWTQKIASSSMAVYTPVLHDDGSIGYRKSGSDSQLSYSRNSNGEGKSRDWYMEAALNYSRKFGDHNVTGLVLYNQSKRYYPGGTYDDIPSAYVGFVGRATYDYKTRYMAEFNVGYNGSENFAPGKRYGLFPAGSIGWIVSEESFFKPLKKVINYFKVRASVGMVGNDNNGNNRFLYLPDAYILNDDGYFFGTNAGNKKPGAYEASKSNADVTWEKSVKQNYGIDFSILNEKLNISLDYFKENRRDILSSPDYMPGILGMVLPIMNVGKTENKGYEFQLKWNDKIGDDFRYWANFNLSFARNKIVYKNEIEKNEDWLYETGRTIGSRLIYKFWGYYDETAEARYQEEFGRPIADHGLSLQPGDAVFADLNSDGVIDGDDASRDLGYVDTPEYTAGLNLGFSWKGWDFTAQFTGAWNVDRMLSEFRQPLGDTQNKGLLLYQYENTWRSSADTYTAKFPRISKLAQANNYRASDLYLCDASYLRLKSVELGYNFNMPFMKKIKMNQCRLYVSAYNLFTLTDFMWGDPESRQSDRPNYPLTRVINLGLKVGF", "MVHKFNIINCIRITYVIYMLLDVLIPATAQPYMIKRLGVEDGISSNYVVGITQDQQGCMWIATEDGLNKFDGNHFTVYTKHTSGLTSNELNTVLADPNENIIWIATQRNGLCAFNCDQETFTSYIAEKSQLQTNDITDLSYASDGGIWITHYHVGIEHYNPRTKKFTAYRNDEIQGLPIPNWTSCEDRTGHLYIGHAFNGLSILSLKDKTVKNYIPDPKDPNSLPGQEVRAICIDKNDNVWIGTNAGLALFNPTTEKFTVFKHNPNDDNSLLSNSIYDIKQMKDGKIWICTNMGGVSILDLQHNAFISAEEIDFQNIKATNDNYGLSSPNARCAYQDCYGNIWIGNYRGGIDFISHTQPMFNILPYTIETAKGLSYKQVWGMWATDNQVWLGSEDELGLFINGKKIKTYDLYSHELVYNTHINTIYEDRQKRLWLGTYKNGILLYKPDKQEIVHISSSLFNNLDVRCFYEDQNGKMWIGTETGIYSCTNEVLVKEEEIQKQLPDIMVHSLLRDKEGKLWVGTFGKGISIFDVNNKLLWNFVIENGFCSNAVNHMIKDSEEQIWVATREGLAVFKNTSQPNRYKIFKEKEGLENSHIRAICEDLEKRIWISSNDGISCLDPKQNLFYNYNHHDGVPMGDFMNGSTCMTHNGIIYFGSQNGACFFNPKELSSIRQVSPVKITQFCKYNKKTESKDAEISIPFKKGVVHLPYNQNSFRITFNVLDYTQSPQVEFTYMLEGLENRWYDTQGENQVIFRNIPPGKYNFKVRTRIRNQEWDKEIASLNIFIAPPLWLTWYAKLGYVILFIFALYALLRFYKRKLDLESSLEVERKQSLNKQELNEERLRFYTNITHELRTPLTLILGPLEDLLSDATLSPKHANKISIIHDSATRLLNLINRILEFRKTETQNRKLSVAKGDLGQLVQEVGLRYKELNPNNKVNYHIHIETEDTEIFYDADMITIILDNLMSNAAKYTSEGDITLSLRSVEENQIKYTEISVSDTGHGIDAEALPHIFDRYYQAKSKYQASGSGIGLALVKGLSELHEGILKVESTVDTGTTFTLRLLTENTYPNAIHAQHDMEKKPMDAEETTITDTPTENHPIVLVVEDNTDIREYIRSSFTELYEVITAKDGKEGWELAQARIPNIIVSDIMMPVMDGIELCKRIKEDMRTSHIPVILLTAKDSLQDKEEGYASGADSYLTKPFSAKLLHSRINNLLETRKKIASLLALADIQPKQESAVSSLNKLDNEFLQKITQIIEENLEMEKMDIAFIADKMCMSHSTLYRKIKGLTDMSANEFIRKVKMRKGVELLMSGQYTISEIAYMIGFSSVAYFRQCFKDEYGMSPSDYVKQKQ", "MKTKLLPILRYIVLALLLLCIVLCRFFPVMAEGYARHIYPWLSAALSAIASVFPFSLEEALVVVIILWMIIYPFLKRRKKKSWRFIVGREVELLAWVYIWFYLGWGLNYFRYDIYRRMEVEPAGYDEQAFHRFLASYTDSLNQSYTAEVKTDPERMRQEIKEIYRQVPSMYGLALPRDYQHPKQVWFNPFYSGVGVLGYMGPFFAESQLNEELLPVQLPFTYAHELSHLLGVSNEAEANYWAYRVCRSSKRPAVRYSAYFGLLPYVLVNASSVLTEEEFREWIKTIRPEVVKDYEYKRMYWHERYSTLLGEVQNKIYNLFLKGNNIPSGRKNYAEVIGILLSLEEK", "MNKLLKQTLVCAGTLLLSMQVAAKPSSEAKEVRGIIDKVNTYWQTHNKPEVRSFWDNAAYHTGNMEAYFLTGNENYRAYSEAWAIHNEWKGAKEKDKSKWKYSYGESDEYVLFGDYQVCFQTYIDLYTILPDNYKIARAREVMEYEMSTPNHDYWWWSDGLYMVMPVMTKLYKVTGNHLYLDKLYEYIVYSDSIMLDRETGLYYRDAKYVYPKHKTSSGKKDFWARGDGWVLAGLAKVLKDLPADYEHRSFFVNKYVKLAEAVAAIQQPEGYWTRSMMDPTHAPGPETSGTAFFTYGFLWGINNGYLDEAVYKPVIDKAWNYLAKTALQKNGKIGYVQPIGEKAIPGQVVDADSEANFGVGAFLLAACEYVRYLEAPENQDRAYWCNLLYKMAAPVLSNMAEGNLKKNMLVEVSPNWDGRNKGVTYMETFGRLMAGVAPWLTLPDDDTEEGQMRKQLREWALKSYANAVDPANPDYLLWRGHGQALVDAAYVAESFLRAYDQLWMPLDDTTKKRYFEEFTQLRRVDPPYTNWLLFSSTIESFLAKAGAECDEYRINSAIRKVEEWYTGDGWYADGPSFAFDYYSSYVFHPMYLETLQGMKDAGKYTRIHYKKYYDRALKRARKFSLVLERLISPEGTFPVFGRSIPYRLATMQPLALMAWYQQLPEGVSNGQARAALTSVMHRMYDNTENFNEAGFLTIGFAGRQPNVADWYTNNGSLYMTSLAFLPLGLPAAHPFWTDAAQPCTQAKAWGGQPFPKDHHWSDDIRTWDLF", "VAFSVRAQRLVEVGKGFSSTSVNTTVFRNNSIVTHGNTQYISYYDAEGWLMLGKRRLGTGEWILHRTQYKGHVKDAHNIISMMVDGDGYLHLSFDHHGHKLNYCRSIAPDTLVLGDKEPMIGNEEEDVTYPEFHLLADGGLLFVYRSGASGRGNMVMNRYDVKSRKWERVQDVLVDGENERNAYWQLYVDQSGTIHLSWVWRETWHVETNHDLCYARSFDGGRTWYKTNGQKYELPIRLGNAEYACRIPQNAELINQTSMSTDAGGHPYIATYWRNPDSDVPQYRIVWHDGVNWHNRQVSERKTPFSLKGGGTKMIPMSRPRMVVDNGEVYYLFRDQERGSKVSMYYTKDIQFGEWHVKDLTDFAVNAWEPSHDTELWKMKKQLHIYVQDTRQGDGEKQVETEPQMVYVLEL", "MDISALYKCFTECGKVTTDSRNCPEGSMFIALKGETFNGNAYALQALEKGCQYAVVDEKEYAADGHPRILLVDDCLKALQELANYHRHKMGTRIIGITGTNGKTTTKELIASVLQKRYEVLYTQGNLNNHIGVPLTLLRLTPEHELAVIEMGANHPGEIKALTRIVEPDYGMITNVGKAHLEGFGSFEGVIKTKGELYEHLRYNGKHIIFIDKDNEYLTAISQGLTRICYGMEGGEGLYISGKLGACAPFLSFEWEHEGQKFEVNTHLIGSYNMKNALAAITVGRFFSVPSRQICEALEAYVPHNNRSQLTETTDNKLIVDAYNANPTSMMAALENFRLMNVAHKMVILGDMKELGETSHEEHQKIADYLDECKFDRILLAGEEFGKVIPSFEHFKDVVALKESLERYKPKGYYILIKGSNSMKLSQLQEIL", "METKTVKYINVNGKLMDLSHPQVMGILNVTPDSFYAGSRQQTEKDIINRTSQIIEEGASIIDIGAYSSRPNAEHISPEEEMRRLRTGLEIINRYHPGCVVSVDTFRADVARMCVEEYGVAIINDIAAGEMDPQMFDMVARLGVPYIIMHMQGTPQNMQMNPHYDNLLKEVFLYFSEKVQKLRDLGVKDIILDPGFGFGKTVEHNYQLMNHLEEFSLFELPLLVGISRKSMIYKLLGGTPDDALNGTTVLDTISLLKGADILRVHDVKAAVETVKIVQKMKDSAAF", "MFIDFSIKDLIDILLVAYLLYQTYRLMKDSGSINIFIGILVFIGIWLIVSQVLEMKLLGSIFDKLVSVGVLALIILFQDDIRRFLVTLGSHKQLGRFFRFLTGNKQEKTEKADIMPIVLACMSMSKGKVGALIVIEKSVPLNDIIRTGEIINANVNQRLIENIFFKNSPLHDGAMIIRHKRIEAAGCILPVSHDLNIPKELGLRHRAAMGVSQETDALAIIVSEETGGISVAYKGQFHLRLTAEELERILTKED", "MTTKDEVKTLWKLCFNDSDEFTDLYFKMRYKDEVNRVIREDGKIISALQMIPYPMTFCDEVISTSYISGACTHPDYRKHGAMKRLLKETHRCMYEDGVLLASLIPAEEWLFGYYARSGYAPVFGYAVEQVRVDRLCPAPGCRIEVCEFPGVEHYHYFDSRMHGRRSCIQHPKEDFLVIMADLRLGNGKLLVAWEADKIVGMAFTVMGDDTLYIKELLADTDAVQDTLLYEAAHIYKVQRMDYFIPSSADTLFLGMARVIRAEELLKVFAHKYPASELYIHIEGDEAIQENNGYYTVRDGFCFRERVPEKKYHTYTLDGFTRLLLEAEHPYMSLMLN", "MIEFKDITLADKDLIQSFTLGSLRRNCDLSFANLCSWIFLYQTKYAVMDNYLLLRFYAGEELAYMMPVGTGDVKPVLEALIKDAEEMGAKLRMLGVCVGMKADIEAAMPGRFTFTEDRDYFDYIYLRTDLATLKGKKFQAKRNHINKFKKQYPDYEYKPLTPDLVPECLKLEEEWCRANNCEEQLALGAERKSMTYALNHMEALGLTGGVLHVNGKIAAFTYGAPINHETWDTCVEKADTGIEGSYAMINYEYANHIDEQYIYVNREEDLGLEGLRKAKLSYQPVILLEKCIAELNDYKG", "MEYLENKFFLLAFTFGVFFLSRVLQKKTGWVVLNPILLTIAVLILFLKFTGISYETYNEGGHLIEFWLKPAVVALGVPLYLQLEMIKKQLLPIVISQLAGCLVGLVAVVVIAKLLGATPEIICSLAPKSVTTPIAMEVSKATGGIPSLTAAVVVVVGLFGAVFGFKVLRVGHVGSPIAQGLSMGTATHAVGTSTAMEISGKYGAYASLGLTLNGIMTAIFTPTILRLLGVI", "MIRQCAILFGCLALGELIVFLTGIKLPSSIIGMLLLTLFLKLGWIKLQWVQGLSDFLVANLGFFFVPPGVALMLYFDVIEAQFWPIVIATVVSTVLVLVVTGWVHQLVRKSNGIFRK", "MDLISQIVERAKANKQRIVLPEGTEERTLKAANQILTDGVADLILLGNPDEIMSLAKQWGLGNIGKATIIDPTDHPKKEEYAQLLCELRKKKGMTIEEARKLVVDPLYLGCLIIKAGDADGQLAGARNTTGNVLRPALQIIKTTPGITCVSGAMLLLTHAPEYGNNGVIVMGDVAVTPVPDANQLAQIAVCTAQTAKAVAGIDPRVAMLSFSTKGSAKHEVVDKVVEALAIAKEMAPDLKIDGELQADAALVPHIGASKAPGSEIAGKANVLVVPCLEVGNISYKLVERLGHATAIGPILQGIARPVNDLSRGCSIDDVYKMIAITANQAIAAKENK", "MKILVLNCGSSSIKYKLFDMTTKEVLAQGGIEKIGLVGSFLKLTLPNGEKKILEKDIPEHTAGIEFILNTLVSPEYGAIKSLDEINAVGHRMVHGGERFSESVLLNKEVLDAFIACNDLAPLHNPANLKGVNAVSAILPNVPQVGVFDTAFHQTMPDYAYMYAIPYELYEKYGVRRYGFPGTSHRYVSQRVCEFLGVDPKGKKIITCHIGNGGSISAIKDGKCIDTSMGLTPLEGLVMGTRSGDIDAGAVTFIMEKEGLNATGVSNLLNKKSGVLGVSGVSSDMRELEAAVAAGNPKAILAEKMYFYRIKKYIGAYAAALGGVDIILFTGGVGENQANCRSEVCEGLEFMGVKIDLEKNKVRGEEAIISADDSKVTVAVIPTDEELMIASDTLAILNK", "MKNVYFLSDAHLGSRAIAHGRTQERRLVNFLDSIKHNAAAVYLLGDIFDFWYEFKLVVPKGYTRFLGKISELTDLGVEVHFFIGNHDIWCGDYLEKECGVTIHRQPLTCEIYGKEFFLAHGDGLGDKDAKFKLLRSMFHSTTLQTLFSTIHPRWSIELGLEWAKHSRLKREGGKEPEYMGEDKEPLVLFTKNYLKDHPDINYFIYGHRHIMLDLMLSRTSRMLILGDWIQDFSYAVFDGENMFLEQYVEGESQYS", "MDADTRLKTEEKIIAMLKTVYDPEIPVNIYDLGLIYKIDLQDDGEVVLDMTLTAPNCPAADFIMEDVRQKVDSVEGVTSSVVNLVFEPEWDKDMMSEEAKLELGFL", "MMMRFWFVFIFMFLPAICWGQIIVKKTGGGAAFPLVSSHETVIYYDARDYGVVEKTACLLAEDIKRVTDKKVSVSSKKVSSEYAVVVGTVGHNAFVDRLVAKGLLDVSAIRGGWEQFVVKTIDAPVKGVKKVLVIAGCDRRGTAYGVFTLSEAIGVSPLYWWADVPTKRKSQLYVEHINYVSQAPSVQYRGIFINDEGWGITPWAGRTFDKELGDIGPKTYAKVCELILRMKGNMLAPAMHPSSGAFNKYPENKLVADSYGIIMSTSHCEPLLFNNVTEWDKKIMGEWNYMTNKEGINKMLDQRVLENAPYENIYTIAMRGIHDAGLVGVPKDKEVNLVQEVIADQRGILKKHIDSPIDSIPQIFVPYKEVLDIYERGLRLPEDIMLVWPDDNFGYIKRLNNKEERSRRGGAGVYYHISYLGEPHDYLWLNTTPPALMFEEMRKAYDTGAKRYWLLNVGDIKPGELGMKTFLDMAWDIDKFDFDNINNHQVDFLVSIFGERYREDIEDVMNSYYHLGFQHKPEAMGWGYEWNNEHVQERMTDTDFSFINYNEAEGRIQEYDRISDKSEKIWNALPESHKAAFYELVFYPVKGAALMNKKMLVAQQNRWYARQGRTATNYLADRVKSYHDSIDYYTDKYNSLLNGKWNHMMALAPGWTATYQKMPPLTTIDVPQKAEMRIFLPEQDSPLGKTTLNVLPCVNPYTRKESFIELYNVGKQAFTWNAKTSDSWIKLSRQNGTTQLQERIIVSVDWVKVPVGERITGEIEVISGGRQEKVYLPVFNPAYPTVGELKGWYVEDNGCVSINPGKYHRKVENEDIKIKVIKGLGYENQCIQLGEAVEPVQNPRRSGEAAKVEYDFYTFNAGSVTVYTYALPVFPVNSERGTCFGIMIDNGLLKYASNNAKEYSGEWRENVYRNSTINAVTLNIDKPGKHTLKLICSDPGMIIQKVVIDMGGMKRSYLGPETTIVR", "MKIKIYIITLLLSAVLGSCSSSFLDEKPLDFMSATNSFLTQEDIDCSVNNLYYLVRREFYSRDENRPMDYLYGTDIVYDGEPNGTERHSNMISAYHSTGNIASVHWSLLYHTISTANTIIDRLPVSEVSEEQKVIAEAKARFFRGFAYRTLAYLYGGVPLLLTEVVGPKFDYVRASKEEVLEQAISDVEFAATYLPSLSSVQDGEVSNTAAYHLLSELYLATAQYQKAVDVATTVIDDPATGLMYNRFGSRANEVPGDVYWDLFRKNNQNRGSGNTEGIWVIQIETDTPGGSGSLTAKDQTYTLERHHAPMVRDVKAHGMNPFSWPIGDYTGGRGIGWAISTRYFSDEIWKDDFYGDMRNANHNFVRKFAVHNKEYAKLYGDTIDTQNPPVGVTVPSRSLYAYQSKCTTPYNHPEGLYSNSKTFALNSGAGATYTDQYMFRLAETYLLRAEAYLALNDKDKAAADINVIRNRAHAKPVLTSQVTLDYILDERMRELGVEERRRITLMRMGKLYDRVMKCNPYYAKEMKKHYELWPIPYKEIEANRGAVLEQNPGYE", "MKHFLIWIFLFFFAMSGFAQDLNIKGQITDTSGESIIGVNVKVKGKDTGTITDIDGNYHLQAAPNDILVVSYIGFITQEVPVKNRKVVNIRLIEDVKALDEVVVVGYGTQRKTDLTGAVIRADIKTLKRSPNSNVLQSLQGNVPGLNIGQVTNSGGTPSMSIRGTNTLGGNKDVLVILDGIIYTSSLSSINPDDIESIDILKDASSTAVYGAQAANGVVMITTKKGVEGKPKISFSSSYTFSNPTHNYRPMNREEYLDNVRDFYYTEAFLGPDYTTPNPDFDLASKLPDAPLRDENGNISPYNYNWWNEGTQSGHLFENRFNISGGSKSINYMLSYSNTDQRGFIVNDDFKRNSIRLNLNAEIAPWWKIGIQTFGAFVNQDGAEPGLWNLITQSPLIEPYDADGKIKPYPFNTLDTNPFMGSDIDDYERHNYFFGNISSEIKLPLKGLVYRLNFGNNYRIDNHFQASQYGYNLQGEAYKEHTGYYDYTIDNILAYNATFGEHHIDATLLYGASERKYDYTKALGQGFTRMTLGYNSLEQATTRYVYSDAWREALCYQMARVSYRLMDQYLITGTVRRDGFSGFAANNKYATFPSIALGWIISEEPFFKIPWIDYLKLRGGYGISGNQTSRYKSLSTVASEIRYIFGDGGKPVIGQEISALGNSNLKWEKTAGINLGLDFTILGQRLTGSVEYYSTTTKDLLYDVALPDITGFSKIASNLGKIKNKGFEFILTSKNIQTSDFKWTTSLNFSTNSNKIVTLTGEDNDGDGIEDDNISSNLFIGQPISAVYGYVVDGIYQLGDDIPAGFYPGNYRIVDMTGEGEITPEDRVILGKGDPAYRFGIMNTLEYKNFTLSFFINSVQGGKDGYLAANSSSLVRDQNNLRWNRISAWDYWSPRNPDAVYARSISTPKITPTVYEDRSFVRLQDVSLSYAFPKSVTNKVGLNEIDLFFSGKNLLTFTKWHGWDPEAGSTYSDRPVMRSFSFGVSLTY", "MKQSDPFKLILLLSLVVYSIEGFCQQIPTINLVMKDGLSSNYVTDIAQDKYGFTWFATKYGLNRFDGDKFTVYLKEPNKELLNSNDINKIATDTINNKVWIANRWMGVNVFDCATQQFSSFLHDKNNQNTLISNEIKDILVTSNGNVWIATNQGLDLYNPDNSQFIHYNTSTVPDFPSNDIMTLAEGSNGDLYIGHTYNGFTIFSPDNNSFKNFTHSSQNKNSLPDNTIHSIFVDTNSKIWIATNCGLSLFDPFRETFRNFKDVSGIHYTIQRAIYNVYSTSDDRIWVGTVSDLCYFDSKDTDLILSGKKNVNHMFIQDIYWGISNPTVYCVFEDSFKNVWIGSNGGGASFISSTSSFFHSWRINKIPGVTNGLNDKEVLTICVADNGNIWMGTDGGGINVNIDGRNCMFYSHDTGDISSNTYHSSLKDSNGDLWFGNMYNEMGIDVFISKEGRFIHYTPQGNGSVIYCLFEDNQRNVWIGSNNGLEIYNLITKKKDFLNKENSQLLTNEIKAVSQDKNGNIWIGTLNKGISIYNPESKTMKHINEHTIFENCVINQIFRDSKNRIWIATTEGLVLFPNEQSDTYQLFNTQNGLACNLICSIEEDSEGNIWLSTHSGISRFIESEQRFLNYDHKDGTLFGTYMNNSVGKAQDGTIYFGSINGVCYFNPKDRPSNIILPPVIFTDFKVYGRNPHEDAIDTSIPMTNGKIMLNHNQNIFSITFNVMNKSLQGKVEYAYKLEGLEENWINIGGDNQVTFRNIPYRNYKLHIKARYKNQEWQTNYSTLFISINPPFWLSWWAKLIYIVSITTIVFFIIKSYKKRLQLRSSLSLEKEKAQKQQELNEERLRFYTNITHELKTPLTLILGPLEDLQCDSRIQKEHLKKISLIHKSTIRLLNLVTQILEFRKTETQNKKLCVIEGNIVEKIQEIGFKYKELNRNADITFDMITDADKIQIYFDQEVISMIVDNLLSNAFKYTYKGTITLALRSVIANDVEYTEIEIADTGIGIPQEDISRIFERYYQTNIRKNMPGFGIGLALVKNLVDLHEGTILVDSEPNVGSSFRVRLITNNSYPDAIHINTNLKESIDEEKSSKPIVLVVEDEGDIRDYIAEALMGAYDVIVAENGEQGCQVAFTSIPDIVISDIMMPVKDGIELCKEIKNNIATSHIPVILLTAKDTLQDKTEGYDAGADSYVTKPFSASLLKSRVANLLEGRKKIASLISSSTHLKQSIIKESLNKIDNEFIERITKIIEENLMDEKIDVPTIAQELSMSYSSLYRKIKALTGMSTGEFIRKLRLRKAEQLLLSGKYNISEIAHQVGLNSVSYFRECFKEEYGMSPSEYIKRLK", "MAEKLTINQWAEEDRPREKMMLHGVGTLSDAELLAILIGSGNTEDSAVELMRKVLSDYHNSLNELGKTTVDELCHYKGIGPAKAITILAASELGKRRKEEEGKERKVILSSRDVYQYFYPLMCDLPTEECWVLLLNQASKVIDRIKISSGGLVSTAVDVRCVLREALLKRAVAMALCHNHPSGSMRPSTEDDRLTEHLDKAAKVMNIRLIDHVILTDGKFYSYADEGKI", "MRYSFIIPVYNRPEEVDELLNSLTRQTNKNFEVLIVEDGSSVPCKEVVDNYADRLDICYYFKSNSGPGQTRNYGAERGHGEYLIILDSDCILPEGYLAAVESELQRQKADAFGGPDRAHDSFTNIQKAINYAMTSFFTTGGIRGGKKKMDKFYPRSFNMGVRAEVYKALGGFSKMRFGEDIDFSIRIFQGGYACRLFPEAWVWHKRRTDLKKFFKQVHNSGIARINLYKKYPESLKVVHLLPALFTIGIVFLLLCSLVCSWSLSLLLLFALIICVDSTLRNKSFKIGLLSILASFIQLIGYGTGFLRAWWKRCVLGKNEFAAFEKNFYK", "MINAQDIKIGTAIRMDGKLYFCIDFLHVKPGKGNTFMRTKLKDVVNGYVLERRFNIGEKLEDVRVERRPHQYLYMEGADYIFMNQETFDQIPIAHDLINGVDFLLEGMVVDVVSDASTETVLFADVPVKVQMKITYTEPGLKGDTATNTLKPATVESGATVRVPLFINEGETIEIDTRDGSYVGRVKA", "MKRTFQPSNRKRKNKHGFRERMATANGRRVLASRRAKGRKKLTVSDEYNGK", "MKEATITPFAKPLYIMTKPVGAVCNLACDYCYYLEKSKLYEEQPRHVMSDELLEKFIKEYIQSQTMPQVLFTWHGGETLMRPLVFYKKALELQKKYAGGRTIDNCIQTNGTLLTDEWCEFFRENNFLVGISIDGPQEFHDEYRKNKMGQPSFYKVMKGINLLKKHGVEWNAMAVVNDYNADYPLDFYRFFRDELDCHYIQFTPIVERLSSRADGLRLSSLKQKDGELVPFSITPEQWGNFLCTIFDEWVLNDVGNYYIQLFDSTLANWVGQQPGVCSLAKYCGHAAVMEFNGDVYACDHFVFPEYKLGNIYQKTLVEMMYGKEQETFGVMKHNSLPQQCLNCSYEFACHGECPKNRFMLSKDGEPGLNYLCKGYYQFFDHVAPYMDFMKKEYLAERAPANVMEWARERRNK" ]
[ "GTTTTCAAAAGCTATTGCCACTGCTGAAAAACTCCAGGAAAGAAAAAGCAGAGAAGAATGTCCTTATTCAAGTACGTGCCAACCAGAGTCTGAATGTAAAATTCATAAAGAAGACTACATATTTTGCACCTCCCCCTCTACCGCACATACACACTTGATAATTATTATTTGAAAAAAGTTCTATAATAATTTGGTTTATATTATAAACTATATTACATTTGTAAAGTATTTAAGTAAAATAAACTTTTGTCATTCAACCTATAAAGCATCATAACA", "GTAAGAAATAAAGCCT", "TCAATCACAAACTAAAAAGAAAAAACAGT", "CTTTGCGCCT", "CAAATCATATCATTATTTGTCACATCCACAAACCTGTTTGAATAAATACTGTTTAACATCTTGATTGACAAGTATTCTGGAACTTACCATCCCAAGATGATTATATGGGATAACTTTCTATTATCCCATATAATTTTTTAGAATAAACACCATAATAAAAGGTTTTGTAAATTTGTACCCGGAAGAGAGAACATCCTCTCCCACAAAAGTGTTTATATTATACAAACTTTAAATAATAGAATT", "TTCTGATTACAATATTCCGTTAAAAACATCGCCGGGTTCACCCTTGGCGATGTTTTTTTTGTATCTTCGCACTCGCA", "AGGCATAACTCC", "GTTA", "GTCGTTTTATGAATTTAAGTAAATCATCACAGATTACTCAGATTTCCACAGACGATTTTATGATTCTTTATCTGTGTAAGCTTGAGTAATCTGTGATGAAATTAA", "TTTGTTTGTTTTATTAAAGGGTTAATGAAA", "CACACTCTATGATTAGGCGGCAAAGTTACGCATTTTTCTGCTTAAGCGTAAACAAAAAGGCGGAAAAGAATACTCTTTCCCGCCCTATTTTATATTTCTTAATTAACTAAAGC", "CCGTTTTTTTAGGTTTTTCTTT", "AATATTGGGTTCATTTAAGGTTTATACTGTGCTTTTTCCAAAAATTCTTCCAAAGATAAAGATTTTCCTTGAGAAAGAAGGAGCTTCTTTAACTCTTTTTGTATGTAAGTTATATACAATGCTTCGATAAAATGTTCAGAAACCTCTATAAATAAAGGGGAAACACCACAAATATGTTATGCAACATATATCCTTGCTTTTAACAATGCAGTAACATAAA", "T", "AAG", "GCTTACAGC", "ACTGAAGTTAGGTGATATTTTATGTCTTCTTTTCAGTGCCATACGCTA", "CTTGGCAATATATTATTATCTTTGCAGTTCTGATGTGCAAAGTTAGCAGGTTTTATTAAAACCTGGTCCATTTTGACAAACAAAAGATTTATAAAATTAACTA", "CATTACAATCCATCCTCAAAATAGTTTAAATAGTGGTTATCAAGGTTATACAAAACCTTTTTATATCTAACAAATTTCTTTTTTCAAATACTATGATTATATTTGTGCCACAAATAAAAAAATCAGACCATACGATAGATCGGTAAAACGCACAAAAACATTACACGGCAT", "ATGCTACGTCTAATTTGCACAGACACATTCTACAAAATATACTAAAATTATCGGAATATATATCGCAAACAAGCTACATGTTTGTGGAATGATACAATCATATTTGTTTACCATTAAAACCGAATCTGTTTATCCCAAAGCTAGACCTTTTTGGGGGAACTTCGGCATTTTTACCGGACAGCTATAAAATCAAACAAGATATTCCTATACCAAGAATCTGCAAATCCTGCTACAAGATTCCGAAAAACTTGCTACAAGAATTGCGACATCTTGCGACAAGTTTTCAAAGTCTTTCCACCTTCTTTTTGATATGCGTATCCATCTTTTGAAGAGAAATACCCCTCTCTTGAAGAATGCCCCCAAAAATCCTTCAGAAGTTTCAGCCTCTCCGCAAACGTCCTGTTCATCGGCATTCCGGCTGACAGATTGATGCACCGAGATCCTTCAACCGCGTAGTTCTTTCTTTCAGCCGTAGTCAATAAATGTATAAAGGTAAATCCGAATACCGATAGAAAACCAACCAATACGACATCGCTTACCCTGTCACGCTGAAAGATCTTTTTCGGCTGAAGGATTTTATCGTGTATATCCTTCAGCCGAAAAGCCGATGAACAGGACGTTTGCGGAGAAGCTGAAACTTCTGAAAGAAAAACAAGGTATTTTGCCATGTTATGCAATACGAGTAGAAAAGCATCAAAAACATCCTGTTGTTTTTGAAAAAGCTCCTGTTCTTTTTTAAAAAGCTCTTGATCTTTTTAAAAAACGTCCTTGTCTTTGATATGGAATTTCCGGTGTAATCGTACAGTTATAACATTAATACAAAATCCCATCAAAAACACCGGTCCGATTCTCATAAGTAATAAGTAAAAACAGTATTCAATATGGAGACAAAAATCATATTTTTCCCTCACTTATGCCTGTAACGCCTCTGTTCCTAAAAACACATCAGACATAGCGCA", "AGTCCGTCACTTTTTTATTTTATTGTCATCTGTAATGGAGTACAATCC", "TAGCTGTTCGGTTTGTTTCCTGCAAAAATAGGAAAACTAAATGAAAAAAGGTATTTCTTTTAGGTACAACCTCTAAATGAAAACTATTTTTCATGCAGAAAACAAGCAGACATGCCACCTTTTCGCTATCTTTGCCATACGAGATAAATAATAAGAAGAAAGGAGAACGTC", "AAAGACGAACCGGTCATGCCAACTGAGGCATGGCCGGTTTGTATATCTATCA", "AATGTATTTTATCGTTTCTTTATTTATTCTGCTGGATATTCAATATGAACATT", "AATTCTTTCTAATTTAATGTAGAAAAGAAAAAACAACCTTTTCATCCTTAAAAAAACATCCAATAAATA", "CTTCAATTCCTTTTTAGTTCA", "TCTATATTAAATTTAAAGTTATACATACCTTTACTGCGGGAATGAATGAAGAAGATGTGTTTTTCTCCCCGTAAAAGGTTGTGGCAAAAGAATGAAATAGGAAATAGAAATACAGGTGAAGAACCATGCTAACAGGGGATACAAATAGCTCTAGCTATAACTTGACGCATTTGTACCCCCTATTTGCAACAATTAAAGGACAGGAAGATGT", "AGACTGCCACAAAGTTATAAAAAAGAAACCGGATACTCTAGCAAATTTTAAAATTTGCTAAAGTATCCGATAATTGCTCCACTCACAGGTTTCCTTCTTTTGAAGCTTCCTCCTTACATCTGTGTCTTTAATTCTTTTCGGTAGCTTCTTCAGCAGTCTGTGTTTCCACCCCTACGGGAAAAGTATA", "TTATCTATTA", "GGTATATGCTTTATTGTCATGTTTCTT", "ATAATTAGTCCTGAATTTGTTTGATGCAAAGATATAGTATAGGAACCTATTTTCAGGTATATAAATCTTTCAAAAGAGGGACTTTATTCGGTCAAATGGAGGAAAAATGGAAGTTTATGAAAGACTGGCATAGAAAAAAACAAAAAGTTCTTCCCTATTAAACTACAGTTTCAGTAAAAGAAAAAAGCAAAAGAATCAGCTACACAATAAATTCTGATTATTCATTATAAATGATAACTATTAGCGAAATATATTCAGTTTTCATCACACCTTTTGAGAAAGATACAAAAATAATCCTTAGAAAGGACATATTTAACATTTCTATCCATATGAATTTCATTGTTTGATTTCTCAAGCAATATGTACCATTCTACTTCCTCGCTAGATACAAAATAACAAGAATAACCCACAAAAAACTACAGCAGGTTAGTCATAACCTGTAATTTATTAAGAATAACAAAAAAAAATAAAAGAAAAGATAGCGTTATATTCTTATAAAATAATACATTTGCAGCAACTGAAATAGAAAGGGAATAAGATCCCTAAACCAAACTATTTACTAATTTAACCTTATTAATCT", "ACACTGACAACT", "CCCGGTCATTTATTTGGAGGGACAGACTCATAAAGCTGTCCCTCCAACTCTTTCTACACATCTCTTTTCATTGAAGGCAAAAGTAATTACCTTTCCTCTCGAAAAAAGATAAAGCACTT", "GCATATTCTATTTTAAGTTTCACAAATTCGACATTACAAATATACGAACCTAGAAAGGTAATGAAAACAGAAAGGCACATTTCCTCACGAAATATGCCTTCAATTCTAACTTAACCTAACCTAAAATTCTATTATCACATCACAGTATGTTAACCTTCTTCCTAAAAACCTATCTTTAATACCTATTATCTCTTTTTACCGATAGCAAAGATAAAAAATCTGATTGACAACCATAGGGGTAAAATCGAGCAAGATGGATGCAATAATCAGTCAAGAACAGACTATTGCACTATTTTCCATCCTTCAGACTATTTTCAACAGGGA", "ACATCTACTATCTTAAACAATATCTTTATAATCATCACAAGTAAATATTCCAATGGTGATAGCGGCTGTTATACAGCCAGCTATCCATTGTCCTTATTTTTCAAATTCCTCTTCTGTTTTTATTTA", "AGTTCCATTCTTTATTATTCAACAATATTTACTA", "AGTCATACTTTTTTAGTTATAAAAAA", "TGTTTCTAGTTTTTATTAGATAAAAAATCAAGTA", "AAGATTTCAAGATA", "TGTTTTTTCCTTTTTTAAAATTAGATAATAAGGTTTATAAACTCTTTATATTTTGTGGCAATCTTTTTACCCCAAAAAAAACTAATCAACTTATTGTTTCATCTAAAGTTTTTTACTAGATTTACAAATGTTTTAGATAAGATATCCTTTAAAACGATGCAAAAATAAAAGATGTTATGAAAAAACAAAGATTAAAAATTAAGCAAGAATGGTAGAAAAATCATTCATAACGAGTTTTTAGTCCATAATTGTCAAATATTCT", "ACTCCCTGGCGGATTCTGATCATTGCTTGGTATCATCCTGAGCAGTTCTTCTTTAGAGTTTTATATTTCTGCTACCACCCTTCCTGCTGAAATGCCGATGAAAAGTGGTAGCAGTGGAGCAACAATATAAAGCTCGTTTGTTGTAGAACTCTTGGATCAAGTTATAACTGTCACAATCGAATTCAAGTCCAGCCTGAACATTCTGTTT", "GGATGCAAAGCTACTATCTTTTTGCCATTTTCAGATACAGATGACACAAAGCTTTTATTTTTTAAACACGAACAACGCAGATGATACGGATAAGTATAAAAACAACTTCGTATCATCTGCGGCGTTCGGATTTAAAAGCAA", "CATATTAATAATTTATCAATTTGCCAATTTACTAATGTGTTAATGTGCTTGCGCTATATGCCGCATGGTCATTGGCAAATCAGCACATTAT", "ACAGCATAATGCGATTAGTAGAAATAAGTTCCTCATAGCAATTCTATTGATAACATTGCAAAAGTAACTGATTTCTGTAATAACACGGGATAATAATTCGGCAGGAAGGAGTCAGAAAACGGTCAAAACCGATTATTATATCTATTTTAAAGAAAAATTCAGAGAAATAATAAAGAAGAAAGATTCTATGATTACATTTACCATAGAGGCAGACTATGTGAAGGATCATTCCCCAAAGGATCTTTGCCATTCATGTTTCCATGCAGCATATATAGAAATCCCACCCGGATGAAATGGGCATTTCACCCTGGTGAGAGCGTAGTTCCACCTTTGTGAAATGGCAGTTCCACCCAGGTGGGACAATATACCTATACAGGTGCAAACAGCACATTTAATAGTTACTTTGGACTTTTGACACATTCATCCTCCTACTGTGAATGAAACTGCCAACCGGTTAA", "CTTTCGCTTTGCTTTTAAATTAAGTTGCAACAAAGATAACTGAAAAATAGTTCATGAAATAACAAAAACTCAAGAATTGTGCGTACTTTTGTACGACCTAAATGACAAGCCGTAAACA", "TTCTTAATTTTCAATTTTTAATTCTAGAAAG", "TAACCTATCCGGATTTTTACTCCACTGATTATCAATCTTAAACATAGAAATTCAACCTAGGCAACCAGTAATAAAGA", "AAAATATGGGTTGCTTGTTTTCTCACCACAAATCACAGAATCTTCATTTCATTCAAAGTGACAATAGTTATCAGACTGCTGTAAGAATGCTCTGTTACTACTAAATGCGGATAGACTTTTTATAAGCAATGCTCACCCCAATGACCATACCTATTGAAAATCAAATCAATCCTCTATAATCTGTGATGATTTTCTATATATAACAAAGATAACAGCTTTTTTG", "ACCTTATAAATTTATTAAATACTTATTGAAAACTGCGCAAAGTTAAGGAAACATCCCGTTTGGTGTTCAATAACATACACTTTTAGCATACTTTAAACCAAAAATAATATTGATTTTGCAATGTAGATATTGCAAAATTAGCTAATTTTGCATCGTGAAAAACAACACTCGTCTTTAGAACGTACATAATTAATATATTATC", "TCATTCTCCAAAAAACAACAACATC", "TTTTATTGTTTGGTTAAATATATAAATTATAGAAGGCTGCTACGCGTGATGCATAGTAGCCTTTT", "AATCTTACTAAATTAAATACCTCATAAATTAAAAATCCGAGAATAGAGAATTAAAAAAACAACACCTTGTCCAATAACTTAGACATATTGGCAATTCTTATTTTCCAATTTTAATTCTCAACTTTCAATTTA", "ATCTCTCGTTGTTTTAATTGCAAATTTAATGATTTCGCAACAGTATACCAAACGTTTCTACAGATATCTACAGAAGGCTTTCCAAAAGCGTGCAGGCGATATTTACTTTAACTAAAGCACGCACCGTTTTTTGTAGAATAAAATTCTTTTTTGATATTATAAAATCAATTTCTATATTTATCATAAATAAAAAATACTGTTGAAAAGAGAAACTTAATGAAATAGTCGGTTAGTTTGAAAACCATACCGATAAGCGTCCAAAAGAGATGTAGATAAGCAAAAGGAAAACGCATTGCCCGAAAAACACTCTAGACACCGTTTTTAACAATTTCACCACTAAATTCCGTTCAACCATTGTTGTAAAGAAAAAACTCGCCATCGATATCACTTTTGTCAATAACGAGCTATTTCTGTTTTTTATATCATTTCAAATCCATATTATTTAACGTCATGTGATTCACAAACACGACCAGTCTTAATCCTTATTTTATCCTCAGAAATCCAACTAA", "ATAAATTATATTTTAATTACTATTGATTGTGTGTCTGCAAATGCAGACACACAATGATTAAAAACAACATACCCTCTATTCTTAATGCCTAATATTATACCTATCTACT", "AATAAATCAGA", "AAAATAATAGTGATTTAAAATTAACTACTTAAACTAATTCATTATGTTTTTCACAAGCAGAAATTAAAAAGAACTGTAACTCTTATGTGCTTCTCATAGGCTATCATTTTAAGGTTTCACATAGCATGGAGAATTAGCTCTCCAAAAGATTTCACCGAACAAAGGTAAATTAGAGTCAAGACTTCAGGCATCTGTATCATTCAGAATACCACCGCTATTTTTCAATGACAGAATTATGGGCGACTTTTGGAAGGATTGCGACCATCATTGTGCTTATTAATACTGATTTTTGTATTTGTTTGAGATTAAATAGTAATTTTGAAGATAAAAATAGTAGAAAG", "GCAGGAATTCTACTCTAAGAAATAGGCTTAGGGAAAGATTCTATAAATTATATCTTATCTGTGAATTATAAAGTTTGAAACTACTGATAACCACAGTACGATTGCAAATTTAATGATTTT", "CTTTCTTTATTTTTCCCCGCCATAAAGACGCATAAAAAGTCCATCACTTTTAATACCTCTTCATGGTAAAATT", "TGCTTTATTTTGATTTTATCCATCACAGAGAAGTACAAAGAAACATAAAAAAGGCGGAGCTTGCAACAAGTTCCGCCTTTTTATATGCATATATAAATAGA", "ACTTTTCTTCGCTTATATTTGATTATTATTTCAGATTATATTCACTTATAGCTGTGATTTCGAGTGCAAAAGTAATGTAAATCAAGAAAAAACGCAAAAAGAAATCACTAAAAATGAGTTATCTCTTTGTTTATTTCAAAAAAGTCACTAATTTTGCAGCCCGTTACTCATGGTGAATAATAACATAAAATAAGTATATACA", "TTCATCTGACCGGAAAAAATAAAAGGAGTGAAGTCGTAGAGACTTTGCTCCTTTTATTTTTCCAACTAAGCCGAACAGCCATGAAAAAGTAACTTAACTTAAATGATCAAATAAGTCAACTGAAACGACCAGGTAAGTTGACTTGCTTGTTCCATATAGTCGGCTTACTGAAAACAGT", "TTGTTTTTCATTTAATTCCTAGTATAAAGAATACAAAGATAAAGCTATTTTTCGATATTCGTTCTTACAGTAACAGGTTTATGCTACCCTCTACTCCCAAAAGGACATTCTTCTTGCCACCCAATCTGTTTCAAATATAAGAGTTCCACCTTGCTTCAAACTCTTGAAACAAAATGAAACTCTGACCCTCTAT" ]
[ true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, false, true, true, false, false, false, false, false, false, false, false, true, true, false, false, false, false, false, false, false, false, true, false, false, false, false, true, true, false, false, false, false, true, true, false, false, false, false, false, true, false, false, false, true, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 32, 34, 36 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 33, 35, 37 ]
[ "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122724|+|901:1092", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122725|-|1125:1901", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122726|-|2230:4626", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122727|-|4873:6732", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122728|-|6750:9809", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122729|-|9818:12133", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122730|-|12151:13767", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122731|-|13940:15775", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122732|-|15805:19026", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122733|-|19160:19294", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122734|+|19308:20693", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122735|+|20829:24761", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122736|+|25027:25272", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122737|-|25372:26718", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122738|+|26868:27509", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122739|+|27528:28601", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122740|+|28598:29572", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122741|+|29601:30758", "2510065017|RJ2H1_RJ2H1-contig-009.9|CDS|2510122742|+|30817:31467" ]
[ "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000001|+|887:900", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000002|+|1093:1124", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000003|+|1902:2229", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000004|+|4627:4872", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000005|+|6733:6749", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000006|+|9810:9817", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000007|+|12134:12150", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000008|+|13768:13939", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000009|+|15776:15804", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000010|+|19027:19159", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000011|+|19295:19307", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000012|+|20694:20828", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000013|+|24762:25026", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000014|+|25273:25371", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000015|+|26719:26867", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000016|+|27510:27527", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000017|+|29573:29600", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000018|+|30759:30816", "2510065017|RJ2H1_RJ2H1-contig-009.9|IG|IG_000019|+|31468:31496" ]
[ "MVTIQVSCQSVSDFYHTEGSEESVRPHNESNQSDSSSHQHPVNSHAFRLSKYSCPVHLRKTLH", "MKTKFVLLLVFCAISLNIFAQEKKIKDRRTNPELYYLEESEVANSLELLPPPPEAGSILFLYDKARYDWGKLQRDTPRGEQAVSDARVNGDGVPFAFSEAFGIEITRDKTPELYRLIINMREDAGDLATRHAKEYYMRVRPFSFFNEMTCNPEQQEELSTNGSYPSGHTAIGWATALVLAEINPDRQNEILKRGFEMGQSRVICGYHFQSDVDAARIVASAVVARLHANDAFVKQLNKAKDEFSKLQKAGLIQSVSKK", "MKKSLYFLFILALFCLTGCKDALVPKPIKLTCNINTFDAPISCISRSTADADKLYIGQEDGCIIEKVNNNCQTYSINSNRRIYDILEYSEDSLFVGTRDAGLKLLAKSSRQTQTYYIKNKRMNYSVYSMALDDDKQCLYVGTSNGLFRLNLKDGSTSHELTPIQLGKCSKNCGVNKVVIKEKKLYVASEQGLFVVRNLEKDFKRPVIDSLVTNVSVYNDTVYALLENSVFKISPDGKKTLVRKGRCYLYAQGPDKDEWFISANSILYVKDGCTLEYDLPNGISTIARQIGFMGKDFLHLACREAMLSFALRQNSADLDNNVLAVSDKRTGDSIFFITDDLRLHLYKFVYNHPEFKSKSLGKIEGLDIVGNDIIKFLGTSPNTFFLATRKKLYKIKGNRAECLLQFSNTKGQNNITSLYYSTAEHCLYVGTREYLGIIDEQQDHIVTPIPVVSDKGVKDTIDAYITGICENEDSIYVTTLNKGLYGRPLNRPQESFWQIRDLSEYESVYGVIMNGRNKYLNTSLGIVNEENATLLPVKHVKSISGALEKNPNEGFFILYYYGMSFKGLDDAGVPEPLFCDLAFSKPCIAVNGRKAVLGCKSGLFLFDGKSGLLPISIEKEEMSYALYIILSIAVLLSAFLALFLLKRRKKGTRKALMDKKGINPDDVGMNWEELEMDVHKVDKKVKTLFDALGYRKTEGEDDMRQELKQVCLDFADKYPELGKLSFMKRRGKERYFITILLMIEDIDAAIISRVLDVDQATVTRHKYNVRKEIEQLYPDGEFDCKVINLLYDRINSRRK", "MRKTILTAALLCAFLGTQAQEYYEKHVAFPAGATTEQKIDMASRLVPTPQQLAWQQMEFTCFLHFGINTFTGREWGDGKEDPAIFNPTELDCEQWVRALKEGGFKMAIITAKHHDGFCLWPTRTTKHSVASSPWKNGKGDVVQELRKACDKYGLKFGVYLSPWDRNAECYGQGEAYNKFFIEQLTELLTNYGEVHEVWFDGANGEGPNGKKQEYDWDAILKTIRRLQPKAVTAIMGDDVRWVGNEGGLGRTTEWSATALMPNSYPGSDEVYKRLGINAMSKDLGSRELVSKASDLFWYPSEVDVSIRPGWFYHAEQDNQVRSLANLVNIYYRSVGCNSVLLLNIPPDKRGLMHENDVKRIKELTEYIKKTFADNKVEKGNRIWTAKVGDTKEYKVRKNTLVNTFLIQEDITKGQRVEGFTVEVFANGAWHHVGEGTTVGYKRLLPFSDSHAEKVRVTITGARGTVNISNIGLYYAEPLVDKTMKVTLSDVPVDGWKTVGMDAAAAIDGKQETVWKTETLTPLVVDMGKEVEIAGFSYAPAQEEDLTGTIYKYNFYVSRDGKDWMKCDATGEFSNIMHNPVPYFVRFGKTYPARYFKLEPVTEINNKAVTAVGEIGVLLK", "MRNTLLYFLAALVIFPVMAQQQPEWQSQYAVGLNKLAPHTYVWPYQTVSDIRNGDYESSPYYMSLNGSWKFHWVKNPDNRPKDFYKPEFYTGGWADIQVPGNWERQGYGTAIYVNETYEFDDKLFHFKKNPPLVPYKENEVGSYRRTFTIPADWEDRRVVICCEGVISFYYIWVNGHLLGYNQGSKTPAEWDITDKLKKGENTVALEVYRWSAGSYLECQDMWRLSGIERDVYLYSTPKQYIADYKVTSSLDKQQYKEGIFGLEATIEGPSTSMTTFAYRLEDKTGKAVLQGEYPIKSKGLSHFLKLDEQSLPDVEVWSAEHPNLYTLILELKDVTGKVTELTGCEVGFRTSEIKDGRFCINGVPVLVKGTNRHEHSQLGRTVSKELMEKDIKLMKQHNINTVRNSHYPTHPYWYQLCDRYGLYMIDEANIESHGMGYGPASLAKDSTWLPAHMDRTQRMYERSKNHPAIVIWSLGNEAGNGINFERTYDWMKSVEKSRPVQYERAEQNYNTDIYCRMYRSVEELMAYARQTEPKVYRPFIMTEYLHTMGNSGGGLKEYMHVFETEPIVQGGCIWDWVDQSFREIDKDGKWYWSYGGDYGPKDVPSFGNFCCNGLVNAAREPHPHLIEVKKEYQYIKSALTDPKKLTVEVKNWYDFTNLNAYTLHWQVMGDDGKVIAEGTRKADCAPHEAVTFSLGAVKLPSTIREAYLNLSWTPDKATPFIGTHDEVAYDQFVLSANKGYRAPEIKLADKVKIDIDPATGALRSYIYKGKEMLSSPVVLSLYRPVTDNDSREKTGGAKVWRKEGLDNMIQKATFVKASETGGKAEVELWNAKGVKLGMATFVYTLQSNGALKVKTTFLPDTSAVTSLARVGLAFEMPYAYNKVSYLGRGEHETYIDRNQSGCIGIYHTDAERMFHYYVKPQATGNRTDVRWMELADEAGEGLSFRSDKVFQFSVIPFTDSNVDKATHINKLERTGIINVHLDAEQSGVGTATCGPGVLPPYRVPVEKHTFEFMIRPLK", "MKYTCLILLWSLFATMALPADNLIQSISIVPCPESITPGTGYFTFSGKTDFTVENEEQAEVARCFSALFTQAAGFTPSVKVGEKKGKISFLTDDALKSEAYHLEITPRQIIVKASDTKGFFYALQTIRQLLPASIEGTAVAETADWSVPAMTIKDEPRFGYRGLMVDVARFFIPKENLLRIIDCMGMLKINTLHLHLVDDNGWRIEIKRYPLLTEIGSRRVDRPGKSFPERRNPRQGEPTVEKGFYTQEDIREIVAYAARHQVEVIPEIEMPAHSNAALASYPLLACPVVDKFIGVLPGLGGNHADVIFCAGNDSVFTFLQGVIDEVVELFPSRYIHLGGDEARKTHWKECPLCQERMRQEGLEDEEALQGYFMARMSKYVQSKGKEAMGWDELTNTRIPDGAIIYGWRGYGQAAVKAAEQGHRFVMTPARIMYLIRYQGPQWFEPLTYFGNNTLKDVYRYEPIQKEWSPEVRSLLMGVQASMWTEFCNKPEDVEYQLFPRLAALAEVAWTQPWHKNWRSFLVAMDKFNEHLSAKGIVFACSMYNIQHTVTPVNGKLQVKLECERPDVEIRYTMDGKEPTDRSPLYKNPLMVTGTQTIKCTTYRAGRQMGKTLTLPLVWNKATAKPVLGNTQAGKILVNGIRGSLRQSDFEWHSWESSDSVAFTIDLQKKESLRSVSVGCITNYGMAAHKPADIEVWVSNDNRDYRKVSGKQFTDGEIFREGTFKEDVVLDLNKEIGRYVRIIAKGAGECPATHVRPGQEARIYFDEVMIE", "MLILCLFKFMNMNNLQSGLLFSLTGITAVASLSSCASQKKTEEQKPYNIVYIMTDDHTAQMMSCYDKRYMETPNLDRIANDGVRFTNSFVANSLSGPSRACMITGKHSCANKFYDNTTCIFDGSQQTFPKLLQQAGYQTALIGKWHLESLPTGFNYWEIVPGQGDYYNPDFITQDNDTIQKHGYITNIITDDAIDWMENKRDKDKPFCILIHHKAIHRNWLADTCNLSLYEDKTFPLPDNFFDDYEGRPAAAAQEMSIVKDMDMIYDLKMLRPDKQTRLKALYENYIGRMDEGQRAAWDKFYGPIIDDFYKKNPQGKELADWKFQRYMRDYMKTVKSLDDNVGRVLDYLKEKNMLDNTLVVYTSDQGFYMGEHGWFDKRFMYEESMHTPLIMRLPKGFDRKGDITELVQNIDYAPTFLELAGAPVPEDIQGVSLLPLLKGEKPADWRKSLYYHFYEYPAEHMVKRHYGVRTERYKLIHFYNDIDVWELYDLQADPTEMHNLYGQKEYEPVVTELKTELARLQEQYKDPVRFSPDRDKE", "MKKLKYIFLLAGALSLASCESWLDEDPQYTINTKTQFSTVENARQALMGCYGYMSADNAYGQAWQEVTFGYCGFGWSQTNGSSTDLLVSMDGGIDETINTMAWRGMYKVIGETNAFIANIADSPLESADKLPMEAAARFLRALAYYNLAVTYGDVPLKTTPSAHDGVAVPRSPKNEVFELVRTDWEFAYENLPEKDDDGFATKWAAKAYLGKLYHTLGCQGDNTAWEKAKACFDEVMPKYRLADKFSDLFVDYVQGSPESIFQLNFALAGSTTRNRGSWLVAPNGSCNGQAWDRIRASKALYDYFWATYPGDPRIESTFLTYWKSYAGVGKGEKPKEEPIASARDTVYAYPYFTYTIEGEEKPAGWKKPKLYVGRIPYEKLADPASPKAEELYAMIADTATATPAEKGYLKGLLSLLENATKKPSTNTKSWPYFKKPWDPEQSGNNSHKNLILYRYADMLLMAADVYNELGQTDKAITLANEVLKRARQSGNASQPADWKSGLSKEQVREKIYFERIFEGAGEPEMYQKMRLRGTELLKKAFEVNNGHGIIQESVANNPKGNGNWGERIFNDGNLNDENFLKKNLLLPVPKDEIDTNSALDYSDNNYGYTN", "MKKNLFNVFLVCVFCVAAIPDMFAQQIKVTGKVTDSTNEGMPGVNVQVKGTATGTITDFDGNYSIDVPDSKSTLVFSFIGYVTQQVPVGGKKVLNVQLKDDTQTLDEVVVVAYGTARKSDLTGATASLRPDANDASKTASFNNLLQGKVAGLNVTSSMAAPGAASSVTIRGANSLRGDNQPLYVIDNVPQSSTGEFAESAMGGGDFQIAQDPLSALNPADIEDITVLKDASATAIYGSRGANGVIIITTKKGKAGKAKVNVTANFTIADARNLHNMLNLEQYAAYANMKANAGEEKYYPQANGEMHYVYGENLDKYKKDPTNPEYYRVLSYKNWQKEAYSSAFSQVYSASVSGGSDAMTYYVSGGFKDIKGIVSNTGIKQGDLRANLTANLSKSVTMALALNGSIKQNDMMTGGNTTGGIAGSLARTVLDTAPYEIPADDPTLQTDMDAKTTVYSWRDDYDDITDDKSFGGSLDLKWNICKYLSYNLRAGGNVNINERARWYGMQLTIGANDQGVLAMANLNKTNYNIENLLNLNIDLAKGIHLGATAGVTYDGHTFLNKNVKGTRFSNFDLRTKGLHLASVKTHEQPTQKDYQLLSYLGRINLSAYDKYLLTASVRADGSSKFKKGNRWSYFPSFSLAWRMEQEEFMKNINWLNQMKIRLGFGVTGNQGINPYATFSDYSQIIDYAKATGDQILAMAVSNLQNDGLKWERTSSWNVGFDFAFFNSRLSGSVDVYQKKTNDLLISRSLPASSGFASVMLNQGSLKNKGVEISLNGDILRNLNGWSWSLGGNIGFNKSKIGDLGFAPTDFGTLKNVRGYQGTSIGDHFGIANLFIAGEAPGLFFGYKTQGIIQPEDIVDGKVAYTAADGSTKYYSSSVANDLGAGNIKAVDMNEDGVVDEKDKTILGNPNPDFTYGFQTRIAWKDLSVSASFNGVHGNQILNTNIRYYTPSRQAGNLTQEAFRNIWTEENHSNLYPSATANVKNVVYDRYIEDGSYLRCSDITLNYTLPKSWMKKIGFQNIGVFASVKNAFVITNYSGYDPEINSFAFDGLRPGIDMNAFPSQRSYVFGLNVTF", "MDKNIFGDTKILVFIFGLVVIVLKKTMAGFPTLFITPLKLTISR", "MKLKLSILTILLFFLSASFPLAAQKAPQPFDIDTPSLRVFLPAPELATGRAIVACPGGGYGGLAVNHEGYDWAPYFNKQGIALIVLKYRMPHGDRTLPISDAEAAMKMARDSADVWNLNPYDIGIMGSSAGGHLASTIATHARPELRPNFQILFYPVITMDKSYTHIGSHDNLLGKDASAELETEFSNEKQVTKETPCAFIAYSDDDKTVPPANGVNYYLGLHKNHVPAVLHIYASGGHGWGIRENFIYKNEMLNDLSAWLRSFKAPRKDAVRVACVGNSITYGAGIKNRSHDSYPSVLGRLLGDKYWVKNFGVSARTMLNKGDRPYMKEQAYQQALAFNPNIVVIKLGTNDSKSFNWVHKADFIKDTQTMIDAFKALPSQPEIYLCYPSKAYLTGESINDDIISKEIIPMIKKVAKKNKLPVIDLHSAMDGMPELFPDHIHPNEEGAKVMAKAVYDAIAK", "MKTSAHNIRILSLLLLFTLLHSISEAKQYFFQQIPSQNGLSSMVRCMEVSQEKGYVWIGTRSGIGRFDGYEQRRYLRGNVTHILEDEEHTIWAITEKGVFRYNEKEDKFTLVRDKDNNPVIASSLCLWEDGVIFGGRGSLYKYNYEDHIINLFHTLKPNGKYHISNLYQWDSRTLLATNRWAKALFIDIATGNTRPVPFNSEQIISLLIDKKGNVWVAHYNQGVSCYDRNGKQLQTYHTQNSPLKTNVVLSLEEHNGQIWMGTDGGGIHILNPQTGKISTLRYIPGDRYSLPANSILCLYNDKSDNMWAGSVRNGLINIKEVGMKTYQDVLPGQNYGLSEKTILSIYQDHDNQIWIGTDGGGINLFDPATGKFHHILSTWEEKVASITGMDKDHLLVSLFSQGLFIFHKETHRYQPLVIINDSINDILCHRGKTVNVYQNTPETILMLSEIPYKYHIGKKQFIPITKGKGITDIVGTLLPINSTGEDCYLHDLEHIYHINSSLNELELIFTCQTDTVFNSVSQDENGLLWIGSNHGLSYYNPGTKQYTLVPNTLINEISSLICDRQGRVWIGTEEKLFAYLIKEKKFILFGEPDGVVQNEYLEKPRLLSSSGDVYMGGVNGLLHINRHLPDEPALLPTLQLADILVGGERVYDRISNDHQLSVNEKSKPIIIKIITRDKDIFRKPMYRYTITGLNGQNIYSYLPEINLSSLPTGSYHIKAACSTRNGDWTADYDILTLTVLPPWYKSGWFILSCTLFIFISVILTFILILRNKETKLKWAMKEHEQQVYEEKVRFLINISHELRTPLTLIHAPLKQLMDKLTADNENYPLIQSICKQSERMKNILNTVLNVRKMEVGQSTLHIQSIQLDEWAEQLISDFKPEASVRGITLVYQPEPEIQTLCFDKEKCTTILTNLLINALKYTPDESTISISTRLSEDRTRVRISISDQGPGLKDVDTNNLFVRFYQGNNSRPGTGIGLSYSKILIEQHGGNIGAYDNKNFGSPGATFWFELPLNTEPGNITLHPQEYLNTLLAPTQETESIPEQQEENKTAQSHTLLIVDDNKDLTDYLATALKDRFKTIWVAADGEEALRLCREKRPHIVVSDIQMPHMNGYELCKQIKEDLEISHIPVILLTARNDEESQLYGYKNGADAYITKPFEVSMLYAIVCSQLHNRELMRTRYTDIGPLPPPEEGTFSSADEEFLNRLNQIITEHLDNEQLGIPFICNKIGISRASLYNKLKALTDMGANDYITKIRMERAIWLILHTELSVNDIADKTGFSTARYFSTVFKQHTGCSPTQYREKPPVNTQ", "MNMYVGNLSYNVKEVDLREVMEEYGTVGSVKLIIDRDTRRSKGFAFVEMPETSEASNAIKQLNGAEYAGRPMVVKEALPRN", "MNETTNEQEVLLLRRKLDLLLRTGKLLMESAADTNRIERNMKRVAAYLGIPEEKLHIDIRWTMLMVNVSDEKHSFSKFQKCEKHGINMEAISKISKLSWRAIEQDYSLDKYEEELEKIARQERNYTPYVVAICTGFACGGFCKLFGGDWIAFLITAICTFVGFRTRARCIEFGINVYMSIAISAFLCTCLAYAFSFSGLSSTPYHPLLACTLYIVPGVPLINFVDDMIDNHLLVGITRAANTVMMVGGMAFGIAFALRLLVMSDVTIDQKFSELSMVPHDAYWVYAVAAAIAAMGFATIFNVQRRLLWVVAVGGIIAVCTRNFVNFELGYGPVIGSFMGSFVVSLIAVKAVHWFHVPNHVLTIPSVIPMVPGVLMYRSLLALINMRGVVGEVTLAFSNGINSALIIFCIALGVAVPNIFARRYIAKDRQRFLTQMLAERRARGKFIEW", "MVTFKEFFSFKNNRFFWLNLIAMVVVIVAAAWGTLQWLDSYTRHGEAVVVPDVKGMNLRIAENELDKQSLKSIVIDSSYVKGIAPGAILEQNPAGGSKVKSGRTVYLTVNADSAPKVAIPDVMDNSSLRQAEAKLRALGFKITEPEYISGEKDWVYSIKYRGRDLKAGEKVPHEAVLTLTVGNGNETMPEDSALVNESGTANDDKPVVDESWF", "MKEEYVDELDDTLDDVEPVVDNPAELYEHFRVVVDKGQSQVRVDKYLFERLVNSSRNRIQKAADAGLIMANGKPVKSSYKVKPCDVLTVMMDRPRYDNDIIPEDIPLDIVYEDNDLMVVNKPAGLVVHPGCGNYHGTLVNAIAWHLKDNPKYDPNDPQVGLVHRIDKDTSGLLVVAKTPDAKTHLGLQFYNKTTKRKYNALVWGVVENNEGTIEGNIGRNPKDRMQMAVLSDPAQGKHAVTHYRVLERLGYVTLVECVLETGRTHQIRVHMKHIGHTLFNDERYGGNEILKGTHFSKYKQFVNNCFETCPRQALHAMTLGFVHPRTGEEMFFTSPLPEDMTNLIDKWRNYISNREEL", "MKRTIAIVAGGDSSELVVSLRSAQGLYSFIDKERYNLYIVEMEGHRWEVVLPDGSKTPIDRNDFSFMENGEKKQFDFAYITIHGTPGENGILQGYFDLLGIPYSSCNVLVSAMTFNKFTCNQYLKGFGIRVAESLILRKGFEITDEEVINKIGLPCFIKPNAGGSSFGVTKVKTKEDIQPAIEKAFEESDEVMIEAFMKGTEITCGCYKTSDKEVVFPITEVVSANEFFDYGAKYNGESQEITPARLPEDTAERVRLLTSAIYDILGCSGLIRIDYIITEGEKVNLLEINTTPGMTATSFIPQQVRAAGLDIKDVMTDIIENKF", "MNIPAEFNEIRPYTPEELPQIYEELIADPAFRTVVESVMPGVPFEGLAMKMRQCKTNLEFQKAFFYGLLWDLVKKTANGLTFDCSALSDLTRNYTFISNHRDIILDSAFLSILLIDNGMTTVEIAIGDNLLIYPWIHKLVRVNKSFIVKRGLNMRQKLEASALMSRYMHFAMKEKHENLWIAQRQGRAKDSNDRTQDSVLKMMAMAGEGDVTERLKELNIVPLAISYEYDPCDFLKAKELQQKRDDEHFQKSPEDDLINMQTGLYGYKGRIHFQTSACLNHELDELKELNLPKCDLFTAISETIDRHIHSSYRLFAGNYVACDLLMGDNRFTAEYTEEEKEHFEKYLEQQIAKVDLPNKDTAFLRHALLTMYANPLINYLKAAKG", "MRKVVILSFLTSLLLGACGEDDYVYPNVLTDMIDLKTDHTGTGRYLITDEGTEWRIQSRTGLDGLAPDTTYRTVTMYAPLTDSEEAEKEAMLYNTQLVISPVPLSESKFKEIKTDPVAIQSIWRGGNYLNLILQVKVKDQKHGYHFIENKLENKDGEQTLYLTLYHDRNNDIEGFNRKVYLSVPLWAYAGKLHKGDKIVFNIRTYKEGMTSRIFYF" ]
[ "AATATACAGTTTTA", "ATAGTTACGGTTTATGGTTAAGTTATAGGGAA", "GATAAAAGTTTAGTTTAAACGGTTAATTAATAAAATTCAATTGGTTTTTCGAAAAACATTTTAATCATCTACGGAACGTACGACAAACAATCAGAGTGTCCGCATCTGACGGAAACAAAAGTAGTGTTTGAAATTATCCCGAAAATACCTTTTTAATCCCGTTTCCTCCTTTTATATGACCAAAACATCCTAGAAGAGAAAAGGAGGAAAAAAGGAGAGCCGGAAGTGTTTCAGCACTTCCGGCAAAAAAGCCATTCCTCATAACAAAACAGATACACGAAGCCGGCTCTACGAAATACAGTAAATTGAGATAACTCTAAAGATGGAC", "ATTTTACTCATTTAAGTGATATCGATTAAACAAAGGCTTTCTACAATTAATCAAAAAGTTGAATATCTGTTATGCTTATGCAAATATAATACGTTTTCTCAAAATCCGGAAAGCTCTTAACAGATTATTAGCTAATTCCTTAATATGCCTTCCATATACAAAAGAAGTTACGTCAAAACCCAGATGATTCTCTCACCCGACTTTTGACATAACTTCTCCTAAAATAAAAAAATATATTAACCTGAT", "TTTTCTTTATTACTAGG", "ATATCTAT", "GATATATGCTATTTTTC", "CCGCAAAGATACAAGTTATGTTATTGTTTCTTGTCTGGAAATGACTATTTTATATCCGAGAATGTCCAGAAACAGGTTACAGACAAGAAAAACGGATGCAAAGCGCAGGTGCGCCCTGCATCCGGAAAAATCCAATAAAGACCTATTGAATAAAGCGTTCTATCATGTTTTC", "TTTACAAAAAAAATTATCGGTTAAACTAT", "AGAATTAAATTTAAATTAGTAATTTATGATAGTTAACATTATTTTAATCTGTGGCAAATGTAGAGGAAAACAGAAGTATAAGGGGTACAATAATCACTATTTTTAAGTCCAAAATTAGCTAAAAAGGTCCATT", "TAATCAATATATT", "AAGGAAACGAAGGAGCAGACAGGAATTAATCAGCCAAAAAGAGACTTTTTATCGGTTGTCCGCATTATTCATACGTCATTTTGTTGTGTTATTCAAGACAATCAACTATTTTTGTTCCCAAACCTTGAGTAAGCA", "CCCTATAAAACCCTGTTTTCATTCCATATTGTGTTAATTTCCGACCATTTATAATAATAAATGATATATAATATGGAATAAAAGCAGAAATATAGTGCATAATCCAAATGAAAGAGTTTACTTTGTCCAACAAACAATTAGAGATTTATTCTAGTTTTATTCTCATCATGTATCTTCTCAGTTATCCACATTGAGTATATTCATTCCTGATTTAGAGCTTCTTGAAATTTCGTTGTTAGATTATTAATTTTTTATATTGACAGTT", "CAGAGTAAACTTGATAGACAAAGAAAAAGGATTTTCCGCAATGGAAAATCCTTTTTCTTTGTCTATCAAGTTTTTATGAATACTGCTTACATACACCAG", "CTTCTTTTTACCTCAATTTTGTTTACTCTGACAGGAAACAGGTCGTTTTTTCGGGGTGCAAAGGTACAAAAAGTATATGATATTCATAACTAAATGTAGGAACAGAAATGAAATTGTCGTATCTTTGACCCGAAATAATTAAGTTAGAT", "ACTTAACACACAGTGATG", "TGATATCACTGACTAACCTTTATAAATT", "GAACGTTTACCGTAAAGGGTGGATGTTACAGTCCACCCTGAAAAAGAAAATAATAAAC", "CAAACAAAAACATCAAAACATTCCATTTT" ]
[ true, false, false, false, false, false, false, false, false, false, true, true, true, false, true, true, true, true, true ]
[ 1, 3, 5, 7, 9 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "2510065017|RJ2H1_RJ2H1-contig-011.11|CDS|2510122745|-|84:1049", "2510065017|RJ2H1_RJ2H1-contig-011.11|CDS|2510122746|-|1069:2322", "2510065017|RJ2H1_RJ2H1-contig-011.11|CDS|2510122747|-|2360:3442", "2510065017|RJ2H1_RJ2H1-contig-011.11|CDS|2510122748|+|3601:4383", "2510065017|RJ2H1_RJ2H1-contig-011.11|CDS|2510122749|+|4587:6779" ]
[ "2510065017|RJ2H1_RJ2H1-contig-011.11|IG|IG_000001|+|72:83", "2510065017|RJ2H1_RJ2H1-contig-011.11|IG|IG_000002|+|1050:1068", "2510065017|RJ2H1_RJ2H1-contig-011.11|IG|IG_000003|+|2323:2359", "2510065017|RJ2H1_RJ2H1-contig-011.11|IG|IG_000004|+|3443:3600", "2510065017|RJ2H1_RJ2H1-contig-011.11|IG|IG_000005|+|4384:4586", "2510065017|RJ2H1_RJ2H1-contig-011.11|IG|IG_000006|+|6780:7097" ]
[ "MRYFTNVHDLGDLKSALAEAFEIKKDRYKYETLGKHKTCLLIFFNNSLRTRLSTQKAARNLGMDVIVLDVNQGAWKLETERGVIMDGDKSEHLLEAIPVMASYCDIIGVRSFAHFENREDDYTEKILNQFIKYSGKPVFSMEAATGHPLQAFADLITIEEYKKKDRPKVVLTWAPHPRALPQAVPNSFADWMNEADVDFVITHPEGYELDPKFVRGAKVEYNQMKAFEGADFIYAKNWACPGVTRPADYGKILSKDMNWTVDAAHMAVTNDAFFMHCLPVRRNMIVTDEVIEAPTSLVIPEAANREISATVVLKRMLEGLE", "MEQIKDIFKQVRQAKGSLAFCKEEIINDTLYALADRVEAATDRILEENAKDLAAMDPSNPKYDRLKLTAERIHAIAQGIRQVATLPSPSGRILSQTVRPNGMKLTKVSVPFGVIGIIYEARPNVTLDVFALCFKSGNACILKGGSDADFSNRILVEIIRNTLLDVAHLSPYLVALLPAGHDSADALLHARGYVDLIIPRGGKGLIDYVRQNATIPVIETGAGVCHVYFDKEGDVAKGAAIIRNAKTRRVSVCNALDCLIIDVNRLTDLSTLCSGLQQDNVEIYADDLCYNYLKTSYPSHLLKHASTDTFGTEFLDYKMAVTATMTIQAAVAHISIYGSGHSECIVTENDWAADYFMKMVDAACVYVNVPTSFTDGGEFGLGAEIGISTQKLHARGPMGLEELNTYKWIIQGDGQIRQ", "MAVQFTRIAVKIGSNVLACKDGTLDVTRMSALVDQVAELRKAGVEVILISSGAVASGRSEIKPSKKLDSVEQRQLFSAVGQAKLINRYYELFREHGIPVGQVLTTKESFGTRRHYLNQRNCMMVMLENGVIPIVNENDTISVTELMFTDNDELSGMIASMMDMQALIILSNIDGIYNGSPSNPGTQVIREVEQGKDLSDYIQTEKSGFGRGGMLTKTTIARKVADEGITVIIANGKKDHILVDLLQHPAETVCTRFIPAEGGVSSVKKWIAHSEGFAKGELHLNEQAVKVLKGQKAVSLLPVGVVRIEGEFEKDDIVKIIDHRGRQIGVGRIGFDSAEARALQGKHGQKPMVHYDYLYLD", "MVKAIFFDIDGTLVSFKTHKIPASTQEALKALRDKSIKIFIATGRPQCLINNLGDLEFDGYITVNGSYCFTAGHRPIYKGCIPQEDIERLITFQQSHPVPFVFAYGNEMFVTEVNDRVQAVSDLIEIPVPPVASIEEARGKDILQIMGYFTAEEEKETDIFGKVLTHCEPMRWYPLFADIIARGNSKSTGIDKVLAYFDIDLKDTMAFGDGGNDIPMLKHVATGIAMGNAEPHVKAVADYVTTSVDEDGIANALKHFGLI", "MKKTNSILCAFVLAGSLFGCSTTQQKTGETDYTQYVNTFIGAADNGHTFPGACRPFGMIQTSPVTGAVGWRYCSEYVYTDSLIWGFTQTHLNGTGCMDLGDILVMPVTGTRTRAWDAYRSHFPKDKEAATPGYYTVELTDPGVKAELTASPHAALHRYTYHNADSASVLIDLQHGPAWNENQYHSQVQSCETNWEDAQTLTGHVRNSVWVNQDYFFVMKFNRPVIDTLYLPMAETEKGKRIIATFDMKPGEELLMKVAMSTTGVDGAKKNMEAEIADWNFEGVKQAAHNEWNNYLSRIEVTGTDDEKTNFYTSFYHALIQPNQISDVDGWYRNAADSIVKAGNGAFYSTFSLWDTYRAAHPFYTLMVPEKVDGFVNSLVEQAEVQGFLPIWGLWGKETYTMIGNHGVSVIAEAYRKGFRGFDAERAFNAIKNTQTVSHKLKSDWETYMKYGYFPTDLIKTESVSSTLESVYDDYAVADMAQRMGKEEDAAYFAKRADFYKNLFDKETQFMRPRNADGSWKSPFNPSQVAHMESTGGDYTEGNAWQYTWHVQHDVPGLIELFGGEQAFLNKLDSLFTLKLETTQADVTGLIGQYAHGNEPSHHITYLYTLAGRPERTQELIREIFDTQYRPEPDGLCGNDDCGQMSAWYMFSAMGFYPVDPVSGNYVFGAPQLPEIVLNLADGKTFTIIAEGLSKEHKYIDSITLNGEPYTKNYISHEDILKGGTLVYKMK" ]
[ "TCCGACTGCCGG", "AATTTTTTCATTTATAGTA", "AGTCACTTCATTTTATCTAAATCATTACCACACCGCA", "TTCTCTTGTTATTTTAGAAACACAAATATAGATATTAAAATCAATCTGTAAAGTCAAATAAGCCAAAAATTAACCTTTATCGCATTTGTGTGCATGTATTTTAATTCTCATCTTTGCAAAATGAAAATATATAACGATAATATTCAATAGGTAAACGT", "AGAAGATTCGATAAAAGGAAAATGAATGCAGAGAGTATCCTCATGAGAGATCCCAAACTAAGGTTTTTCATGTCGTTTATTAATTTAAGAGATGCAAAGATATTCATTTACTTCTTATTAATTCGTTTCTTGTTTTGATTTCTTGACCCATTTTTCCAGAAAACTCTTTATCTTTACGCAAAATATTTATTAATTGCGAATCT", "GGAATCTACCTCATTACAGGAAGAGGGTATGTATAGCTGGAGCCGACTGTCATACCCTCTTCCTGCTTTCTGTTCCTGATGCTTTTCAAAGGATCATAATGCTCCAGGTATTTATGCCCTTATCCATGAAACATGATCATTATCAGCATAACTTCTGTTTTAGATATCTTGTAATTGCCATATTAAATTGTTGTCATGAACCATGTCAAGTCAGAACATGATATAATGGGCAAAACAAAAATACAACTCTTATATTTGCTCAATCCAAACTTTATTGCGTTATTTGCGTTACATGTTTTATGTAACATAAAAACTGCA" ]
[ false, false, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130 ]
[ "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122754|-|2486:3124", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122755|+|3126:3332", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122756|+|3437:4588", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122757|+|4923:8093", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122758|+|8113:10068", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122759|-|10290:10481", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122760|+|10598:13957", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122761|+|13979:15892", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122762|+|16221:17414", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122763|+|17609:20764", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122764|+|20786:22756", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122765|-|23068:23532", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122766|-|23577:25229", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122767|-|25314:25868", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122768|+|26115:26606", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122769|+|26582:26689", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122770|-|26867:27283", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122771|-|27296:28822", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122772|-|28858:30240", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122773|-|30420:31316", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122774|-|31588:32361", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122775|-|32546:33670", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122776|-|33684:34652", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122777|-|34658:35866", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122778|-|35967:36524", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122779|-|36548:37024", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122780|+|37332:38810", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122781|+|38853:40106", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122782|+|40200:41219", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122783|+|41281:42114", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122784|+|42473:42970", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122785|-|43071:44585", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122786|-|44753:46372", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122787|-|46384:49455", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122788|-|49596:53756", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122789|+|53905:54825", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122790|+|54833:55831", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122791|-|55857:56714", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122792|+|56830:59925", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122793|+|60326:62893", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122794|+|62948:63475", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122795|+|63472:64482", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122796|-|64605:65846", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122797|-|66305:67306", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122798|-|67339:68637", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122799|-|68761:70776", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122800|-|70964:71809", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122801|-|71853:73622", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122802|-|73646:77047", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122803|-|77143:77325", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122804|-|77336:79648", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122805|-|79678:81960", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122806|-|81978:82970", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122807|-|82999:83574", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122808|-|83779:86001", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122809|-|86096:86791", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122810|+|86951:87637", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122811|+|87646:88461", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122812|+|88535:88942", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122813|+|89156:89479", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122814|+|89497:91725", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122815|+|91821:92474", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122816|+|92615:93454", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122817|+|93669:94961", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122818|+|95076:95660", "2510065017|RJ2H1_RJ2H1-contig-014.14|CDS|2510122819|-|95678:96448" ]
[ "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000001|+|2408:2485", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000002|+|3125:3125", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000003|+|3333:3436", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000004|+|4589:4922", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000005|+|8094:8112", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000006|+|10069:10289", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000007|+|10482:10597", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000008|+|13958:13978", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000009|+|15893:16220", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000010|+|17415:17608", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000011|+|20765:20785", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000012|+|22757:23067", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000013|+|23533:23576", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000014|+|25230:25313", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000015|+|25869:26114", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000016|+|26690:26866", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000017|+|27284:27295", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000018|+|28823:28857", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000019|+|30241:30419", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000020|+|31317:31587", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000021|+|32362:32545", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000022|+|33671:33683", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000023|+|34653:34657", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000024|+|35867:35966", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000025|+|36525:36547", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000026|+|37025:37331", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000027|+|38811:38852", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000028|+|40107:40199", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000029|+|41220:41280", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000030|+|42115:42472", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000031|+|42971:43070", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000032|+|44586:44752", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000033|+|46373:46383", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000034|+|49456:49595", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000035|+|53757:53904", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000036|+|54826:54832", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000037|+|55832:55856", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000038|+|56715:56829", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000039|+|59926:60325", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000040|+|62894:62947", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000041|+|64483:64604", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000042|+|65847:66304", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000043|+|67307:67338", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000044|+|68638:68760", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000045|+|70777:70963", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000046|+|71810:71852", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000047|+|73623:73645", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000048|+|77048:77142", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000049|+|77326:77335", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000050|+|79649:79677", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000051|+|81961:81977", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000052|+|82971:82998", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000053|+|83575:83778", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000054|+|86002:86095", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000055|+|86792:86950", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000056|+|87638:87645", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000057|+|88462:88534", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000058|+|88943:89155", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000059|+|89480:89496", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000060|+|91726:91820", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000061|+|92475:92614", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000062|+|93455:93668", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000063|+|94962:95075", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000064|+|95661:95677", "2510065017|RJ2H1_RJ2H1-contig-014.14|IG|IG_000065|+|96449:96498" ]
[ "MSDIKFDIYESPANDGEKKKYHVRNTNKQTIHSKDLIHETTLYTSVSRSDWAAVVEGLIDILSEKLGDGKRIHINGLGYFSVSIGSTESENPKKMTRGTVQITGINFQPEKSFKKSIINRAHFVRERYKVHTVDLSPIEVDGLLSEYFKDHRSITCARMQQVCGMTRSTAYRRLQTLTQGEHPSLQREGYKNATAYIPVKGHYGRSYTADRW", "MYYVFVLFIGCYRANLQNIPLLHLSEAAVSPVWQRLFTKVRRHIHVSENPDSSGRRYGFFRPLAKILP", "MEKEKDSKLKQAMKGLDSFLTTHYSFRYNQITEQCEFHDKHKFDKYRVVDEREFNTLVINAIEEGVNCMDRDMRRYLGSSRIPSFHPVTAYLEHLPHWDGHDRVSELARSVSDETQWVEVFHIWMLGMVMQWSGKNRMHGNCLIPILVNPLQGLKKSTFCRSLLPPALRGYYTDDFDVTREGDALRKMRSLALINIDEFNRMEEGKLARLKNLVQMSGLSMRRPFQSSYSQQPRLSSFIGTSNFCDLLTDSSGNRRFYPVMTKGSIRLPRINYKQLYAQLRDELKHNRRYWLSPTEEQAVSLRNDAFLRRPIEESLFYSCFSLPRDGEKFQRWTIGQIYEVMKRASPETMRDVKMRVFGKHLALMGVKKLRTHYGDQYLLNRL", "MLKRLKSVSMLLFLMGASTGAAYAVANPGVTGVKITQQSGTCTGVVKDATGETVIGASVVVKGTTNGTITGIDGDFSLSGVKKGDIIQISFVGYQTVEVPWNGQPLNVTLQDDTQTLQEVVVTALGIKREKKALGYAMQEVKGDALVAARETNLANALSGKVSGVQIIRSSNGPAGSSKIQLRGSNSVTGLNQPLIVVDGVPLDNFTGADNNDMWNPSTDMGNGLSDINSEDIESMSVLKGASAAALYGSRAGNGVILITTKKGTKSEGLGVTFSGSVSVENVFMKPERQTIFGQGSNGIFNATSSSNWGPAITGQSYTDWNGRTQNMQYFDNVANFFKTGVNLTENIAFSQQFDRTSVYLSATRMDDFSKIPGAEYSRTNLMSRITSSFGKDNRWSVDAKVQYILSDATNRPISGARSDNYFYSMYMMPISLDIREFKQAKNPEDGKMYWWEKGSGQNPYWAKDYNTNQDKRNRFLLNGSLKYQITDWLNAEIKAGSDMYFTETETKLYAGSPSSENGRYSFGEQKFYENNFSFLVTAQKDRVLGDFGGVFTFGGNLMERKSTGVSNSVSALTVPDLFWLTNSTDSNRSISQTYTHRKTNSLYGTLGVNYDGWAFLDLTGRNDWSSTLSKSNRSFFYPSISASWVISDMINNRGKGMPEWFTYAKARVSFAQVGNDLDPYQLYNVYGIGSIYETGGTSASVSGSTLFNEDVRSELISSWEAGAEVRFFNNRLGLDVAWYKSNAKRQLLNLPMNSLSGFSSMKINAGNIQNSGVEIMLNATPVQTKEFTWDTQVNFSTNKNKIIELADGINEYTLGTYDNLKILAVAGGNYGEIWGTTYLRVTDENSPYYGKMLLNDAGLPQGDSKIQKIGDQQATCLVGWTNTFSYKNFTLSFLVDARIGGEIFSGSNRMLQANGVAACTVVNGKRDKFVVDGVIADGNGGYSPSTIEVEPQSYWTAVTGSTGNMGIGEANLYDATNVRLRNVSLNYTFPRKMLAKGPFQQVKLGMSCNNVWMIHSNLHGIDPESVFATSTNATGFENASSPTSRTFLFNVTLGF", "MKKVNKLLFSLVMGAALMGGATSCDDFEEINFSPSAAGESYIHPDYALNKSFYEAQMDPDIAERVFVYNWASITRIIGDNTMGVTARYSNEYNDRLYSYTSNWVKYATNAINMADVNPGTSEHEQAFFPNVKQFARIWRVMLIADFADSFGPYALDAAQGVNPTFNSVEDVYVFMLTELKEAAAGINTSVEPTETEAKGDPAFGYNAAKWVKLAHSLRLRYAMRLSEVSTSKIDVKAEFADAASKSLLTSADDFFSFPEAGGWSCYEGVMNRPWNDQCISSTMCNLLTGLGDIPVTSYRPDLAPYIKPMNYIGEQYVNHYPVTTDNPTKQLWMDGIPEHLDPRALKVWCLTNDEKAENFPDQGSQGVKNHAEHAMLDPTDPEKKLVKIDAQFTWNGYPAGTRSAWSTETFKFNDVLGSMYDTTPMLGKQYRDNTARRIWMSPWETYFLLAEGALRGWTNSISAKEAYENGVRANFEYLGLSQYVNQYLASTSYNRVGTSVNFDHTVEPVSFEADYVNGYTKQAGKMTYNYPDASKILYKGGALNDQLTKIITQKYIANVPYGVVEMWNDRRRLGLPFFEIPANEGTLTGSDMEKYIQASEWKNGQKWYHYTQRMRYPTALENADKEQYQNALQLLGAEDNTMMTPLWWAIK", "MVTENIYYTYVKRKLKSFRNAKTLVNLYPKNKQENVKEFVDINNVNFKNSKEILKLLYQFSIK", "MGVSTGAAYAVANPGVTDVKITQQTGTCTGIVKDATGEGVIGASVVVKGTTNGTITGLDGDFSLSNVKEGDIIVISFVGYATQEIKWTGKPLDVLLKDDTQLLGEVVVTALGMKREEKALGYAVTELKSEELYTNTVNPVASLQGKVAGVEISNSDGGIFGSAKIQIRGASTLGSNNQPIYVVDGVILDNSTQGRDMDGEEIDAIDYGNELKNLNPDDFETVSVLKGAAATALYGSRGLNGAVVITTKGGGKFKGFGVDVTQTLGIDHAYKQPSIQTVYGPGARPGRIGYGENGNTWIPTYYTNAKGEPSLIGASTLGWGLPYDSSVMIEDYDGRKVPYSPIKNNMLDMYQLGFNTNTNVSVRGGNEKTSFYSSVSYKKVNATTPNNTFERYAFLVKGSHKISDRVDVAASVSFANSKPRNAARAVGEYFYQGLTPLYDAKYYRDKYLSEQGGIARSGDTYANVPESSKSYWFNIDNMDYNRKETVVRPILEVNVKIADWVRFKGEGNMNYVYIREENKELGTGVAYEGGNYKLAQQTKEQTTFAGTFTFDKAVKDFNLGGFIRGEYYNNYQTAYSVETDGLIVPGQFFIGNSKRQVKASGKIEGTKRMLSAVFAFNASWKNQLYLDVTGRNDWSSALVYANKNGNYSYFYPSVSGSWLISETFKDKMPSWISFAKIRGSWAQVGNDTGAYTINSGYNVGNLQLIDGSYVYTNSFSSTAISPNLKPERKNAWEVGLDLRFLDNRINLDATYYKENTRDQIMNISTPSISGVSNQLINAGNIQNSGVEIALNTIPFRNKDWEWNLDFTFTKNNNKIIELHPDAAEYIGLRGSTGWGNMRIQSAARVGGPYGVLISDITPKRDDNGNIILKWSDSDRSAYADRSLKNEVIGDMNPDFLGSVSTGLTWKNLSFRMALDMRFGGKVAVYGNRYGTAYGWTESSLKFRDEAHGGMTWTSQYLNADGSQSGSYGVTYHDGMIPQGIFASGTQVTGIDGKTHDVGGMSYQQAYENGILEPMHAASYYNYANQWATGVTNDGWIHDLKYIALREITVGYTFPSNIAGKIGAKRLGVSFSARNLGYLYNSLPNDVNPEGVRGNLSGEFRIRSFDPYTANYTMTINVGF", "MKLKYIMASFLIGSCTFISSCKDDFADINTNPTIVSKPDPRYLFTQALANFDGHDYFAWYYDYNNMLRWSQTVASTGGNENLMTTMSNSGKMGSQVYNVMNQVNELTYIVNTTLEGEEQAKYTYLKALCQPLMIYLAMWDTDMYGSMAYTEAYQARYGGTLTPKYDTQAELFDLWEKQLKETVETLANDVTIDGNKVTQQSLGSQDIIYQGDYTKWLKFANSLRLKLAVRLINEDKNRALNIVRDAAKYPIMDGLEDDFFYNKSATDRHMPGGNSMDNRGAGSMQLINFMLEHFDPRIRVFFEKNDYNSIVVQAFYDKGQRLPSFVEENVISEEVNGKKVFKGWKAPGEPWVRYYGLPTEVEAGLADQHPEYVDYFDKAGKLWKVSDKDGNGETTYYPYSPLNQYMFDKKVIIDYPVAPGAPKVQITDLYAWYGLYLSTAEVNLYLAELKLLSQGQDIGFSGSAESYLKKGVEYSMRAYDKLAGLNHIPYYDNTFGQDKFDVTIKLQENEVTRLLNDPILTLDGSTTENLEKVYLQQYIHFIFFPADQYIMMRRSGCPMKESDLYPMLNLNPKVSNYPLPRRFQVTQPTVDDKMGDIKRKAYQDQGYSYGPEPTILNTERVWYDKKAPQFGEGPNLN", "MERLFESFIKKLAITNTSFVRSLMNEIEWKARLIGIKGARGVGKTTLLLQYIKLNLPMDKTVLYASVDNIWFSEHKLYDLASDFVKRGGKYLFLDEVHKYPNWSQELKNIYDDLPELHVVFTGSSLLEILNAKSDLSRRAIVYEMQGFSFREYLNWNEKLSLPILTLNNILDNHLSLSVGIVDKVKVLKHFPDYLKHGYYPYYNELPALYYSRINEVVNLIVELEIPQLRGVDISYTTKIKQLLYIIAESAPFIPNVSKLSERIGISRNSLLAYLDALHDSCLTMNLQKEGSGISRLQKPDKLFLENPNLMYALSASQIDIGNVRETFFANQLRYCHKINVSKESDFFIDGRYTFEVGGRNKGKQQINGLSDAYIVADDIEYGINNKIPLWLFGFLY", "MLKRLKSVSMLLFLMGASTGAAYAVANPGVAGVKITQQNGACTGVVVDATGETVIGASVIVKGTTNGTITGLDGDFSLSNVKKGDIIQISFVGYQTVEIPWNGQPMNVTLQDDTQTLGEVVVTALGIKREKKALGYAMQEVKGDALLEARETNLANALTGKVSGVQIIRSSNGPGGSSKIQLRGANSVTGLNQPLIVVDGVPMDNFTGASNNDIDNPTLDMGNGLSDINAEDIESMSVLKGASAAALYGSRAGNGVILITTKKGTKREGLGITISGSVSAETTFMLPKRQKTFGQGENGVFDSTNGYSWGPEVTGQSYTKWDGTTANMQIFDNVKNFFDTGVNLSESISFQQQYDKTSIYTSLNRMDDSSMIPGANLSRTNLTLRASSTFGKDDRWSIDAKVQYINTLAENRPISGARGNNAFYTIFNMPTTIDIRDFSSPVKDEYGEMIWWSKGGINPYWSKDYNPNKDSRNRFLMNGSLKYKFTDWLDAEIKAGSDMYFTEGEEKLYAGSPTNNKNSRYSTSEKKFFENNFSFLISGHKDELFGKFGGNFSFGGNLMERKSTGLDNAMGKLTAPDLFSLANGDKKDLSITETYIHKKINSLYGTLGINYNGWAFLDATFRNDWSSALNKENRSFFYPSVSLSWVISDMVGKVGKGMPEWFTYAKARASFAQVGNDMDAYQLYNTYSIGSDPNGNTTAGQGKTKYDADVRSELITSWEAGAELKFFNNRLGVDFAWYKTNAKRQLMNIPLNNLSGYDNMKVNAGNIQNTGIEIMLNARPIETTQFSWDTQLNFSQNKSKIIELLPGKPGMQYALGGSDALQVYAVAGGAYGEIWGTKYQRVEEGEFKGQLLLNESGLPQATSDKHKIGEQQPDFLLGWTNTFNYKNFTLSFLIDGRFGGDIFSFTNMNLQRSGISECTAPGGKREDIVVAGVIKDGNGYKVNDQSVSLERYYKALATGRAGISEAYIYDATNIRLRNIALSYRFPSSMLKKTPFQQVKLGFTVNNVWMISSHLDGIDPESVYATSTNATGLENSSAPTSRSYLFNVTLGF", "MKTMNKLFLGLGFCAGLVSCSDFDEVNTNPTAAGEEYVKPQYALNNSIGQAQMNPGTAERIVVYNWASAARICGEMSFLNVGRYSDDYTSAYYYPDLSASIKNATLAITAVENQLEAATTTAHEKEFFPNVKQFARIWRAYLISEFVDNFGPYPIESFLGENPVFNSEKDDYEFILKELKEAAAAINTSVLPVEAEGKCDPFDNVKYDPVKWQKYANSLRMRLAMRLSNIDKATAQTEFEDAAKGNKILTADEMFAVKENDGWDVFSGVYTRSFDDQVLSSTVANLLTNLGGIKVTEQRSDLASYVKPANYLGIKYDRHYVANTDNPTKQYWLDGMPENLDPRALKIFCLPDDENAENYIDKYNDRTAKDFVLYTVDENGNPIPNKDNPGEIKIDATRCWNGYPAGSRGGWSPTLAYNQLVTNGYGPGCTLPMLGKDYCQGKSRIFFAAWETYFLLAEASLYGWNTGTTAKEAYENGIKASFEYFGVSEYVNDYLNSTNYNRVGTSVKFDHTTEPTAEQMTYVDGYSKEQKTVTYEYPTASKTLYGKALNDHLTKIITQKFIAQTPYLVLEMWSDFRRLGLPFFEIPANESSMTGSDMVNAWNPNSWKDGQKWEFYPQRMRYPSSLENADPEGYKQAVELLGGSDNIITPLWWTGR", "MKKVLLLVFLSLAWLSVSAQALVPITWTAYGLTFEAPKGILVEEDTEETFLLNNSKFYITIQSLDSDGMTKSDLKSVLKDYANDDGVKDQSAVQEFELPQFFGTYLRGSCETDHCLYACLMTKTAGSGFYISIIYSKENENIAEKILKSFIMEE", "MVEKFLEQTTFTSQEDFIKNLKIKIPENFNFGYDIVDAWAAEEPDKKALLWTNDKGEHIQYTYADLKKYTDMTASYFQSLGIGHGDMVMLILKRRYEFWYSIIALHKLGAVVIPATHLLTKKDIVYRCNAADIKMIVAAGEDVITKHIIDAMPDCPSVKKLVSVGPDIPEGFEDFHKGIEKATPFVKPEHPNTNEDTSLMYFTSGTTGEPKMVAHDFTYPLGHIVTASFWHNLHRDSLHLTIADTGWGKAVWGKLYGQMIAGANIFVYDHEKFTPADILGKIQDYHITSLCAPPTIYRFLIKEDISKYDLSSLEYCTTAGEALNYSVYETFKKITGIRLMEGFGQTETTLTLGTFPWMEPKPGSMGVPNPQYDIDLLTHDGRSAEDGEQGQIVIRTDRGKPLGLFKEYYRASELTEDAWHDGIYYTGDVAWRDEDGYFWFVGRADDVIKSSGYRIGPFEVESALMTHPAVVECAITGVPDEIRGQVVKATIVLSKTFKGKEGPELVKELQDHVKRVTAPYKYPRVIEFVEELPKTISGKIRRVEIREKDK", "MSDQIKQIAERLQGLRDVLELTPDEVAKSCQLSVEEYLGMESGEKDISVSALQKIARKYGIALDVLMFGEEPKMSSYFLTRCGSGVSVERTKAYKYQSLASGFRGRKADPFIVTVEPKPENTPIHFNSHEGQEFNLVIEGRMLLNINGKELILNPGDSLYFDSSIPHGMLALDDKTVKFLAVIL", "MNYTNEQIRRQDRLLEKEKAISLLKNGEYGVLSMQDEENGAYGVPINYVWNKKNSIYIHCAPEGRKLHCIKRCNTVSFCIIGKTHIISNQFTTEYESIILKCRAYIGLNEEERINALHLLIEKYSPNDKVIGNQYAQKSFHRTEIIRLDIEEASGKCKHIPMQ", "MQTYTYAISIKSSLNYSSNILNYYSPDKRELKGDN", "MDKLIKLLHEGNYSCVIKNKEISTFTQRGITDLYDLLNTDPAFLRGAQIADKVIGKAAAVLMVLGRVQEVYTDIISEPALVLLRRANIKLDCMQVVPRIQNRDRTGWCPLETLCYEENSLEILYSIIHDFVERMRNNL", "MLRKIRLVAALLFFTMITLLFLDFTGTVHSWFGWMAKIQFLPAVLALNVGVMIALVLLTLLLGRVYCSVVCPLGVFQDIISWVSGRVKKNRFHYSPALSWLRYGVLAVFIVALVAGVVSLTALIAPYSAYGRIISNLLTPLYQWGNNVLALWAERVDSYAFYSVDVWMKGLSTFVVAVGTVIVLFILAWRGGRTYCNTICPVGTVLGLLSRYSYFKPVIDTSKCNGCGLCARNCKSSCINPKAHEIDYSRCVACMDCLGKCRQDAIKYVSGAIIQKKQVLRREETGQSASQSSSNSEKVNTSRRNFFTVSALLATSAVMKAQEKKVDGGLAPLIDKKNPKRATSIVPAGARGLRHFAQHCTACQLCVSVCPNQVLRPSGDLKRLMQPEMSYERGYCRPECAKCAEVCPTDAIHLTSLAEKSAIQIGHAVWIAQNCIVNTDKVSCGNCARHCPTGAIQMIPKDENDESSPKIPVVNTERCIGCGACENLCPARPFSAIYVEGHEMHRVI", "MDKRDKKEMNRREFLKIVGVSTVASTVAMYGCASGEKSNASEASILGEVPVDKMTYRTSPKGEHVSLLGYGCMRWPLKPAPDSDGNVIDQDAVNELVDYAIAHGVNYFDTSPVYCQGFSERATGVALKRHPRERLLIATKMSNFQNYTRENSIKMYHQSMKELQTDYLDYYLLHSVGGGEGIKTFHDRYIDNGVLDFLLKEREEGRIRNLGWSFHGSVEVFDYLLSLDVKWDFVQIQMNYVDWRHASGRNVNAEYLYGELAKRGIPAVIMEPLLGGRLSKLNDHLVARLKQRRPENSVASWAFRFAGTYPNVLCVLSGMTYMEHLQDNLRTYSPLEPLNEEEKEFLEETAQLMLKFPTIPCNDCKYCMPCPYGLDIPAILVHYNKCVNEGNVPKSSQDENYRRARRAFLIGYDRSVPKLRQASHCTGCNQCNPHCPQSIDIPKELHRIDAYVEQLKQETL", "MKKNRLFAILIAFFTFSCFAQNWKADHVFLIGLDGWGAYSVEKAEMPNVKTLMDAGCYTLKKRSVLPSSSAVNWASMFMGAGPELHGYTEWGSKTPELPSRVVNEHHIFPTIFSELRKAVPDAEIGVLYEWDGIKYLVDTLALNYHVQAPDYNLYPAALCEMACKYITEKKPVLTAICFDNPDHVGHVDGHDTPAYYAKLKELDGYVGRIVDAIKEAGIYDNSIIIVTADHGGINKGHGGKTMQEMETPFIIAGKNVKKGGIFDESMMQFDCASTVAFIFGLKQPQVWIGRPMIQLFK", "MKVAIIGAGNMGGAIARGLAQGTIIPASDVTVADPFSGSLETLQADYPEINVTTENPKAIKDADIVILAVKPWLIDQVLSVIHLTPQQVLVSIAGGVTFEQLVKSVGPEQTIFRLIPNTAISQLESMTLISSRNASKEQEQLMLDIFNELGLAILIPESQMAATTALTSCGIAYVLKYIQAAMQAGIELGIYPKDAQKMVAQSVKGAASLILNNDTHPSVEIDKVCTPGGMTIKGINELDHEGFDSAIIKAMKACLK", "MKLFDVYPLFDINIVKGKGCHVWDDQGTEYLDLYGGHAVISIGHAHPYYVEMISKQVAQLGFYSNSVVNKLQQEVADRLGKLSGYDDYQLFLINSGAEANENALKLASFYNGRNRVLSFCKAFHGRTSLAVEVTHNPKIIAPINDNGHVTYLPLNDIEAAKAELAKGDVCAVIIEGIQGVGGIQVPEVKFLKELSKACEEVDAALVLDEIQSGYGRSGKFFAHQHAGIRPDIITVAKGIGNGFPMAGVLISPKFKPVYGQLGTTFGGNHLACAAAIAVLDVMKGENLVENAAKVGAHLLKELKQFSQIKEVRGEGLMIGMEFEEPIKELRQRLLFEQKVFTGVSGTNVIRLLPPLCLTMAEADEFLERFRKVLV", "MIKAGIIGGAGYTAGELIRLLINHPDVDIKFINSSSNAGNKITDVHEGLYGETDLVFTDELPLDEIDVLFFCTAHGDTKKFMDSHNVPEDLKIIDLSMDYRIKSDDHDFIYGLPELNRRAICHSKHVANPGCFATCIQLGLLPLAKHLLLNEDVMVNAITGSTGAGVKPGATSHFSWRNNNMSIYKPFSHQHVPEIKQSLKQLQNSFNAEIDFIPYRGDFPRGIFATLVVKCKVELEELVKMYQDYYAEDSFVHIVDKNIDLKQVVNTNKCLIHLEKHGDKLLVISCIDNLLKGASGQAVHNMNLMFNLEETVGLRLKPSAF", "MEEKKKKVVVAFSGGLDTSFTVMYLAKEKGYEVYAACANTGGFSEEQLKQNEENAYKLGAVKYVTLDVTREYYEKSLKYMVFGNVLRNGTYPISVSSERIFQGLAIARYANEIGADAIAHGSTGAGNDQIRFDMTFLVLAPGVEIITLTRDMALSRQQEIDYLNEHGFAADFTKLKYSYNVGLWGTSICGGEILDSAQGLPESAYLKQVTKEGSEQLRLTFEKGELKAVNDEKFDDPIKAIQKVEEIGAPYGIGRDMHVGDTIIGIKGRVGFEAAAPMLIIGAHRFLEKYTLSKWQQYWKDQVANWYGMFLHESQYLEPVMRDIEAMLQESQRNVNGTAILELRPLSFSTVGVESKDDLVKTKFGEYGEMQKGWTAEDAKGFIKVTSTPLRVYYNNHKDEEI", "MDAKQVDVMVADASHEVYVDKILDTIREAAKVRGTGIAERTHEYVTTKMKEGKAIIALCGDDFAGFTYIESWGNKQYVATSGLIVHPNYRGIGLAKRIKAASFRLARLRWPKAKIFSLTSGAAVMKMNTELGYVPVTFNELTDDEAFWKGCEGCINHDILVAKNRKFCICTAMLYDPALHEEDTI", "MKSKNSRLDAIKIIISSKEVGSQEELLQELAKEGFQLTQATLSRDLKQLKVAKAASMNGNYVYVLPNNTMYKRMTEQHSASEMLMHNGFISIEFSANLAVIKTRPGYASSLAYDIDNRNFDEILGTIAGDDTIMLVIREGCTRAGVKNALSLIIPNIQ", "MEHTYFFAVDLGATSGRTILGCLGEGKMELKELTRFPNHIIETRGHCYWDIYALYNEIIKGLKVVAKDNLPIQSIGIDTWGVDFVLVGKDGELLRNPYCYRDPHTIGAPEEYFTHIPRERVYDITGIQIMNFNSLFQLSTLHRNHCSALEAADKILFMPDALSYMLTGKMVTEYTIASTSQMLNPRTKCFEKELLDVADIKKEQFGRFVFPGEPIGVLTEEVQKITGLGAIPVIAVAGHDTGSAVAAVPAQNEKFAYLSSGTWSLMGIEVKDAIINKESFEQNFTNEGGVEGTTRFLKNICGMWLLERCRKEWEATNNYSYTELIDAALAVPAFRSVINPDAPCFANPSSMIQAIGHYCKETNQPVPQSYGEITRCIFDSLALRYKQVFGYLQQMAPFPIEKLHIIGGGSRNNLLNQFTCNAVGVPVIAGPSEGTAIGNIMLQAKANGLVNDIAAMRQLISTSIETVSFEPQQAEEWEEAYKKYLAHYREDI", "MKEELINKAYEIAKERYAAVGVDTEKVMETMQNFHLSLHCWQADDVTGFEVQAGSLTGGIQATGNYPGKARNIDELRADILKAASYIPGTHRLNLHEIYGDFQGKVVDRDEVEPEHFKSWIEWGKENNMKLDFNSTSFSHPKSGDLSLSNPDEGIRNFWIEHTKRCRAVAEEMGKAQGDPCIMNLWVHDGSKDITVNRMKYRALLKDSLDQIFATEYKNMKDCIESKVFGIGLESYTVGSNDFYIGYGAKNNKMITLDTGHFHPTESVADKVSSLLLYVPELMLHVSRPVRWDSDHVTIMDDPTMDLFSEIVRCNALDRVHYGLDYFDASINRIGAYVIGSRAAQKCMVRALLEPIAKLREYEANGQGFQRLALLEEEKALPWNAVWDMFCLKNNVPVGEEFIAEVEKYEAEVTSKR", "MEIIIGLIIIAIGSFCQSSSYVPIKKVKEWSWESFWLIQGVFAWLVFPLLGALLGIPQGGSLFDLWGAGGAPMSIFYGILWGVGGLTFGLSMRYLGVALGQSIALGTCAGFGTLFPAIFAGTNLFKGNGLILLLGVCITLSGIAIIGYAGSLRAKNMSEEDKRAAVKDFALTKGLLVALLAGVMSACFALGLNAGTPIKEAALAGGVEGLYAGLPVIFLVTLGGFLTNAVYCLQQNVANKTMSDYAKGNVWVNNLIFCALAGVLWYMQFFGLEMGKSFLTGSPVLLAFSWCILMALNVTFSNVWGILLKEWKGVSTQTITVLVTGLVVLIFSLVFPNLF", "MNNQIKEDMKSILDNRPELVKEVNKVAEVAGYLWQKGWAERNGGNITVNITEYVDDEIRQMPAISEVKQIGVTLPHLKGCYFYCKGTNMRMRDLARWPMDNGSVIRILDDCASYVIIADKPVQPTSEVPSHLSVHNYLISIGSPYKASVHTHPIELIALSHNKKFMEKDVATNLLWSMIPETKAFCPRGLGMIPYQLPSSVELADATIKELADYDVVMWEKHGIFAVDCDVMAAFDQIDVLNKSALIYIAAKNMGFEPDGMSQEQMKEMSVAFNLPK", "MKANKLFLLASFFLSSSLTPIAAQSKKEKKQQTEQAVREAVDAKTYKINVDRVMPMKGGSKHLTSDYSLEIRNDSVYSYLPYFGVAYNVPYGGGKGLNFSAPLSEYTSAYNKKGNAKITLKVRNEEDNYLYNIIIYPNGSSNIQVTPTNRQSISFSGEMDLKKKE", "MRKTMILLLFSFLLAACSDSPVCYYLDATGGDDNNSGLAPDEAWKSLEKLRGVKLLPGNKVLLKRGEVFNGELEITGHGIPEDRIYIDAYGDGERKPCIVGYDTSLYAARICNSDYITMQNLEIVNTGRQPLPYRSGLKIECMDYGVSQNIVVNNVTVRDVNGSLVKEKGGGCGIYIVNGGEKKISTFNRLTIENCHILRCTRNAMIWAAYSDRQNWHPSKHTVIRGNLIEEVPGDGIVPIGCDSTLIEYNVMRDCPDMLPDTEAAAGIWPWSCDNTLVQFNEVSGHKAPWDAQGFDSDWNCRGTVIQYNYSHDNYGGLVLVCNDGTADASFNVGNLGTIVRYNVSIGDGVRPEPTRAGMFSPAVHLAGPVKDSRITRNIIHVNRKPAADIDRTMITLDSWGGYPDSTFISGNIFYAPESSRFQLTESTHNFFEGNYYLGRFEKLPEDGKACQSAEIYQQEVLAKDENGYQGLALLMDTVEVTGVKGVFVNKEAIENFFSRLEK", "MKSINKFIGLALLSGALLTSCSDSFLQRDSLTESSSNTFWQTPDDALMALASCYDALQSNQLYNSDQYSLGPLYMDCISDNGGHFNWSGWMEGYDMAMGIHTPSSSIIGSYWKDCYEVISRCNVLIANIDRVDMDASQKAIYQAEAKTIRALMYINLTMTYQDVPFLTAPLTIDEAECEKTDRAAIVAHIMTDLQDAAEVLPQNASSQGHITKGAALSLLGRVALYNEKWDDAIAAYKQVQGLGYSLDPSYAKLFTQSGETSPEIIFAVRYEGPGMSEGAAFNAHWNTPLEAMNGTIDLADAYYCKDGKPTTDTKIAELNNEGGLDVSKPNPAHFENRDPRLYSTLFVPGMLWNGKGGIDTSASNPYANVYGGAAASLSTIYVYKYFDPTDTSNSWDNGQDFYVVRYAEVLLSLAEAMVQKGGYAYSDVTALVNEVRQRADVNMPTVEEVEGTSLSKDELLEVIKHERRVELAFEGLRLFDLYRWKELDKAVANIENERTMYGLAYEARKFNGERDYVWPLPTAELDTNKKLVQHDLWK", "MNMKTLTETSTFRVLSLLLCFCFFIPAMNVGASSLQADKFKVSGIVKDATGEPVIGASVVEKGTTNGTVTDLDGNFNLSVASNSTIVISFIGYSDQEFHISKDNMVLNVNLKEDTEMIDEVVVVGYGVQKKENLTGSVAAVNFKDVASMPVANTANMLQGRLPGVMLTNNGAQAGHDSPEIRIRGVGTFEHNDPMVLIDGVESSVSQIAEIPADDIESVSVLKDAASASIYGVRAANGVILVTTKRGGEQKPTITYSGSIALQEATVLPDYVNSYEWAKMYNECWPSKAYTDDMLQKLQNGSDPDHFANTNWAKEMFRTAAMHQHHLSVNGGSKAVHYMISTQYFQQDGILRETANQRFNFRSNLDAQLGIVKLGLNLSGSRQNIDEPTTSVTGEGLMRYLTWFTRPTVPVRYSNGHYGFLDGNPNISQSVFKNPIEALNMGYKDNKHYRFDGKFFGEIDIMKGLKFRSSLAYKYYMNDVTTFNPKNNVRYDAEGNALTTVGTNKLTDYHYLETTYINENILTYDFSVGKHSFNLLAGHSIQATRWDKNEASKQGFATDNIYEMDGGTMNDHVTGSAEESSLQSFFGRLNYNYGGRYLLEMNVRHDGSSRMPKAHRYATFPSFSGAWIMTNEKFMENVKFLHSLKLRGSWGKLGNQEIGNYAYAATLAASGSYYFGDSKQIGMKTAKIPNENIKWETTTITDFGFDAAFWGGKINVTFDWYEKNISDILMKLAMPGIFLGSLDAPYQNAGKVRNRGWELAANYFDQKGDWAWQAGFSLSGVKNEITDMKGVEDISNNTINREGEAIGSYYGLKAIGIYRTQADLDRVNANGQKILQNNQEPQLGDIMYEDIDNNGNINDADRTVIGNPFPKMQYSFNLGFSYKDFDVNTFWQGIAGVYRYNWDETTISNGGNKTSRWLDRWSESNPDGSMPRLGGTINNNYSSFWLTKGDYLRLKNLEIGYTFRQREFLTKLGVQSLRLYLAGTNLLTFTSLDDYDPEKLSTDSRNDVHPNTRTYSFGVNVKF", "MEYLLKMLFFANIASNLKVESMHFAHRNCEYILGVIYLLCFPVWLWADNKVNTYHFKSISTSVNFPTNEVRKLFQDSQGYIWISTYNGLLRYDGYSIVVYKPDGVNHGRSIDSFVNMVAEDKENNLWIGTHNGLYVLHKETDEIEKIISPLLQVSNVESILYASNGDLWVGSNKGLFRRKAGGRTFDCEKNMDIKSVIEDREEQIWIGTWEQGLLRYNPQEELYYTYEGINPGNSAHVIFQDEAGNIWIGTWRYGLVKLINPYDPEHFSFKTFRNIKGNSHSLLDNIIYAIAQDKNSGKLWIGSRSGVSILEDESGDGNFTNIVPGNLQGDLPFNEVNSLLCSKDGLMWLGMLGGGVCTVNTNKFRFNYDSLEALREHCPTSSVRSVYQEDNGNLWMGIMGFGLVFYDMEQHTIVPYRSHPVLKNMGYTSTVNDIIYRKRTNELCFATWDDGVWFYNVKAGKAHVVNTVTNPELSDICIYSLLEDSKGNLWLGTRSGVFILDTEQRLHSLNELVTLTNQALPQISIFKMAEDQDGFIWIATSNEGVWRIDTSGETYKVKFYTPSDGTLSTIGAMSVCVDGYNRVWVGSNGNGLDLYDRKNDRFVSVLNDYFRNGDVVFSMLEDDEHTLWLTTNAEMYHIDIPLDGAAPKIHTYTVDDGLQDHMFNRNSCFKGADGKLIFGGFRGLNSFYPDKIVQDTAYSPVVITDIKVHNVSVRTYPLSIRKGIAANRSIDFIDKIVLGYRENNFSLDFSILNYINPELNRYLYRLEGYDKEWLSVEAGRRFAYYNNLPAGTYTFCVKGANQNGIWSPDMKCLRITILPPPWLSWWAYCLYVLLFVSLAWYTYRIVRNRIRMKQAIEMGKIERQKMEEINHAKLQFFTNITHELLTPLSIISASVDELKQEVPASSSVCPVIADNTVRLIRLIQQILEFRKVENGKLRLKVSHGNVSMFLKKSVSAFAPLVKKQKLSIQFDLSEEYSGYFDVDKLDKVVYNLLSNAAKYTPEGGTIVVSQAHDEERGTFKLSVNNPGELIPKEKLDHIFERFYEGEYRKFHTIGTGIGLSLTKDLVLLHHGTIQVFSDKEEGNTFVVEIPIRREAFDEDEVDENTENVDYAILSADEMENVSEIDMLEEKPAASTILLVEDNEELLALMVRLLHGKYHILKAANGTEALEILAKQEVDLIVSDVMMPEMDGMELCRRVKTQFETCHIPLILLTAKTSDEDRVEGYESGADGYICKPLRLSVLFAKIDNLLKRRKRMGVDFRKQLVFEAKELNYTSMDEAFIRKAVDCVNAHLSDCDFEHAQFMAEMGMARTTLADKLKLLTGLTPSAFISNVRLQAACRLIDEKKKIRIADLAYAVGFNDPKYFSSCFKKKFGLSPTEYMMKYDG", "MHYNDQGADFKYLIVNERDKRFGLSVTTVGFQAIRAGSVYPPRNHPDAYYFTAQKGRVLHEYQLVYITKGRGTFASDTTPSVDISKGQILFLFPGQWHTYAPLQKTGWNEYYIGFEGPIIDNLVKNSFISKENQILDIGINEELASLFARALEVAEADKTAAQQYLSGIVLHIMGAVLAISQNKRYEVDNAAQKIESAKIIMHENVYKDIDPEELALKLGISYSWFRKVFKEYTGYAPAKYFQELKLRKAKQLLIESSMSVKEICYELNYTSTEHFFSVFKKRTNFTPTEYRNFGRGGKEKENENE", "MRKITINLAAVAAFCIVVASPALVSSSYATPQTEQEDTTIIREDLGITALKYSSPKVPSSIEFCGKVIDLSRFDRHERMDRELLAFTYMHSTSLQMLKKANRYFPIVEPILKENGIPDDFKYLMVIESNMNPTARSSAGAAGLWQFMQGTGRDYGLEVNNNVDERYHIEKATRAACRYLKDAYAKYKDWVAVAASYNAGQARIASQLAKQNVDDSLDLQLVEETARYVYRILAAKQLFSAPTTFGFRLRASDLYPPIPYTEVTVTKGIADLARFARSKGINLAILKNMNPWLRETSLSNHSGRTYVIKIPTKEGMTYDPKKTVAHDKRWVIE", "MAFLKHIWNRWQNLLHDEKLKHKIYVIIFESDTSMGKLFDVALIGFIVLSILVVVAESIKSWDAVIGPYLRVSEYVFTFFFTLEYVLRLYCSPKPRKYAFSFFGIVDLLATLPLYIGWLFGTARYLLVIRTFRLIRVFRIFKLFNYLNEGNFLLRSLVFSSRKIIVFFLFVLILVTSIGTLMYMIEGQRPGTSFNNIPNSIYWAIVTMTTVGYGDITPETPLGRFLSAIVMLLGYTIIAVPTGIVSASMIQEHRRRVALKCPHCGKDGHEDGAAYCKYCGGKLVN", "MKKQLMTGLFTVLALTAGAQTFQEWRNPEINAVNRAPMHTNYFAFENADAAKKANKKQSTNYMTLNGTWKFNWVKDADSRPTDFWKTGFNDKGWDDLQVPAVWELNGYGDPIYVNVGYAWRNQFQNNPPEVPTENNHVGSYRREIVVPASWNGKDIIAHFGSVTSNMYLWVNGRYVGYSEDSKLEAEFDLTPYLKPGQKNLIAFQVFRWCDGSYLEDQDFFRYSGVGRDCYLYARNKKRIQDIRVTPDLDAVYQNGSLAINLDLKGSGKVDLELVDAQGKQVATATANKSGLVTMNVENPKKWSAETPYLYTLRASMQGSNEVIPVKVGFRKIELKGDQILVNGKAVLFKGADRHEMDPNGGYVVSPERMLQDIQIMKQFNLNAVRTCHYPDDNLWYDLCDQYGIYVVAEANIESHGMGYGEKTLAKNPSYKKAHLERNQRNVQRGFNHPSIIFWSLGNEAGDGPNFEQCYQWIKAEDPSRACQYEQARQKDHTDIFCPMYYGYEGMEKYGQRTDATKPLIQCEYAHAMGNSQGGFKEYWDLIRKYPNLQGGFIWDFVDQSCRWKGKDGVMIYVYGGDFNRFDASDNNFCDNGLISPDRVPNPHMYEVGYFYQNIWTTPADLSKGEVNVFNENFFRDLSAYYMEWQVLKDGKIIRTGRVDDLKIAPQETAKITLNIGKTCTCKEWLLNVSYKLKNREGLLPAGFTVAKNQLTLNDYKAPSMDLKNVETTNVATVVPQIIDNQYHYLIVKGNNFVAEFNKQNGYLSKYAVDGTEMLKEGAALTPNFWRAPTDNDMGAGLQNKYAAWKNPGLKLISLNSKTENDQIVVNAEYDMKNVSAKLYLTYVINNEGAIKVTQKMTADKNATVSPMFRFGMQMQMPKCFETVEYYGRGPVENYSDRNHSTDLGIYRQSVNEQFYSYIRPQETGTKTDIRWWKQLNAGGNGLKVVGDAPFSASALHYTICSLDDGEQKDQRHSPEVQKADLTNLIIDKAQMGLGCVNSWGALPLPQYMLPYGDYEFTFILTPVKHQIEIE", "MRPDGYLKTAWIMTILFSIILPLHSQTPRQFSIELKDKPLPAALKLIEKEGGKNIIFSYNETESYRVTASIRQKTELEAIGTVLNGTPFICKEREEYFVIQKKGKNVPTTEIRGQVTNEKNEPLPYSNVLLLTPGDSTFVNGCVTREDGSFLMIAEEGRPYLIRVSYIGYKTEVQPYHPTPTFHLLPDTQLMQEVTISARRPMIEVGPNGLKANVAGTSLARMGSAAEMLPHLPFVTGHNGEYNVMGCGSPVIYINNKKVRDMTELDRIRANEILSAEVITTPGAEYASDVAAVIRLRTIRRRGQGLSGHFNTTYSQGHSANANEYMALNYRTGGLDLFVKGYMAQQNSYGKTTNMNRIKGSAIWQTNKNDVQTHKSQRFSGELGFNYEPDEHHSFGLRYMPETGIGNADRNSSGKTVTRRNDEETDRINFTTAEQIHTGWDHAANAYYAGELGKWNIDFNADYLFKRSHSDQNAMNNDDATVQADSRMRSSLYAAKLVVSAPLWNGRFSFGTEETFTNRHDIFTQNGFSADADDHIKQSVYAAFADYSRSIRHWKLNMGIRYEHQQTDYYEKGIRIDAQSPTYNDIIPVLAASWSHNGKSFSLSYRLRKNNPDYSLLTNSIRYRSKYEYSQGNPLLKTQKTHRFSAGASWNWLYFSAYFSRILNMYTNIIMPYKEDTHPGVLLFATQTIPTTHNYGISFNASPKLGCWEPQLNVNMAFLDMNANKIGITEHRNQPRFYISLDNNFNLPKGWFFNMEGYLSTASRQGFFVTRTEGQINARLSKSFLKETLTITFTANDILRTGYFHFDLYGIDAYMENRIYRDFQRFGLQVSYKFNATKSKYKGTGAGQSEKNRL", "MQILFRRRNHKEEHFERLFAEMYPRLVRFATTLMSNTEEAKDIVSETMEQAWKEFDQLKENTRSAWLYATVRNGCLNRLKHLNVEQQHIDRLIEATRAEMNQGYRAHEALLQQAENIARSLPEPTCTILRSCYWEKKTYQQVADELGISPDTVKKHISKALRTLREAILKKEDKQ", "MKKEFSTNDHIHPDNGKNPHNQDSPQNGTWNDHQLEQLFGEALGDIPTADETRKAWQTFIRRHRIRHQSIRRITIPGIAAAVIALVLVFQPLLQPEPQEVEVFTSLEVPEEITFREEGKRIIVSTPPATITSVQLSDGSKVLLSANSRLEYLKEFTDTIRSVKLSGEARFEVAKDASRPFIVCTEQLQTRVLGTVFDVKAYPRYSPDVILYQGRVNVSHTKRNQSKEMHPGEQISLDKQGKLQLKRVDTEKRKGWAENEFSFDNTDLRQVMQDIGSWYNISIVFRSRPLLDERIYFHINRQLPVNTVLDALNDLKIAQFTMKEGKIIVETPQDKKR", "MKRPNVLNLTCPAIPVVRIGFVGLGNRGILALERYMHLEGIEVKALCDLRKENIERAEHILREFGRPEAENYSEEGMWRKMCECKEIDLIYICTDWLTHTDIAVYALQQGRHVALEVPAAMSVADCWRLVDTAEETRRHCMMLENCCYDAFALTTLNMVQQGVLGEITHAEGAYIHDLRKHYFADEKAGGYHNHWIKLYSQQHTGNPYPTHGLGPVCQWMNIHRGDRMEYLVSMSSRQAGLSAYAGQVFGASSEEAAQSYEMGDVNTTLIHTAKGRTILLQYDVTTPRPYSRHQTVCGTKGFMQKYPVPCLLLDEYGKEPLSGEQFERMMEQYKHPFTAVIGEEARRKNMPNEMNYIMDYRLIHCLRNGLPLDQDVYDAAEWSCITELSERSVRQGSVPVEIPDFTRGNWKER", "MSELKIAGNPLPGMPWEERPEGCKNVMWRCSANPIIPRDLLPTSNSIFNSAVVPFGEGYAGVFRCDDTNRRMALHVGFSKDAVHWDINPEKLQFQCDIPEIGEWVYGYDPRVCFIDDRYYVTWCNGYKGQPTIGVAWTTDFKTFHQVENAFLPFNRNGVLFPRKINGKFAMLSRPSDNGHTPFGDIYYSESPDLEFWGHHRHVMSPAPFEVSAWQCLKIGAGPIPIETSEGWLLFYHGVLRSCNGYVYAFGSALLDLDEPWKVKFRSGPYLISPREPYECMGDVPNVCFPCAALHDPATGRVAVYYGCADTVTGLAFGYIPEIIEFTKRTSII", "MKTTETPTPARRRSPIFWVPTAYFGMGLPFVVLNMVAVLMYKGLGVSDAKIAFWTSLIMLPWTLKPLWSPLLEMYRTKKFFVVLTQMVSGVTFGLIALSLHLPSFFAITIALFAIVAFSGATHDVACDGVYMDELNAQEQAKYIGWQGAFYNVAKIIGTGLLVYLAGFLKDEYEGPAEDAVLYSWTVIMIVLGGVMFALGLYHTRMLPSGKHAHSVTSFSQSMAELWNVIRNFFTKKHIVYYICFIILYRFAEGFVMKIVPLFLKAGRDVGGLGLTEQEIGIYYGTFGAAAFVLGSLLGGYYISARGLKKTLFSLCCVFNLPFMAYTLLAIFQPQSAPLIGGAIVLEYFGYGFGFVGLTLFMMQQVAAGPHQMAHYAFASGIMNLGVMLPGMMSGYVSDWLGYKLFFIFVLVAAIPAFLMTWFVPFTHEDKK", "MKTYSYYVLFLLVVFCGCSRPTELTSPDGNIKLVFNLNEAGAMTYGVSVGGKPFITPSVMGFEGRDGLNLSKDFQIENTEFTTKDETWTQPWGENKSIRNHYNEMAVRLKDPANTRLTLRFRVFDDGLGFRYEYQVPQVDSVFVMDELTSFNLAQDGKSWSIPASFETYELLYRTLPVSKVDNANTPMTFKTDNGVYASIHEAALTDFPEMTLKHTEGCHFKSELASWPDGVKARFAGGTFVTPWRSIQIAPKAVGLINSGLILNLNEPCALEGDLSWIRPMKYVGIWWGMHLGVETWTMDERHGATTANAKRYIDFAAANNIEAVMFEGWNEGWESWGGMQTFDYTKPYADFDMAEVARYAKEKGIEIIGHHETGGNIFNYERQLDNAYKWYADLGVHSVKTGYAGGLPGGHSHHGQFNVRHYRKVVQTAAGYHTTVNVHEPIKDTGIRRTYPNMMTREGARGMEWNGWSEGNPPEHHELLPFTRLLGGPMDYTPGTFDILYEKTRNSPRRKKWNDLDKGNSRVNTTLAKQIANWVVIYSPLQMASDMIENYEGHPAFQFFRDFDADCDWSEALQGEPGEFVAVVRKAKDKYFLGATTNEESRTLTIPLDFLEKDKKYHAVIYADGEDADWKTNPTSYQIKDKEVTAADTLSVVMAKGGGQAVSFIPITD", "MKQILFLLAAVLLLGGCAEEKKTDVRWATFNIRYDNPQDSLNNWQYRKDRVCQFIKDHELDIVGMQEVLHNQFQDLRAGLPEYDGIGVGRDDGKTAGEYAPLFYRKDKYEVLDSNTFWLAENPDSVGMMGWDAVCVRIATWAKFKDKATGKIFMAVNTHFDHVGEEARRQSALLIIRKIKEIVGERPAVVTGDFNVTDASDAYETITTNEFVMKDAYKTAARVTGVDYTFHDFARIPAEDCEKIDFIFVTPQVLVKSCEIPAEVPEALLSDHNPQLADLEF", "MKIKLYKSVALCSLLALAGCHDFEELNTNPYAPIYDPTVENVSADGIDIDYTLTEHAMASLKGMEGAIGSIFANFTYEGPYNDYQTTTNLTHDIYAGYWGNNVSGFVNQAPTYSYTDGWSASRWKHFYDDRSTSEYSQLVKTFYFCNKDYYHTAFYITRIYYAFLLSMQTDTYGDIPVAYYVKGAMPPEENVTYTPQKEVYNILFQLLDQAITELHQENLPAVSQYDLGDNDKCYGGDVDKWRRFANTLRLRLALRVSNVDPALAQTQAKAALTDPAGLMQSQDDNMKQTPKRQYIAGGNENIYALLFSWTGNAVLSKEMERAYKNQALKEGAAADAVTFNENSENCYLDPRCEVLWFRPTPFDSLTTSPLPTENLKRDFNGVMNGETNVGGSYLNRYSANRCILSSDAMNKDYWWNLAREIVWMGYAESLFLKAEAALRWPSLVDETAEALYLKGIKASMDYYEIDADKANEYISHLDGVKAFAGGSKEEQLEQIITQKWIAVFPNGNEGWAEVRRTDYPRYLLAPVNGNNSNGEVASGKLIKRINYPNSESRNPNKPGNVNQGSRVWWDVTDTMNDRGQWHTPNNFR", "MKGNQLMRKPKLLRALLILLFVAAPIQWTRAQIVLSTPKTTLGAVIKNIKSQSNYQFFYDDKLAEMPVNAIDVKNGSLQSVLDAALQGKGITYKIEDNIVYLSASGEPQTPQQTGQEHTVTGTVVDATGEALIGVNVQVKGNPTAGTITDFEGNYSLSVPGNGEIVFSYIGYRSETLKPDGKNVLNVTMQEDTQQIGEVVVTALGIKREKKMLGYAVQELKSDELNKTGDPSVTSALQGKVAGLQMNTSATGLGGSTKITIRGNSSLTDNNQPLWIVDGVPFSDTSTSSASRYDGVDRGSASMDINPDDIESISVLKGPNAAALYGSRAGNGVILITTKKGSKKDGFGIRYSGNFTWTAIGETLDMQRRYGQGHIDTKDAKTAVYDKTDSGSWGPVLDGSMKEAWNGETYAYSNYGDKLKDYFDTGFSHTHNVSISNGTEKSHFRTSFGYSDNDGVFPTESLSRVNADLNAGTELNKWVSMDGKISLSRTKADNRPLYGDYGAISQLMRIPNNVRLDDLKQYSDETHAHVNWTGPTASIRNPYYVLHQRQNSDERWRAFGYYSMKINFTDWLHLSAKYAFDYYRTRVQSTNAGDGINGEANTSMITNDSMDRSEENFFESNAEVILMGDRQLTDNFRLGFNVGGNFMYQRSETLGVGVGNMVDKGNWMLNAANLLRTGSEDGYKRAMNSVFGSVQMAWKEYLSLDLTARNDWSSTLPSGNNSFFYPSANLSFVVSDFLRSIDKPLPSWVTFAKARLSAAQVGKDTSPYQLYNTYSFKFDNGVLTPTKDNVKMNDQLKPEIATSYEAGLDMKFLNNRLGFDFTYYYSKTKNQIMKVPAAAPWSGGQWVNAGMVTNQGVELMLYSTLVETKDFAFDLNVNMAHNVSKVKELAPEENVNYMFFNGDGNFPVKVGTRAGHKLGEIYATSLYKRNENGDIIVNENGLPMTVTNESEYVNKPIGNIQPKLTMSVSPTFTYKGITLSALFDMKFGGDIFSYSEMLATGNGLAKRTLNRGEENNYMMVFPGVTESGEVNTKQVSASEYYGALQAEDFIYDASFIKLKELSIGYSFPSSMLKKTPVNSLNVSFVARNLCYLMKHTPGTSPEGGYDTTMFSQAIDYASLPFTRTFGISINLGF", "MHFSLIKRGWRGMFSFGSIARVHPLFLFKYFFYTSKSDDITTFAFPLLFSGKFRKKNKIE", "MKKLFLSVVALCVTLFVQAKDYADYVSPLVGTQSSFELSTGNTYPAISRPWGMNFWTPQTGKMGDGWQYVYMANKIRGFKQTHQPSPWINDYGQFSIMPVTGKPEFEEDKRASWFSHKAEIAKPYYYKVYLAEHDVVTEMVPTERAVMFRFTFPENDSYVVVDAFDRGSSVKVIPGENKIVGYTTRNSGGVPANFKNYFVIEFDKPFTYEATFSNDVQPEKPDGSVPVTLKEGKLEQTDFHTGAVIGFKTKKGEVVHARVASSFISPEQAIRNLKELGGDSFEVLVQKGKEAWNEALGKVEVEGGTLDQYRTFYSCLYRSLLFPRKFYELDANGQPVHYSPYNGETLPGYMYTDTGFWDTFRCLFPFLNLMYPSVNKEIQEGLVNTYKESGFFPEWASPGHRGCMVGNNSASILVDAYLKGVRVEDVETLYKGLIHGTEAVHPEVSSTGRLGYEYYNKLGYVPCDVKIHENAARTLEYAYDDWCIYQLAKELKRPKKEIALFAKRAMNYKNLFDSESKLMRGKQKDGKFAPEFSPLKWGGDFTEGNSWHYSWSVFHDPQGLIDLMGGKEMFVTMLDSVFSVPPIFDDSYYGQVIHEIREMTVMNMGNYAHGNQPIQHMIYLYDYAGQPWKAQYWLREVMNRMYTAGPDGYCGDEDNGQTSAWYVFSALGFYPVCPGTDEYILGAPLFKKATLHFENGKNLVITASDNSDTNRYVDEMRVNGTVYTKNYLKHNELLQGGTIDFKMTDRPNMQRGTQESDLPYSFSKDEKVK", "MKINMLLSGCLLGLLCFTACDSEGNEMNDYTHYVNPFIGTGGHGHTHPGAMVPHGMIQPGPDTRIDGWDSCSGYYYEDTTINGFAHTRLSGTGCADFGDFLLMPTVGVQRTDFLGTESQKRPFASAFSHEKEYAEPGYYSVFLDTYGVKAELTSTERAAMHRYTFPESKESGFILDMDYNIQQQINQVMEVEAVNDTVLRGRKRSAYWAYRQDLYFYAVFSKPFTYTLYTDTVQENDKQIPVCKMLLHFETAKDEQVLAKFSISSVDAEGAYKNLQAEMPGWNFDGVRADAKKKWNECLSKIAVKTNDEDQRAIFYTAMYHAFLSPNLFTDVDGRYLGMDLKVHTTDMKHPVYTIFSLWDTFRALHPLLTIVDPQLNTEFIRSLIKKHQEGGIFPKWDCVSNYTGTMVGYHAASLVTDFYVKGYRDFDVQEAYKACLRAAEYDTTGIVAPDWLIPYVMPKARYYKNTLGYIPCDRENESVAKALEYAYDDWCISVLADSLGDTETKEKYARFADAYEFYFDPATRFMRGLDSKGEWRTPFNPRSSNHRNDDYCEGTAWQWTWFVPHDIPGLVNLMGGEDAFVGKLDSLFTVSSQLEGEATSADITGLIGQYAHGNEPSHHITHMYNYVNRPWRTQELVDSVLYNQYFNAPDGLSGNEDCGQMSAWYILNSMGFYQVCPGKPVYSIGRPLFEEVTINLPDRKTFVIRTHNNSKTNKYIESVLLNGKPLDVPFFTHDDLVRGGTMEITMSPVPTEWGKQVKN", "MSKLNEDIIIRYLENRCSEEDFVLINEWMKESDENAGELFRMEEIYQLGKFPFEEENLVVRAERRLGRRLEQENQKKQEVFKLRSVLRYAAAIVGVMVLAAGLAYWFRNKAEELVVASAAHGQVREMLLPDGTKVWLNQSSVLKYPRAFEGKERHVYLDGEAYFEVARNHEKPFMVKSPAMDVRVLGTSFNIKCRPDNSFAETTLIEGEVEVKDKSDKGRITLLPGQKAVLNRVTGRMQVKQVDPKMEIVWHNDLIPFEKSSIFQIAAALERFYGVKIILSPDVDSTNTYSGVLKKKDNIESVLNSLRNSIPFNYKKVDDNNIFISSETK", "MNEELDDTYKAVFRQCYPKLLFYATRLVGTEEAEDVVQDVFVELWRRRDSVVIGEQILAFLYRSVYTKAINLLKHQVIENNYSAAMIEIYERRLQYYQPDHAEVIKKIENQELRQEIFGAINELPDKCKEVFKLSYLHDMKNKEIADTLGISLRTVEAHMYKALKFLRERLSHLLLSLIIFSAKLLSVFTS", "MKTPVLALFISLVAFGACVSNKQTQPSTDYTQLVNPFIGTDFTGNTYPGAQAPFGMVQLSPDNGLPGWDRISGYFYPDSTIAGFSHTHLSGTGAGDLYDISFMPVTLPYQEAEAPLGIYSKFSHADEEASAGYYRVLLKDYDINVELTATERCGIQRYTFPEGESAVFLNLKKAMNWDFTNDSYIEIIDSCTIQGYRFSEGWARGQHVYFRTRFSKPFASVEMDTTAIALKGKRVGTAYIARFNFDTEKGEQIVLSTALSGVSMEGAAKNMAAEAPHNDFDKYLAETKDNWNRQLGKIEVTGDNKDDKVNFYTALYHTMIAPTIYSDVDGAYYGPDKKIHQTDGWVNYGTFSLWDTYRAAHPLFTYTEPQRVNDMVKSFIAFYEQNGRLPVWNFWGSETDMMIGYHAVPVIVDAYLKGIGDFDAEKALEACVATANLDDYRGIGLYKKLGYIPYNIKDEYNAENWSLSKTLEYAFDDYCIAEMARKMGRTQLADEFYKRSQNYRNVFNPASSFMQPIDDKGVFQPNFSPDDYTAHICESNGWQYFWSVQQDIKGLIALTGGKDRFTEKLDSMFTYIPAGNADLPLFSTGMIGQYAHGNEPSHHVIYLYNKVRQPWKTQKYAAQVMHDLYFNAPAGLCGNEDCGQMSAWYVFSAMGFYPVNPVSGEYEIGTPLFPEIQMHLDNGKTFTVLAPGVSRENIYIQSVKVNGQPYDKSYITHRQIMDGATVEFEMGPEPGNIWYR", "MKTKGWILTVICSMLLLVAGITFASMVLVGQPALANESLWWWILINLGVMVLLFGYGVKRGIQILRIFSIPKSKLTREPGVVVCDYNISLKKYALYKLWDIRHFSFSLLFIIYICIALCTGHYIVPEEQSLFSPLLIWFLCLIYILIMLPVRLNKIYKRSIAGQTWVEITPEGLTYDKAELKQTFPWEEIAGIALYKTYCFLYDKRGGEFLIVTSDEKVKESLLYYLNTKK", "MKKSDILFFLFVIALFLPFFISDTIYEWYKSFNAIHGMVMSFVKFAILATLGEMLGLRISTGVYHNKTFGIIPRMVIWGVLGMGINMAMIIFSKGTPIFLEYMGLEYASTLMNGDFCLAKFLVALAISVTMNSIFAPVFMTLHKITDTHIGNCGGSIKALSTPIPMTRIFTGLNWAVLWSFVFKKTIPLFWYPAHTITFLLPPDMRVLFAAILGVVLGVLLAIAAKKK", "MYNEYQPSLLLAPYIDKYWEFKGNPDYGMKINILPDGCTDFIFTLGEVADIAEQESLIMQPYRSYFVGPMSRYSTLITYAKSIHMFGIRFLPCGLFRFMQLPLEELGNQRISTFESGGIFNDSLAERLCEQPYIQDKIRLIESFLTQALCTNNKIEKQISYAVDCINLSKGQLPIRSLVENVCLCQRHFERKFKHQTGYSPKEYSRIIKFKNAVDLLRSTSSNQLFSVAIEAGYYDTAHLSKEIKALSGNTPGSFLSLPVTEETTLTYLKL", "MGSLKEKAKALLQQCEVVTLTSINKEGYPRPVPLSKIKTEGLTTIWFATGNSSAKTKDFRSNPKAGICFYKEGNSVAMTGEVEVVSDTGTKKELWQDWFIHHFPKGPEDPEYILLKFRGNHATIWIDGQFVHRKV", "MTNTNMEQQQSSYKEKERIDLREPRRFKVTIFNDDFTTMEFVVKILTTVFFKSTMEAEALMMQVHKSESAVIGIYTYDIARSKVQKATRMAREEGFPLRLTVTPEEE", "MDIPNTDSVNYAFASAQSQAMQYRHEFITPEHLLSALLEQVPFQKALAECFCTPEELSQSISEYLSKKVERVPQEIEYELEISGQLSELLQYAYMTISHSSAEEMDVPHLVQGMLQLEDSWAGYLLKETVGEDMPEFLSSLISNYEHMNQFQEETSSEQEKSEPWRNYVTSLNEGLQDRNPLIGRNVELERTIQVLCRKEKNNPLHVGEPGVGKTALVYGLAARIEAGNVPERLTGCRIYELDLGNLLAGTQYRGEFEKRLKAIMEGIRKEGHAIVYIDEIHNLIGAGRTGDGSMDASNMLKPYLEGGEIRFIGSTTYEEFNRYFSRSRGLVRRFQQIDIQEPGIEETIHIVEGLKERYETFHGVVYEEGVIAYAVTAAARYISDRFLPDKAIDLVDEAGAYREIHPTDTETQTVDKALITDILARICKVDVLAMKEEDNATLETLHERISAKIYGQEEAVCQVVEAVQMAKAGLLDENKPLASLLFVGPTGVGKTEVAKVLASELGIALQRFDMSEYTEKHTVAKLIGSPAGYIGYEDGGLLTDAIRKTPNCVLLLDEIEKAHPDIFNILLQVMDYAVLTDNKGRKADCRHVILIMTSNAGAQFAHQASIGFSGQITAGEAMLKQVKKTFKPEFINRLSATVVFHDMDYGMASLILNKKLNELKNKLSARHVGMELSPEAYKHLLKLGFTKEYGAREMDRVITSQLKPLLMREILFGTLKTGGKVRVTAGNGELSLQVLKE", "MVFQLTQKLVFPDPHYGEPDGLLAVGGDLSVDRLLLAYSNGIFPWYAFREKQIQWWCPLKRFVIFPNEIHISHSMRTFMNKEQYGVSFNQAFHEVIQTCGNLRMEETGAWLGKDIMKAYIRLHEQGFATSVEVWEESCLVGGLYGVTLGKCFFGESMFSLVPNASKLALIYLAQTFQELGGTLIDCQFETPHLKSMGGRYIDYEEYMRYVQEPLESL", "MKKIFYCFLLLTFFVLSCSKEEADMDGSQNKETENKEIKIILEAETSSQNIFAPIVFYQSFDFGKDAPCLSEVYDSIVWKSSHSPNSFKVFSHSNYARYVETTFFKWAHVYYSPGTYKTYLLGYKNNEIIYSSDTISIDITNKKDFLAFNWKDVTDSDFTTGYANNLDGYYLSTQTHIHQGVPSVMLYAKNEKYEKGGSMKSKQILYNYINSFFSLPNYTATSDESLRKEFSTIFSFQEKNAIPLNIWLTPKAKIVLLRKDFKGLESEYKIYAEPGDLI", "MNYTYKQIWLINLPVMMSVLMEQLINITDAVFLGHVGEVELGASALAGIYYLATYMLGFGFSIGLQVMIARRNGEQNYAETGRTFFQGLFFLSGLALSLCLLIQGLSPFLLKQLITSPEIYQAVTDYLDWRSFGLLFSFPFLALRAFFVGIIKTRSLSWAAIAAVLINIPFNYLLIFTLKFGIAGSAIASTLAEMGSLIILLIHMWRKIDKNKYGLQPIFDGKLLKRLFYLSVWSMLHSFISMAPWFLFFIAIEHLGKMELAVSNITRSVSTLFFVIVSSLGATNGSLVSNLLGAGQQKGVFPICHKIIKMGYAIGFPLVGVALFCDYWIIGFYTNNETLVQHTVPPFIVMLFNYIFAVPGYVYISAVTGTGKTKIAFIFQVVTIMVYLLYLYWLSHCVHAPLAVYMTTEYLFVIMLGIQSVIYLKRKHY", "MNIFDIAKQNQEKAWQVIKNTNIIQIWEDAGAKINLVGSLRTGLLMKHRDIDFHIYSSPLNLTDSFQAMARLAENPSIKRIECANLLHTAEACIEWHAWYQNEENELWQMDMIHIREGSRYDGYFEKVAQRISEIMTDEIRETILRLKYETPETEKIIGVEYYQAVIRDGVRDYSGFKEWRKQHPVTGVVEWMP", "MSVTNVTREELWAKQHLSCKNMDYAVWERDKSMLQKLSRINGGCSFVVDVYKGCYAYASTGFVDWLGYDRHKIETLEKQGDYLESRIHPHDRSQLEDLQVRLGKFIYNQPFEHRNDYCNVYSFRILNARGNYVRVTSRHQVLEQSHDGKAWLIIGNMSMAPGQKESEQVECTVLNLRNGEMFSPGVVIMNPAFGLTGREMEILRLIQRGFLSKEIADKLCISIHTVHIHRQNLLRKLGVQNSLEAIRLGQESGLLS" ]
[ "TTTTTCTTATTTTAAAGTATGATATAACAATTCGTTTTACATGAAACACAAAATCCTCCCTTCACCCTATCCGACTCG", "A", "ATGTTTCACACGTTGGATTTATTTTAAATTGTCGGGCAAAGTTCTTGCAAAACTCTGTTTTGCAGAACTATCTTCACACCTCAAATCAATAAAAAACAGATTTA", "CTGATAAACCATTTCGAGGGAATGCTGAAAGTTCTGTCACCGTATAATATTGAAGCCCAGCGATATGTGGTGATAGAACAAAAAGTATTGCAATGCAGTATTCATTAACCCTATCCTGATTTAAATTTGACTATGATAACGGGATAATAGCTAGTAGAAAACAGGTAGAAATCACAAATTTATTTCCTGTTTGTAAATTCAAGGACAAAGATCATCTTAAAACCACTAAAGTAAATATGTTCTGATTATCAGATACATAGCTGTAAGAGTAGTTCTGAAGGAACTAATGAAACGGAATTACTTGATTTTCAATAAACTTTAAAAAATCACAGCT", "TTTTTAATACTCGACAACA", "GTTGATTCTGAAAAGATATTTCAGATAAAAATAGAAACTGAAAGTTTTTATAGATTGAAATTTTCTTAAAATAAATCCTCTTATTCTTTCCTATTATTAGTGGTAAGAATGAAGGGGATTTATTTCCTTTAAAACTAATTCCTTTTATCTGAATTCCAAATCGAAAAGCAAATTTAATTGATGATGGATAACATTGGGCAAAAAAATTATCTTATCTTTTT", "ATTTATAGACCGAAAGGTCTAAATTCTGTTCACCATTAACACGTTGGAAGCGTGCATTGACATCAATTGCTTGAACACTGCCATGAGTAACTGTTCCCNNNNNNNNNNTGTTCCTA", "ACTTATAAAAAATTGAAGATT", "TGGAAAAACAGTCTGAAATATGACGCTAGTCCAAGTTTATTAATAAAAAAGGAAGAGGTTATGTGATATATTCTCTTCCTTTTTTATTATACCCAAAATCATTTGATATTCTGATATCGGCAAAGCATATTATGCATAGGTCATAGAGCCACTTAACATAAGCCAAATAAAAAAGGGCAGTACACTTGGGCGAAGGTCTGAACTTTATTTAAACAAATGGTAATGTGAGCATTTTATTGCTGCAACTTTTTAAAAAAAAACTCATTTTGTTTATCATGATGAACAATTTATTACTATTTTTGTAATGTGTGATAAACAAAAAGAAGAA", "TACTCTTTTCGGTAGAAAATAGATAGAAAATTGTATTTAAAAGCCCTTCTATAGACTTTTAAATACAATTTTTCTTTTTGAGATACAAAAATAAAATACACTGATATTCAGATACATAGCTGTAAGAGTAGTTCTGAAGGAACTAATGAAACGGAATTACTTGATTTTCAATAAACTTAAAAAAAATCACAGCT", "TCATAAAAAAGAAAAAGAACA", "TGTCTTAACCTAGGACATTCATAGAATAATATAAGTTAGCCAGCTAATTCATACTTAAAGTATTGCGAATTAGTTGGCTAACTTATATTCTATGAGTGTCCCTTATTATGCCCAAAATCATTTGATTTTCTGATGCCGGCAAAGCATATTACACATGGATCATAGAGTCCCTTAACATTCATAACTACACAAAAAAGAAGGACTACCTTCTCACGAAGACAGCCCTTTCATTTGCTATTAATAATCTAGTAATTTTCTGGTAAATTTTGAACGCTCTCTTATCTAAGAAACAAGCATTAACGCTCTTTTGT", "TTTTAATTATTTTACCAATAAAACTCCGATCAGTCCACAACTGA", "AATCTTATTCAATTAAAAATTGAGAACTAAAAATTAGAAATATTATGCGGTCAATGACAACACAGTCTTTTTAATCTCAATTTA", "AATCTTATTGTTTTATGCCTCAAAGATAATGAAGATTTGAGAATTCCTAGCAAAGTTTCATTAAGTTTCGAAGAAAACTATCTAACAGACAATAAAACTGCACAAACACGCTTACAATGAGCACAAACAAACCTTTTACAGAAAGTGATCATTCAAATACTTTCATTTGAAACTATAAAATAATCTTTGTCCCATAACCTTTCTTATAATCATATTTATACTTACTTTGCAGAAAAATGAAATAGA", "GAAAGACGAAAAGAAACACGATACAACTTTTATAAAGGATCATTGAGAAAATTACATGCTATAAAAACATTATTCCGGCAAAACAATATTACCGGAATAAATTTTCTTTATGTTATCCTTTTTCAGATTAGGTATTTGGAAAAGCAACCATCTTAACAACCATAAAAGTTGTACACA", "TTTTTATTTCAT", "AAGCATTCGTTATTTTATCATGTTTATCCATTCCA", "AGTCTTTTACTTAACCTTCCTTTATTTACTGTAAACCACCACTATAGCCTGATCATCAGTTAATGCAAAGTTAATTAGAATTCATGAAATTCCTTGCAACACAACCTTAATAATCAAAACAACTTTAATTACAGAAAGCAAATCACTTTTTAATTCACATAGATCACTATTGAAAATAA", "AGCAACTTATATTTTTCAGTTATACATATTATCTTAACAATTCTCCACACTATGAAATGATTGGATACTCTCCATCGGATAAACTTCCACTTACACACAATATTTCATCAAGAAAGGTGGAAACGATATCTAATCCCAATATTGGGAGATATCCCTATAATATGAAAAATTAAAAGATGAGCAAGCGCTGGTTTCCTTTCTCCAAACGCCTGCTCAGTTCAAATAGAGACATAAAAGCTGATTTCCATCACAGCTCCATCATACCTATTAT", "ATTATTAAAGATTAAGTCTATAGCTACAAAGATAAGGGAAAAAAAGGAGAAGAACGTATTATGAAAAGCTAAAAATAAAATTACTTTGAAGAAGATTAAATCAAAAAGCCTCGCCGGAACCGAAATGTTTCCTGACGAGACCTCATTTTCTTTCGTAGATTATTATCCCTTTTGAATCTCCAGC", "AAACTCTTCTTTA", "ACTTA", "AATGATATTATTTTAATTGAGAATTAAAAATTGAAAACTGAGAAATAAGTACATGAATGCGCAGCCATCTTTTAATTTTTAATTCTTAATTTTTAATTTA", "CTCCTTTTTTATCTATTATTATG", "ACTGCATAATTATTCTAATTCGGCTGCAAAATTACAGAATATTCGGGAATATAGATACAAAAAAGAGAATATTTTTGCGACTTTATGCATATTTTCAATAAAGCTTTTATCTATCATCCCAAGAATAAACCAAAATCATACCAAATTTTTCTTTTCAATGCAATCTTCTTAAAAAGACGGTAATATTATGTTTTACGACAGGTACAACCAAATCCAACAGTTATAATATCAAAAAAACAAGGACGACTTTCGGGGAATAAACTATTTTTGCATCACTATTAATTCCTTAAAATCAAAAAATAAAGGC", "TATTCACCTTGAAATTTATTCATTAAATAAACAAATAATATC", "TCAATATCCAACCACAATATCGTCCTCTGTAAGGGTGGCCTCATGTATCTGCCCCTACAGAGAACGTATTTGTGGTTTTAAAGAATATAAACA", "AAGAATTATTATATTTGTAGCATACTGTTATTCAGAATAAAAAAATGAATAACAATACCCT", "TATTTTTATGGTCTTCCCCTAATGGGGAGATTTTGAAGATGATTTGAACCCACATGGGGACAAATCATCTTTTTTATTATCGGAGCTTAAAAGATAAATGAGATCCAGATTGCCACTTAAGCTTTTCATCACACGATATTCATCACAAATGAACAAGCATATAAAATGACCAATTTATGTTTTTATCTCATTATTCTATTGATTAAAAAGATTAACACTCCCTAGACAGCAAACACTTCCTAGACAATCGCGTACTTAACTCATTGACAGTTTGAGTATCTTATGTTTTTTTTAGAACAACGAGTACTCTTTTTGGCTATCTTTGACAAAGACAGATTCACTAAACCTACAGAGATAT", "GCCATAAATAAAAGGGGGTCAAAACTAAAACAACCTATCGTGCTATATGATCAGGTCATTTTAGTTTTGCCCCCCTGATTAAATTACAGCTATTATTTAC", "TTCTCTTCCGTTTTTAAAAAGTTATCTATTTATTCCAGAAGTTGTCAGAACAACCAGGATTCACCACAGATTACGCAAATTCACACAGTTTTTTGATTTAAAGGTTGTTTGCCTCCTACTTCTTTGTGACAATCCATGTACCCTGTGATGAAAACCTACACTCTACA", "AGTTGTTGCTT", "AATACTTTTTTTAAAGGTCTAACAAATAAAGATAATTCGTTTTATTTGCCAGCAAAAGTAAGAGGAACAGAAAAGAAACAGGGAGAATTATTACCGGAAAAAGGCTGTTTATTCCCTATAGAGCCTATTTCCGGTAATAA", "AAGATTTGTTCTAAATTTCCCTCATCCGCATACATAAGAAACTAAAATTATACCTCCAGAAACACTTAGGACAAAAAGGTTAGAGGCAGTTAAGATAAAAAATTGTATATTTGCCGATAAGTGTGACCTAATTTTAAAACAGAGAAAC", "AGGAAAA", "CTTTCTATCATACAGCATTCCATAA", "AATTTTTTATAATAGAACGCAAATCATTCATAATTATAACAAATATTGCCCGATTTATGTCCTATTGGTCACTATTATTTTCACTATATTTGCACAAAACCAATAAAATAATAGC", "GACAAGAATTTAAACGATAGAAACAGGGTGGCTGGATTTGGTTCTCAGCCACCCTATTCTATTCTCTCTGTTCTTCATAGCTACCGCCATCTACAATTTCCTATGCAACAAGTGTAACACAAGTAGCTGGCCTAATCATCTCCTTTCAAGATACATTTTCCACCTTTTTTCCCTTCAAATCCTTCATACACAACCAATACACTGAATATCAAACTATTACAATGAATCATTCTTATTCCAATCCTTCATAAATTCTTCATAAAGTTATATCCGTTCCCTTTGCATGAACCAACCACGGGAATCCATTCCGACAACCCATCGAATCTTTTTCCTTATTTTACCGTACCCGATTACAAACCTCGGTTGTATTACTCATAAAGAACAATTAAACACTAGTAAA", "CCCCAGCGGAGAAAAGGAAATTTCCGTACCTTTGTTCACGGAAGACAAGGAAAC", "CATCAATGGGAGTTCAATAAACAAGCCTGTATTTTCACCTGGAGATTAACATATCAGCGTTCTTTTCATCTTCAGGTGAGAACCATTCAGTTTATCCCCCCTGTGCTAAAGAACTGACAGCA", "GGACTGCTTTATTTTTCCGGCAAAGATAAAGAGAAAAATCGAGAGGCGGAGGACTGCCTGTGAATAATTTGCCGGTATACCGGTCTGATATCTTTCCGTATCGGAACCTAACCTCTTTCAACAGCAAACATAAGCCTTTTTCTCCATACAACTAAGGTCTTTATTCCTATTATCTTCTATAGTCTTCCGGTGCAGACCGTACAGTCCACTGTAGCAGACCGTACAGTCCATTACGGCAGACTCTACAGTCCATTGCAATGGACTGTAGAAGGTTTCAGTATAAAAGCCCTTAATCATCCGGAAGAAAACGCTTAGCCAAAGAGAAGACAGCACTTAAAAGCCGCTTTATAACCAGACAGTCCCCTTTCCCGGAAAAAGACAAAGAGGATGTGTCCGGACCGAAGTGACAGCCACAGGACACATCCTCTGTTTTCGAATGAGAACAGTACAAACGGTGC", "ATTCTCTTTTTTGTTAGGTTAATAGTTTTTCT", "TTATATCTAAGTATTAAGGTTGTTGAATTCGGCTATAACCGAAGATAACTTCATCCCCTTTCAGAAGGAGATGAAGTTACCTTTCGGAATCTATAAACGCAATAGGACGAAGCATTTGGTAAA", "ACTATTAAATTGGATTAATGATACAAATATAGAACAATTAAGTAAGGTATCACACTTATCGAAGGAGGAAAATTATTTTAAATGGGAGAAGATTACATATAATGAGAAGTATCAACAGAATAAAATAACAAACGCCGATAAAGCCCTTTTAAAGACTCTACCGGCGTAAGTTCACCTATTTAAAAAA", "TTTGTTTCTTTTTATGTTCCCTAGGATACAAAGATAGCAATTT", "AATCAGTTTTTCCTTTTAATTAA", "AATTTATAAATTCGTTAACACTGACTTGTTTTTCTCCAGTGAAGGGGTTCTCATTCCCCTTTCGAGAATAAAAACAGGCAGCTAAAAGAAAACAC", "GGTGAAACTT", "GATATTGCTATTTGGGTTAAGTTATACTT", "AGATCTTTATTTAAAAA", "CTTTTTCCCTATTCATATAGTAAACAAT", "ATTATATAATTCACCTTTGTATGAAGGAGAGAGCCAATGTTCCAAATAACGATCCAATCATCCACTGTAGGGGCAGAAAGCTTCCGCTCATCATACAATTTTCAGGCAGATTCAAACCGCCTCTACAGCAAAACGATAACAAATATTACTTTTAACTCACCTCCTGTACAATTACAATTCTTAATAAGTTGAACCAATTATTAT", "ACTTAAGAGGTTTTTCAATTATTACTTTGTTACAAAAATACACATTATAATAATATGAACAACCTTATCATAAAAAACACTTAGCTTTAATTCA", "AATAACCTTTTTATTTTATTCCATGACGGGAAACAAAGATAAAAGATTATAGCTAGATAACAAATAAAGAGCCGTCGAATTTAAATTATTGTTTGGTTAGGAACTTAGATTTTTTTCGTATGTTTGTCGCAAATATTAATGAAAAACACATTTCTATCT", "CAATCTTC", "AAGTCGTTTTTTTACAACGAAGCCATCCTGGCGTTTCTTACTTTTGTACCGTATCAAAAACTAAAAAGAAACA", "GTTTCCACCTCTTATCCTTGAATTTTTTCACATTTTATTTTTCATACACGTCTGTCATCCTTGAAACATCTTATTATATATGGATGTTTTAAGAATGACAGACAGGCATGAAAGGAATTCAAGACATCAGCTCCAGACCATCCGTCTACAAAATTCATCTCTTCCCTACCCTACCTTTCCAATACTTTCCCTACCTTTGTGCCATAAGTACGT", "AAAATCAAGAATAAGAT", "TACCTTTAAATGAATCGGCAACTTCTCCGCAGAGGCAGAGATACGGAGAAGTTACTACAGAAGATATTATATGAATCCAATAAATAAAAAACAAT", "AGTCTTTGTTTACCGATTTTGATTATCAAATCATCAGTCAAAAATAAAATATAGGATACAAAGCTGAGATTATCAAATATATTTGCTAGCTTTGTTTTCGACATTACAAACAGAGCTATTTACCCAACTCAAAAACAAAC", "CCTGCAAAACACAATTGTTTAACTAATGAAACATCAACTCTGTATATAGCTACATTCAACGAATAGCACTACCAATCCAAACTATTTGGCTATTTTGACGGATCTTAAATAAGATTTGTTACAGAGTTTTATACCCTTATTATTAATCCACACAGATTGTGCACCATCCCTAAACCGATAAGTGCATAAGCATGGTTATACATTAAGTTAAACA", "GTTCCTTGTTACCCCCTTTCTCCCGATTCATTCCGGCAATAAATAGTTATTTATAACTATCTTCAGTTTGAAAGCTTTTCTCTACTTTTGCAAACCAACTATAAAAGACAGCGT", "CCCGATGAAATCGGGCA", "ATTCTTACTTTTTATCAAAGTGGTACTTCAAGAAGATAGATCTTATCAGC" ]
[ false, true, true, true, true, false, true, true, true, true, true, false, false, false, true, true, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, false, false, false, false, true, true, false, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, true, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 18, 20, 22, 24 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 19, 21, 23, 25 ]
[ "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122824|+|138:1268", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122825|+|1272:2159", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122826|+|2232:2468", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122827|+|2482:3528", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122828|+|3577:4056", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122829|+|4151:4441", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122830|+|4721:4984", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122831|+|4986:5792", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122832|+|5794:6564", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122833|+|6561:7790", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122834|-|7840:8667", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122835|+|8929:10098", "2510065017|RJ2H1_RJ2H1-contig-015.15|CDS|2510122836|-|10205:10666" ]
[ "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000001|+|119:137", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000002|+|1269:1271", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000003|+|2160:2231", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000004|+|2469:2481", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000005|+|3529:3576", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000006|+|4057:4150", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000007|+|4442:4720", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000008|+|4985:4985", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000009|+|5793:5793", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000010|+|7791:7839", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000011|+|8668:8928", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000012|+|10099:10204", "2510065017|RJ2H1_RJ2H1-contig-015.15|IG|IG_000013|+|10667:10674" ]
[ "MTETTATKVREEQVTDLTAENAHRVTMVREKGTDHPPVPFHFRKEHHGMHHFVHLYGNPEDRNELHSSNFKDWEAIAFKHPGYLEDMWKQACDAYAWSSFDPEIRGETDIMVYGEELHNDLQLMPEGERETYITAYRQKLSAQLSALSRCANPMVTGRGGFDYRRQEKMNKSYRNRYEEFRDWRQKVLASVKRKQETARPEEEKREKAWQTLKRDIRSSADTIHGIDTGQCRGYSRALFVSSILNKVSTLANHGEVEIVRRAVDFISEYNARVKKPVITQRNKFFQLPELAERMREKLKAVQSQESKEVPFEGGTLVWNYGEDRLQILFDRIPEDSRRKELKSAGFRWSPKNKAWQRQLTSNALSAAKRVLNLQNI", "MKNARPGFVIDPYRFDGSFLTSMSDGIHCDYTHKTLEELRAGEDNPRLVTVSRNTADKMFRIHLKSKCLPFKEITESQYYENMDMLPPVRHTRNFFFIGEPCFRDLYTFCFHVEGRYFTGLRSVTTPRKELERQMEGHYRSLTFRGGVTKGPACAITGKTNRQYLLTPYFFTDTDGEKKFICNLVTGPDEEPDIRNARKNMAEILLSLRRHHFLYFSAHKRRDDMEIFLEEAKKRRHTLLANGKLLQFPMNRESVSFTGTVKETQEPFFFRIYDRDLFLYLLYALRNIRREKAEM", "MKTMTEESLQRKLARLESALDTERARLRKACDKIPWGAGMRRTKCTPSFRRENELIEKIKVVKLQLSQMRTVNTLAGQ", "MNTTILTENHPQSSGGHRTESSLNMPPKSWKDYVPRYVSLYYVDYNENLDSREDLQERCIRRNSLHPLEEQVWEWYAEQEHDNLQGYLADIRKAMEADGKADEYARNEEGIKDLLYERNSIDPTDELIDNSAVTNMFYSLGVEIEGYVYGSNARKESEAISLRKIRRALKLKKGQFAGELHELLANAPYGGELRIYFNAIFSRLLTGDTGNDFKRIRFYGDVIVAIADSRNGAGYHVRLPTDITLPFCRDNLFTDSQVHYSYANEICGMLNSWCDSTRWKTGMKPLKSTMRKSRMSEHQKQEALYEKRFREGGCTLGDMNHKRHRNTYYINSFPCGTKCPHCGTFWID", "MDNQKAKMLGENLAHYKRMQENGTVDIIEFHTTDGQKFGIGNVAAIQLLLSVTVTELERQLHTARFGDIPERLEKSREYKTARNLEQALNDMGFNPERFAETLPYFHKTLEQAFFRVMKACIIGMAKREPNHIDGRNRAAYEMCRMLAPMLEDTALPFI", "MKILNEEHFENVKRYAESIGDTSLQKCLDRLKSWEENPDRPSEISLYYDHAPYSFGFTQRYPDGKTGIVGGLLYHGIPDRSFAVTLQPFHGWQIHT", "METLDYNQMLLVSLWQYNHHGDEELTPALFEETFGKVDGSHYYEKWTGYFNRNLWDMIAYFRSEKENGQKFCDMVARQVGLYQQNRS", "MEYSNFYDLKSLVRLNEREGRACSIEERDVEKVNRLISRMRKEREENPAPVAGDTVTYTTRGGDYYPQAHIERSDGREAQLCLLPRMPFCHEKEGRTCYNTEGGPWVTTDPGLLLPDGIRGKQFRTWGHTGRHENGAVLFHTSVRAWKYTEPEPLYGEYTTKEWTKYLIERRQDIEPAGAFIYRNESFTVYSKEELDRMVGILHGRLFDGFRQGLFILWGYRMEWKELPAWEWNMLKAETHLSFLGVSPVRIRTDHDGHTVTIYKKSE", "MAQYNTPQALRPLEKLICDFAYSNGYEPMSVFNDFLRYVTHGFSPGAPPVKDWKYKRQQNRFFMELLAGWIQLMQRELQTREWFDAFGDLFMALSSRGGQQAHGQFFTPVHICDLMVQCTGTDEKTTGKRMNDPTCGSGRLLLAYHVRNLGNYLVAEDISRTCCLMTVCNMLIHGCVGEVIQHDSLLPEDFKDGWFVNPVLTTTGIPTIRKMSEDEYRTSRNIPLSGLKQRMVQFQKRKDAPVSRPACLTSKKTIS", "MRLYASNELKSRLTHAAANGSVIAADILSELKKNRPAQEIIRGSYNFLSTKRKWTDCGSFRKIRIVFTAFNKDPEHPNFPDRNNPQAPWFPENRTDLEPSTFIEQFKNLREYTSCEISYFRSAITLDSKVSVRLHTGMNDFLDAYQESNYSSITDGDTSTLHNSCMRYEDKARNAADFYANFAGAGILVARDEGNNVIGRAVVWRKAVWNTTGMPAIQVSVLDRIYTSHAFVMDLIKEQAGSLGINLRKKYNDYTHPEDFISMSQIPGMAEEPGTEVHVRLSVKVPAFRWHKKGVPYLDTFHYIHLNGSRLELTNHNGCTAIASCQHTQGCATALRYVCPQCGGIHEDSNRLYCNVCYPLYYTQTAFGTIMKGTPVEYKGKIYPSTLFKKGRPIPGFKSYLQIQKLFTS", "MACLVLDGRFHPVFFHEADIFLLTVSGHSEEFVVTVYEGLFRSCLVGTGALVAADNVPDKAVALAQVLPDGVFPVRMRFVIAAGEVYAGYGQPPCFQGMHVRAQPACRVIPVSSGTDGLQEFLRAEFHPVDSCNDVTVALAVTSDDEAAVRKEIHVGFPAAYASVFFLYEESTFHRLETFQALQTDPDAVLVIGTDSGHPSVFMVVSDNHRFRDKVGCIRACLAAVHFVHVCHDTGVAFPVAFDRVDVAMPVFPVSDSHASELVRDKPGHPLLPA", "MEVTVMPNPASVEKQQGLNQVVINKVQRMVEGKRQGVMETIARLLDEGKIAQDFIAPIGVNLRGKEKQPVISFRAADRVQMTMPEGNFSLHGNAISQISEKMGVPAKYLRELSGGDVWQKQLCATILNEHSGWTARTRVLIRAVGMEVRGVLSDSYRRLNSVDILTAFIREAANQGAVVSDAYMNDTKVWCETILPTPIEIPTRKNGTVIIFAGARFSTSDYGNGSVDMRSFLLNGACLNGMVRESVMRQVHLGARLPDSLALSQKTYELDTQTTVSAVSDLTKGLYNKDTLMQKAIEIQGASEIDVDFDKELKQLVQKGGLLKSEGREVEKLLMNNNPEDGVTGGATLWKLTQGITAFAREQEPERCRELHEISGQLMNRVNQLINNI", "MYYSKCILCFISIIFFLVSCKETCDTTPFNFHCLIRVVGGDDSSSFKKKPDQIYEIVTNLLEPRNAKIVNFVYLENYDCIDIQVQEYISNIKNGIVNYVLEIQYPNGIRMPKDTIRVEYKFEMNQSYIISAFCNDKEPKYMAEDVIVFEMKNK" ]
[ "CATCAAAAAAGCAATGGTT", "GCC", "ATTGAATAATCAACCCGGTATGGCGACAGCCCGATAACGGCAGTCGTCATATCTCAAAAAAATGATGGAATC", "TTCGAATAGACAA", "AAACAACTACACAAGAACGAATCAAATACCAATTCAAAAAATAAGATT", "AAGCATGAAATCCCAAAATAACCGGAGAACGGACTTCAAAGCAGAAAAGCCGAGGTCCGCTTCTTCATTGTTTAACAGATAAAACACAAAAGTC", "AAAGCATGAAATTCCCAAATGACCGAGAAGCGGACTTCAGAACTGAAAAGCTGAGGTCCGCTTTTTCATTTCTTGCTGTAAAGATAGCCGTTTGCCGGGATGATTGCGCAAGGCGGCCCCTTGCAGGGGCTGGGTTGGCTGAAAGAAAATCATCCTCGCTCCGCTCCGGTATTTTCTTTCGCCAAGCCTTGCACAATCCCCGGCAAACAGGCAGGAAAGACATCAAGAAATAAAAATGCCTACCCGTGTAGGCAGATGTCTCACTAAAAAAGAACAGAT", "C", "T", "ATATCAGAATATGGAAAAATTAATGGCCCTTTACAACATCTCTTCTCCC", "GGGAACAAATTATGATTTTATCGAACTCTACAACATGGCGGGCAACCGCTTCTTCGGCGGGTTTTCCTGCCTGGAGGCGGCAAAGCCGCATTTGGACAAACTGAGGGAGAAAGGTGAGCTGCCCGCAATAAACCATGCATTGCTCATGTACGAATACAGGCATGACAAGAATCAGGGGTATGTGCGGACCGGAATCAGGACCATCCATTACAGGAACGGATGGAGAATAAAGAAATAAACCTATCATTAAAAAACAAGAAT", "TAATCAGGCGACTGACAGAAACCGCTTTCTGTCAGTCGCCTGAAAAAAGTTCTATATATTCACGTTAAGCAAATCTACTACATTTACTCATTACATAACTTTAAAT", "AGCTGTTA" ]
[ true, true, true, true, true, true, true, true, true, true, false, true, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 34, 36, 38, 40, 42, 44, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 70, 72, 74, 76, 78, 80, 81, 83, 85 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 35, 37, 39, 41, 43, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 71, 73, 75, 77, 79, 82, 84, 86 ]
[ "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122839|-|1152:1778", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122840|-|1783:2928", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122841|+|3361:3480", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122842|-|3605:3766", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122843|+|3801:5024", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122844|+|5253:6050", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122845|+|6080:7030", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122846|+|7112:10114", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122847|+|10126:10629", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122848|+|10642:10956", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122849|+|10969:11256", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122850|+|11368:12285", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122851|+|12314:12889", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122852|+|12901:13512", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122853|+|13524:13733", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122854|+|13745:14767", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122855|-|14790:16976", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122856|-|16948:17919", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122857|-|18052:18951", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122858|-|18954:19316", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122859|-|19416:19883", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122860|-|19951:20727", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122861|-|20731:21483", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122862|-|21484:22137", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122863|-|22140:23822", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122864|-|23826:24821", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122865|-|24827:28213", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122866|-|28219:29625", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122867|-|29711:30193", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122868|-|30195:31484", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122869|-|31817:33013", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122870|-|33091:33597", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122871|-|33678:34178", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122872|-|34192:34764", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122873|-|34767:35753", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122874|-|35774:37066", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122875|-|37059:37355", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122876|-|37386:38009", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122877|-|38029:39036", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122878|-|39055:39684", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122879|-|39732:40346", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122880|-|40378:42876", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122881|-|42873:43205", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122882|-|43207:43503", "2510065017|RJ2H1_RJ2H1-contig-016.16|CDS|2510122883|+|43859:45127" ]
[ "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000001|+|950:1151", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000002|+|1779:1782", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000003|+|2929:3360", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000004|+|3481:3604", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000005|+|3767:3800", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000006|+|5025:5252", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000007|+|6051:6079", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000008|+|7031:7111", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000009|+|10115:10125", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000010|+|10630:10641", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000011|+|10957:10968", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000012|+|11257:11367", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000013|+|12286:12313", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000014|+|12890:12900", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000015|+|13513:13523", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000016|+|13734:13744", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000017|+|14768:14789", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000018|+|17920:18051", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000019|+|18952:18953", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000020|+|19317:19415", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000021|+|19884:19950", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000022|+|20728:20730", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000023|+|22138:22139", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000024|+|23823:23825", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000025|+|24822:24826", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000026|+|28214:28218", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000027|+|29626:29710", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000028|+|30194:30194", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000029|+|31485:31816", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000030|+|33014:33090", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000031|+|33598:33677", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000032|+|34179:34191", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000033|+|34765:34766", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000034|+|35754:35773", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000035|+|37356:37385", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000036|+|38010:38028", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000037|+|39037:39054", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000038|+|39685:39731", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000039|+|40347:40377", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000040|+|43206:43206", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000041|+|43504:43858", "2510065017|RJ2H1_RJ2H1-contig-016.16|IG|IG_000042|+|45128:45303" ]
[ "MESLMKKDLVEVINRQRSGTLAEYTAEPNVITEDIEDKVFDIVDGKGTTVTIKRNAGEGTATYFNGDEQHVYKLRFIRYEDYLNQFEKWTKGLGRADYIVYDCSGSNAHFIIHELSDGKVGSKLSKARTQLFATLHLLFDAPRIKAFIESFSNKTCVLTAGSAPVCSPNGMADGFNQIYNMLPDPIPINAKLITNRGFKAFETRNIKL", "MDESIKIVNLGPIKEIEIAQIKPFMVLVGESGSGKSTVMKVLSLFRWIYKRVNLRSYLRHSQAKDLKDLTFNMKDLLKFSGIDEYVKENTEIYYENDGCRISYTKEGLVTPRKVIPQDKLSLNKICFISDKRNEIADVIAGKTRVEQTESYFEETLSDFRTAVSEIETFPIDYLGIEVKRVKEKNKERFVISGMDGDDEYTIGLENASSGIQTVSPLALIVEYYAKRYDSVDGMNKSIFRYLADTDGLKHFNATMNVGEIPHSNIFIHIEEPELSLYPESQKSLIDFLISRCFLMEHKDNMSLMMATHSPYIVNYLNLLIRRAEAGESALGPQMNFHDIEVLEIADGYATSLNIEGEQHLIDTRIMSDPITEIYSEYNKIR", "MIFAEIEPFTYLCTVKEIMNVELIKKSDEFSVGLIQWLL", "MFDETHAMQISEYLCFTYQIVAFFSGSKKSHEFGTIEIPKSACFAQLKQKENL", "MKHETMKILFVIKKSSLLKNGEAPIVVRVTINGVSDEVRIQRSVLPRLWNQARGCCKGRDRVSIELNDYIESLKTKIHNLHKELLLEDALITPSHLLKRLFNKGDKRTFLNTMEKEIKAMEALIGIEYEKITINRYWNCYRCLKACVQSFYEKEDIVFPELSRDFIIYVERHMRLEKRLCQNTLVRYMKCFKKFVNMGLNMGWMRINPFAGIQYRQQENDPTFLTLEEVKTIAGMEFPVARLNIVRDMFLFSCFTGLAFIDAKELKRTEIIKDNNGKMWIRKGRHKMKKEKARCISNVPLITPAIEILEKYEDHPTCIEKDVCLPLFCNQTMNSYLKQIATLCNIDKNLTTHVARHTFATTITLANKVSLENVAKMMGHASTRMTQHYARVLDQTIMSDMEKVQILF", "MSKAKRYTLETLLPLNVSYDSDHGLTQEDVDMVNSLVEVIESTRSKHTPKIGDRVVYTQKGGEYHPHGLIEKKHEDKFYVCLDPFIPFVWESDTAIKVDVSGGPFYHADADKFKFVGWAEADFKEWGHCGATANGTVRFKAKVPLWAYSEPDPLYGDFTTKDWKKITLRKRKEGNLYDSMQIAFRDEDELQEYVKDHNGTIFTCSDPTLFVLWCYKRQFEFLTLDEWDNVDAEAVERRLSYRPEQVKIVKDSEKHISTFYRIKPE", "MQTTSATSTGHTDLLSGILKVQVRNEEKITEQDRIYCQNQQDELYKTLDQIAWWYNIFKREAEKYEGKIKLKHEPNGKITTSSYDLHYRYNNDEKDYTHHEFTPFKNINELVDSRHNAIRNFISRIIGYFNNTYSVSVEAPKIDEKTLPIDFRPVYNTCVDVVIEHLGGKSFRDTAEEELIKRFLETVRPSNWSKVKPELKKDKIIFPDIVSWDSFHYECYKDYRFSYETDRKVSRFCEGIAFGADDVLCGSIEMIIGLDTRNVDISRWYDLTTTNAVSLKFYGNTRIDVKFKDSATAESCFKRLRLNEIKLRDEN", "MYAIIPQQIPQGKRAEINEKILFAINSGKDMIPAESIYNCYTGIGGLHNLKQSDFASYHEYAEAKKEFEMGQFFTPHEVCRDMVDVLSPTSSEMILDMCCGMGNFFNHLPNQHNAYGFDIDSKAVAVARYLYPDAHIEKCDIQQYHSEQRFDAIIGNPPFNLKFDFRLSQEYYIDKAYHLLNPAGFLMIIVPASFMQNEFWEKSRVGRVNEDFSFIGQTRLSPHAFTSVGVDNFNTKIMVFLRRSQHIEMNPYNAEEFVSMAELKERVKRAREMKHRLRLDLMRETNRIDKEELEHFEYKLAKYMYELKAHARLNKHIDKAVALVTKFRNQKPPENATNEQMKEWERKKLTTAKVLATIRKYITSQNVVPRKEVALVKTSYGFKLKQYAPRLLDKVEHKATSINDLILDSTVLPMPEIATEENLRQIRIAKRLIRRKRWLYDIQNQPFSDMETDDALAEYLDNATFVNKDGEVCEFTQLQKHDLNLVLQKRYALLNWQQGSGKTAAVYHRAKYLLKYGKVRNVVILAPAIATNMTWTPFLAINKERYRVIRTYKDLEDVPRGIFLLLSTSMVGKLKRDLMRFVKLSSRKLCLVFDESDEITNPSSQRTKHILAVFRRLKYKILDTGTTTRNNIAELYSQFELLYNNSVNMTCWCDSIYHENRDKEIECERNLHCGEPFPAFRGHVLFRACHCPGKATVFGIEKQNQDVYNKDELFDLIGKTIITRKFRDFAGEKYKIRTHTVSPSEGEHEVYRVIIEEFCRICELYYNSTGDTKKDAGLRLMRQIKLLIKACSVPHLISGYYGDDYPSKTRYIESLIRKIPGKVAIGCTTLAVFDLYESYIRERFPDRPIYVVKGDVAFKKRQSIVTEFDSTINGILICTQQSLSSSVNIPTCNDVILESLQWNIPKMEQFYFRFIRLDSKEMKDVHYVTYEDSVEQNLMALVLTKERLNEFIKTGEVKEQSEIFEEFDITMSVIDSLLIRSTDSEGKIHISWGSQRVTS", "MENMDLSNAEVAVTTQHILDGKEYKDYWVQMSDYSDMGEFLCACSDLFPEETDPEYRYAKWENIPDRLINREWICPNFFEIRDAMERLDENEREYFVTWSEHFGYDITTDDPHMMVSHYQDIYGNTIQETEEELADIADDALVYTGISGNFCDMLPLQYEIFDDNYN", "MEISFKGPVMPIDPYSQLAFVEILNIILIAKHIMDVNRYLIARNVNPQFGSLSGYFRWSFADERFTLWQRTDYNSDMCFSHRILDMPFCMLAAKDKENDERVFN", "METTIKVSNREIAIRAFDYLRHERKTDAALRLAHHLLHYDRISLGIGKVDWEIDLAIHMFGGNPRTGYRYTAHFSFNAETEMEKERYEEIFNGKE", "MEFIESIMDEKYTLVWVDYRESFDENRDLLQKCLEKQGCEELWSKVEDWYEDAEEQATLEIIKGLKSHCIDFHDFEEDEVEAFFEEHDDEIRDEIRERDDSTTVNDLIKNTRDIPVRVEMLSNYDCINSCWLESQGGFRYNESYFGDMIDTLRLNPAKVKKALMEKGYTVYGRFPNRKYRDGKEQVSYEDFCQELENSCCGANLLTYIGLVNLRDLYDADFKIKEVIIPKGNTCGLFSSMYGGGSLIEIELKNDVRIRLDKARKDGYGFRLRLDNERSEYDCSIKHVYGVCDTFFGKQVSIVPAN", "MKKIEVKVRYLFEGTYTVAADDRNEARTMVTRDCGLVLGGNIHTTLDDDDVDWEFDVHPDMQILSYTEKENETKRLKMDFSDRIETLRKDIIDAIRQLLYSHGLTEITFPEEQYDPVWIIWFNWDGDPYECKVMGVKVTDNSMTVIAHDKIGNNEVTCSTPFELGAKNIDWLYEIYDAIWQQLEENRDNNA", "MRLDPVNAVSSFHYYMWNAWSEEECKITFGSMYKHFWEKWNSLASKSILGAAERFYAELSDNNRELLVNRAVALYDGKATREEPHDDDVYVCDACGSRKIEIQVWVNANTNEYLSDVDDDDTDCKWCADCEQSQNFCTLSDYKQRMEDWWKDLDFITMESITGLHETDYSSEDGSQSFVDACNDWWNGQDYDIQRELYFKSQS", "MAENEIIERICGSCGCDEATAKEYLNDEIRHLRELQEVNDLQESDIELSCSGLGIESECMEYFTMVLTY", "MAYFKNIHSLAELKKEYRRLALENHPDKGGSTEVMQQINVEFERLHEIWKNDTTVSANASGYENDYAGASAKEYTDFVYNEYRWKGRNYQGQHAPEIVELVRNWMKETYPKYKFSVSRHHYNSIHIYLVKADFEAFRKDKGVVFHHDVNHYNIDGDQTLTDRAKEVMKNVCDFVMSYNFDDSDPMTDYFCTNFYLTLGVGTYKKPYEVELPKLDCKGKKPDVFKHPEGAAHKAIRQALGGAYFSFHNSQRLQGKMVLGEDSYGHSGDKYFWPLSYSSAKTAQKRMDKLEKAGIRCKLTGYNGGCIEFLGYTPETEALLEKERQDVIIAHQAWQAKQVQTG", "MKSIKYLLISLLALCGADEVYACWGPWYTPGGYYMYRVKNSQPEPSIEVEGYYPGSGRNCKEWQLMTSTDIPLEDIYQVVYKMTLDEFERIYDSKEANGNKFIEWITQKDTSILDFMLLAKTNEYIRLKRNSRWYYPSMKIGARMTIEEIAEKALTINEPKLRDRYLLQAVRALFSLGRYEECVNLWDSEIVHWPKDNLMRQLIHPYIAGAEFRVKRSEKAITYFAELGDVGSMLFCAGRAGEHLSTIDALALVCEYAPNSRYIEETLQSFVRGLEPLGEYYWEDKYEGSFEIIKLYNLCLKMAKSGRSDNTGMWYYTAAFLADLKGDVSNASYLIGLAENSKRTDFIDESIKVFRMYIDAKTQPYNSSYESKLFSQLKWLDSKIVNCITDEVRKEAARGYKLFNCESFYYWNDMMRRILLAEVCPRMIKAGKSTRALQLANMADNRLLGIVNKRELYDWIECKDGYEYKVSEVYTMSGYRYSENFNSHDYSNSFFEMVDSLGVNTAIRYVQNVRKPVSELDRYLNSRGYTGSDYLNDIVGTQCLRNMRYKEAVEYLGAVSGAYKKHLNVYMDYDPFSIEKEPIKLKSDFRYDFACRMYSLEQSIDLTTEPNRKAQLLIEYATGLRNSFDLCWGLTQYYRGTSYWGQVCEKRNWEKDEYTQTARGRAKELIKLACDIVTDDEVAAEINYALCNYRTVAEKYPNTKKGKLVIGQCDNLCDYHAESYRSR", "MKYVSRLLFLLVALMAMTNCGQRHGTPITETDELVKQNSMYYWKTTFDIDSTEMAFLEMHNIKRLYVRMFDVATEQDFLNGTAEIVPIATTKFVSEMPTGVEIVPVTYITIEALRAMNGKENEFAPLIVERLLAMASYNNCGDIREIQLDCDWTASTRNSYHRLCELVKSELVAKNIKVSVTIRLHQMQETPPPVDRGVLMLYNTGALKDPNTYNSILHIADVEPYLRKTSYQIPLDYAYPVYGWGVKFNNDKFVSIVSSEDSSVADNEYIRYERPTFAEILEVKNLVEKNFGKPASGNILYHLDKKQLENYAHNEIDQILAY", "MKELKEIRDDQIRIIGEGGSKNPLPRSVWIIILSILGIALVGVILLFVTRQKEEEIQELKAPEPALFEPVREAEPILHEWIGHQPDSLAPGYTEIRDTLINDIPIRIFIPHNAELTLHIGRMNKEDKSIIYAAQAADVRADNGGIVGAFVLNGEPKAWGLSKKGYCASINGKVTIGVAENTSLFEEATMNNGYFFRQYPLVKDGQVIDNEPKGKSIRRAICNRQGEIFMVETGSIESFHDFAQALADLGVDQAVYLVGSSAYGWAVDEAGNTHEFGEDNYYTGRRRMPKNTSYIVWRRK", "MKKQLVISTSIDLVRIAPEKIVYIASDGNYSTLVQTDNEVRMLSYQLGQIEKMISSQLGSEGNIFIRIGKSLIINRSYIYYINIPKQKLTLSDVLSFNHSVTASKEALKQLKELLEKEVK", "MKRFLIWILLLGCLLSTTECLAQKFQVKVIKISDGDTFVGLNRDNLQIKFRIWGIDAPEKKQAFGTKAKDYLSALIFGDNIIVDVQKQDGWGRYIAYVYTLENKDVALEMLNAGMAWHYTKYDQSEKYHNAEIKARNNKVGLWVDPRRIAPWDFR", "MKQILYILFILLIFVGCAQKPATPKGNNNYPVSNPSSRPGSYSNNGRPPLPHQQKGTSQTSKTSDVGNTTNGRVLSPSEIFEKLSSAVFKIHTSTGYQGFQGSGFFISSNGVAVSNYHVFQGTAVGYEDIILSDGSTYKVTEVYHKSQDNDFIIFKVGVSRKVNHIKIANNTPKVGEKIYTIGSPRGLDNTFSSGEISQIRENGKILQISAPIDHGSSGGVLLNSKGEAVGITSGGIDDSGANLNYAWNIQLIKSYIP", "MSKQKRSHFWASYADLMTSLFFLMVVLFIISIVELKQIDATPLEVKELKEERDSLLKLNSRMVLRQKKYSEELDSMRYLANATQAHIDKINEINDATKNLDQNYFVYDSINKKHKLNFVVRFRIDDDQIYNISKVEREKLLSVGQELVRFIHNAAENTPEVQYLLVIEGQASKDGIDKMDYNYDLSYRRARNLKKFWDNNNIHFDRGNCEVLISGSGDGRLSGTGLMRELEEKANQRFLIHILPKPGKIQ", "MAKESKSFFWASYADLMTSLFFVMLTLFIVVIIALNNARIDAIEQTAELQAKIDKADEINNATRELDTKHSEYFEYFPEYKKHKLGVTVNFPMSSASMSNIPATTKEDLIKTGRILQDFINKTTSSNPHIQYLLIIEGQASRDKSIYNYELSYQRALSLKKFWEANGVSFNDKNCEVLICGSGDGRLSGTGLMREKTEVLNQRFLIHILPKPGKIGD", "MELHYILVVLVIIAIIVAQIYIYRNTKKKIATYKSIFPNSTSSYSIVEKEIQTESCSDDDDDYVENIDTISVSQLNINTNNDTLKEIRNALNMYLQKNKGAASDFYLMKDVVERYCDAEEEEINIQQPIPLYLGLMGTMVGIIVGIGFIAVSGGLSSESLMDNITSLMTCVAIAMAASLVGICCTTLISWSAKSATSKVEADKNRFYSWLQTELLPVLSGNTVNALYLLQQNLMTFNQTFQSNIEGLDGALSKVEESSREQIELITLIKDIDIKRVAQANVTVLKELKECTGEIAVFNKYLHSVSGYLHSVNELNSNINEHLNRTAAIENMGAFFEREISQVAAREQYINEVVAKVDDTLRKTFEKLAESTRESVTQLRNNSVSEFDALLKHYSEQKEEFARMLQEQREEFAARNAETTELMKEIRNLADIKAVMGQLVESTKGQTAILERLVSSLKNQNNGGRREGFPIESAVQHVSAPVFPKSITYMVATITLLAFMAFGLYVYNSFIAEPRIEVVGVSNEPQQTIVPTSTQVEPNISNDQTVNVDSLESTQSATQEQ", "MKKIIILLSVITLASCSLLPNNGENDNEAIKKAQQTAQENISQYTDQEIARAKKEIDNAVASVISKADSTLTKQFTAVEKSLNAKAEETKKELSGKISGIEDNITKAKAISFIGIAIGILGIVLAFFAYRKRPRTNVNRVKDIITEEINTNDYIRNEIRRIAGGQSSSYRPQASAPSQATIDRAIEAYLASKKFKDILQQYIALATAPTQSVAENIKMEPATTAKPVYQIYAKESNSMILSSIQDTYQKGKSIYRLTMSEANAYTAEVSICIEQEEVKQRILKFDSQYLEPICSVTRSSNDPTQVLIKTTGTAERIGEEWKVIKPITVEIK", "MAKVLRLHKGAADTIGNWDTSVKIGTKAIDSIADPIGANDKHEITSIPSPFARMDLVKRAFKIVAEGSLEGKTAYHKLVSDCLDVGQIFFNIEKYRDKIEIIVWDKKNCLAELSDSDYEEHTRLGKTYKTYLEQDCDEYNFDQMDCIYLLNYIDPSAPGVMNIIGATSPATIFFTSANDLQYVGKKIKFGNDCPFDTEYKPLYKRDFEYIKYWWGLKKSRKDFARVFPEVDLYLEKCFRMLTDEQRNELRQNIVDETYYRGNYDDIPVVPTAQQYVMVLDEKLRRKRTVTNISSGFEMKVSDSLRNGNVPLALPVEMYTEPTHYVVAKWDKNTYVPYYDARPIDDRTLPDDGSKYPYVTVGDFLEDTIVKVPYKFNSEAFFNGNDENPDSKFSYILPLKRTYFNYFTTKDLTEKVCGKNRIEVVRLNGEAVKVVLRIPIKDGGYIQYERIYYKDGKAEATANKGAIIEKEFTLGLYPSIKYADGVKPYYKVAFLDRDSVDNPDSAYSLSFYDYSNKEVSVEGVVRRNRNADNSRFDTSYIDYITYALESEYQYMTLSNDNESGIHGVIIPKFTARNGSHKFRFAIDFGTTNTHIEYSVDGSTSSNPFDITEKDMQIQKLHITDDYMINDVFNSDFIPATIGGESLYGYPMRTVISESNNTNWDKAVLAMANVNIPYTYEKSLSLPYNVLHTDLKWSTNTEDKKRSSKYIESILLMLRTKVLLNNGDLSKTEIVWFYPASMTQNRFNKFKAEWENTFATLFGAPISNIIAASESVAPYYYHKAKKGATSTVVSVDIGGGTTDVLIVDKGEPKYLTSFRFAANTIFGDGYSYDSDSNGFVNKYKDIITNQLETNNLRGLKAVLKSVLDKRVSTDVIAFLFSLASNKEIKKEKVEINFAKMLADDNRGKYVVILFYVAIVYHIAKLMKAKGFDMPRHMTFSGNGSKVLNILSTNDATLVRLTKIIFEEIYAQSYSIDGLDIIRPANSKESTCKGGIILTPFQSQDYGEIKDMKTILIGTDNEKFADVHMTYNDVTEADLDSVVDVIKEYIEFTFKLDKKFSFYDNFDVDRSIMNKVKDLCYRDIRTYLENGLAIKKSEIAQDGADDNLEETLFFYPLVGIINAVVRNIYQM", "MLAASGVKIDASAIVPIIVDPDFANADVTRTIEQIKAYVAIRSQLAFNDATKNRFFEIPIENVVNDHRLALKDTKNKKFREFIEFSTMSKENRALASMLFSEANLESDMEVGFKGNPNIGSVVLNQFTDSQDFIDFADAFKPGDRIFIISSIFGGTGASGFPLLLKNLRGLDAKVSNNDAIQHAPIGAITVLPYFAVKTDEESTINSSTFIGKTKAALQYYERNVNGDESSVNVLYYIGDERTKQYENEEGGTEQRNNAHIVELAAAMSIVDFVSIQNDDPILVCEENSNGKIYAPNPDFREFGIEKDVQEVLFSNLTQSTRDYLCTPMTQFVLFAKYVNEHLRGATSLQWARDNKFDDAFLKSSFINNIQKFVNSYIEWLEEMSDNDRAFKPFKLSVKSSDLYSIVDGVKPGSIKALWAFNKSGYDLFDAALNEEHSSLSKNFTLPHKFTELFYNVTKLLVGKKYKF", "MENLLGQIYCWFQSFYGQDLSYYLWGYDPGTEAYTNPNIYNLVGLITLVVSLVLVVVFYYIINHPRLCKWWSWLITLGINAVIALFVGYGIVMSKYVNGYIHDTLMYQRDADGNIISILIGESNCWGFGIANMFVAMIAFVLLSFMLKWWSSSAKHVPFL", "MKRLILSAACALSLASCGGPPTGPNQKLGNESSQTEVKLPAPTIKVFVENSGSMDGYVKGATDFENAVYSYLSDIQHADLGARTDSLAAKNTLILNYINSEVLQQKPDVREFIEALEPADFKMKGGKRGTSDMSNILDTIISQTDDNEVSILVSDCIFSPGKKYKAKDNADEYIVAQQIGIKSHIVEKLAKNPNFSIVVMRLTSQFNGTYYNKFDDKQPINNDRPFFMWLMGDRSYLNTILKKVELNQIKGKGVQNIFMISRPLTVIPYNISLPQPGNGKYEIARSEQYAINNAKTDGRGGNSRFQVGISVNFSNILLPDEYLMNPDNYMVSNKAYGVEIAKYSGPRQDLYTHTIKLNLLQPVLSKGIVKISLKNTLPQWINDCTDESGLDINAPGAMEKTYGLSYLLGGVYDAYASDGQYGSITINIK", "MATIKVKLRPSSVVGRAGTVYYQVTHRRATQQITTNIRLQPEEWDAIGEQVAVSVADKSIIQNRIDSDTALLKRIVKDLDSSGVTYSVGDIIKRYKSPECHVSVLDFMKNQIRLMRNANRLGTALNYEKTMKNFAEFLGGVNLPFSAMTEQLVAEYNAFLVQRGMVRNSISFYMRIMRAVYNKAVRQKLVEQSHPFTEVYTGIDRTRKRAVSESVISQLYKLKLTEDTPLALARDIFIFSYCTRGMAFVDIAYLKKENIQNGVICYARRKTGQLLSVRIEPSIQRIIDRYSSALSPYVFPILTSADTKEAYEEYQVAINNHNRQLRRLSKMLPAGCKLTSYTSRHSWATAARNHNVPISVISAGMGHTSEQTTQIYLTMLENSVIDDANQGLIRSLFE", "MIICLTLLCGYAKAQTRGGDSSPPLPKEISAELFDKAVALIKEFEGWHDHTKWPYIGYGHKLLPHENLTADITEAQADSLLRADLLERYKYFRQYGKDALLLTVLAYNVGHSRLLGYGKRPKSNLIKKIESGDRDIYEEYISYRCYKGKPIPSIERRRKREFQLLYIP", "MKKLFSYMMVCGAMMTAVLGFTSCEDDLDVQQAYPFTVETMPVPKRIVKGETAEIRCEIVREGYFSDTRYTIRYFQPDGEGTLRMDDGMVLLPNDRYPLDRDVFRLYYTSECEDQQTIDVYFEDNHDQLYQLTFTFNNEAKEEEDTTEGNTITPIGTIVSPININP", "MKKYLFLLVAVVSLALSSGQAYAQRYLPKMMGVELRGGFVNGSKSPLNYYTGIAMSGYTKKANRWVVGAEYLLKNYNYRGTSIPRAQFTAEGGYYLKFLSDPTKTFFLSIGGSALAGYETVNWGDRLLHDGSTLLAKDAFIYGGAITLELESYITDRIVLLANVRERVLWGGSLSKFSTQFGLGVKFIIN", "MKKIFVMLALTMGVVSANAQSVDSTAVTNTVAGDVTLTTDIYPQQEDGDIYHGLTKKLTFDRMIPPYGLEVTYDKTTHIIFPAAVRYVDLGSPNLIAGKADGSENVIRVKATVKNFRDETNMSVITESGSFYTFNVKYSDEPLLLNIEMKDFIHDGSEVNRPNNALDIYLQELGSESPKLVHLISKAIHKDNKRHIKHIGSKAFGIQYLLRGLYTHNGLLYFHTQVKNTSNVPYEVDFITFKIVDKQVMKRTAIQEQVIFPLRAYDYATTVAGNKDERTVFVFDKFTIPADKVLVVEMHEKSGGRHQTFTVESEDIVRAKVINELKVK", "MSNDANALKRKEQIKKWLIYAGMGLLFLVSMYFIFKPSKEQVQAEQQKVGFNAELPDPRGAGIEADKIAAYELEDMRVKQEQKMRTLEDFTAMTTDDEEEEVVEIPEEPRYTGGGGSSYRGGSSSRSNSFSTSTSAYNDINATLGSFYEQPREDPEKEALKAELEELKQSMAQQQNSQPTYADQVALLEKSYELAAKYMPGGTAATSEGTAEEVETTTRSGKAKAQPVGHVTTPVVSALAQPMSDSVMIARMAQSVGGGFHTAVGEAPKQTARNTIKACVHGDQTIISGQSVRLRLLEAMRVGKYILPRNTLITGEGSIKGERLDIEILQVEHNGTIIPVELTVHDNDGQAGIFIPGSMEASAAKEMAANLGQNLGTSISITNQSAGDQLLSEVGRGAIQGVSQYISKKMREEKVHLKSGYTLMLYQNNQ", "MKKYIQRIKDSINKSWNNKASKTKRLFIYGLLLAYMGVALYVIFMPAPDYRYEEYVRSEPPATNLIIEEEATPVDSLSDEKIREMEEFFNQFNSESYE", "MEFKSLKNIETGFRQIRFFGIAYLFVCASLVGFSLWKAYSFAEAQRQKIYVLDEGKSLMLALSQDLEQNRPVEAREHVKRFHELFFTLAPDKSAIEGNIQRAMFLSDRSAYEHYSDLAEQGYYNRIISGNVTQRIEVDSISCNFNHYPYEVVTYARLSIIREKSITERSLVTKGRLLNSTRSDNNPHGFIFEAFRVVENKDLRVYDR", "MVLLAVNFDNLHQILQNLYVDMMPLCSQMTGVAKGLAGLGALFYVAYRVWQSLARAEPIDVFPLLRPFALGLCIMFFPTLVLGTLNSVMSPIVTGTHRILETQTFDMNEYRKQKDKLEFEAMKRNPETAYLVDKESFDNKLDELGALDAIEACGMYMDRAMYNMKKAVQNFFRELLELMFNAAALVIDTLRTFFLIVLSILGPISFAISCWDGFHASLTQWFVRYISIYLWLPVSDLFSSVLARIQVLMLQKDIEQLSDPNFIPDGSNAVYITFLIIGIIGYFTIPTVANWIIQAGGGAGNYGRNVAQTASRTGSIAAGATGAAIGNIAGRLLKR", "MRLKFFMLCLVALFVSQTSRAQWVVTDPGNLAQGIINATKNIVHTSSTASTMIQNFQETVKIYKQGKEYYDALKKVKNLVRDARKVQQTILLVGDITDIYVNSFERMLNDPYFTVEELSAIALGYTKLLEESAHLLNDLKSVVNENGLSMSDKDRMDIIDKCYSEMLQYRGLVQYYTNKNIGVSYLRAKKQNDVDRVMSLYGSPSERYW", "MYSNLKSDEKRRQEVISCLYWSLMQNWNIPQSMQDYFGLTEDYRLYHQLEDMDPAIYRQKRAAGEIPDVLEVDARLTRAVEKIFESICERPPVPYLNKLNEELEKLGQIAHFPDNVHDTIHIRPDFLAKYGIDRKASSEECSAKAEKAYRELDARFVRMTGRRPYADELFNGMKKRQSDSSEERPSRKQHIRQKPKSRGRKMGL", "MRNVMKAATLESKFPILSVEHDCIISKDADITVCYRVELPELFTVTQAEYEAIHSAWAKAVKVLPNYSIVHKQDFFIEESYTPELQKEDLSFLSRSFERHFNERPYLKHTCYLFLTKTTKEHSRTTSSFNALTRGFIIPKEMQDKEAVSRFLECCEQFERIINDSGFITLTRLTGDEITGTESTAGIIEKYFSLSQDDTTCLQDITLGAGEMKIGDNYLCLHTLSDPEDLPTSVATDSRYERLSTDRSDCRLSFAAPIGVLLTCNHIVNQYLFIDDSAEMLRKFEQTARNMHSLSRYSRSNQINREWIEEYLNEAHSQGLTAIRAHCNVFAWSDDREKLKRVKNDVGSQLALMEAKPRHNTVDTPTLFWAAIPGNAGDFPAEESFYTFIEQALCLFIEETTGQDSLSPFGMRMVDRLTGKPIHLDISDLPMKRGIITNRNKFILGPSGSGKSFFTNHMVRQYYEQGTHVLLVDTGNSYQGLCNLINARTHGEDGIYFTYEESDPIAFNPFYVEDGVFDIEKKESIKTLILTLWKRDDEPPTRAEEVALSNAVNLFLERIRTDKSIKPSFNTFYEFIRDEYQEILKEKRTREKDFDVWGFLNVLEPYYKGGEYDFLLNSDKQLDLLNKRFIVFELDNIKDNKVLFPIVTIIIMETFINKMRRLKGIRKMILLEEAWKAISKEGMAEYLKYLFKTVRKFFGEAVVVTQEVEDIISSSIVKGTIINNSDCKILLDQRKYVNKFDEIQALLGLTDKERAQILSINLSNAPGRKYKEVWIGLGGAQSAVYATEVSPEEYYCYTTEETEKLELQRLTEKLDGNIELAIKQLAESKRSK", "MAVYQINKGIGRSPEFKGLRSQYLFIFAGGLLAILIAFMVLYMAGVNQWICIALGVISASILVWATFHLNAKYGEWGLMKIQAAKNHPRYIINRKRFLRLIIPNLKNRKS", "MKKKVLFAAAFMLATVGAYAQGNGIAGITEATNMVTSYFDPGTKLIYAVGAVVGLIGGVKVYSKFSSGDPDTSKTAASWFGACIFLIVAATILRSFFL", "MNTQTLLSIVADQREELLANDYSELCPRPEESQLDLKSNRAQVVIGVRRCGKSTLCEMFLKQKGIDFAYVNFDDDRMEDMKASDLDHLLEALYMTYGEFKYLFLDEIQNIESWPLFVNRLLRQKMHLFITGSNSKLLSKELSTHLTGRNNKVELYPFSYSEYCTMKKIDTTSLSTKAKGIRKSTLHEYLLQGGFPELFNESNRRGYINGLLDAIIKNDIAKRFKVRNVEALRRIAAYLADNYCQEFVAKTVGELFGVSNHTAENYYSYLKEAFLLVGVNRFSYKSKDRVRNEKVYVVDTAFVTEREDNFSLENLGWKLENIVCIELMRRYKPLFCDVFYYKETSSQVDFVIAKDGNVQELIQVSYDISTEKTRNREIRGLKNAAKKLKCNNLTLVTFEEQETIEEDGYTINVIPATEWLLNK" ]
[ "AGCTTGATACTGTTTTCATGAACTGATCACTTGTTATACACAGGACAAAGAACGGATTTATATCCAAGATAAGAAACACGATGGCATCAGATGGCATTAAAAGTAATCAAATGACATCTGTACGAGGTTAAGTATAAAAAATCCCAGTCGTATATCTTTGTACAACTGGGATTCATACCATTAAGAATATTTTCAGGCAATA", "CAGC", "ATGCTTTTTATTGTTAATGATAAGGATATGATTTATTGAATACTTATACAAAGATAACACATTTTTCTAGAATAAGTCCAATGACCACCTCAATTCGTATAGATAAATTGCAAATTTCTTGGCTTGTATTATCACTATTTATGATATAACCCATATTAAAAGAAAAAAGTCACTAATATGTTACAGTGATTTTAGATGATTTTTACTTAACCTCATGAGCAAGACTAGTTGTAAAATATGTATCCTTTGGCAAGATACTTTAACGAGAAACTACTTTATAGGGGGCAGTAAGCCAATACAAAGACAACTTCTTGTTGAAAGGTGGCTCTTTACTTCGTGCCATGAATGAATTTAAACCCATCTTACAGTTTCTTAGACACTTTCCAAAAGCATTATCGGGCTTGAACAAAAAAATATTCAATAAAAATCCTA", "AAGATAAAGTAACAAATGTGCAGTCACTCAAGGGATTAGAATAAACCGAAACAAAAGGTTCGATTCCTGGTGGCACCACGAAGAATCACAGCAAAAAGATGAAAACCTCTGAATTTCAACGAAT", "TAGCACTTTTGTACCACAAAAAAATGTATGTAAG", "TAAATAGATTCGTAGCCGAGTTCACCAAACCACAGGCAAAGGTAACTCGTGTTCTGTTCGTCCAAGCAAGGTCAAGCCCTACGGGTGTCGTGGAAAAATCATCCTCGCCCCGTGGGGCTTTCTGTATTTTTCCACACAACCTTGCCTGACGAGAACACGACCTTTTAGAGCCTGTAGTTTGGGAACTCCGGCCCCGAATAGCCGGACTAACAAGAAATATATAATGTT", "GAAAATACTAACCTTTAACACTAATCATT", "TGACCACACGATATGTATGACACTCCGTAGGTACTGCCTGCGGGGTGTCTTTCGTTTTTATCCACTTCAATCATTACAGCC", "ACAGAAAGTCT", "AATAAAGGATAT", "TCATCAATGATT", "CTGAAGGGCGGCCACAAGGTCGCTCTTCGCATTTATAGACATATGTACGGGAAACAGAATCCTGCCGTACACAGGTAACGACAAATGAACGAAGCAAAGAAAACACTCACA", "TCAGTATTAACAATAAAAATATCAATGT", "TGATTACGACT", "TACTTACTACT", "CATCTACTACT", "CATAAAAAATCATATAGAAGTC", "ATTGCTTTAGCTATTTTAACACATACAAATTTCTAAAAAAGAAATCGTACAGCCGAGAGATTTTTTCTCAACTGTACGAAATCATTTTTCGGGTGTATGAAATACTATTTATTATGTTATTTCATCGTTCAT", "AA", "TCGACTGTTTTTCTAAGCAAAAGTATATGGGTTTCTCCTCATAGCAAAGCGATATTTCTCATAATGTATGAAATAGCATTCTAAGTGTACCAAATACTA", "ATTCATTCTATTTTACATATAAACCCCATACTGAAGTATGAGGTTTATAATTAATACTTCATATAGT", "ATA", "AT", "TGT", "TTGTT", "ATCAC", "AACCAATGATTTAATGACACGAGAGCCTGTGCCACCTATACCAATATATATAATTTCCCGTTCATACTAAACATTAATTAAAAAG", "A", "ATTTTAATTTTTTGATTCGTTTATTTCTATTAGTTCCACGAAAGAACTATAATTGCAATGTAAGACCTTGATTAAAAATGTAATGCAAAATAAATAGCTGACATTTTCATAACATTTTTAATCATAGCCTACTGATTTATAAAAATTTACAAGCCATACAAACATTCATCTTTTATCTTTATAAAATAACAAAATTTTCATAATCCGTTGAATATAAATTTATTTGGTGTCATCATTTAATTTTTTGAAGAAGAAAAAATAATCGTCTGTTTCTACCTTTCTTTGAACAATTTTTAGTAATTTTGCAAACGGAGCATAGTTCTTTCGTGGAA", "AAGCGTGATAATTGATTTAAGATGATACAATAAGAGCCTCCACTCAATTGCTTAAGGGAAGGCTCTTTAAATAACGG", "CAACTTTATTCTCATAGATTCTCTTTTAGTGATTGGTTATGGCGGCAGACACCCGACAAGCGAGTGCCTGCCACCGTTGG", "AACGCTTTTAATT", "AG", "GTCTTTAATATTTTAATAGG", "CGCTGTAAATGTTAATGGTGAATAATTGCG", "TTGAATACTGTTTAATAGG", "AAGCGTAGTGTTTCAGAT", "ACTGTTACGAATTAAAGGGTGAATACTTAATCATTGTCTTACTGAAA", "ACTTCAAATGTTTAATGGTTGATAAAAAGGG", "A", "ACGTCGAAATGTTTTTTAGTTATACAAAAAGTGATTTCGACTGCGAATATATAGCACTTAATCCATTGATTTTCAAATCGGTCTATACACGTCACAACGTGTCATCAGATGTCATAGTTAGGGCGTTTTGAAGGGTGGATTTTGGGGTGAAAAGAGGTCCTGAGGAGTTATAAATGATGTGCTAAATTTGAAATAGATTTTGTGCCGAAGAGTGAATTTATGGAAATAGAAAATGATAATTTGCACGATTTATGGAAATAGAAATAAACAAATCGCATTATTTATGGAAATAGATTGCGGAAAATTTGCTGTTTTATGGAAATAGAATTATTTTTGCAGTAAAATAATATGAAGT", "ATCTTATTTAATTTACTAATATCAAGGCAAGAATCATAATGCACAAGGCATTACGATACTTGCCTTACTTGTTAATTGGAGTAGCTGAATACACATATAAACACTGACACCCCGATTCACATCGGAGTGCCAGCCTAGTTAACCAAATATATAAACAATGAAAAAAAGAATGTTTA" ]
[ false, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true ]
[ 1, 3, 4, 5, 7, 9, 11, 13, 15, 17, 18, 20, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 40, 42, 44, 46, 47, 49, 51, 53, 55, 57, 59, 61, 62, 64 ]
[ 0, 2, 6, 8, 10, 12, 14, 16, 19, 22, 24, 26, 28, 30, 32, 34, 36, 38, 41, 43, 45, 48, 50, 52, 54, 56, 58, 60, 63 ]
[ "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122886|+|990:2381", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122887|-|2523:3578", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122888|-|3562:4524", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122889|-|4514:5743", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122890|+|5795:5941", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122891|-|6299:6553", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122892|-|6728:7099", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122893|-|7103:8005", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122894|-|8015:8626", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122895|-|8630:9535", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122896|-|9532:10284", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122897|-|10321:10965", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122898|-|10958:11959", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122899|-|11972:14464", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122900|-|14473:15378", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122901|-|15383:15898", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122902|-|15906:16406", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122903|-|16428:17387", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122904|-|17408:18571", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122905|-|18585:19463", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122906|-|19475:21319", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122907|-|21327:21791", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122908|-|21782:22225", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122909|-|22230:22631", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122910|-|22647:22940", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122911|-|22949:23311", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122912|-|23308:24168", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122913|-|24171:24587", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122914|-|24601:25023", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122915|-|25035:27515", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122916|+|27795:28193", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122917|+|28313:30121", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122918|+|30133:31491", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122919|+|31537:32010", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122920|+|32007:33386", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122921|+|33471:33719" ]
[ "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000001|+|850:989", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000002|+|2382:2522", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000003|+|5744:5794", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000004|+|5942:6298", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000005|+|6554:6727", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000006|+|7100:7102", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000007|+|8006:8014", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000008|+|8627:8629", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000009|+|10285:10320", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000010|+|11960:11971", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000011|+|14465:14472", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000012|+|15379:15382", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000013|+|15899:15905", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000014|+|16407:16427", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000015|+|17388:17407", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000016|+|18572:18584", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000017|+|19464:19474", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000018|+|21320:21326", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000019|+|22226:22229", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000020|+|22632:22646", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000021|+|22941:22948", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000022|+|24169:24170", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000023|+|24588:24600", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000024|+|25024:25034", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000025|+|27516:27794", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000026|+|28194:28312", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000027|+|30122:30132", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000028|+|31492:31536", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000029|+|33387:33470" ]
[ "MKIRKLQFNNNEILGNLELDFINPTTNVPYDTILLVGENGVGKTTILTGISDFLSGQDLSRCFNSIEYTIDNEIITMSSIPGEQDSHKFIRRKNGEDETLSYGWSKNNGANIERMEQDALDPRHYGSVISLPRSDFQTEKINTVGVSELDTNNHEKDANNDFTSLKQLLVDLNSLDAEDFTELHRKGTPITIEEFDERSRMSRFTKAFNNFFEDIAYSCVKGENGQKEIYFEKFGKEIPIDSLSTGEKQIVFRGIYLLRNFNRLIGGVLLIDEPELSLHPKWQNKILKYYQTLFTDPTTNNMQVQLIVATHSERILSSAFKDINSNGVLILKNNDGVVSAASVNAPGVLPSVTSAETIYLAYEVATVDYHIELFSYIQRNATALRELNVKETDDYILNHRLYNAAIHERQDNFTNPRNLYTTTYMTLPTFIRNRIDHPNPSDPYSYSQLQTSIDLMRSIIQNP", "MKQISKEALQLAKYIHDWISVYTVSIQSNSPHTIRRYSITLSLFVDFLEKEKGIIPLNLTSECFSRKYIEDWIMWLKHSRNCSKETCNLRLSAIRSFLKFLAGKDVSYLSLYQTSTLIPMQKTEKRKITGMTKEAVKALMSVPDISTKVGLRDLTLLLVLYCTAARIDEVLSAKINQLHIEVSKPYITIIGKGRKIRTLYILPQAVAYLKKYLSIFHVSNPDPDSFIFYSRNNGTKTKMTSENVNQRLKKYAKRAYKICNDVPLDLHAHQIRHAKASHWLEDGMNIIQISFLLGHANLETTMAYLDITTEQESKALATLESENEQSIPKKWKMESNSLATFLGIKNIDNNK", "MKSESFLSDILNKYKTYRIASGRISNGYLNGLHRFERFCIKEYPSADKLSQEMIDKWCCKRETEKPNSCLSRIYPVISLLRYTNSRNLTDLRIPLTPRPVPRVYIPHAFSEEELNNFFQACDSFETKYGLIGKIQRITIPVFFRLLYSSGMRTTEARLLRRENVCLEDGIVNIRYSKGYNQHFVVLHDSMLELMKRYDVAISKLVPERIFFFPTSSNSGYNGAWVTRIFRKCWYKKNEAYATAYELRHHYAVKNINSWIGQGLTTHLKLLSLSKSMGHSNIESTKYYYSLVPCLYDIIEDNTSETLNQIIPKLHKNETDF", "MDLQRLKERYPILVSYMENQHYSWQYIKHVKNEARWILRESENYGWKTYDDVYQTCVKKYSNKYTLLYKRKMIRVIELFVSDNILPDGLLHVHKASYYDNLCNEYKRFINVYRDVIKSKQNKPLHYYKSCECSACSFLFRLQKQGIYSLEDVTEEDVMNVLNSDKKLIGSYHFRAENVFVLKHCESFYPPGLCKRIISYFPNIRVKRKNIQYLSHSEIEKIRDILNENSVLSFQERAIGLLAFYTGLRSCDIAALRFSNIDWEKDIISITQQKTGKPLVLPLRAIVGNAIYDYIIKERPKSKEDFIFLKVNVPHKRLHSSNLYAISAKIMKAAGIRNGSGVSKGLHLFRHHLATTLLENGVETPIIEKTLGHYSASSLDTYLKSDFKHLKECSLSIDAYPIKKEVFNEI", "MEEDIGYKLIKSINLCFIYIQFFHQIRIFGFDGPYQKRSGVMQAIGVG", "MKRLSEELLEYFSNTSEEQLQKDWEAIHSKYAYGPEINQFIEECEKNALHNEIVAGIYGSHPTSRVNVESPISQDLAQVNCLRS", "MMENLIQIHSVKNVLSHSGCPEDLLESYLQFLQAGGQQVQIVRGEVTMMFQKEMQYRKRRNEEMKGTVTFSNKDKHNAGNSDMGVFIGMEFIQCCFGHGIPARVLDVRRERGEVVEVVVEFGK", "MDRGILLNQFNLSVVFFLRIESDYNYTRDYVENVARNFTQKYPSYLIHKKSEDKIRAFLTDTVKVKVETFADAETKNVTVTEVREIADLIAEYEGMEGERKSSSPFGNHPMDAPSLGSTGVELAMSMDNGASDIRPVNVAKPTGVSGKPKIMKRSVIRVTVELEVLMETKQYLHFLQELPELFSLHEFPNLRCRVTWKQKRVSYQESPLPIEVKVVEDRKYQRWFVTFTVLMVLCFIGIITLFFSIPNLYKQDIHFLRNDTIHQEFSISNSRIDLKNVNLMNNGGKDTLVVKDKKIIIAK", "MKWLVVFFVFSGIILSSFQYNANSVLVEQIESNFPIVIRYDSIKDYIFRIQFPLMFKVCNMSNNSKQMGHISYYYKDIKYALSYEQGWNYNLLINKEKNGELLTPYRRGRIVIDSLSNENFVFHTGHSIRYEDSILQSVFRPFISQFKNTGKDTLHIGTIQEFKKKYPEIINLLLQDDSIQFWIYTPWSKDNGNHFILPIEQK", "MKMTLKASLSSSTKEIDIYYGDPLLYIRPKEGSYRFPELYTTDGCEIVISELFLDYVSDKIVDSIEEGAKQQIKNIALLKLEKGKMVTNTGKVYTGNRKIYEATYYTIDGSEVTVKQGKNFGFQVDGKIVTTKGINQYHFFANNGMRVSIFRLLKHTSMILDVFSFFDWAKDPKSSLSIPFVPNAIVGMAIECMVQDIEEGISAAIENRLQAAKIEGIDAVRRFVNSWKPNSGIEFKLLKISTKTASDILHGKFKTLHEVELREYNEADGINWVYILVRIVDTPKSYAPEAIIETFFYLTD", "MIYQAILKIRGKEIPILYYNYRCHIQHHDDSNLRRIIQLHIQVCEKIGNRENLPVEGDLITLAFECSGDEAFFYDWLNEGMMQDGEIHFIYNEVEVADIFRFWDCYCVKIEEYMSVGNAPMMMVVYLSPGIIKRNNLEVREKVWKVSEISQQPIAPIASESKEEKQPEIVEVYWKDAEGTNRIEELPKDGIVTLYAKTINTQPGDKLSFTIILEDGKTLIKVDDCIVDAQGVANMIHFDLKKYKQNNTML", "MNKQIIFIIIAIISLFNSDNQPTVKWNNITKGLTYNIYYNKSDKKAFVPDITVNNKLYLNDYKSIDSFYPINVDSLCVNMEQDIYAFCLFLNSSHTQYLKAFTYEGALKNEFSLFEIGYLNEELFKGSKKYHTDYISFETESQLALGMTLDSVLDIKGDKCVFQKHGRDSLIVYKIGIDDVSGFCKKYNMPGYSLCLSIKNSKVNKIVYGFEYP", "MLGRYKDVYINKEDFDALVGLGIPYEGFVIGVGYAYLLYDVLEPVQFEHMNSSVLSYNNFCVQFATQCIDNSREAFTLSLGMCQQDLISPIEQVLGSDEGYLIIISQCGEVLRKIKKEGKNIYIKVNRQAFDAIISKFSDTSDYYALLTLGALRNKERHTGYQTLIAEPQAYAIKITGEMREGNSLLGDVTIAFVLNFDDGTSIVLDSFKGVAGGYGNGAPENGDYVTSNYADRGPLSNWYNRGMTHDEIGFSFNLDPQFKTNRSLLRIHPDGNNEGTLGCIGLSGDKNDLIRFRDKLRGYLKLQKGIPTKIYIMNNPNNANWGRKKTPNINE", "MKKNCLSILLKRGWICGSIICLAACGPVHRFTRVKNVPREYVRNYSVEGVKAPRSLSLFKHDPWIVFANEPGTTYLSPSGKNEMRPVNYMDAFLVIKRKGDWLQLIQYDPAILKNGKLKEWKQARYCGWINRDNLLLTRSGVTDIATGFKNKQVVMPADSAALAEPETYFVHDSVKLFKDTDLTQEAGRIPFYGIVYPYQASADKGCVLVANRPKLDADSIGGMPVGWIDRRLLTEIGQQLHVDIASLPDSALLFKDSERKDTLTLASDDMRQVREFAGRHPAIRYSPVLSYRHNDTAFCFRTHVPMPVIDKRESYVLNVNGHPIYYGTFKNKIEKDLQKINLVFVLEGKDKAIEQFPAVVNAIQGLQSQLANDESFSFKFGAVLTFNEPDSREDPICKLTPDYMEFLDFLSDKARNAEKLKPVYGRFGSWSGVRTGVELFNKCRDESNVLVVVGDKGFNSEWADSTLVDRLVENNCRLLGFQLYGGEPDNFNNFVLQIGNMIDCSAPRISRKKRELIVYPEQLRNGNEYAEVNHNTYCLDFPNRSMTQGWLVFPQKNESLELEGLTTAVDSMLLQVKFDNTLLGNSLTRAFEEVGTHRYKLDSTLVDYYHIRRSGVQPILSVLPGVEPGWKLPAEPVVLPDSLSSVTDYYLLVNEEEFKRLRKYVEAPAKLVLDYKYEAVRKKKQAKADICNCPDDYLPTDTEEATIRVKTDSLNIPEYVPTRRVRRQLVRHLLSERNRDKYCKTGRRDFLNMPLSEALQRFTSCPVDYPFFEVYRVKDLRKKEMITDVELDGLIEYFKEKKKLLDEAAGKAFRSNGQAYYWISRDLLP", "MGKIRTRKILFVVAAMLLCVLVAVLIRLFFSNRIVRMTLTPIEVEVGEAVHYADSTRNASSWLWEFGNGDVSHERSGQYVFKEPGRYQVRLQVDHSLEKKQIVKVNRRANNYGSDQLVKIEAPATAFQGEIISFKGYGPSREWRWQFGESGIVDSREQNPLYAYSEAGRYEVLLTTEETQYPVRHTIEVLPQYAENDSTDVLVVIGNDIREHLQAIVDGKPFNVHYNYILKKYLCGNPDIAVTVNNNKKNDFYSYCQGLKIIARRKTLIDEVFVDMGDNLSNECVMQLMVTQHERFSESKK", "MMDNNRKTLNKREILMGHAYVFLFFFLTTVACCLAIFMWNSDFRMFEQKEFVKIKMNRIKDFQQEQAESQMPVDSLFRKIEAFQPGVYAQYEEDDIHYLINNLRNTYERNSWDKRYKLFMHIADFYAMWLSDKKQLWSIEQNIRLFKANLEECEIGLRKKEEDLRSGTKNK", "MKPKNNTEVRKAYLRFSGYLTSCIVLAVTIFACFLKTSGTEVKRITEQTLEYDHVYARELALANSVDSVYQYMKLMNTSPKINDVLLQSVVSTRKMNLLKDIQGMDARDCRLYSRLLGNINIFLGVKDSIRLLNIQEEMVKKDLMQCIQDNWKTRRSLSVGPNNKQ", "MAEETDKIVCFEERPHLFNQPDTDFKAEVVASGLKEGGYDAERTLISRRGDMRRGFSKDIQEIRSEYSHYDLTDYLYLHVNRRSIYDALPEGIFHKNLHQSGKVSKEQVLDEIRIHREEEFFARRFFKPFEISLDHLLVAFQNKERRIDEMNVHADFVSIFSGQWPVLKLLPXXXXMLVHLLPYLEQITASLAKTGECMSILTGVPVRLKRGDKCVTEAPPGLVPRLGRCLLGDTMVAGNRFADGTYRLLLEIGPLSARKMETFFPGAANNRILNALMELFLPSDKEIQIRYIIQQEDARFRLGTPDGQCAYLGISTYL", "MNTGKQKPGKRYPLVNWADGMPVNKKHFIQLEDHFTDRLCEYQSFQLNRANYGLLPFRKGEAVSGDFSITELVTGTLEVRLKRCLALTAGGYLIDYDAGGDDELTASFHIAIDETEDKDQRWDVILMADPFERLPSGVPDEKETSPRQPDALPNYALSVVPTGQIDGNNLGRHFLVIGRLRKNGNRCEVDGNFIPPCTSMSSHPDLKNYYLKFGQLVDSIEKASSDILAKVENAEKQTPLAVNIGMLCRHVMLFISDIYFSYRNEGQYYPPLRFLNVFSTLAHRLYVCLGFMNKEEKEDLLKYFNEWNGINPGAYEGLLRENSGLLYNHQNIRPLMITAERFLYQFNDLWTTLSRLEYIGKHKENIVVAERSRQPKETNESRWNILD", "MDPVTQHLISSYLLMPVLTVIFGIAAYFIARKNKLLNNKKLIVYLLLCGIVLALPGLSGFMDYNFMPYIYVLLAILYWTAGYYNRFLLRKVFASGKETPSFGIRCLLTVTVVLLGAGLFSVVFNLCNELQYGIWASTCLLPFAFPLLYTQTVNSYFDIPLEIYKVWKYSEEYDSDSLYINRERSIVVDVEIFRKVDDPAAERITGKASEDVIFGHWFQRMIDDCNLKSPSSPIVYQNDGGAYYEWVFYTKSSFFKRRFYIDPDVTLAENRLKSHDVIIAKRVANEIVNYKEY", "MSMEKYNKEQIRNRMLKYAAAFWGIKKAENFDPVVKLMLEALSNELYMLGEDFTAIETRLLEKTARILTPDILTSALPAHGVVHASPIEPGYLITKESGMYYENDLLTQKLSAGSISFYPACDTLLHKADVKYMVCDDILYQVDHTLSKTMIARTETRTPARTVWLGLAVDDSLTDLENISFYLDFPNLTESYEYLFLLPCTEWSAGGLPIRMEPGIYEKSRLPEESTRAFFRNYDVMSVIDKEVMELYNKHFLRVSQPVPLDEVDKEPLPKALSSCFGERVREKMQEKLVWVKIVFPAHFTPEILEELHAGINIVPVENRLLHEQTTSLEDTFRVIPLRTGNYESLLSVHSVKDSDGKSYHELQYPVPGSTESYGTYSIRKGGCERFDSRSAKELLGYLLDLLDDETHAFHAVSSVKLQALAGQMEQLTAQLKQATDNMNEYRETPYYLMIDQMSGKGQVTVKYWTTHCETGNQIQAGVELSPYATTYLDPKTLALVSTTYGGKQAPKNRELIDIYKYGIISHGRVLTQNDIASFCKKELGELLLRTEIRNGVEISPVPTEGLIRTKEVHLVLGTKLDGPSQEKQMKDSLHTRLSACSPDTFNYRIFIEYNNA", "MALTSMETKFCKLPLDFGALLSEDVENSRLASCTEIESIDQFIEMLIDTAPGEHAFDKEFGCEIFYLDFESIISHTRWEGQFSDYITQAITRYEKRLTNVNVRVIIDDTTRQDNVFEASTIKKRVQVYVYGNLVHTGEKRCFYYVIYLGPISTR", "MNWFAALFKRKEPGTVNDRYAMKLSVCHETYTVEEFDLQFKRNTDRDGLPEGEAYGGFVTCTLAGMPGDGLLRWGAYSQPCEDGDLRVYRKDKPDQEAVFVLRFVEGNCIRLQRKVDHMNQKSSITLLFAARILQFSDDEFENNEWR", "MSAQSYIKLWTAEPSEHDEVQAYDLLSYEYDFRKPTTPCGQAAGKTTGGQMQVSIAGFPSKELLEWMFNSRILKSGEVMNTSGKLGTPKETIRFKGAQCLDFHVHSTVKESCTSLLIHFREMEAGDSCHLNLK", "MAQYKVLIIYIISNGLSKKSFEDELGKYGLERLGEQDIFALPLDEYRTKVQAFKAYLRAYSRKHLDSQDTVLFVESRMNPERTLTTMLQTNLMSEDE", "MKNSILYNDLSNMFVVILISLNLLYPYTFTGVWYRTFLLLGAIASFYTYQKLKQAKNDSWIFRSLSRLNYMFLLVMFLCFFIVFLNKDILYNVYIFPFYLILIGMYLGFIITRIFILKLS", "MQGRNESNIISLDLYTNLLSSGDLYEGYVENCGYAFYENIPVSTSINKTTLIYDSYNVCKLDISPKAYRASSKICNTHLEQYDDSWFTPENVLKATSALSLSIMVDDVTGIGVADDILLPFVWAGGCLCYIAAVIYYKNVGNGNSHYPGPWSETQIPKTHYTMREPIAMPNPDNFSNGGGNYFLPGLAYAIQDYYQVTSVDTVKNTFIEHSVEHNYRYNEQQKFKSEYFYFNPKPQKVVKPSIINKFKIARIIEQLYSKTLVLPKDNTNVVRKEHVEPIFQKKKSE", "MGLFSFPQVDSNVNVIFSVDGDEYAVEQFKIGFHQPVDGIKNQPEGEVRGGRIMITLSQTVKNNIYGWAVKPWMKKSGAVLFKTGTSGVIFEVAFTNAYCVNLKRVIESLGQGLSTTLILSPESVSVNGIEFDNRWVK", "MFGYTVFLKIGNLAASSLVDMYKDSYQLIGCEFGFAQGIDIKGQAQTEVKGGTFYVTYPHLPNRDMIQWMLDARKYQNGAIVVHDNQGSTLEKILFEKATCVDMEISYIRQGKSYIATKLTVQAQKLTFGSEEFENQWVF", "MDTGVGFINLDDSVKIALNIALAVARENANECYTPSHLLKALLHKDVGLRDFIISIGKDLGYLEEWADVHIEQCPKSTRFAEIKPSEKIDAVFRAADDARIQMGLFDINPICVLLALTKPGIAFSSDQLRSFPILEKDIHSIYTGNEPAGVSGNVPESFIQSSVSSSTSFLTKYCVDLTATAADRLYPTINRDRENRMVMEVLGSRSKSNVLIVGDAGVGKTALVYGLAWNIVNHKVPSFLEGARVFELDNASLIAGATYKGEIEDRLKNIVKELRGIDNAILFIDEIHILLDSRQGNSGAGNVLKPELSHGDLTVIGATTIDEYRKIIEPDHAFNRRFEVVQVNEPDLKSAIQMLHSVRQSYVEYHRVGISDDAVAECVRLAKRYVKDRRLPDSAIGLLDMTLSAIKMVNETGKKDTEALLARLDEIEKEEKTPQEKVEELKTLLFLMHNKLSPILLGVVSDEADIHELQEYEELAAYLRSALAAILSFAEKNIEEVGIYEVAAVVASKTGIPIGKIQSQEKERLLNMEDYLRRRVVGQDQALKTLTDAILESRSGMNKPGQPIGSFFLLGPTGTGKTELAKALAEALFNDEKSMIRFDMSEFKEEHSAALLYGAPPGYVGYEEGGMLVNKIRQQPYAVVLFDEIEKAHPSVYDIFLQIMDEGKLHDRLGKEGDFSNSIVLFTSNVGSEWLTKQLESGNVPATTQIMEVMGQYFRPEFLARLSEIVPFSPIREDILLKIFDIQFNSVRKLLDKQGIGITISDDARKMLAHKGFTPKYGARQVAGVIRNYLRRPISRLIINEELCKGKNLEVGLDEQNELTWNIHQ", "MAFKASFKFSDSREFDVLRWDVKFNRDVDPKGRPASDIYGGKIYVEVESTPDTIVLDKMFKQYQPVNGSIVFKKADEDAKMKELVFENGYVVEYEEALNVANPYPMTIKFAISAQTVKMDEATFVQDWPENN", "MGASFLNLVTTKVTVKGEEQKFVSLRLHQRFNRHHAFTVIVNYLSPGNTFKQTPEKFINYIGETVSISFAHKQTGESYDFEGVVTQVEMVGSMGEAGGVAIHGASPTILYENNGTLDSWMDQTLSAIIKEATQEYGKVPLASNPKYSAKIPYMAQYNESVFDFMNRLSAQYGEWFYYDGKKVYFGKPDKDNTEKIMYDVDLEEVRLVANLVPGKSARYDYVAQENKQHNADTPAKPDGMNDLQSIAHDCSEKAYGAKTTAAANPHIADKAGLDGQMKVLKNESGANLLNIRGIGKTCRIRIGEVIEVSFPGDMNLPPLGKFRITEITHEVRRDGHYANTFAGIPDGTVNIPVPDAKLPLALPELATVKKNDDEKEQGRVKVSFDWQKNGKTTNWIRVQSPNAGVSDAVSKNRGWVFVPEVGDQVMVGYEHGNPDRPYVTGAMFHSASGKGGDKDNKTKSIITRSGSAIVFDDETGSIVITDQTGKQLILLDGKDAITIMAKKSVVITNEDKSVIVMDEKSIGMQADTISIEGKKNITLVSGKESMVFSSEKNIINGSATNIKLEAAKEYDLNTQTGTMKGTNLTVEGTANMTVTGGIVKINS", "MGASASVIQEYYKAVDYWADIAGKKDWKLAIWIVGRNDVDLVDKFLEIERSPVGQFDDIFFRFDTPYRGDDDEYAAQLWQEYAGWFEEQAEEKDDMLKALRHDGLLKTEYRPDTSAEPTAANLWKEMLRFKECISRLENAFFCIYFPPEQSGEFPRTEWFGQVLKEGVPQGIRLTTIDLKKDRNVALDESSEVVHIRPRFDMAAALHNRMARADAGNDLIAPENRFKQQVTAVMDCTRKQDLKPLEKEIRKLLDIAGELKDANIRISALFIASEACYAIREYKSSMKYSDKTIREAEKAMKDGEAAGYSYWKMAVSMKAATLTAEKKREEAVALYEEIAGKAVERKDPYYVMEGYRMCGFLRYEEGKLEQAFEYFLLALAGGSYLSEDIRRSSTFTYAAHLALHTGRQVRAPIDIEVLERQLHEWLGEDWKELVENPSMRQAEARRKGGIFN", "MDLGNYGIGGNEVKIDASEGIAEIPQNRTLLVEQLTKDEPVAPEVITGLTNIDQVFAHFLPEIDIEFTDAEGAPVEENFRFHNVGDFSVKKMTEQSHFLSGLNTEKQFADRMEKSLRSNKVLQRVLENPATREAFVNSLSAMLDDLKKNEKSNPANE", "MTTAMSEQNTHREAAVQQQQAAAQSRNLNAELQVLAKFGGFNVLESSVDGIQNLNPERKARRNIFLTDPERAPERKELEKRLEMWVDMLQGNKSISEMIELCQKKSAAVSDLLSQNQLKAVEEVKQLEKSYRTVMLFYKNTDSDKIKNISIVNASMEQLTDLDNSFFIDAIADELRANYDRLDLRTNYSLLVIPGYLGSNKVIEKWAKIAHDNKVMIYTDFADLEKPDDVIEMFFDSNLSGGDVYKSNVCMACNYLVGRGRYAELGETEDLLIPPSAALAGSVYKTVMAQVTAGKKYGSMSEVDGVAFNLKKSEISELEKIGLIPMVKEYGKVMAFSAKTLFNGDNLGLQTYSVVRVFDWVTKVLMDFLNRRAFENWNSKAERDLRGQISKFLDSIQGPGRLIEKFKILRFERDPKQKDRIFLDIHLTPYFPAKSFVIKLEGTKGDDENDWNSDYAQDA", "MSDVLKNIADAVDNNSTRRGFSDLFADSKAALDKLQAGLGGLLPSMPGMPVAKFGDLAIGIDMHPTVTPPSPVMPVPHVGKVY" ]
[ "TTTAAAAATTTTATATATAGCGAATTACGATTAATTTTGTTCGGATTTAATTTCAACTGTAATTTGTTGCCTATTTTGATTATTATAAACGAAGAAGTATTATCTTCGTATGTATTTATAAACTTTCTAAATGTATAGTT", "TACGTTTTTATGTGAACTTATTTTTTCTATTTGTTACAAAAGGGCGATTGTGATTAAATCGCCTTTTTTGTGTATAATCCTAATAGATGCTTTTTCTATAAAATAAAATCTCAAAGAAATACAGTGTTTATAGTAGATTTC", "ATTATTAATTTAATGATTAGAATTCCAAATTAAATAAAATCCAAACAATAT", "TGAAAAATCATCAAAGAAGAAAGAATGATTCATCTTCCCTTAAATCTCCATGAAGTGTGTGGGAAACGATATAAAAGCTTCCCTCACACTATTATTGCTTTGTATGATAATGCCTATATGACAGGGGTTTGACAATACATTTCAGGATAGACAATCAAGTAGTCTTCCGTAAACAGGCTTTTATCAAAAAAGCAAAATTGAATAGCAGGACATACATCTATAAATGCCTTGTTCCGGTTGTTGAATCTGAACAGAGAAGATTGGATGTACGAGTTCGGCAAAGTACACAAAGGATTGACCCTGATAGCTTTGTAGAGAGTCGAGAACTGGGTAAATTGTTTCAGGTATGCAATAATT", "CTCAATCATTTATTAAATATATACTATTGCAAATATAGTAATTTATTCTATTGGTATGGCTAAAGTCATCACTTTTAATACCAATAGCAACAATCAATTCACTATCATAATAATATTTAAGACAAAGTTCGTAAGCAATATTACTATTACACGCAGCATCGTTAGTTCTTTCAT", "TTT", "AACTATATT", "GAC", "GATAATAAAATGTCTTCTAAACGATTATAATAAAAG", "GGGAATCTTTTT", "GCCTCACA", "GGCG", "AGCGTTC", "GTCGGATGATGTTTTGTTTCT", "GGTTGTTCTTGTATGAATTA", "CATGTCTGTTTTT", "AAGTTCTATGT", "ACGCTTG", "AATC", "AATATCTGTAATCTT", "ATCTCTAA", "AA", "AACATTGTTGTTT", "ACTGTACTTGA", "AATCAATAAGATTTAAAAATTGCGCAGGAGAACATTGCAAATATAAGGCAAAAAAATAAGCCCGCCACTTTTCAGGGCAGATTTTTTTATGTTAACGAACATAATTTTTGAAAAAACCAAAAAATATCGCAAAAAATTTCCCGAAAAACTTTTGTAATTTTCAGAAAGCTCCATATATTAGCCCCCGGAAATTGAAAGCCAAAGGCGGGGAGGCGCCGAACCGCCGGTAGCCGGAAATTCCGTAATCAACTTTTTTACAAACTTTAAAACTTTGAGAAT", "CGGTTGTTTTCTAATCAAAGTTTTTAAGGTGCCGGAATATACCAATTCCGGTTATTTCGGCACCAAAAAACATACCGACTGGTCGGATTGATTAATTAATTTTCATAGCAAAAGATAGT", "ACGGATTGGAT", "AAGAGAATTTAATCAACATATAGTTTAAACTTAAATATCGAATTT", "GCAATCCCTTTTCTGAGACAGCCGGAGAACTCCGGTTAACCCCTCCGGCTGTTTCCTTTCTTTACTTTTCTAAACCGTTTCACT" ]
[ true, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true ]
[ 0, 2, 3, 5, 7, 9, 11, 13, 15, 17, 18, 20, 22, 23, 25, 27, 29, 31, 33, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 53, 55, 57, 59, 61, 63 ]
[ 1, 4, 6, 8, 10, 12, 14, 16, 19, 21, 24, 26, 28, 30, 32, 35, 37, 39, 41, 43, 45, 47, 49, 51, 54, 56, 58, 60, 62, 64 ]
[ "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122922|+|33777:37835", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122923|+|37854:38201", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122924|+|38191:38541", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122925|-|39150:40094", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122926|+|40648:41424", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122927|+|41435:41911", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122928|-|42047:42577", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122929|+|42715:43752", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122930|-|43841:44701", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122931|-|44706:45767", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122932|-|45761:46891", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122933|+|47120:47974", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122934|+|48043:49278", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122935|+|49241:49774", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122936|+|49882:50862", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122937|+|51258:51920", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122938|+|51936:53075", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122939|+|53324:53854", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122940|+|54041:56386", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122941|+|56367:57695", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122942|+|58322:60160", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122943|+|60221:60490", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122944|+|60557:62311", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122945|+|62666:62878", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122946|+|62898:63107", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122947|+|63128:64207", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122948|+|64229:64450", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122949|+|64493:65602", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122950|+|65642:66343", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122951|+|66340:67131", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122952|-|67363:68061", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122953|-|68120:68536", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122954|-|68674:69492", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122955|+|69764:70048", "2510065017|RJ2H1_RJ2H1-contig-017.17|CDS|2510122956|+|70062:70358" ]
[ "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000031|+|37836:37853", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000032|+|38542:39149", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000033|+|40095:40647", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000034|+|41425:41434", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000035|+|41912:42046", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000036|+|42578:42714", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000037|+|43753:43840", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000038|+|44702:44705", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000039|+|46892:47119", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000040|+|47975:48042", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000041|+|49775:49881", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000042|+|50863:51257", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000043|+|51921:51935", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000044|+|53076:53323", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000045|+|53855:54040", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000046|+|57696:58321", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000047|+|60161:60220", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000048|+|60491:60556", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000049|+|62312:62665", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000050|+|62879:62897", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000051|+|63108:63127", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000052|+|64208:64228", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000053|+|64451:64492", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000054|+|65603:65641", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000055|+|67132:67362", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000056|+|68062:68119", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000057|+|68537:68673", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000058|+|69493:69763", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000059|+|70049:70061", "2510065017|RJ2H1_RJ2H1-contig-017.17|IG|IG_000060|+|70359:70761" ]
[ "LMADIMAGIAAAMPPVTEGVAGVACSILKGMAPTVKVHNQWIAQAGIGIVHLPAFVLHPAPLVSGMSESEMWMGSATVLADGAPCSTLTHPALSCNLVGIPTIPRKGKPKKVSKALLAPTSMLSTITSVGKPVLVGGPPTIDMFALAMKFGLKGLGKMWKKLGDKFQDLIDRLRKKGKNRLADILQPIKCKTFGEPVDAATGRVYHTNVDFELPGPIPIVWERTYYSDAAVDGPLGYNWHHSYNLGVRRLEEGAFLFRHADGRESFLPVLEPEDSYFDRKEQLLWTLDRQGYLLTDIRGLQYRFDGLENRFGYRMVSDISTKDGFRICFEYASAGKLAGIVSSRGERLKVETDELGRVCCVSMRQDGEETRLVRYRYDDRGDMVETTDALDVSKHFVYTDGHLLVQLTNQGGMSFHWEYEGKGENARCVHTWGDGGVMEYFIRYGKGYTHIRNGEGAETEYHYGEDKLIYKIVDANGGITRQQYNGYQELEVTVNPEGYTRKTSYNEFGQPVRITDENGEDTFLSYDGNRNLVLLCTPGGKQLSWDYDGMDRVVSRTTLSGETVKYTYEGGVLHTITDGQGRVYTLTFNDRYDLELLRFPNGLFRRWEYDGRGRLVLAVDVKGNATRYAYDRADNLIRLEEPDGNVHRFEYDAMGNMVHAADNIREVKFTYGALGILKSREQERHRITFGYNSELQLRRIGNEAGDNYFFELDGLGQVVTEIGFDGLRREYERDGAGRVTRVNRPGDKWTEYLYDGLDNILKEEQYDGEISLYTYDKDGMLVKAENSENLLEFTRDRKTGQIIEEKQGEYTVNRTYDSEGNCTRITSSLGADIRHTYDREGNLQTMQAGESWQASWVRDNTGLEVQRTFSGGVTVRTERDCFGREVHKSARSGGIEQGAYRYQWGIANRLLSKENELTGTVTRYDYDRFDFLIRQETMQGSETDVIYRTPDFVGNLFETPDKKDRKYGAGGKLLEDLDCFYHYDDEGNLIFREFKQLQETGVEFDRKRMEKERGIRCLATGTGWLYEWSSNGMLKKVIRPDGRPVEFRYDALGRRTAKQYFGKVTRWVWDGNVPIHEWGYKVTNMQPDEEESAPPKEPTEDITTWVFEAGTFVPTAKIQDGKQYSIVSDYLGTPIQMYDELGNKTWDCTLDIYGKVTNFEGSSLNECPFRMQGQYFDSETNLCYNRFRYYSPETGTYLSQDPIGLQGGSRLYGYVHNPNGWIDQFGLSELVYQLLNDKGEVVYYGITSRTAEERLAEHLANPEKIGKVANMEVLAEGLSHDQARSIEGALIRKRMAENVDKFSATDSIDKQLEKSGLLNKNRGRVKERWTSDNPLDEFKDKISKNPKKVKCH", "MKIFSTAPEGNEMAELENARYINLALKQIDENIEWLKTANKPTQAVLTHIDILVMLAKRFTVDANLLIKKEKVQEWKNVFNDWFERCGSKIPAKFRDGIKANGDELFNELEQYGH", "MGTNNFEEQIKPFFWVEHENSVSVCLNVGEYKDEIFQTRENEGFEETGYDWASLARVFLDELEPKLIDIVQFDPEADMFCAYSDDKEALKTFIIAFKNACENETLIQDLFSRAELD", "LRVYNGSAYWHIGRAIVEGEQNGKERAEYGKELIKQLSQKLTQKHGKGFTETNLKYMRQFYRFFDKSHSLRDELSWTHYRLLLKVEDEQVRLFYMQETVDCNWSTRTLERQIGNLYYERMLMSHNRQLVKNEATEKQVSQEPKDIIKDPYVLEFLGLQDNTDFRENELEQAIIDKLQRFLQELDKGFAFVGRQYRLTTETGKHFYADLVFYNYILKFFLIIDLKAEPLTHQDIGQMDMYVRYFEDRIRQDSDNPTIGLILCTEKDKTIVKYSLLNDSSQIFASKYMLYLPTEQELKKEIERQRVQIEQEQKLNQ", "MKKKMFKFISCITILFMVSCSGDDLLFSYEDDVTTRGLSGRASLTYPMSSFISNVSLSLTDQGSVNSILEELQSNPLGKGYRCVFKKLTTKKVSKISRGDTGTAPASYNAKTNEVLLKTGSIIATNSIQEEFIHAAQDRVYFNGILQYAGKEGTPNIEFEAKIIQELIYCANGYGFGGLGAGPTHADDYTRWIMLLCEDGFLDERFPSLSEVLSTKYAGYGYYNYMEFFKVKDPGYNKGIIYSMQPSLLDYISNNYGI", "MKTLSFFISVIVALFVFTNNICAQNEVKVSNGKSYVYDYKNQKIYRQTLNRSFQQDKILDNFVAKQTTPVNNLYIEVLSPARLEELKSEKIATTFICDSYGKVKSVEFLFFKEPFLSVDEIERLEEAFLNYTFDLKVYGDKQDSNLYKFAIACFFSKL", "VSGSSVSEAAACVVCLLSFIRSLYGKHPVVVTKEGVAIPVGNIWKEKQLSSILFERGELPLEKYITTRFSGGKLDFSLVDDTYGFSLIDNENQNEFIDSFRKFEELDWNAIATDKGLDYKTYNKNKKSKRYFSDDLWKKGIKKFRITQRNRCFGYVDNGIFYVLRFDLDHELSDVG", "MINSILLTDFTGFANTRFDFTKGINVLIGKNGTGKTHVLKCLAATLQARHDFLGKNSTSKEQFEYILAEDMIFYFKPDVIGNLVNKGVPSGRANITVTIDGKLLQYSFSSASKTTVKLETDEKWDDRHFIYIPPREMFSLFEGFIGLSSKREISFDQTYINLAHALSLPILRESEDNPLKPAIELLEQELQFKVLQMNGRFYIQTEKGNMEAHLVAEGLRKLASILYLILNGEINADTILFWDEPEANLNPALVKVVAKFIRVLQECGLQIFIATHDYLLTHTLSLYSEYKEHTNISVKFFGLKKEDKGIIVEESETLAGIRNNPILEEYAAFYDLEQQFINQYE", "MDGIIINELSLSGQFHDSQDFWKNGMPPFYKALQDARSFGVGYLFKQGSFYGAQATPDKTLHDLLTAPEARIIDEAKRYKSTLARAICNPFWDDAPQQDLNAHYLADEADVSGSSVAEATARAVCLLSFIRSLYGKHPVVVTKDGVAIPVGNIWKEQQLYAILFERGELPLEKYITTRFSGGKLDFSLIDDTHGFSLIDNENQNEFIDSFRKFEELDWNAIATDNGLDYKTYNKNKKSKRYFSDEQWKKGIKKFRITQRNRCFGYVNNGIFYVLRFDLDHELSDVG", "MLNNLTINNYKCLTDCSLELKPLNLFAGPNSSGKSTVLQALLTASDNVTEKKGKHGLKNRRTEASNFNDVRNFVTNAKSYEIGISYNGEEPTVLCFTPGDDSYQTTLVEQSADASSDLLGILGSDNLLYLPATRPGGAYAQPINPDSENKLGRNGEFVIDYYAKHRLEPLDAALILAPGTQTLEGQVNHQLDKLTGYRLVVETVGNNHYVKYETRSGKQLFPYHVGTGVSFITEVIIACFATPRGGMVITENPEIHLHPKAQADLIDFMAKVAKAGVQIIIESHSDHLFNGIRRLISQEKLALSDVSVYNFRQDGNGLTRAECVEFTPQGGIRSYIPGMFEQFDIDLDAILKL", "MDFEGEYTMRTASNQQSYEPDTVYPDAVLNIARDSASVFQLKRKWEKTPPMLNLTPDFQRGLVWKPKQKSELIESILMGIPLPLIYVKEDEKGVYVIVDGKQRLSTLFSFINNEFALEKLTILKDKNGSRFDKLTPLEQNKIEDCSLTLHVIKAPTSDRVTFDLFDRVNRGGTRLNNQEMRNALYQGNATELINRLAEKEAFVEATEHSIPANHMKDRYLVLRFVAFYLWQQHVTIDPDTDGPLDYRSNVEDFLGKTMRFLNRLEPENGLFKELDTRFTRAMELAIRLLPSGGFRLPSSPGKKRPINMAFFESFSYLLSRLNGEGEQLHCQIQEVYMQLICDAAYLESLTRSVDSGKQTEKRYEIINRLIHELNLC", "LQTDRLVYFVYEKIDMKHLAEKIKELMAAFSRSHYEYMMFEEYGMPDFSKLSEEERLDYEMDEQERKDSLIYMAKKLRPLVQAYIEAKHLPDYYNSFLTEITPFYEKERMLRGTEDMDSELIFAYRKFLNAFDDFSITGAHKEFEILENILNSTATLLADFDIQPKSEPEVYKPMINICKTAFPDNKSCSFKFQKTAKCYNPDILIPSLECAIEFKYVKDEKELNRTMDEILADVEGYKGNPSYSVFYSVFYAVGSFCSPQRFNCMWEEKHFPDNWKGIYVQGK", "MSRIKIKNFGPLKETVSAMDGWIDIEKVTVFTGNQGSGKSTVAKLVSTFTWMEKVLTRGDFKEKEFTAAKFKNKYCGYHRISNYFIKERTEISYEGDSYKFTYTRQGELIIEKKDGTLNKYALPQIMYVPAERNFISMVNKPNLIKDLPDALLVFLTEYNNAKQLIKGSLELPINHAQLEYNKQNDIVYVKGEDYKVKLMEASSGFQSIVPLYLVSLHLSESVKSQANNAQKMSSDEAKRFEAEVANIWNIPNLTDEQRRIALSAVSARFNKSAFVNIVEEPEQNLYPESQWMIMKQLLAFNNSLEANRLVVTTHSPYLINSLTIAVKTGMLLASGNLSDEARQRIGTIYPIEAAIHPDEIAIYEFNEVEGTATVLDTYHGLPSDENFLNRMLEKTNEDFAELLEIQQEYD", "LPNYWKYNKSMIDFFPTEHISSSCKRKFGICDRPAPAAEKAYIAEKQGQDWIAAVDNHYRLKVNFVPVDHCIELRKADGKMDNRCDGCLFYEDTIIFVELKQRKSKGSQWIKDGEQQLRSTIGYFEQQEEARNFPIKKACIANSERPLFRTGQAVRMERFFLETNYILRIENRINIE", "MDNIQKIKLLNELMSKATPQNVDFTPHNGNYCVTLLATQPIHTWGWQKRNFKIKGRLEEGKTISADLIVENEMLFGVADLPYVAHEGDRTPIFKIIRASIFCAYGALQLTELHRGMRKIACTDYPFKKADKEEQITAEVKFMKKLASRMTIPEHCISGRPVVTHLTSTRLRDVILYNKEYEPALYEKMKGSIEALSPFIPAKDNVDNALPDVFISRQDWENPRDTFDHQVVILNAKSKKKQQFWWDYLKLNIFLSDLEYKFGYYVLMNRWQVPVIQKWIAEYHEKGYFESQRAKDYLLFYLKASEKAPVRVLNSMGVQVKVKMTPR", "MQKSYFCMEQKYEIMKGSKGSETKMTIREEAFRLFLTKDFNIVPLKQIERSLNLSRGCMSYHYPTKQELFNDVIDYYILHKQNTNNKMQGAFNLSLLEFIHFYVDKVASTKNEMQQFLSDDDPNNITNAYLKLISQAECYYPNFKQIAQEIKQHEVILFEKIIKKAQEKKEIKPELDSLLLAQHFRYLFYGQSYDDALNNGLDVDRLKKQFMFLYNIIKY", "MKNEVFITETASFFPNNPIDNNSIENYLGLISGQHSRVKNLVLRQNGIKNRYYALNTKQEMTHTNAELAANSINRLLSDKHLNEKSIELLSCATSNADQLMPSHASMVHGLLKNKPLEIFSASGICLSGIQALKIAYWAIKSGEKRNAICSASELPSAGLLSKNYDIEYESCCKLGTSPYMALEKDFLRFMLSDGASAVFLQDTPENQKALKIEWIEMVSYANELPTCMFAGAEKREDGELKGWKEFDNEDYTNRSLFTLKQDIKLLGKELLPHWVDHIENSLSKHGISLEEINHVLPHASSMIIYKNLIAEIQSRNIIFDEKRWYTNLPRVGNIGSAAIFAILDEFWKTHELKSGEKILLLVPESGRFSYGTVLLSVV", "MDPNLKQRIIDEAYVLFLTKGMKQTSFCDVAAAVHKSKGAVMHYFSSKRHLIDTVVKMRFFPASQLSPEMDYLEGRRWDEFLRSYKNPIERVIDSFPEKLEGNGLMYYMQFVSSANEYMDEFPQMYHELLAREQDFLFNAVVVIHKQSVDDIQVFSRQLFENSIGKTFGALLFQIQ", "LINWNRLIRKTSHPRSLLAKIAAGYITVLLTVGCIIYIGIHEWREIRSIETNIRQINRQKRAIRNVYMKMLELSLFCDTFTEWDEKDFNTYLKQKSTVDSLLYGLQELHPNARIDSIRILWRDKEQSMQQIKEIMRKQQQAGREIAGQLPQTAGQSPPKKTGRKGGFLKRLFGKKEKTETSPDTSALHTLNRSIADRQRQYARQFSEQAHILASRNRLLNEQLQQVIRHMDRNIQEEMQQREEKLGRTGKRSLAIVAGLVVFMLLLLGSSYMIIHRDMARINRYKKRLEETIGQLEHTVAENEELIAARKRIMLTVTHDLRTPLTTINSYAELLATEKKISKRKEYNRTIRRVAGHMEAMLNTLLGFFRLESGKEEVNPVPFRLHTVIETLEADFMPLAADKNLFLNMESPRDRVVIGDKKRIVQIGHNLLSNAIKFTERGAVTLRMRFDNGTLQLSVEDTGTGMSAEEQARVFTAFERLPNAMAEEGVGLGLSIVKELVGLLGGTIELTSRKGSGSCFTVLLPVSTAEETVKRENGTRSLVQPFTVVALDNDTVLLAAVKEMFAHHGVMCTTCGCVRDLMECIRRQNYDLMITDLKMPQMNGFDVLKLLRTANVGNSRTIPVIAATASGGCNTADLHEAGFSACLKKPFSVEELLQVCTGCLGDERQQEQVDFHALLEYGDRLEMLETLIRETTDDIAAMAESAERNDCESLREWTHHLSSSWEIIHAGKPLRDLFMLLQGNGEYSADEFGRAVRKVLDKGKEIIDLAQQAKESYESDCG", "MRVIVVEDNILFCDYICNFLQNADYQTVKAYRLAQARQVIARSVREDDIVLADLRLPDGESTALLEWMRGQGYTHPFIVMTNYEEIHTAVHVMKLGAEDYILKPLLEDKLLPALKKIRMADEAFAKVIYERKSAAFRELDRYIRLVAPTDMTVLILGNTGTGKEHLAGKIHKRSLRANKPYITVDCGSLSRELAASAFFGHVKGAFTGATDEKPGYFQEARGGTLFLDEVENLPVEIQQMLLRAMEERRYRPVGGRQDKRMDVRVIAATNEDLQGAVAEKRFRKDLLYRLQDFTITVPTLQDCLEDILPLADFFRIQSAGQLGKEIPDFDEAAKKILLGYGWPGNVRELKQVVHVAVLICGGKLITPQCLRLQQAGKTILNLAKEEKINNCLLKDEQRNAEQIRMAVTISKGNLTQAAALLGISRPTLYKKMGIYGITKEQV", "MAQEKNMNEKPKRTRKPKAKDEALPVEQITELMLVHNKNDPKAGVRAVSGIDGQGKVKTVPADERNENSFLKFDKNSSILENFIKNFWSQLKNPTHFRLIRMTVHDYKMNKQAIRDLAEGKQTDAVKEFLKRYEIRPKENRKEQNVNQEKTETMAKKKQQQPQQPQEPLQQSEVQQAAQGAQPQVPQAEQQPQAPRYRYNEDMIDWNALEKIGVSKASLEQEGLLDSMLKGYKTNKLVPLTLTLDAATIRMDARLSLIPMQNGQVGLGIHGIRKEPQLERPYFGHIFTEEDKKNLRESGNMGRVAELNLRGGMTEPCLISIDKNTNELVAVRQEHVYVQDEVRGVKLSPDEIQTLKNGGQVFVDGMISNKGKEFSATLQYSAERRGLEFIFPKDQAFNQQSLGGVQLSPTQLKMLSEGHTILVEDMKRRNSDEVFSSFVTLDKVTGRPNYTRHNPETGEIYIPKEICNVQLTHEDKELLRKGQPVFLENMVNRKGEEFSAFVKLNMTTGNPQYSRTPDGFNEQQAPRIPAEVYGHVFTAQERANLQDGKAILISDLKSNGKQFSSYLKVNPGSGQLQYFQDNPDIRRDTSRRAVQADNAQQQEQKKSAKQAM", "MNTNQKTRLKAEDMEWNVLKTLGLSKEQLEADGSMERLLQGMETEAIPLKFRTPVIDLSMDATLRLVPGPGGKPVLEIKGISPEKEPEA", "MIAILTDKPNVGREIARVVGAHKKENGYMTGGGYMVTWTFGNMLSLAMPKDSGKARVEWEDFPLVPPPYLTVKNMKTDTGWNPDINAVLQLKVIAKVFDACDTIIAATDASREGEMLFRHLYRFLGCKQPCLRLWISSLTDEAILEGMANLRPCHQFDNLFLAADSRNRADWMLGVNSSYAVCKAVGFGNNSLGRVQTPVLAAISGRYRERENHIGADSWPVFISICKDNRIIKMRRTEDFEEHRNATELYEDCKAARKARITAVSRRTEEVRAPALYDLTGLQTDANLYHGLTAIQVQEITQSLYEKKLISYPRTACRFLPEDVYATLPAVMEKMLARKEFRTYADRLDIAGAKAVINPLKTTEHHAIIITGMSPGDLAREEMQVYTLIVGRMLEAFSPSCKVEYTTVDAVCAAHKFRTRTYRILEKGWTGVLGREHLIAEEGFSSLSLPELSRDELVEVAGCSIIRKRNLPPSPYTDAELVGFMDRNGLGTVATRANIIRTLLERKYIRYSGKYVIPTPKGLFFYETVRGMKIADASLTSGWEAELAQIERGERTPEEFLDGVLELVKGITGEIRRIQRPEE", "MKLQLIEKISRQAVALVLLAGICLLYNKGIVPVYTIVLFLFAGTIIGFFFRALSFVIKIILVLLLAGMFV", "MRTATFSSPAPVMPAAWPATHRIRPTKKRIPGTVDGPKHIGYYLEPLRGIASKPDRRQILKDFFNETNV", "MFFQAINRMITAGTDLSFSIRQVDNRLTVAVMPRRTGLKGEAGGQIVPLVLNGTPEELDAEFLQAISTPVQKAQGILTNLETFEKQAEQAASQNKGAKSPLEKEPKEVREKREKMEKLLKKADETIAGKRYSEALTWLRQAKVLATPDRQKDIDAKMAEVQKKASEGSLFGEEPFMQASQPRQEAQPLRRQDGQALIFEPEPAAAMPQNVPQQQPPQPVQYTQPAPSVQYGADGRPAYSHPYAEQRSQIPPGQPVQASQTMPQAIQFHQPVHMPQQPVYGGQWPQPVPAQPQNVSVQAAPGNSGNREYRSQPQPAEMYSFDKDDECDRELLREDPYAEYLDFPEEYRMKDEAQMETVCC", "MALEIKGLQRIFKMKKNSTEMELADPDSNMSPSEVMDFYSMTYPELTTATVHGPEWENDRTVYRFKTTIGTKG", "MQIITEIPDGKPGRPDNQRSRFLKCQPGRDLPKKTEVATGRKRNAFLTGKVSPIAIDYYMENETDETETNLTTSENFDFLYRSALRYAGLMGIKLPFRPRKGGSPRMNIAGLYRAMDEALPEQVNLEEKAGRLYFCLYRFHEWPDYTLFWIPIDFIEKISVPVRCIVREFIRRFIRHHGLNMVKETWYYELALEELRDWKNRDPDASPQEVRRNSLLAESYDNGKISKALKRMEGKPFCVRLEDKIRECRTEAKRERDLLGLVSEGLELITPDSPCLIQYYYDWAYEKEPDFPPIGLDTQIMLAYSNNDMLAENMESYFNSDCRETYTITPVTYMYLTPETDRLFRMDDYPERLSKWMQRFMQHIAGSF", "MNELTKKMQEIMVPKAALIAYEYRESAYATGKNYLELRPINKAGRMEAGIPVTYEFMNALVESYSDERQNVPHGRLPSNMLWCDTRKGHEKYIWYNPPGKRRMFFKDSLNIKDGIFHLPGVVYIIENERMTIFAYKGRTPEEDTPLYLAPFFNVTRGSVCLGSSTLVKPENMDFHALQEYWEKRFWLSEFSHLGGSENPTRGNLVSVTEQARERPFNNDELKPANKQLKDILS", "MRKIHYTDQYLLNPYHPVTVFVIGAGGTGSQVVTCLARMGMALQALGHPGLHVTVFDPDTVTEANIGRQLFSEAETGLNKAVALVTRINRFFGYTWEAKGKSFPSRRKHDGDSPGLANIIITCTDNIRSRLDLWRLLKHHRKNTHNDEKTPIYWMDFGNAQTTGQVLIGNIRNKIHQPASTEYHTIPRMNVITEETSYSTIEEKDSGPSCSLAEALQKQDLFINSMLAQTGCDILWRMFREGRTFYRGAYLNLDTLRVNPIPV", "MKTDMKYAVAVLVDGDNASFENLEDVMGFVSRHGNAIIRRIYGDWTRKPLSGWKDAAGEHGFRLVQASSFASGKNTTDIALVIDAMDILRDGLADCFCLVASDGDYTLLAQRIQEAGLPVLGYGEGKTPAPLVRACTEFLYADRMEGKPVENTPGYFLRRDMEYFDRAFEEAADGKTEVPLSLIGTALKRMMPKFKIKRYGCKTLGKLYEKLDRYELVRTEKGVAGAVRLKR", "MLFVKCRLTARFFHYEWHILLSVRTRKGIPVITFKIQERHAMMYIDNEVLEKMIMTIVEGFDRMEKKLDSMMRVKDCMDGDKLLDNYDLARLLGVSLRTVARYREKGLIRYYQTDENGKNFYRSSDVQEFLQQRGKKK", "MELIVNLSVILFFIGLWMYARYWRRMCGKAFCQYAAACCGREEREKLMRYAIIAGNRHATLLYALTYPERFDKARPLRLFEFRGIRCVFAGYYFPQCYENWLCDDQSEFVQKVYDFKEGKDPCRNCFSQAFRVLSVTGDVTAMFMPCSTSRRYHRRFSGIAAFLESGGYARSGLDLICITEDRESKHTSGRRSGVDTANYMMARGLRGKRVVIVDDLLTSGDSLLEYAHNLERVGAIVTGAVFLARTFRMPPPATVRRVVWKHHLSALLTGK", "MEIVTIEAQTFLEMNRALEALAKKVREICGENSRSMDDWIDNQEACMLMGVSPGKLLQLRRRRALPYSHIDRKVYYRRQDIIRFMENTIRQVIL", "MAQSLLTKESPEIRQFFRNIDTLSRLLDIQAEKFRPLLNGDRYMTDSELAGKLKLTRRTLADYRLNGKIPYYKIGGKLLYKEKDVLALLESNRMEAFD" ]
[ "TATAAAATAGATTTTGAA", "ACCAATAATAATCCCATCTATCATCTAAAATATACAAAATAAGGGAAGCTAAAGAACTGCTTCCCTTATTTTTTCACCCTTCAAGAAGTGTCTCTTAAAAAAGTTATCAACAGGTATTTAGTCGCTTATCCCTATTGTCTTATGGTTGTTTTTAAGGGGTGGTTATACTGGATTTACCATGCGTTTATTTGTCCGTTTTTCTCCTTTCTTTATAGCTTAATAATCGGGGGCTTTGATAAGTAGACTTTCTTCCTGTTCCCGTGCATGGCACGGTATATTTCGGGGGCTTTTCTTTCATGCTCCGCAGGAACAAGGGGGGCTTTTCACCGTCTTTTGACGGTATGGGGCTTTGAAGGGGGCTTTTGGCGTTTCCCCATCGTTTGTAGTAGATGCCACACAAGTAGATACAGAAAATACCCTAATAAGCTATGGTATTTTCATGCCCTGATAAATTAAATCGGGTTATTAGTTACTTTTTCTACTTTAATCGGGGGGGCTTATTGTTTCATGCTCCGCAGGGATAAAGGGGGCTTTTACCGCCATTTGGCGGTTGGGGGCTTTTCTTTTTAAGGGGGGCTTTTGCTCCGCAGGATAAAGAGTTTTGTTCT", "AAGAGCTATGTTTATCAGCCGTTTTTTACCTCCTGACAGAAGTAACCGTATGGCAAGTCCATGATACGGGTAAATAAATCGGGGATTATCTATTCGCTTTTCTGTTTAGGCTTCCATACTAAACCTCCAGGAAAATCGGGAGTTAGATTCAGCATTGAAGGAATCTTTTCCGCTTCAACTGGAATACGGAAGCCGTCCGCGTGGTACATTCTCCTTCAAGATCCATAATTCCTTTTTTCTTCTTAACATAAAGATACAATGTTTTTCTGAGAGATATTCTACATCAATCAGCTTCCGTTAGTACCCTTTGATATTTTTTTGAAAATAACCAAGGATAGGTTTTCATTTTTTGATTTTAAATCATATATTTGCAAAAAACAAACCGACTAATCGGTTTTAAATAAGAGTGGAATTTATATTAAGTAGGTTAGATCATATAGTTAATGTCGTTAAATTCAACCGCATTAAACAAAAGGCAGTCTAATTCGCTAGTATATCAACTTTAAGAAAGTGTAAACTCTAATTAAATATTAATCTTTAATTGAAAAGCATT", "AAAAGATATT", "ATAGGAAAGGGATAGAATATACTTCAAACTGTCCAAGAACTCTTATCGAACACATAGCATAGTATGTATAAACAGGATATGAATTTTTGGACAGCTTTTTATATCTATAAAAATAACACCAAGGCTGTAAAAGCA", "ATCCGCTTCATCTGCCAGGTAATCATTGACAGTTCTGAAACATAAGCCTAATAATCATAACTTTTTTAAAACCGGGTTTGTCGCTTCCGTTTTTTAAATTACCTTTGTAGTTACTTAGTATAAATGAAACATATTCA", "TTTTCAGGAAAGCGGCATAAACTCCAGCCCATCCCCAGCGAATTTACAATCAGCTGGTTATAAACATTAGACCAAGGTTGCAATAATA", "AATC", "AAATTCCTTTCTTTCTTCTTATTAATGCAAAGATACAATGTTTTTCCGACACATAATCCAAATCAATCTAAAAAACATTCCCACCCTTGTCGGATGTAATCAATACATAAACCTCAGTGTCTTCCCCATTCAAGATTCATACTTAGTTGCTCTCTGTTTTGCTAAATTCATATTTTCACCTCAAAAAATGACACTTCTATTTCTTTTCGTAGATTAAAAGGAATATAT", "GGTACGACCTTCGTTAGGTCATCTCATTTAGAAACACTATCTTTGCACATGGTCTATATAACAACGAT", "TTGGTTGACCTTGCAGTGATTTTCGGCGAAAAACGGAACTCGGGTACGAAAGCCGATGCCTACATTTGCACTGTTAACAAGATGTTTCACTTAATACCAATTTATTT", "ATTTGATAAATCAGCACTTGTCAAAAGGGAAGGATGAAATGTTTATTAACATAGAGTTTCATCTTTCCCTTTGTTTTTATTCCAAATGTTTATATTTTTGCAAAATAAAACCGTCTAGTCGGTTTTGTTTTTTAATCCGAAAACATATTTCGTGCACATATATGTGTCAAATCGCTATGTAGAATTTTTTATAGGGTACAATCTATATTGGGAAAAAGATGGAAAAAGACATAGAGGAATATCTCTATTTGAAATTCCACTTTCTGTTTGAATTCCTCTATGCCGAATAGACCGACTAATCGGCTTATTTGATAACAAATATACAGAAAAAAGAATAATTATAGGGCTTTTGATTGGTTTATATTTTAATTATATGCAAAAAGAACAATTTTTTA", "TCAATTAATTACATA", "GTGTTTAAGTTTGGAAATAAGCAATAAATAGCTTAATTTTGCAAAACTAACAGGTAAAATAGTATAGTATCATATACATCTTTCAAACGAAAAGTGGAAAATTTCAAAGAGAGAAGATGGGATATGACAGCTTGCGCTATATCAAAACATATAGCACGGGTGTGTCGACTTCATCTCTAGGCTTTTCCACTGCCACGTTTGGGTTGTTGATTGCATCTGTGCTATTTTTTATATAATGCAGGTGTGAT", "CCGCTTCTCTTCGCTTAAAGCCGCATTTTTCTAATGTAAACGGCACATTTGCCTTGCATTTGAATACTTTTTCTTTTGTTATTATTTGGAAAGGTTTATCTTTGCGAATAATAAAATACCGACTGGTCGGTTTTTATTATTCGCTTGTTTAAAACCGGGTATAACAAAAATAAAAAGGCTCATACA", "AAGGACCGGATAATAATATACAGGACAGTTTCCCCTTCCATCAAAGGAAAATCGAACCGGTCTTGCCAGCCGCATTTTCCACACTCTTTTCGTGGCAATTTTCCTTGCGGCTGGCGCCGGGGAAAATTGCCGCGAAAAAGAATGCGGCGGCAATCCCGCTTCGATTTGAAGGATGATTACAGGGGCAAACTGCGACCGACGTGACGCACGGGCAATACATACAGGAGATATTCCGGAGGAACCGTTTCTTATATTCCCTGAAAATCAAATACTGACCATACCATATCCCGTCCCGCCTGAAATCTTCTTATAAGTATATGACGGACCACAAGAAAAAACAGGGGGCTTCAGCTCCCTCTGTTCTGCATGGGCCGCCGTACCCGCTCCTTTTTTCTTCCGTGCCATGCACGCCGTTTGTCCTTTTCCCTGCGGTACGTCCCCTCGCCTGCCCCTACTCTTTTTTCCTGCCGCCCTTGTATTTCCTACTTGTTTTGAAGCACCTTTCCGGACATCTGATGACATCTGATGACGTCCGATGTCACCTTTTTGAAAATCAGCATTTTATCCAAAATATACTCATACATTTGAAGTGTCAAAGCGATTCATTAACCTCAAAAAGATGATGT", "GATGATACCGGAAATGACAGAGAAAATGACTTTACAAACAACCCCAAAATCAAGAAACGT", "GACGGAAGAAGTCGGACACGTACTCCGTGCCGGACGGTACGGAGTACATAAAAAGAAAAAAAGAAT", "CCCGCGGAGGTTTACCGGCCATCCGGATTCCAGACAGACAAAAGAGGAAAGAGGTACAGGCGTCATCAGAGGCCCGTGCCTCTTTTCTTTTTTAGAGCCGGCCTGATTCCGGTCCGGACAAGACGGCAGGATAGTTTTTCCGCTTTTAAGCGGCAAAGGTCTTGGATTGCCTCATTATTTGCTGCAAGGCGGCCCCTTTCAGGGGCTTGGTTGGGGAAAGAAAATCATCCTCGCTCCGCTCCGGTATTTTCTTTCCCCAAGCCTTGCGCAAAAAGGCAATCCAAGGGACGGGAGCCTATAAAATCGGGAAAACATATCCCGATGGGATTATTCATACTTAAAATTATAAAGAAC", "CCGTTAATCCTTTAAAGAC", "CACTTTAATATTTTACCACT", "ACCTTATAAAAACAAAAAATT", "GGATATGGCGAAAATAAAGAGAAACAATCAAAAGAAGGAAAC", "TTCCCCCAATCAAAATTTCAAATCAAAAATCAGATAGAG", "ACGGAAAAGAGAGCGTCATAATGCCCGAATTGAAAAATCCAGAGGGTGTCAAAACTAAAATGACTCTTTGAAAAGCTACAGATTGTAACTGCAAGACCTGAAAAGAGCCGTATCAAGCTCTGTTTTAAGCAATGATACGGCTCTTCTTTCTGCCTTTAAGGAGGTTCAAGTTTCAAATCAAAAGCTCATCCGGACTAAACCGGGGTTTCGGTCACCCTTTATAAAAAACGT", "AACATGTATTTCAGGTGCAAAGTTAACATAACTTCCTCATTGTGAAATAAAACATTCT", "CAAACGAATATCCTGATTATAAAATAATTAGAAAAGAATACAAATTTTATTCCGCGTATTATTGTAAAAGACAAAGTGTAAAGTTGTAAAGGGGGGATTGTAAACTTTACAAATCGCGTACAGTCATGCCCGCCGTC", "AATATAAACGTTTCAAAGGTTAAAATCCTGATGGCGGATAAATATAGTTGTTTTTATCGGAAAAGGCCTGCAAGTCATTCCCTTTTGAAGAAAATTTAAACAGGGACTAAAATCCTGTTCAATTCCTGCTTGCTACTTTCTCGGGGGTATTTAAATATTTCACATTTTCGCTCCCCTTGCCATCTGATGCCGTCTGATGACATTTACAGACACCTTTTTGGAGGAAAGGGATTCCATCCCTATTTTCGTACCGGACAAAAAAGAGAAACGT", "TTGACAAGATACA", "ATCCGGCACCACGTCTGTCTACCGGACGGTTTTTCTTTTCCATGGCAGACCGCACATGACACTTATGCCCGGACAGACTGTCGGATTTCCCTACCATGTCTGCCGTACAATGCGGCATGTACATGGATAGTCCACGAATGCCGTCGTACATCACTGCCGTACAATTTCTTACAAGACCATTCATATCGTATTTCAATTCTTACCTGCAAAGGTCGCCTTTTGCCTTCGATTGGGCAAGGCGGTCCTCCGGACTGGTTGGAAGGAAAAAATCATCCTCGCTTCGCTCCGGTATTTTTTCCTTCCAAGCCTTGCGCAATCACGGCAAAAAGCAAACCGGGGCATATAAGAAATAGAAATACTGGCTTTTGCCAAGTCGGTCATGTTTAATCAAAAAAGAAAGATT" ]
[ true, true, true, false, true, true, false, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 17, 19, 21, 23, 25, 27, 29, 30, 32, 34, 36, 37, 39, 41, 42, 44, 46, 48, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 92, 94, 96, 98, 100, 102, 104, 106, 108, 109, 111, 113, 115, 117, 119, 121, 123, 125, 126, 127, 128, 130, 132, 134 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 18, 20, 22, 24, 26, 28, 31, 33, 35, 38, 40, 43, 45, 47, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 93, 95, 97, 99, 101, 103, 105, 107, 110, 112, 114, 116, 118, 120, 122, 124, 129, 131, 133, 135 ]
[ "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122959|+|1336:2280", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122960|-|2454:2630", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122961|-|2764:3615", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122962|+|4383:4718", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122963|+|4795:5262", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122964|-|6045:7043", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122965|-|7141:7320", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122966|-|7487:8416", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122967|-|8419:10683", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122968|-|10676:11899", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122969|-|12070:13284", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122970|+|13564:13716", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122971|-|14713:14898", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122972|+|14991:16208", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122973|+|16332:17528", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122974|+|17531:18040", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122975|+|18018:18380", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122976|-|18517:18798", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122977|-|18803:19090", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122978|+|19430:19738", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122979|+|19719:20951", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122980|+|21150:22262", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122981|+|22419:22802", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122982|+|22768:23691", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122983|+|23696:24421", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122984|-|24464:24796", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122985|-|24927:25337", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122986|-|25300:25539", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122987|-|25550:26140", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122988|+|26245:26580", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122989|+|26626:28011", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122990|+|28021:28665", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122991|-|29271:30350", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122992|-|30584:31621", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122993|-|31654:33096", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122994|-|33127:36423", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122995|-|36581:37579", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122996|-|37640:38230", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122997|-|39126:41282", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122998|+|41470:42366", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510122999|-|42379:44439", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123000|-|44442:46721", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123001|-|46733:49675", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123002|-|49705:50727", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123003|-|50817:52436", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123004|-|52482:55535", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123005|-|55575:57602", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123006|-|57806:61843", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123007|-|61927:63912", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123008|-|63909:67250", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123009|-|67263:68648", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123010|-|68843:71245", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123011|-|71420:72655", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123012|-|72752:73636", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123013|+|73921:77388", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123014|-|77537:78718", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123015|-|79043:79903", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123016|+|80170:81342", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123017|+|81342:82097", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123018|+|82746:86087", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123019|+|86109:87674", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123020|+|88093:88365", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123021|+|88489:89652", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123022|-|89800:90243", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123023|+|90366:91643", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123024|+|91654:92382", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123025|+|92719:93204", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123026|+|93191:93703", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123027|+|93690:94220", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123028|+|94217:94675", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123029|-|94930:95601", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123030|+|95932:97731", "2510065017|RJ2H1_RJ2H1-contig-018.18|CDS|2510123031|-|97826:98365" ]
[ "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000001|+|2281:2453", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000002|+|2631:2763", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000003|+|3616:4382", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000004|+|4719:4794", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000005|+|5263:6044", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000006|+|7044:7140", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000007|+|7321:7486", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000008|+|8417:8418", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000009|+|11900:12069", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000010|+|13285:13563", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000011|+|13717:14712", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000012|+|14899:14990", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000013|+|16209:16331", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000014|+|17529:17530", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000015|+|18381:18516", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000016|+|18799:18802", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000017|+|19091:19429", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000018|+|20952:21149", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000019|+|22263:22418", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000020|+|23692:23695", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000021|+|24422:24463", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000022|+|24797:24926", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000023|+|25540:25549", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000024|+|26141:26244", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000025|+|26581:26625", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000026|+|28012:28020", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000027|+|28666:29270", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000028|+|30351:30583", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000029|+|31622:31653", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000030|+|33097:33126", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000031|+|36424:36580", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000032|+|37580:37639", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000033|+|38231:39125", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000034|+|41283:41469", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000035|+|42367:42378", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000036|+|44440:44441", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000037|+|46722:46732", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000038|+|49676:49704", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000039|+|50728:50816", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000040|+|52437:52481", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000041|+|55536:55574", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000042|+|57603:57805", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000043|+|61844:61926", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000044|+|67251:67262", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000045|+|68649:68842", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000046|+|71246:71419", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000047|+|72656:72751", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000048|+|73637:73920", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000049|+|77389:77536", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000050|+|78719:79042", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000051|+|79904:80169", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000052|+|82098:82745", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000053|+|86088:86108", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000054|+|87675:88092", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000055|+|88366:88488", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000056|+|89653:89799", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000057|+|90244:90365", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000058|+|91644:91653", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000059|+|92383:92718", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000060|+|94676:94929", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000061|+|95602:95931", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000062|+|97732:97825", "2510065017|RJ2H1_RJ2H1-contig-018.18|IG|IG_000063|+|98366:98483" ]
[ "MNTQLLKKLYSIHSPSGKEQNMIRFLRSYIGTLPGNISVSQDRYGNLYVIKGTGENYPCLVSHIDQVAHCHHSKDFKAIETKDIIFGYSPGKRRFENPGADDKNGIFICLECLKKYDTIKIAFFREEETGCAGSSNADMPFFNDVRFVIQPDRKGNSDLITSIGFSELCSDEFIKAVKPEEWGYKENNGLLTDVTVLKGNGMGVSCVNLSCGYYNAHSDHEITVKKDLMKGLLFVEHIIEDCTAVYPHTGIFNDRYECEDEIHDILRQDPALTPEDLQYMYATNFPHLKPEDYERICQDYRTLWAGNEQDREHP", "MNELLTQIQDVYAAFSTDAALQIEKNNKTAGTRARKASLELEKLMKEFRKLSLEESKK", "MNIQEAKKIRLVDFLGGLGHHPVIQRGNSVWYKSPFRMEKEASFKIDLRKELWYDFGLGKGGDIITLAKEIYQTNDISLVLRCIEDKRAVLKPVTISCPVEEAAPALQELKIRPLANRILLAYLKERCIDVETAGKICREASFKRNGKNYFAIAFPNISGGYEVRNRFFKACISPKDITCIMNGRKETGRCYVFEGFMDYLSLEPAFPLWAKGDCLVLNSVSNLPKAFPFLSRYDDIYSCLDNDAAGNNTVMAMREKYGGRVHDLSQEYAGYKDLNEYLCRKR", "MEQLFDAILEIAGKNPDGFTVDLTTLKKVTKGISVAYLETQDSFGEEGLKRVLKHALVHEKKVGGWLNEENGQFYFDSIRIFTNMDEAIRFGRENKQLAIFDLTHLRLVKL", "MKNLELLPLSDESKKRLAEFAKQYRRMAHIVVEIVSYSDNRLIVRIEQKDMVNNILLSKKELMERAREMFKGEIPDDWKLTVSAVNFDRKDIDNLTIESIKSKMERLGLRSKHLSNYTGIDKCTLSSLFAGDKELTKWHKVAFYYFFKFYEVARF", "MWLLGKLYPDFRTISDYRKDNKGSITKVFKEFNKFYMGLKLFSKSYISIDGSKFKAVNVKDNNFTLNKLDDRIRRLDEHISLYMEELDAFDRKEERKLSKDELQHKLEVCKERKERYEEYRNTLEESDEKQISLTDPDARLMKSNEGFCVGYNVQRAVDADSNMIAGFQITNNPTGHGQITSVAIEVKNDYDVEILEITADKGYECPEDHTEALASGIIPNVIQRDGCCREFVEFEYLASEITDEQKSSKKPVDLKACLQAGVIPDAYKGILTDAEKTEIRRRMILTSDSDILRMNPDEMRKKALEGFFVRDAERNQVLPTRRNSSTEIRQA", "MAYKKGEDRRQKVFFPDCIDEYVEGDAPVRLFDAFVDSLNMTALGFVRSVPKYTGYTGL", "MKYNILWVDDRKDEFVELDYPTVLKEYVAKLHFEPSIDFFESVEEAKEIIRMAKYDVIFSDYNIGDNDRGDNFIAFIREQNVNTEILFYSALETVPKLNIDRISFFNIPTPNGNPKLLEKMKAMIDLTVEKLADLQIIRGIVMSEVSELDVLMENIIHKYFVESASEERTQLFHKHITTDVEKSVKKKLITKDCQRQCEHKWRGEKIENIISSLEFESSKKAKSIHHIVKNLEIEGFNKESFYSDYEKDIIIMRNNLAHCVSYTNGDKEVLKVKKTGVSDIIFDLEVFESIRANTSKYKSIFNKIYQAL", "MTEVQFRISSFLKDLIGRELITDEFVAVFELVKNSFDANAKTVKVIFEHQYDPENAKIIIWDDGYGMNLNDLNNKWLFVAHSDKRDGSEDKDYRDKIQHRRIFAGAKGVGRFSCDRLGSYLNLITLKKEPNAKIETLSIDWTSFEEDSNKEFIDIKVAHQTTQSVPYTNFTHGTILEISGLRDVWDRSRIQNLKISLEKLINPIQENEADDFSIEIIASNEILRDQKETDKRKIVNGVIRNVVFESLGLKTTQIKVAVIENGGVIQSTLIDRGVEIYSLKERNLYHKLYDITISLFVLNRAGKMNFKKIMGVNSVEYGSVFIYKNGFRIYPFGEEGDDTLQIDRRKQQGFYRFLGTRDLIGRIEINGEKSNLKETTSRDGGLIKNESWEQLYNFFYDKALKRLEAYTVGIIKWGDEKFDKETGEIIQPELRPEDVKDKIFDIITSLTRAKDVLDISYNKDFLQIYEAKQEKSAVQLVKNLTRIAEETNNPAFVKQAKAVARQVSQLKQAKEEAERENEEIKQEVDTISQKIKLVTSENLFLRSDVTKDVQQLENLQHHITHTSNLISEIALQAIDAIKNNKLELAIKKIDKLLIKNEEIATLSNFVSKAKFDTKVKKINGDIVSFINEYLVNVFSTINTKIKIKLNDCDKHYTMKFIPLEIIIIIENLLRNSIAAHADNVKISWDIKEKPTLIYRDNGDGISDDILEHLFEYRFSTTGGGGLGMCHIKDILQNMNGMIDINNKLAKGVEFKISF", "MTKREKHTVIDLFAGCGGLSLGLYQAGWSGLFAIEKNPFAFETLKANLIDNKKHFNWPQWLPQTPHDINEVLKKYKVQLEGLRGKVDLVAGGPPCQGFSMAGKRVEDDIRNNLVFSYIKFIDLVRPKIILFENVKGFTYAFDKKKNGDAIPYSQKVIKGLKGLGYNVKPHIIDFSKYGVPQRRNRFILVGVQKSIGTPDKFKSMLDSYKDSFLQKKGLTVNTTLREAISDLLQSNGEIPTPDRKGFKSGIYGIEESNYQKLLRGDYTESHIVPDSHSFAKHTEDKSICYKNLLANYEIRGKRIDGDARKKWNVKQRSITILDPNTISPTITGHPDDYLHYCEPRIMTVRECARIQSFPDWYEIKKKYTTGGKMRKIEVPRYTQVGNAIPPLFAELAGFVLKQIIKYD", "MNKTALDQYEEIVTDALKSCSAKLRKSFKTAFIQLMILYMVLPRKINFTQMGRYSDSSEQCFRQLFEHEFDWMQFNLFLMRQRFGESVRKAIAIDASHISKSGKKTPYIGKFWSGCASAMKRGLEILGIAIINIDSRDCMMLRAEQTPSKAYLEKQGENYNLVDWYLDVLRKYKDKLLGITRYVVADAWFSKAKFVNDTCLMGFHVISRLRDDAALWYSHSGVHTGKRGRPRIKGEKIDFEKLDLQRCEILDIEGGRAYSVKAYSKAMKRNIKVVVHYPKSGGHKIYFSTDLDMSAKGIIEYYRTRFQIEFCFRDSKQFSGLNDCQARDLRKLDFAFNASLASVNIAKFMRQQYYPSLSIGLLKAYLSNIYMLKRIFSRSGLKPNRTFNTKLIKELFGIVAEAA", "MFLKLTPFYYASNVTNGGCLRITAKDLAMILALTAFIADALCSPSTITLL", "MLCGGTFRAFKTSPLNKDVWFASIPLILPILGKEKSLNFFEIQGFTSFAFLKSGATRNRTF", "MERKRFSVLFFIKRSKLLKNGEAPVRVRVTYDRLYVELQLKRSIKVPLWSQEKEKSTGKDRNSVELNHYIDALRVKFYQIYQDLELEGKIISARAIVNRYQGKDETFKTLYNVFKEHNDNCRKLIGTDYADITVRRYDNCLKYLMELVKRNYKVDDILLREVNGELVRKFDLYLKTEKHCAQNTVIRYMKCFKKVINLAIANEWLTKNPFAGIKFHEVEVNKQFLSQAEINRIWQKEFRIERLELVRDVFIFCVYTGLAFIDVYNLRPEHVSEDSNGNLWIVKPREKTNNLCNIPLLSIPKQILKKYKDNPYCMDKGTLLPVPCNQKMNSYLKEIADLCGIKKNLTTHTARHSFASVIALANNVSLPNVAKMLGHSSTRMTQHYAKVLDQTILRDMQAVEKQLSV", "MDNIDIELSKVIDAAVQSSIDIGQVASLKDLYKEKKNSLNLSDRQIQKILGMDSKTINPILNGTAKQINFINVVKLAHFLGLSVNDLIKVYVPELAPKQIGEIQRAREAGYIVENFDVSILVKMKFFNSNASSKDMSDKIKRFFDIDNIYSYSENSLLPVFSRSKRNSNDLMRNFWIQSAFIQFKSIANPNPYIRKELVELIPKIRPYTRDIKNGLIRVLKALFRIGVTVIYQPSLEKIQVRGATMIINDKPCIVLSNLQNNYPTLWFTLLHELHHVLFDFDEIKKQTYHISNNEGDIFLMNEEQADNFACEYLLNESRLKFASGYITSHYNIEKLAKEWGVHTSIIYAMYCYKTNEWAFYNKYIPKMNEALELINTHPFEKETLMESVQQIKEFLYN", "MEEKKKKKSQEELEELQRKQEQDLERAAILMKADEIKEETFDFDVNGQIELKNELADMVLEQIDDPEAKYNLYYNVVNRLLRKYLPKGDTYKDARDLIYEEKNTFLTRGHRKDAQGIRGADGRMSYISDINELVNIITEWISNKGTMFDLYTQIRDLNISKGYGAPQSK", "MVLHSQNKMNRAIYILIISILTFLCLHSCTVDIDPKIANTAFGLTAIKYEYIIMILSLCSGIFCIIGGIILTILGFTGNINWIIEGTDFTSKLINASPGVLLMVIGALIIIFKRLKIRSK", "MDLITKDSETTLVLFSSLDRVLENVEYVVMNYRPVLNGEHYLTGDEVCRRLCISKRTLQDYRDTGLLGYVQLPGKIIYRESEILDLLERHYRK", "MEIVTIEKKTFELWKQRFENFVGRVDALCVPLRRKRDKWLDNCETCRLLNVSARTMQTYRDTGKLPYSQVNGKIYYKASDVEAFLLDQVRDNSKK", "MNNPFEEIFKRLENIEKMIAPVTGAQPEERQDGKEPVLVKISVASGITGYSVNYLYHLAGKGMIPCVKRGRTLRFDMEELKKWMQQQYVPASNRLPDEKEKR", "MKKKRGDETRHIEGWQSKNERIESLLNVLYDFRFNTVKSRTEYRAAGSSDLYQPVTKFALNTFRRRLDATADIATSTDNIRMILESDFARKAHPIQEYFNALPLLNPAEHGHIGRLLNTVQVANPGKWEEYFTKWLIGVVANAMNDTGCQNHTCLVLTGDRQGQFKSWWLDNLCPTPLKNYLFTGKIDPQGKDILTLIAEYLFINIDDQLKELNKQNENALKNLITTPAVKYRRPYDIYIEEYPHLASFMASVNGNEFLTDPTGSRRFLPFEVLHIDKPTAENIRMDNVYSEIMYLYRQGVRYWFNDAEIEELHLTNAEFEVQTIEFEMLTQYFEKPTEEEEALFFMTTAQVLAYLRNISPVQLSEKRLGESLRKIGFKRVQKRINNNHYPVYGYRIKPVPASRTGDDYG", "MYYNPLKKKKIDVVVSRASSYSLLASLLLSLNKKIKIMTYKEANNISIKDYLNSLGIQPVTEKGSYGMYRSPLREDNTPSFKVDYNANLWCDYGTGEGGTLIDLVMKQNGCNAYGAICRLEQGDTASFSFHGKGLPERDTKRQAASPIEIRRIQPLQNPALMRYLQERGISPGTAAPYVQEMYYRIGGKPYFALAFRNDSGGYELRNPRFKGSTSKDITHIRQQGEPRDTCFVFEGFMDYLSFLTIRQRESPGMPCTDWQDYVILNSTANVDKALYPLAGYGHIHCMLDNDEAGRKAVEAIRQEYKWRVRDASHLYSGHNDLNDYLRGIKASQPEKRGFESRMKQPGNISQPEQGNRQNPGEKRKRGLRM", "MTGIRNKPGGRPAKSRIDKQNRVVSTKLTELQFYAIRKRATEAGLHVSEYVRQAVVSAEVTPRLNRQDADTIRKLAGEANNINQLAHRANAGGFALVAVELVKLKNRIVEIINRLSDDWKNKKGKRI", "MIGKIKKGSGFKGCVNYVLGKEQAALLHAEGVLAESNRDIIRSFILQAGMNPDLKKPVGHIALSYSPVDAPKLTDGKIIQLAQEYMREMKITDTQYIIVRHQDREHPHVHIVFNRIDNNGKTISDRNDMYRNEQVCKKLKAKHGLYFAKGKEHVKQHRLREPDKSKYEIYNTVKNEIGESRNWQQLQTRLAEKGIGIHFKYRGQTGEVQGISFSKGEYTFKGSEIDRSFSFSKLDKCFGDVGLNTTESNRQTISAPVQELSQTPGKADSPLLAGLGGLFSTPSSPADDTPDNPGERKRKKKKRHLKL", "MEENLILEGLLSMVTELKERQEKQVTPASREETINRLDVIEQRISEMQGKSGITENTVREILNQIGSIGKGQSENQKQDLEDIKGLIVTSHRYFKERLKVLFPADDTTTGEAMPVSWYGKLTYRVTPYLKPKFFLLSAGIIICLVSLALNVRFIQRMQRLQDNDIKYRYILMKGKADGSSLDLLETRFSRERDNDFIRSLTDSVKGFEYRSRKQAEALERARLLNEQAEQLREQADKLGKP", "MYIDNDDFSVWMQKLYAKLEELCKDVRVLRNADRVLPEDDNLLDNQDLCLLFKVSIKTLQRYRAIGALPYFTISGKVYYKASDVREFIKERFSVTTLRQFEKEHCTKKKK", "LGKRKIPFTQFIDEAIGIINTEIYIIRLRIKYPEQFRTHSNTQPLSPLYLADKTTLINIMEMVSGLFLSKDIVYQNGKPAYLVDLAKAFEWLFNIKIGDCYQKHEDVIKRKPGKLTGFLNGLVELIKKEHDKKGYR", "MKRILELSIFQLLSEYTQHKASVAELTDAINELTAYLVEISTVEQDYAVLLRFYSMGLNKLKLYRMQFGQKENTLYAIY", "VGNIKSIKMKKQKALILIDLQNDYFEGGLYPLVGSLDAVLKAQKLIHYFRLNSLPVIYIRHISSRQDATFFLPDTIGAEIHSSVAPIKNEDIIIKHSPNSFYQTELNNLLQSKNITDVVICGMMTHMCVDATVRAAKDLGYKCTIIQDACATKDLEFQGFKVEAEKVQAIFLAALTPFYATIISTDEYIKSCLTTL", "MNKNIKKDICVLDFAFQRIGGKYKGRILWALSKHTVMRYGELSREISDISTKMLTQTLRELEMDNLIHREMYPQVPPKVEYSLTKTGKELIPFIKYLVDWGYKKMAEENEN", "MLNTETIQSLIDSGEGYNVEFKVRVPSKVRELTEEICAFANADGGYLLIGVDDNGQVVDTNLENDKRSAIQGSISEISPALHCELYSVNIVNKTVWVIDVPSGKDKPYIFSGSIYVREGANSQKLRTAEEMRSFFQECNKIFFDHIPCHWFNIYTDADEQMIKDFRTEAKLSPSTPDKQIFENLELFTENGTAKNGAAMFFGKQPERKFPHATTRCVLFKGTNKVYIIDDKTFGGSLYQQYLQAIAWLESKLQVAYKIEGTGPREEIWEIPLTVFKEAIINALSHRDYYEQGASIMIEMFDDRVEISNPGGLLPVVAKDFGHKSMTRNPLIFSLFTRMHLVERVASGIPRMQEAMREANLPEPEFHTEGMFTAVFKRQISNSANYDTVNGIVNDIVNDTINENEQAILNLLVTTPGLNASEISKHINKSLRTTMRYIKILQDKGLIEFKGAPKTGGYYILI", "MEQNFLESNFLQTIIMTITVCVTAIIYWNNKRNALQAAATILKLQIQDIEENIETLKAEAIVGNSLSEQPLYYSRIIFEENSWLKYNHMFANKLKASDFETIDKFFKVAQEIKTQQIFIKMKIQDSINTKCSFYYLQQYNRINQTVSDIRENREQLCTFDLQYAKTLYNTPALSVGTYIHQELCNGLEKGLNRYQKLSGSIAFQKLCEVGKIIR", "MKNTRLLFVIWMLFLVLPQGYSQEKKEDSSVLGQVNGFLSKHVKLTAYGQFGYSYTDRKDLDVSQADNQFFGRLGMLILSGDITDKLSWMVQYELFTSQLLKLYACYKPYSFFQVKIGRMKTCFTLENQMSPSVYETVNFSRVIERLAGFSGDVCGNQGGRDMGLQVGGELFKTSVDDYFLEYRVGVYNGSGLSMKDHNDAKDFAAWFTVQPVKGLKMGASAYIGKLNDDYTVVNDETGEETIYNTNMKRNRMALSTCYQTHRLTCRGGEYLWGKDGSINRRVFYALGYWFAIPSRLAVVAKLERYEADTAVSDSEMIYTVGGAYYITPKTRIMLNYAHFSYEKSVSVNELWAMSQIGF", "MKNRIVIFVVFVCCLWTMSGIVRAQLSVHQFDQLMKKIDDVLWYEKVGDIAHVDKVILCGPPRWKESNPTSMSAGNELKFRAYIFIPKSVKENKKYPLIVFPHSGVHADMDTYYAHIIRELIAQEYIVVAADYRGSTGYGAGTYNNIDYGGLENEDVYISRNYMVDNFDIVDGSRVGIMGWSHGGMITLMNLFNYPGQYKCGFAGVPVSDVIMRMGYASDSYRKIFSAKNHIGQTAKDNIAEYKRRSPVWHAEKLKDPLLIYTNTSDDDVNVVEVESMIRALKAEGKKFEYKIFERAPGAHSFDRLDTYESSKIRLDIYKFMGRYLKPNKPFGSVKELRKAAYKF", "MKKILFILGFVSLLVAGCSDFFDTAPSNKIPTTMAFRTVTDVDNAVNGLYDLMSGSGYYGAAMFAYGDMKGDDMQSSEESGVCNTCYMFNHRPNSLNAGSLWGRPFYILREAWNILNAIAEGKIESGDEKKLNALKGETMAVIALCQFDLTRCFGYPYTKDKGASLGAPLIDHLVGTYENPPRSTVAQAYDFIIETLEEAVTLMSEEKNNGRMNKYAARALLARIYLYHDDNRKAFDLADQLIKDADTSGSYALYPHEKYVAAWSVEAKFGSESFFEIANSVDDTPGRDSWGYLLNWYGYQKGFVTQKYAEQMLADPGDVRGQLLEENKYAGKTVWWLYKLRGTDLKTAPLECNNVVLRLSEVYLIAAEAGCKLGGDAAVQGLGYLNEIVKRGNPDNEVTMADYTLDRVLDERSKELVGEGHRFFDLLRNGKTIVRKGGYHLPSVDEEVDWDFYKCVLPIPEDQFIFSPEMEQNPGYPKN", "MKARTKMKTLLLLGVLLFGFTVSAQSQKVSLDFKNERVEKVLASIKSQTGMSLVFSDQLVDVNRKVTMQLKDVTLKEALTRLLSGINLTFEIRNNKIYFIEKKAVSQPGSRKKRVTGVVKDVMGEPLIGANVVEKGRSTNGVITDFNGKFTLEVDESASLVVSYIGYLAQDIPTKGKGDFHIILKEDTNTLDEVVVTGYGDFKKATYTGSASVLTTEKLEALPVVSVGQMIESNIPGISVVAGTSSQPGAKTTLRVRGVASMNASTEPLYVLDGVPIPSYDLSNFTSMSEAGGMGFIETLNPADIESITVLKDAASASLYGAKGANGVVLITTKKGKEGKLRVNMAAKYGITDFAYTYRPLMGGEERRELIHEGLVNFQLDKGVSEQEAQQYADANIDQYAKRLPQGYSDWESALFKTGYQQDYNLSASAGNQNSSFIGSLGYTKQTGVSLNSEMERFTGRVDASNKYKKVEFGMNASFSWTKNVHLPEGKFYGSAIYASKVNLTPSTPIYNEDGTYASGYRENNGYNPILEAEVNDYYARTVRAMGTAKIAYNVWDNLKVSSVFTVDYSLTKDFFFQSPDGRDGATYQGRGRMQMTDRIRYTSQNNLTYSKTFGKHSVSAVTAFEVMKYDYEDLYAAKKTYGQDINTSLGNAADPIDADQKLQEDALMSYVASVNYSYDDKYYASFSFRRDGSSRLSPDTRWGNFWSLSASWRLSQERFMQPLKSVLSDLKLRASYGVNGNLPSSYYGYQSTYTTGAFYSGKPSPWESTLGNEELTWEKNYALNLGLDIGLFSRVNVSLDWYTRTTKDLLMSKQLNSISGFSSLLTNVGQMRNTGVELEVRSNNIKTKDFSWTTAFNLSHNKNKILKLADLPWFVDGRYVRKEGYPFNTIYLREYAGVDPETGSALYYDNQQDENGNYTKNKVTDPGQASPIPLKDITPTISGGFMNTFNYKFIDLSFNLSYSFGGYSYDNASYILQDDGYSVISNKSTEQRRRWQKPGDITDVPRFVYGNKKGGNYNSSRAIHSTDHIRLKSLILGLNAPKAWLQKLGIGNARIYFSGTNLLTWAAYDQYDPEMSGVVGFYTPPLKTYAFGLELKF", "MKIDESVIDKVLDNKAAPGEARKVAGWFVTEEGRKYLSGRLDREMEELAEEMPEDVRLPSISGMKMKQRFMSEIKRERGRRSVGRKWMWVAAVLLPFVLLSVSLLFLVNRTGIFSETEYAEISVPYGEQMRVILQDGSIVHLNSDSRLRYPKQFGLFNRTVELWGEGYFNVARDENRPFKVDLQGVEVRVTGTRFNVKAYAAEPNIWVTLDEGGILFRDHNAKEYRLVPGESAEYNRQSGKCLISRPDNMEQISAWRSNSLNFYLTPLNEIIKVMERHYDVHFIVKDSTVLKNRFTLSTGKVNASDVLYDLETVSNICFTEVEDGLFEVGTK", "MNQYPDFDQKLYDDLRRGKEYAFAAVFDRYHRLLYTIAYRFLKSEEEAEDAVQYLFMKLWEQRETFSFESGIRSLLFTILKNYILNELRHRNLVFEKLYEMAQQVNDEDEDAFLTRFEHGELRKSLRVAIDKLPPQKQKICLLKIEYGLSNQEIADRMGITVPTVKSHYTQAIKALRNAIESLIMWFPVIWIYLID", "MKERLLFLICFLCISFMLKAADKPVIKISTENVDLIYRVGDNGRLYQSYLGKRLNHATDIAHLPQGSEAYLTHGMEDYFEPAIHIVHNDGNPSTLLKYVSHTRNQVSPGVDEVVITMQDDKYPVTVKLHYVAYQKENLIKTFTEISHREKKPVQLHKYASSMLHLNRANYFLTEFSGDWASEAHVKEQPLEFGKKTIDTKLGARANMFCSPFFQLALDGKSEENQGEVLVGTLGWTGNFSFVFEVDNKNELRVISGINPYASEYSLKPNEIFRTPDFYFTYSFTGKGQASRNFHDWARKYQVKDGNQTRMTLLNNWEATYFDFDEAKLVKLMDDAVELGVDMFLLDDGWFANKYPRSGDHQGLGDWDETADKLPHGIGYLTEAAKKKGIKFGIWIEPEMVNPKSELYEKHKDWVIHLPNRDEYYFRNQLVLDLSNPKVQDYVFGVVDNLMTKYPDIAFFKWDCNSPITNIYSVYLKDKQSHLYIDYVRGLYNVLERVKAKYPDLPMMLCSGGGGRSDYEALSYFTEFWPSDNTDPIERLFIQWGFSQVFPAKTMCAHVTTWNKNSSVKFRTDVAMMCKLGFDIKLADMSKDDETYCRTAVQNYNRLKPVVLEGDMYRLVSPYGSNHTSTMYVGKDKDKAVVFAFDIHPRYAEKTLPVRLQGLDADKMYRVKEINLMPGANSSLSGNGEVFSGEFLMNVGLNLFTTQQLNSRVIEVVAE", "MPRIKDGFKGERAIVLPAFLIEELKQDPLGSELYITDIGYYPHAYFHYRKRDTEEVTEFILIYCMEGEGWFELDKHQYAVTANQFFILPEHQAHAYGSNEENPWTIYWIHFNGTKAAFFSAGFDRPKSITPQEDSRIKERLVLFEEIYSSISFGYSKNYMLYATTSLFHFLGSMKFIGEYRDCGSMRNSYRNKDVVQIAIHFMQENLSKTIRLADIAAEVNLSVSYFSNLFEEKTGSSPLRYLTYLRIQEACHYLDFTNLKINQISPLVGYEDSLYFSRLFTKTMGVPPSAYKEKKKG", "VLVFCYFFSDNKKANHVIVLLVLVCIYFLVNLQLFYMRSILFFLSFFSLTCISYAKVVLPAYFTDNMVLQQNTKVTFHGKAALGKTLKVTTGWNNEVYVTPVNKDGYWTLSVPTPAAGGPYTLTFTDGKKLQLKNVMVGEVWFCSGQSNMEMPVAGWGKVMNYEQEIAEANYPSIRLFQVKKNTSVTPLSDVEATMGGWQECSSATIPEFSSLAYFYARSLWKELNVPVGVIDCTWGGTPAEAWTSYETLKQVLGFHEELAKMEQLDFDPVRMEKAYNQERSEWQSLFSKEDKGMEEDKPCWIAPDLSEEQWQDMCLPGYWERNGLKNFDGVVWFRRSLEIPAEWIGKPLKLNLGMIDDEDITYFNGVEIARGAGYMTPRTYTIPAKLVKAGKAVLAVRVSDFGGEGGIHGKAEELYVEADGKRISLAGDWKYRIGLSLKGFPPAPVSPIQSSSYPTVLFNAMVKPWTAFPIKGVIWYQGEANVGRSEQYEDLFPALITDWRRQWRSDFPFYFVQLANFMESKEIQPDSEWAALREAQTKALKLDQVGMAVTIDIGLADDIHPKNKQEVGRRLALVALVGSYGKNVSGSAPVFRNYRIKGDKMELDFGQKQDGFQIKGTTLKGFTIAGPDRVFYPAEAMVQNGKIIVFSPEVSIPLAARYGWADNPDCNLYGENGLPVAPFRTDCW", "MCTNKFILFCMGIVMSVSSSAWAGDDTPLYKDRTAPIEKRVDDLMSRMTLHEKVLQLQNRASGRLDEIDRIFLGESYGTTHEMSMSAYDCAVMYKELQHYMRTKTRLGIPLLTSAEGIQGIIQNNCTLFPHALAQGSTFNPELIQQMTEAAGEEAKAIGIHQILSPVFDIARELRWGRIEETYGEDPYLIAEMGVAFIKGYQKYRITCMPKHFVAHGTPSGGLNCAGVSGGERELRSLYLYPFRKAIRETSPWAVMTCYSAYDGVPVTGSSYYMTDILRGELGFKGYAYSDWGSVERLMTFHHAAGSREDAARMALMAGVDLNIDSTYETLEKQVEEGRLDVAYIDQAVRRILTVKFELGLFDETYGDPKLVKKVVRNAEKVVLAKKVADESAVLLENRNDILPLDLNKYKSVAVVGPNSNQAVLGDYAWTMGDTKEAVSLLQGLQESAGDKIMIRHAEGCDWWSQDKSHIAEAVEVVRNSDIAVVAVGTRSTYLGRSPKYSTAGEGFDLSSLELPGVQEELLKEIKKTGKPMIVVLIAGKPLAMPWVKENADAVLVQWYAGEQQGRTLADILLGKVNPSGKLNVSFPRSTGNTPCFYNHFVTDREEPFDQPGTPEEPKGHYIFDKPEPLWNFGHGKSYTTFDYVDCALSDTLLAASDTLKVSVMIKNTGRCDGKEVVQLYVRDKVSTVATPIQQLKAFQKVLVKSGESQCVELSLPIAELALYNAKMEEVVEPGDFEIQIGAASDDIRFKKTITINRK", "MKNVKKTWVALALMGCMQVLHAQTVYLHSDNPQMRWKLKPQAEVGTDVKSLCENGYNVSAWVDAVVPGTAFNSYVIAGLEKDPNFGDNIHQVNRDKYDRSFWYRTTFRVPADFTKELIWLNFNGVNRRAEVYLNGTLLGKLDGFMHRGHFNVTSLVNRDKENVLAVLVHIPDTPLANQGSPTYLSSGGWDWMPYVPGLNSGITDKVFLTNTGTATLIDPWIRTNLPTRARADLSVALDVKNNSVEKTKVLVRGTITPGNIIFQKELEVNAHTTEQVKFDKRYFPQLCIDQPRLWWPNGYGDPNLYHCKFEVMVDGKVSETKDVSFGIKKYSYDKEGNTFHVYINDVPVFVKGANWGMSEYMLRCRGAEYDTKIRLHKEMNFNMIRNWLGSVTDDEFYEACDKYGIMVWDDFWINSNPNLPYDLNVFNNNMMEKIKRVRNHPSIAVWCGDNESNPQPPLEGWMAENIRTFDGGDRYFQANSHAQGLTGSGPWGAFEPRFYFTKYPDGLEGDPARGWGFRTEIGTAVVPTFESFKKFMPKENWWPRDEMWNKHYFGQNAFNAAPDRYDASITKGFGKPEGIEDYCRKAQLVNIESNKAMYEGWLDRMWEDASGIMTWMGQSAYPSMVWQTYDYYYDLTGAFWGAKSACEPVHILWNPVTDGVKIANTTARDMEGLTAEVKVYNMDGKSVEAYTQSAIVNSPSNSTVQCFTIGFNKERKNLSLNKPTFASSTTYGQPSDATDGKKDTRWAAAKAENEWIYVDLGSVQPVGGVRLDWEASFGKGYKIQVSDDAKTWKEVYKTDEGRGGVDEITFPEVDARYVRMFGIELGWWFGYSLWSFDVLGGTQPSEGLSDVHFIRLTLKDKSGKIVSENNYWRGNDRLDFTALNTLPKAELKTSSKLIRKNGEAEIQAVITLPKSAKGVAFAVHVQAVCTSDGERILPALMNDNYFTLMPGETKNLSITFDENLLQGDKYKLVVTPYNNK", "MKIAHKIETYTSDGEPVLRFTVMNSSGVYMEFTNWGARWITASVPDVQGALDNVLIGYDSLAGYLEDSYYMGATIGRFANRIADASFTIDRKTFHLEANDGNNTNHGGFSGFHNKVWQWEELPDGIRFSLYSPDGEGGFPGNIRVITDYRFNEDNELSVRHYAETDCATYINMTNHAYFNLCGKGKKITEHRLHIPADRILDTTPAFIPTGKRMNVKNTPFDFTSLKPIGTDLYADHEQLLWNKGYNHCYILKDEISVEMLEAASLYEPVTGRNMTVMTDLPAVLLYTAGYYERPDTAVCLETQFYPDTPSHSDFPSCLVLPEKAYEHCTLFHFQVQKEE", "MKKIYRYSAIYALALSFALNTSSCSDFLQVEPTGSLTEEQVFEKIDNVEPLVLGLYKSYRGCKEGRNGLMPYLGTDETQQGNYQLISSGDQAGMDKYNGQLNPTSTQVSSIWNNRWPAVVSAAKAIYALGMTTEEPERAKQLMGEACFIRGLLMFELSMYWGEIPVIDMNRTDELGLGRQPLKTVWEYIINDFITATNNLPESYNSEPQRATVGAAWAMLGKAYMAAPEETGLRDFAKADECFKTIINMGRYELLNDYADLYRYDNPNTKESLFELQFNNVYPDCNYWEFDCGSRACDSWFGQGCSFSGYDFLVPTPFAYKTVEEGGIWEDGDLRKEEALRYDFTYYTNKYSEDGTFEYVTPDLSKTQWTGTTDELEPHIKKYEDYRTDILSGLGINNMWNSGKNFSMIRYADVLLLHAECLNELGQTSEAVQIVNNQIRTRAWGGNLPEDKKWNSGMSKDEFRDKVMDERLRELCFEGWRRIDLLRTNKFVELIKERNRWAKESGTIQDFHKRYPIPDTEIKTNDAFGPEDQNPGYSK", "MKRIRLINLILIMFCCCNMLAQNITVTGQVVDVTSEPIIGASVVVKGTTNGTITDFDGNFTLSVQKGETLHISYIGYVAQDVKVMGNQPVKVVMAEDTETLDEVVVVGTSMKKSDLTGAVASVSSKVLEEKPVTNVNQALQGRVAGVFISQPTRPTDDASIKIRGINTINGSTDPIYVIDGMVMDNSFSGFNAVNLNDVASIEVLKDASATALYGSRGSNGVVVITTKKGKKGEGKVSYDGWIGFQTYANTPKTMNTRQLFELRKEAYTNGYMQTNPDGDVNAYVNDVIMGSNTAFADYEFDAYDNNKNYDWLDAVSRTGVQHNHVVSLSNGNDKGSYYISFGYTDNKGVIEKSEQEKYTGRINADQQIKSWLKVGTNTTFTRTENTLVDDGVMNRARCANPMLEISDEIETLNWQGIFDQNNFNPLRSLKVDNDLVYNRLLSSNYININPIEGLNLRSTFSIDYAQKQQNKYTPNDIYESERYGTQGEAKDDRDTRTVWQWDNSLSYEVSFGKHKLNAMVGTSATRTTYNYINATATGFGTNLFGYHSLGSGYKKDQRGLSTAWSEQTLLSYIARVNYNYAGKYLLTATARYDGSSKFAKGNQWGIFPSVSAAWNITEEKFMKNQTIFDQLKLRAGFGIVGNQNIDDFAYLSLYNVSYTGTSDTGYTNSFVSNGRRGTPDISWEKQKQWNLGVDMAFLQNRVRLSVDAFLIKNKDLLMSHSLPTTTGFSSTIENIGAIENKGLEFALNANLVRAKDFEWNFAATLSMDKNKVTRLYGDNDVVYNVDSDRNIQKEGNLFLGESRNTIYIWKTGGIAQEIDMDRLNKINWNGYNVNPGDLYPLDYDNNGQIDQNDRVVIGSTDPKFYGGFSTDFSWKGLSLNAVFSYSYGAKKLSPWYETLIGSTGSGVASTDLLDRWTPENTDAEFPRVLAGFDYNHYGASSMDFSVQKASFLRLSALTLAYTFPTKVINALKLTNLRVYATGSNLFCLTNYNGYDPETGDWYPPTRMYTFGLNLAF", "MKNNWLTLKSLFLCVSALGVSGLILSGCTENANLNVGEWSLEYDAHANGIDISKGSKLIYDNVYAAYKLADSVVSTRDYAKHHVSTKKINDYFGEGYHYEVTYTGNNLPALVQSFYVYPAKDYVLTDFTLESTTEIASNYMAPVNVDRMPEVLNQGENNRALFIPFDNDCWIRYQSHPLTFTELTSYEVTAIFNNDDREAVVVGSVEHDSWKTGITIGKGNIYNVGSLVCYGGIADKTTRDSKPHGALKGTKIKSPKILVGFFEDWRGGMEEYAQANAVIAPPKAWGKAVPFGWNSWGALQFNLTYPKALEVSDFYKENLQSRHFVNSDNLVYTGLDSGWNSFSEEELKAFVDKCKANGQVAGVYWTPFTDWAKNPEREIKEMPGYKYKDVYLYANGKPQELDGAYAVDPTHPAIEAMMKHTSELFHRAGFEYVKMDFMTHGAMEADKWYNPEIQTGIQGYNYGMQLLDKYFGDMYINLSISPVFPAHYAQSRRIACDAWNKMKDTEYTLNALSYGWWQDKVYQFNDPDHIVLRDATDGENRARVTSGVITGIFIAGDDFSKGGSKEVKEKAMKYLTNAEINAIANGESFHPVDGNGEKSENQFVRMDKDGKAYYAVFNYMDQELKMTTALERLGLDSSKEYRLKELWSGIESTAKTNLDVTVPAYDVAIFKVEE", "MKKTLLILCCLYVVFTLQAVDKGLSNFYFSHITGENGLSQSNVKAIIQDSYGFMWFGTKNGLNRFDGTSIVQMNCDDYVVGTGNHNISALFEDKERQLWVGTDRGVYRYNPALDIFTAMNMETEEGVNMNNWVSNIVADSIGNIWIVIPDQGVFRYKDEKLYFYEVTNKEHFKTEAPDCILVRPDGDVWVGTWGVGLFRYDRNTDKFEQYCVDKTGRSLKGKNINSICNYGDWIAMAIHEGELMKYNPSTNQLVKIDIPEANHTFVRNVACFDDELWVGTHEGLFVVNERKNKRVHLKQDLMRSFSLSDKIIYTIYQDREGGIWLGTMFGGVNYLPHHDLLFDKYVPGSDGRSLTTKRIREIASDNKGNIWVGTEDDGINILDIASGQVNRLRLDDDDKRSHMVTLGMFVKGNQLFCGLFKQGLDVIQLPENKVYHYTPEELNIGEGSVYTFFIDEAGYKWIGTGWGLYKAAPASFHFEKVEEVGFDWIFDAFQDKDGMIWFASMGSGLWKHDPGKNSFKKYTYEEGKENTLGSNSVSSVMQDSKGRIWISTDRGGICRYNSQTDDFTSFSIKDGLPDDVAYKILEDEQSNLWFGTNRGLVRFNPESKDVRVYTTKDGLLGNQFNYKSALKGEDGKFYFGGIDGLIAFDPNSSEKINFLPPVYISKFSIYNQEITVHTPNSPLKKCIEHTDEIVLPYDQSNISFDVALLSYSTTESNQYYYKLVPLDKDWIRAASNQNISYAKLPPGNYTLQVRATNSVKEGPYATRSLSIVILPPWWQSVWAYFLYIIWGICVVLCWFFWYKRRKEKQMEEKQKLFEVEKEKELYESKVSFFTEIAHEVRTPLTLINGPLEAIEEMDIKDQKLNKNLKVIGQNTKRLLDLTGQLLDFQKIGASKFELKFETVDVTALLNETITRFEPTILQKQKELLQHIPQERIMAAIDKEAITKVLSNLLNNALKYARHTIMIDLFQDGADFTVRVVSDGEKIPEASSQQIFEPFYQVEKKKDTVRMGVGIGLPLARSLATLHKGRLYLDIEQPENTFVLTIPLNKEEVRQQIEKVVEQNIEVLDEETSTETDQMKGYTLLLVEDNESMRSFIFERLEALFTVETAVNGQEALDILRSNHIDLVISDIMMPVMNGYELCKEIKADIDLCHIPVIFLTAKNDLESKINGLKIGAEAYVEKPFSFNYLKTQILSLLSNRRKEREAFSKRPFFPVHNMQMNKADEEFMNKVINVIQENISDENFNVERMADILCMSRSSLLRKIKILFNLSPIDFIRLIRLKKAAELIQEGKYRIGDICYMVGINSSSYFSKLFLKQFGMTPKEFEKQYQTSKEKVKIDLTGISD", "MRKKNFFLLLVTLCLCIFAQAQMLSVYELSSPNGQLVLTVENGRVLQYSLSLEGRSVIHPSAICMTMQNGNIWGKNGEVVGTSTSSTSEKVYPVAGNYRELTDHYNELSLKFKDGYSVIFRMYNEGMAYRFCGNLPEQDSLIVVDEEASFNLADDPAVILPETTNFTAWELSNVLYEGISKIEEHKYGITPTLFTNKVQNVRVVVAESDLNNYPGMYLRKEDGKMKGYWATYPKTIEMGSWGNFITVVKERENYLARTAGNHAFPWRMAIVAKDDKELLTNEMIYLLAKPQQIKDTDWIRPGKATWEWWHCAILEKAPFPSGHQNLSTQMYKYYIDFASENKIPYLLVDAGWSNVFNHADLNKNIDIKEVIRYGKEKKVGVWLWTVAATLFQHPHCYLDSISKWGAVGVKIDFFDRDDAQIIPQYENLAKACAERHLMVDFHGCSKPTGLHRAYPNILSYEAMRCAECFKWDTTSNPDYQLQCIFARMLGGGIDYTPGSMRNSTLEKFKPIDPGLPSSLGTRSHELALFVVLSAPFACLCDSPDEYRKYPDILKYLAEVPTSWDQTIPLAACVGEYAVLAKQKGNTWYIGGLNAWGERTIQVDCSFLPQDKKYTIEIFQDKKRSNKNANLYEHKIMDTDNKNIIEMFMASGGGFVMVIREK", "MEKITQYLIQSTVHGSEVRAWEEDIMLPTYEIGEEEKNPIFLEKRVYQGSCGAVYPYPVVEKISDKKADKKYHALFIENEYIKVMVLPELGGRIHMAYDKVKKRHFVYYNQVVKPALVGLTGPWISGGIEFNWPQHHRPSTFLPTDFLIEENADGSKTIWCNEVERMFRTKGMQGFTLYPGKAYIEIKVKIYNRTSFPQTFLWWANPAVVVNDHYHSVFPPDVNAVFDHGKRDVSSFPIATGVYYKQDYSAGVDISKYKNIPVPTSYMAIKSKYDFVGGYEEDVRGGLLHVADHHVSPGKKQWTWGNGDFGKAWDRNLTDEDGPYIELMTGMYTDNQPDFTWLQPYEEKSWVQYFMPYSEVGYVKNATKDALLNLEIKEGKARLVLYTTGANSGVRIIVKAIKGTVLLDKTTQISPSEPFITTFAAEGLKEEEVCAEVRDKEGQILLSYQADKPEIRPVPDPAKAAKDPQNIASVEQLFLTGLHLEQYRHATYNPMDYYMEALRREPGDVRCNNAVGLLLMRKGQFAMAESYFRKAVETLTERNPNPYDGEPYYNLGWSCMMQQKWDEAYDAFFKSAWNAAWQDAAYYALAQLDTRKGKYESALDKIDRSLIRNWHNHKARQLKTSILRKLGRKEEALALVAESLQIDRFNMGCRFEHYLLTRDVKVLEEMKELMRGWAHGYIEYALDFAAAGLYEEALSLLECHVTGTTEIYPVVYYAMGYFHTCKGDESKALEYYQRAEKENHSYCFPNRIEEVLILQDALRLNIHGAKAAYSLGNFWYANRQYDNAIACWEHSAAINPAYPTVWRNLSLAYYNKRDDKQKALETLEKAYALDEHDSRILMELDQLYKRLGRPHSERLAFLEAHLPEVEQRDDLSIERITLYNQSGRYAEAKELIAARNFHPWEGGEGKITGQYVLCRVELAKIALSEKRYADALVLLDETDAYPFNLGEGKLANAEENDIWYYKGEAFRGLGNEQQAIDCFTKATIGSSEPQQAFFYNDQQPDKIFYQGLAWRALGREDKARSCFNKLIKHGEKHLFDHCRIDYFAVSLPDLAIWEDDLDKRNCIHCNYVMGLGYLGLREMGKAIDFLDKVRELDINHQGGQIHRNLCAV", "MKTQSNFYLFLIALISAMGGFLFGYDWVVIGGAKPFYEQYFGIAGNPVMQGWAMSSALIGCLFGALSAGKLSDRLGRKPILILAAGLFICTAVGTGAVHSFTLFNVFRLIGGFAIGIASSLSPMYIAEIAPAHLRGRFVSINQLTVVLGILTSQIVNWQIAEPVALGATHEMIRESWNGQMGWRWMFWAMTVPAALFFVFSFILPESPRWLAFSGKREAALKVFTRMGGKEYAVTELAAIEAASACQTQEGGFRQLLNPAMYKVLTIGVVMAILQQWCGINVIFNYAQEIFMAAGYGVSDVLMNIVVTGITNVIFTILAMFVVDRWGRKALTLIGSFGLAVIYAFMGAAYYFHITGVVLLIIVVMAIACYAMTLATVMWVIISEIFPNRIRGVAMSVCTFALWAACFILTYTFPMLNSGLGAAGTFWLYGLICLSGGIFVVFRLPETKGKSLEEIEKELVK", "MKHFKTYLAAMALALSGCQSATDSCGTTELWYAQPAKVWMESLPIGNGRLGAMTYGGIEEEKLALNESTMWSGQYNENQNKPFGREKMNQLRKLFFEGKLSEGNRIAGDNLHGNQTSFGTHLPIGDLKMQFIYPEGKVTGYRRSLSLDEAISSVSFNSGGVNYKREYFATNPDNVLVLRLTADKQKSITMNMGLDLMRQADLSVEDNQLVFTGKVDFPLHGPGGVCFEGRIAVLADNGEVKMEQSGVGIKEADAVTLIVDVRTDYKSPDYKKLCADGVKKAIAKSYDELKQAHIKDYNTLYNRVSIHFGQDANRALPTDVRWKQVKEGKTDTGLDALFFQYGRYLTIASSRENSPLPIALQGFFNDNKACNMGWTNDYHLDINTEQNYWAANVGNLAECNAPLFTYIKDLAHHGAKTAEVVYGCKGWTAHTTANVWGYTPASSTIIWGLFPMAGSWIASHLWTQYEFTQDKQYLAETAYPLLKGNAQFILDFLAKDPKSGYLMTGPSISPENWFRTVGGEEMVASMMPACDRELAYEILSNCVQASEILDTDREFADSLRTAIVQLPPIQLRANGAIREWFEDFEEAHPNHRHTSHLLALYPFSQITLEKTPELAEAARKTIENRLSAENWEDTEWSRANMICMYARLKDAQEAYKSVQLLQGKLSRENLMTVSPGGIAGAEGDIYSFDGNPAGTAGMAEMLIQNHEGYVEFLPCLPIEWKDGGFKGLCLKGGAEATAEWTNAVINKASLKATADQVLKVKIPQGKKYRVLLNSKEAIANPDAKGLITVDMKRGDLLELL", "MSDVNGEYMAADYTTRKVADNEVHYSTFSLWDTFRAAHPLYTLLHTDRIPDFIKSMMRQYDYYGYLPVWQLWGQDNYCMIGNHSIPVIVDAVLKGVAGVDAEKAYEAVHSSSIVSHPNSPFEVWEKYGYMPEDIQTQSVSITLEQAFDDWCVALLARKLGKEEDYGRFMKRSAFYRNLFNAETKFFQPKNKKGEWMEPFDPYKYGANGGYPFTEGNAWQYFWYVPQNIPDLISLTGGNKAFTAKLDTFFTVNHQSGELNDNASDFVGQYAHGNEPSHHVAYLYACAGEPWKTQKYVAHIMNELYNDSSSGYAGNDDCGEMSAWYVFGALGFYPVNPVSGKYIIGTPMLEEAVIQLPDGKTFTIKAPRKEHNEIYIRSMKLNGKKYTKNYITHQDIMNGGTLEFVMTASPGK", "MKLKSMMKRFANLLAVFILSVNCISAQNLTRWVNPFIGTGAVQSSLSGNNYPGATVPFGMVQLSPDTREAPDWAQASGYDYNDSIIYGFSHTRLSGTGASDFIDILLFPTMSDKRKSSFTHQNEQARPGYYQVLLTDEKIQAELTASVHVGVHRYIYSDGGQLKLWLDLDHSANKESWNRRIIQSQIRVVSPTVVEGYRVITGWAKLRKIYFHLEFSQPILSSQLHDGNRRYENTPVINGTELCGLFCFDKKWNNELICKVALSSVSIENARLNMAAEVPGWNFEHIAFAAEAS", "MDQTLLKYWKTCLQDAERKAIALKGPRITLNIDDKILKFIPLKSIPVIFPDWKAEDSNEKQKVMIAPCILLPEFENGWTSQSERPEYPFLITATMLPDGKLTVCENESDRIPIFIRKFLEPNAANDRTIASLSKVDQLLSNFNTEETKWEAYWQACEQLFKKATGKTFSTMNYYDNPEIIIIKASERNMAQPIITLYDKLLKDDNTTPHPLLNLLIQTKSANALPIPTNRKVYCNQEHWAQMSSDFPLSISQRETLAMYTTPECADIFVVNGPPGTGKTTFLQTVIANRLAHNILNNPEEPEIIVASSANNQAITNILKDFKAETTNDTTHPRLSNRWLPELDTLGLYLSGKKELQQQYKMMFNPKGDGFPAAYDTPERQEEYKQFYLQCFNHFFKKNYQDETKCRQFLRKEMQALQKKIILCIQAAETTEYGNRKENNILQKFIRKFHEPLPSYDKVIEQWTLTEEFKERYEKISSNPEYGNLPYTEDMAVRLDISYRYQMFWYAIHYREAEFIHRLSRCDEGKQRTQEAYTQRLKRLACVMPVFISTFHSLPKYMTYAENGKWDIPLYNGIDLLIVDESGQVSPELAVPSFSLAKQAILVGDIQQIEPVWSISDEYSFINLKNLGIVSNQSSEKYRFLENNGFLSSSGSIMKLARKSCNFTVKGEKGAFLTEHRRCVDSIIAYCNDYVYHGRLLPKKGNEVKYKSLPSKGYVHINSYSSPGKTGSRLNRAEAEAIVCWLELEKDNLEKTYKKPIHEIVAVVTPFKAQEAEIRHQIQKISGNEKYKDMIIGTVHSLQGAQCPIVLFSTVNSPEDYSLFMERDGKYNMLNVAISRAQHHFIVFGNMNIFHPEENTPVGNMAKWLFDDPSNEISNNFIYQQEVPLCTYHPTLRLSTTEEHIQVLHQAFEKARHRLLIVSPFISIHAIENDQLVPLIRHTVQRGVDVTVYTDSSLDYDTKTNQLLSRAEEGRNILIENGATLIEVKGIHNKSLAIDNHTLIEGSFNWLSANRHKEYSRHECSIVVSSVQADEYINNLMKELESREKTFQSLSKPTINLDIDQKYPGFFTKESFNDCTEEDICRIKQKVQELGIQKTVLPPYIHKQRETFPRAYEPWCTEEKEIICELMQKTNHLSIFIECLQRTGQAIQIQIEGKNN", "MEKQLSEKLPRVEVVDALRGFAVMAILLVHSLEHFIFPVYPVDQPAWLNILNDGVFNVTFTLLAGKSYAIFALLFGFTFYIQSANQQRKGKDFGYRFLWRLLLLVAFATLNAAFFPAGDVLLLFSVVGIVLFVVRKWSDCAILVTAILFLLQPVEWYHYIVGLFDPSHTLPDWGVGAMYKEVAEYTKEGNLWEFLGKNMTFGQKASLYWALGAGRFWQTAGLFLMGLYIGRKQLFVTSEKHTRFWVKALIISAISFAPLFQLKELIMASDSELIRQTAGTAFDMWRKFAFTFVLVASFVLLYQRDRFKNFVSNLRYYGRMSLTNYITQSIAGAIIYFPFGLYLAPYCGYTLSLLVGFVLFLLQVQFCKWWLKGHKQGPLESLWHKWTWMYSKK", "VEIRINWDWNGNLIPTRLAILWGRYVEFHIFPYSYAEYLQLMQQPAGRASYLAYLQKGGLPELYNLPTVESEKQYVASVKDTILLRDIVKRKPVRDVRLLDDIFIYLVNNASNLFSVQHIVNFFKSKNRKVSYDTLSNYLGYIEEAFLAYKTERYNIKGKDVVAGNCKYYLNDLSFKNFLYPGFAYGVGYLLENAVYLELRRLGYIVYTGSFRDKEVDFVAMKDDRVIYLQATYMLETAQTMEREYAPLLTIGDNYEKYVVSMDEVQFPSNEGVRHIQAWNLKEIL", "MKANPVFSLYIPTKLVFGCGEIKKLSSEKLPGKKALVVISSGTSMRKYGYLERVLAQLRLNNVETLVYDKILPNPIKEHVMEAAAICREEKCDFVVGLGGGSSIDSAKSIAVMACNDGDYWDYVSGGSGKGRPVTKALPIIAIPTTAGTGTEMDPWTVITHETAQEKIGFGCQLTFPTLSIVDPELMVSIPPHLTAYQGFDAFFHAAEGFIANCATPISDLYALEAIRLIYKYLPVAVADGKNLKARAKIAWASTLAGMVEATSSCTSEHSLEHAMSAYYPQLPHGAGLIALSEAYFETFRHDCTKRYMKMAEMMTQKKSNRPSDFIDALITLQKECKVHSIKLSEFGIQSEDFPKFLQNARDTMGGLFTLDPRPITDEEILHIFKNSYK", "MDKELDLAVTCYGKVKPLKYDLVLLPWGATEPHNLHLPYLTDCILSHDIALDAAKLAKTHYGIRCMVMPYVTAGSQNPGQRELDFCIHYRYETQKAILSDLVASLYAQGYRKMVIINGHGGNTFKSMIRDLSLDYPDFLIASSEWFAFVPAKEYFDEPGDHADELETSVMMHYHPELVNLDEAGDGNYKKFASQMLNEKVAWIPRNWQKVSQDTGIGNPKKASAEKGKKYAEAVVAKYALLFEELVHKELY", "MKKTLFMLFCLLCSIGAMAQKKTITGVVTDATGEAVIGASIVETGTTNGTVTDLDGNFTLSVANDGSIRVSFVGYQTQTLSVKGKSTFKIALKEDSEMLQEVVVTGYGGKQLRTKVTNSISKVKEETLKQGLYSNPAQALSGAVAGLSVSQTSGNPGAAPTLVLRGGTNFDGSGSPLILVDGQVRGSLSDINPADIESMEVLKDAGATAIYGARANDGVVLVTTKRGKEGSTRVELSAKLGWNYFHNSYNFMNARDYIYWMRTGYMNAYTGDMKHPDGSAVQGWSSLTGLTGATPYGTGNKYWADDDKTIPLNGNENSQAIWSTMKYSDDLAFLLNQGWETMTDPIYGDQLIFKNTDIADFNINSPSFSQDYNLSVSGGNEKGNYYAGLGYNHSEGTAVGNKYQRITFTFNADYKLKPWLTSNSSFNFADATWNDLPPTQSAEANYFSRVLSLPPTFRGYNADGEMLLGPNSGDGNQQINFDKFVRDNNTDKFTMNQSFTADIMKGLSLKVNAIWFYSEEKYEAFNKDYLSSPGNWVTSHSTSASYGRTLDQTYNAVLNYNYQINKDHYLDAMAGFEYYDSYYKGFSASGSGAPSDAFGDLEYTSDDKGKRSIDSSHSRQRIMSFFGRVNYDYQSKYLLSFVLRRDGYSKLAKDNRWGVFPGVSTGWVFSKEKFASEWADIISFGKLRASFGLNGNVNKDFVGNYTVQGAYAGSKYNGNAYFLLNNLPNPYLKWEKSRTFEIGLDLGFLANRINANLTYYNRLTSDKYANITIPSTSGVSSFTSNNGKFQNQGFEFELAFKVIDSKDWKWNLNWNGALNKNKVVALPDNGLERNRQNAFQVYTGRQLEDGSYEKAWVGGYQEGQRPGDLYMFVAEGIYRSEDEIPGGLIDLSSGNNGSSNRPLYGGEEGYNKLTANQKANSLRIQPGDVKWKDVNGDGIIDNYDMVKVGNTVPKWTGGINTSVTWKDLTLSARFDYALGFKAVDWKTMWIMSCAQGTYNTIAETHDTWSPENPGAQYPTYVWADQVGKRNYCRQSSMFAYNGNYLSFREIALSYNLPSNWVRKAGLGSVMLSVTGQNLGYLTEAKHLFSPEKTDNNGGYPLPRTVILGVNVSF", "MKKIFYILSICVMTILQPSCDALDLAPEDYYGAGNFWKEASQPKAFMLGLHAQLRSYYDMFYTLGELRGGTQRVGTSSLNTSLNYADIRSQNISEDIPGISNWNGLYSPIMQVNHFIQEVENGCTFLDDATRSRYLGQAYGMRALYYFMLYRTFGGVPLITKVDILDGKPSADKFYVERATPEATMEFIKADINKSENYFGNNTSFDAYDWSRYATLMLKAEIYMWAAKVSITGFTATGATDLQTAKTALSGIIGHFELMDNFASIFSCDNKKNTEIIFAMPFIEGEASNDGGRFLYQDAVFLGQAYGRNGKVIEKDTLNLKGTGGVFRDEYTEDFWKTFKEGDSRRDATFMEYYMKNDNGTLSEFGCVMKKRIGTINSNDNRIYISDIPVYRYADALLMMAEIENGLNNPCASYINEVRKRAYGDTFEENKYTEGSYAENELAILQERDKEFVSEGKRWFDVLRMHDASGRSLVFSANANYPGTNPILGTEEAYKMLWPVNIGTLNVNPLLTQTPGYGKK", "MKNKSLFLALVILCLTSVEIYSSRQELKFDAPLPSNTENGNARSDYGPPGEGDGCWYFGGSGCVKFSHNNCAVEAHNNCEGFTTQPGHPE", "MKNTWNILFVLFLLFETACNSPSQSIKNTDLVAEDSICFPIDEHTYYASKSIFQFEENGHEYLSFLDAEYSQKINIYDIKNQKLIKPILLQKEGPNGMNVLSGCHPLNLNHFIITSWNGTFSLVNDKGEIEKKFDFWEKGVNFQEFDHISFSSYSYKPAIIKDSILYFSQSFLKHPRKKSDWNKIPMFAFANLNTGKTGWSELRYPLIFNKDEDFKNVKLYDPEICYTYTGKDVVISLGQYDSIMVSSDFKHKISYNAKSRYLAHAYPHLQDGQIDLFKNIQIQGKLPHYSHLMYDKYRKVFYRFALMPDDNIKPFSNNPHQSFSIIILNKDYEIIGETKFPGNTYAHHLCFVGKKGLYISENNENNPQFDENKLVFRCFTLQDRKK", "MAEDIKFRHTMPVQIRFSDVDQFGHMNNSVYFSLYDLAKTTYIKDVLGDADWSKLAIVVANINANFFMPVFFSDHLVIETAVVHLGHKSFTLLQRAVTTDTHEVKCECRTVMVGYDLATKEPKLISEDYKEAICRYEEKTLEELSKG", "MDKKYSKETLCVQAGWTPKKGEPRVLPIYQSTTFKYDTSEQMARLFDLEDSGYFYTRLQNPTNDAVAAKIAALEGGVGAMLTSSGQAANFYAIFNICQAGDHFVCSSTIYGGTFNLFGVTLKKLGIECTFIDANASEEEIDQAFRPNTKALFGETISNPSIDVLDIEKFARIAHKHGVPLIVDNTFATPINCRPFEWGADIVTHSTTKYMDGHATSVGGAIVDSGNFDWEAHADKFPGLTQPDESYHGLTYTKAFGKMAYMTKATAQLMRDLGSIQSPQNAFLLNLGLETLHLRVPRHCENAQKVAEWLEANPKVKWVNYCGLKSSKYYDLAQKYMPNGSCGVIAFGLNGTREEAIEFMDRLKFICIVTHVADARTCVLHPASHTHRQLTDEQLREAGVAPDLIRLSVGIENVSDIIADIEQALQ", "MKKIIIIGATSGIGLEVARCYLKDGWQVGVAGRREEELEKIRLSAPGQVCTQTIDVTREDAPFSLEQLITKMGGMDVFLLSSGIGKQNLSLCPDIELQTAATNVSGFIRMVNAAYHYFEQQGKGHIAVISSIAGTKGLGSAPAYSATKRFQNTYLDALDQLAHMNKLHISFTDIRPGFVATPLLKDDKYPLLMHAPYVASQIVRAISRRKRVAVIDRRYQMLVFFWKLIPGWLWVRLPVRNQ", "MKKFVFTLLLVFVCHLGYAQGINGLFNEFGSEKNADCVKVSSFMMSLGKMFAGHDEDAEIIRKIKSIKVLDLESCTASVKERFNKKVNKLNLKGYDELMRVNDEGEKVRVLMKTKKETIRELLFVCTGKEDCTLVQINGKFTKEDIDKLVNQETGKKHGHR", "MDTAEFKQQFLPYHRKLYRVAFRLTGNPQDAEDMVQEAYLKLWNKRDELADVLNTEAYCVTLIKNLCYDVLRRSQPDEDGRPPEELNLPTDTNIAREVEQRDEVNQVRRLIGRLPEQQKRVILLRDVNDCSFEEIEQATGLNAINIRVLLSRARKKIREQYNAIMNYESK", "MKVNEIERLLTRYYDGETSETEEKELKRFFTEEDVPAHLLAEKEIFMQLAAQPAPEIPEGLESRLSRKIDQWDTGERRTLKIKKHTRVLRLQWIGSIAASLLILLSVGLYLYKPYPAPQDTCATPEEAYVQAQKALIMLSSSLNKGIEKVESVQEATGKIQENVNEQLNRLNNIKQ", "MKRTYIITLLLSLCSLFTYAQDSFFDKFADMDGVTSVYISKAMLSLMPDMKTEGVNIGEVASKLDNIQILSCEKPDIIAKLKKETAFISPKNGYEELMRINDEGEKTIIYLKRNKNKEKEFVLMSQEKNEFTIIAITGNLTLQEIQGIINKE", "MRKMIFILCIPTALLSACSPSGMQGNPAAVQAGAAIGGVLGAIVGDRAGGYNGSQFGALLGTVTGAAVGNAITTPREETCQVEEYYVKTYPSSSQYEHTSSYEPSSGLRIINLRFIDDNRNHVIDAEEDSKLVFDVVNDGDVPAYNVTPVIEEMSGMKHILISPSAQIAYMPVGNQIRYTATIRGGRKLKTGQAQFRVFATESNGAVTEAHEFTLPTQKRIKK", "MELKAKYEQRIEQAEAELRQVKNKILRISTLRVLLFAAGIIGTIYFYQAGTPTICLTIAVTFVPFLALVKYHNRLFFRKDWLETCIRVNSDELSALADNYEPFEDGKEFTNPAHRYSFDLDLFGRHSLFQALNRTCTSFGKEKLAEWLQNHLEIKEEIIQRQEATKELAAYSDFRETFRITGLLYKGATSDREEIKEWTEAPAYFSKKWWSRPLLGIVPGVNIVLAMLGVAGVIPMTWFGLAFGLFVIGSFGLIKPVSNLQRVYDKKLRILSIYAELISLIENREMNAPLLRHLKAEFGMDGKSTTHILKELSRELDKLDLRNNQLLYVLLEGSMFWQLRQVMRIEQWRHKYGKYLLHWLDVLGDIDALCSLATFAGNHPAYTYPTIAGKPFVFLAKDMGHPLMPARQCVTNDADIPSRPFFVIITGANMAGKSTYLRTIGVNYVLACTGCPVCCSSLEIYPAKLVTSLRTSDSLTDNESYFFAELKRLKQIIDRLNKGEELFIILDEILKGTNSMDKQKGSFALVRQLMELKTNGIIATHDLLLGKLIEYFPKEIRNYCFEADITNDELTFSYKLREGIAQNMNACFLMKKMGLIIND", "MSNTVSTEATLFSTTHPDVVKRTSVSSIIISAILCVIGAGAFATSLKMGDSSSTMSMVLMAGGTILFLWAVFRFFWRGKEWVYAPTGSVAKEGTCFFDVCDLQALTDALEKKGFETRNDVKVKTNGNVRMDYMISQDKKFVAAQLFRFIPYTYEPASSVFYFTGDDASAFVHCLETSEF" ]
[ "CCCATATTTGCAGGACAATACAACCATTACGAACCGCAACCGGCTATAATCCAAGCCTATTGGAGCATCCGTTTTGGTTGTACTGTCAAAAAATATCAAAAGAGTAGCAGCAAGCGGCTTTTCACAAACCGACATCCCGCAACTGCAAGTAAAGATAACCAGAAAAGAAGAAA", "ATAAACCTTAATTTAATTAATAATCGGCACAAAAATAACGAAAATAATCAAGAATAAAAATGATTCTGTAGTTTTATCATTCAAAATGACATTCAATCTCCCCTTCACATAATCCTTCCAACAATCATACGTT", "AGCATGACATTTTTCATGAACCGACTCACTGTTACCACACAAGACAAAGAACATATTAATACCTGGAATGAGAAAAACAAAGTCGTCAGATGTCATCAAAAGTCGTCAGATAACATGTGCAATAGAACCGACAGGCTACATTACCTCTGAAAATGACCAACCGCACAACTTTATCACACAGTACGCAGCCCTCCTGTTGCTTATCCCTCCAAATCCATTTTTCTACGCGGATGCTTGGAAGACAAAAGTGCTTTCCGCTTATTCATATTCACGTGAGTGTATATTTGTGTGAACCTACATATTACTATTGATAATGTAGATAAAATTAGAGCCCAACTCACAAGTGACGAATCACAAAGTATTACCACAAATAGTTCCATTGCAAATTACCCAAGGATAAAATACGTATAAAACAAATATAATATCCTGATATTTAATATGCAAATTAACAGAAAGGTCATTTGGTGGTATCTACTAGCTACACAGCTCTTTCATTTAACTTTGATATAACTATTCAAATATTTGATTGTATGATAGTTACATTGTTACCGACATCTGATTTCAATATCTGTGTTGTTTCGGATGAAGGAACAAATAACTGCCAATGGGCTATTAGTCATGCCATTTGAGATTTCTGCACATTTTTATCGGAAAAAGCCGTGTACTAGCTACTATAAATCTACTAAAAAGTTTGTTAGTAACAAACTTTTTAGTAGATTTGCCGTGCCATAACAAACGCGGGTGGCACCGCTAAAGTTCTCCAATTT", "GTGGAAGGGCTTCGGCCCTTCCTTTAACGACTAAAAAATAATAATATCCGATTATCAATCGTAAAATGACAGATGT", "TATCGAAAAAAACATAGAACTAAGCAAAAGACAGGAAAGCCATTCTTTGAAAAATGAATATTTTTCTGTCTGACTTAATCTCTATCCAATATAAGGATGCGTCGAAATCCTCATAAATAGAAAACCACCCTCGCCACAGATAGTTGTAGCGGGGGCGATTTCGACTAAAGAGAAGTTTCACTCCTCCCAGGCCGTCCGAGAACTTACATATTTCGTTTTGAATAGTCCCCTATAGGTATTTCCAAGGGTTTCATCAGGGTTTCCTGATCTTATTTTTGAAGTTCCTTGTTTTTTTATCGGCTGGAATGAAGCTTAAAAACTACTTATACCGTACACACCGTATATGATTTGTGGAAAATGTACTTGCTGTTTTAATCAGAGTGAAGCTATTAACGCAGATACCCCCTTTATGTTGATAGCACGCCGAAGGTTGTACGAGACAGAACAGACTCATCTCAGCTCTAACTTTGGCAAATCCTTTCAGAAGGAAATAATACTGTCCTAACGCTCGTTTAATAGTACCAAATAGGTGTTCGGACAGGCATTTCCGATTTTCCATTTTCTTCTGATCAAGATGAAGTACATAGCGGATCACTTTCTTTAATACAGTAGTTCTTTGTTGCGTGGTTTTGCGCTCTTTATCTTCAGGTTCATGATTTCGACGACCATCAGCAGGCTTTATAAGGATATCCTTATAAAAGTCAGCCTCCTTGAATTTGGAAACGGTGCACTTGTTCTTACATCTTTTACATGCCAGTTTATTGCAATAACGAATAGAGCCA", "GACCTCCACGTTACACTTGCATTCACGTGCTAATTTTCTTGATGAACGGATTTGATAAAAGTATCCATAGATATATAGTTTCAAGAGATCGCGGGGA", "ATAACATATACTTTATTTGCTTTCAAGATACGAAAAAGGAGTGAGATTACATAGAATATAGTGTTAAACCATACTATAAAAGTGGGGGATTTTCATAGCTACTACTGCTGATTTGTCACCCTATAGGGTTCTCAGACAGCATCCCCCCCTTTAACATTACTAATTC", "AA", "TTTTTATTTTATTAGGATTTCTAATAGCCAACAAAGATATTGGCACAACGTATCCTAATATAATGCAAAGATAGCGATAAATCCTAACTATGGCTAATTCCAACTTGTTTTTATGACATTTTATATTCTCATTGTAAATATAATAGTCCGTTAAAAATTCACCATATCGG", "TGCTTTAATGTGTGGTAGGATACCATTAAAGATAATGAAAATCAGCCACTTGGCCTATTTTATTATACTAAATATTATTTACTAACTCATTTGTTTTCAACGGATTAACTGTAATTTTAACGAAGTATTATAAATATAAAGGGGATGAATTCTAAGTCCTCTCCAAACAACATCTATAAAATCACACTCAGGTTTACGAATATTTTCGTATTCTTGGGTAAGTTCGTATTATGTACACAACAATTCATCTGAAGTGCATAGCTGTCTCCTAAATCTAAA", "TATGCTTTCATCTGCGATTCCCAAGAACGGTGGTAATGGTTGCTCGGGTAAGATATACTTATACTTTTTTGTTAGCCAATGGAGAAGGCAGAGTTTTTTCCGCATGAAAATATCTGAAATTAGAAACTGTAGTCAAAAGCTACAACAAGCTCACTCAAATAACCGTATGGAAGATACTAAAAAGTCGCATTTTTTATCTTTATTAGAAAGGAAACAAGCCTCCTTACTTTAAACAATAATTCAGTAAAATATTCACATAGAAACCTGTTCACCATCAAATCTTCTTATTGGAGGATTTGAAAATATGACAGTGTTCCAAGTACTAATTTATATAATTACATAAACAAAGCTTCTAACTCTATTAATACTAACATTAAGAATACAGTAACAACCTCTTTAGTAAATGAATATTCGTTAATGGATTTGGTCTTAGAAAAAAAGGGGAGATTGTCCGAAAACTCTGCGGCTGCTTTCTTTTAGGCGCAAATTCCGCAGATTCCGCGGAAAGAATAAAGAAGAATCCGTATATTCTGCGTAATCCGTGCCTAAAAAGAATATTATAAGCAAGCACGTGCAAGATTCTCGGACGGGCTGAGGGGGGGAAGACAGAAATACATCAGTATTAAAAAAAATCATGAATGCAAGTCATACTCGGAAGTTTACTTAACAAGCTATATCAGCTAAATATCAATAGTACTATTTTCACAAATTTCTACCATTAAAAAAATAAATTCAAATTAACGTTGAAAACGAACAATCCTGATTCATAATCAATTACAAAATTCTATTTTATTTAATCAGAAATTACATCAAGAAAAAAGATACGCACATACTTGCAGAAATCGAACTTCTTACTTATCTTTGCATCGTCAAAGAGATAACGATTGTTGAATTGATAAAGAAAAACAATGAATTTAGTATGATGCTAATTCAATGGCTTTCATAAAAGATAAAATAATAAATGTGCAGTTACTCAAGGAATTAGAATAAACCAAA", "CTACTTCATTCTTTTACAGCACGTTGCACTATTTTACATAACAGGGTTCTCGGTTCGATTTCCTAACTTTGTATCATTAAAAATTGAGCCGT", "CAAAAGATTACTTAATACATACTTATAGAACTAATACTTCCTAAAATTGTGAGGAAAATTTTCCTCACAATTTTAGGAAGTATTGACCTATTTCTTTATATTTGCAGAAAATTTTCTGCAAAT", "TT", "ATAGAAAAGCCAGCAACACAGTTTTCAAGAAAACATGATTGCTGGCTTTCAATCAATCAAACAATATAGAAAACAAGATAGCTATATTTCAAGAAAGCAAGACATCAATAAAACAAGATTTCATGTTTTCAAGATT", "ACGC", "AACCGATATATTTATTCTTGTCAATACTCCGTTTTTCTTCACTGGTGCAAATATATAAGGCTGAATAATAGGTTGTTCCCCTCTGTCCGAAAATGACCTTGCGTGTCCGAAAATGTCCACTGCCAACCCAAAAACAACATGAAAGAAACGAACCGATAACAAAAAAACTGTTTTTTCTCCACTCCTTACATAAGTAAGGTAATGAACACAAAGCCGTTTTCTATTTGCCGACATTAATTTGGTGCAGAGCGAAGCAAAGCGATTCCAAACGGCTGACGCTTTGTTTTTTACCGATTTTTCTTATTTTATTTGTGGTGCTAATTCAAAAAATAAGCACTT", "AACCGGAATGTAGTAGCTTCTACATATTGTCTTATATATACATAACAATCTAATAAACAACAGTTTACCAATTATGTAGTATGAAGTAAGATAGAATCCCAAACTTTTGGAAAATCCGGGAAAAAGAAAAAACGGCAATATGATATATAGAATATATTTATTCCTTTTTTAAAGGATAAATAATTACTATGTTACTAC", "CCTGCAAGGCTGACGGCATGAACCGACGGCAGGCTGTGTGATTAGGGAGAGCAAGGTTATGTTTCGGTAGACCGAAACACCTTGCTTTGCTTGACAGCAAAGAAAATTTCTCCCGTTGGTCGCAATTTTTAAGATACCACCTAAAAGCAAAAACGT", "GAAC", "AACAAAAGCGAAACCGGAACAGAACCGCCCCGGCTTTTTAAT", "GATTGCTTCATTTTTCTGATTATACATTATGGTCTGTCAATCGATTGATGAAGCAAAGTTCAGAAAACAGGTGCAAAGAAATTATAGGGGAACTAATGGAATCCCCTATAAATAAATCGTAAGCCGTTGG", "TTTCTTTAAT", "AAAGTTAAATGTTTACTATCTTTGTATCAAGTATGTACAATTTAGTATGATACAGACTAAAAGGTATCGAATATTGATTATCAAATTAATGTGCTCAAATAAAA", "TTAATTTTCATAATAACTAATTTTGTATCATCGTCATAAAAACTT", "TAGATATTT", "TACTTATTTGTTTTTTACTTCTTAATTACTATCTTTGTATTCAGAAATCGTACCCAAGATACAAACTAATGTCGAACGGTGCAAAGCTGTGAAAACCTTATTCAAGGGACTAACATCGTGATAAAGATATAACTTATTGAGAAATAGTGCTATACAAACCGATTTTCGGTTGCTGGTGGCACCACCAAAGTAAGTTTTTCACTTTAAAGCAGTTACAGTAAAATGTAACTGCTTTTTTTATGCTTTAAGCCCTCGTTTACAGGGCAGTAGACAAATAGTAGAGATGAAGACTTACCCGATAATTATGGGGAAAGGTTGTCCAAGGATCCTTGTCCGGTTTCAAAAAGCTGTATTTTTCCCTACCATAGTATCTGGAATCTTGATACAAGTTCTGCCGGATCCTGCACCAAGTTCTGTGAAATCTTGTGCCAAGTTCTGCTTCACGCTCTCACTATTTCAGTCAAAAGATTCAATATTTACTTTCAGAAATAGTGAAGAAAAAATATAGAAAAAGACCTAACATCCAAAGTCTTTATGACTTTGAGCAACCTATAAAACACGACAAGGTATAAAAAGACACAATACAAACATTGCATATTGTACTA", "GATCTATTTCTCATTACCAATGTATAACTACGTTCTAAAAGCTAACAAGCCGCCAAGCTATTCAAAAAGTTTAATTATCACTTATTATCCGTACACTTTATCCATATTTCCACTTATCTTTTATACAAGATGAATATTCACTCATTTTTATCCATCCGAAGAGTCATAAAAAGGCCGGTTGCCCCATTACATGAAGCAACCAGCCCTCCATTATCCTGTCACCAAGTTAATTA", "ACGTATATATTTAAATAATGATTAATAGCATG", "AATGGTTCTGTTTTTTAGTGTAATTGATGA", "TTTCATCAATAGTATTAGTTTGAAACAAAAGAATTTCTTATATAGGCTAACACATCATCCAGAAAAAGGTATGAGTCCTTTTTTTCGTTTTCTCAAAAAAAAATAGATGCAAGTGTATTCACTCACATCTATTCCACATTTACCTTTATAGTTCCCA", "AATCTATTTTTTTCGTATATTTATAATAACACCCTACTCCGATAAAAGTATGAGTGCATT", "AATAAATGCTTTTCCCAAGTTTTCTTAAAAGACCAACTTATTACAAAGATAGTACAAATGTGGGTATCTCTTGTTAGATTTCTCAAAAAAAACGGTTCGTTTTGTTTTTGATTTTTAAAATATCTTACACAAGAAGACAAATATCACACATAAAGACATCAATTCAACATCTATAAACAAAGTATATACAAAGAATATCATTACCATGATGGAACGCAATCATGACCGGCCTTTGATTTCGTCGAAAGAAACAAACCCATCTCATATGCTTTGGTTTCCGTCCTATTTACGAGGAATTCTTTATCGGTACGGCTACATTCGTTAATCATCGTCCAATAACGGTAAAAAGCGGACTACCATGATCCAGAGTTAAAACTTCAGTATTTTCCTTTTTCATTTTATTTATGGACATGAAGATACGACAGACTGTTCAAGAAAAAGTTGATTATTGTAGATGTGCGCAGGTTAATGTAATTCAGAAGGTCAGATCTGTCTTCTGAGTAAAAAATATTCTGGTACGGAAGGGAAATTGGATGTGAAGGAGCCTATATATAAATATATACCTCCCCCAAGATATAAAACCCGCCCCCTGCAACAGCCCCGCTTGAACAAACTTGTTAGTCCCCTGTTCCAACCTCCGGAGGCTATGAATGTTTTTTCTTAACAAGTAACAGTCCGCTCTGATATAGAACCTAAACCCGAAAGATTATATATCTTACAAGTGTAACATATATAACGATGACACCTGAAGATCTATCATGTGTCACGGCATCTTCTATGATTCATAATCAAACCGATCAAATATCATTCATCTATAGAAAAGAAAATTGCCTTCATCATTCATAAAAACAAATAATGAAGGCAATACACTAAATTATATACAATCAATCCCTAA", "GGTATTATTCATCGATTAAAATTATAGTGCAAAAATAGAGAGAGAAGCTCAAAAATCCCTACTCAAATGCGCCATAAAATAAGAATTTTGACGCTTATACCCTCAAAATCATCGAAATCAAGCCTGTATTGTAGGTAAAATCAAGGAAATATGCTATCTTTGTTATCCAATTCTAATACATGGATAT", "GACTTACGCCCT", "GT", "ACTAATCTGAA", "ATTATAGTCTTTTCATATTTATCAATCAT", "ATTATTCCAGATTTCAGAAAAATTAAAAGCATCAGGTAGGAAGCAGATGTTTCCTCCTGATGCTTCTAATTTATATGGATAGAAACAAT", "TGTTGTGTTTTCTTTTAAAATTAGACTATTTATTCTATCCTTCCA", "AATTTGTAAATTTAAGTACGATTTAAAGTTTATATTGGT", "AATATTATTAGTTTGTCAAAGAGATAAATCTACTTAAGGTTTGCTTTACTGTCCGGAATTCTTTTCCTTTCAAGCATGGTCAAAAGTAGGCATATCTTGACAACCTGTTAATATACAAACGCTGCAATAAATAGGATTTTTGCAGCAATGACGCATCTGCACCCGCAGAATCCGCAAATGCATCATTTTTTGCTGCATTTCTT", "AGCCTGTTCAAAATTTGAGCCAAAGATAAAAGAAAAAAAGGATAAATAATGCCAATATAAAAGAAATAGAGGATTGCCCTCTA", "AATAAATGATTG", "GGTTATCAACTATTAGTTATTTTTTATAATGCAAAGTAACAAAGATTCAAGGGTTTAAGAAATAGAAAAAATTACTTGGGACATGGACAATATTACTATTTTTATTTTGCAAGTTACTTTATCATAAAACAAGGACTACACCTCAATATACTGAGATGTAATCCTCAATCAAGCTATGCAAAGCAATCTATTTT", "ATTTTTCATGATTAATAGTTTCTTCTGAAACAAAAGTAGATATACCTCTCCCATTAGGTAGAGAAAAACTCGCCATTTTTAGACTCTTTTGCTTCAATCGTAACCTATAAAAGGGAGTTATTCAACATTTAGAAATCTTTCCCGGCACAAATTCCAATACTTCACCATTTGTTA", "CGTATTAGGTTGTACCATAGTGTGATATAAAGCTGTATAAAAAATCTTTTTCTGCAAACCGGTACCTTGGATGATGACTTTCTTCAATTCTTTCTC", "TTATTGGCAAAATTAGTATATCCACACTCCCCACACAGATAAATTCTCGTCTATTTTAGCTTCATTTGCTTCATAAAGGCAAGTTTCTTGCCTCATCACCACTAAATATTACCATTATTCCGTTCATCCCAATTCATTTACCGCTAATAATGATTATCCCGACATCTCCAATCCCTCTTAATGTAAAAAAATCATAAATTCAGGAATGTTACTCCAATTACCGTATTCTTTTCACCTATTTTCTTATATTTGTAAATTATTCCATCACACAAACGTAAAAACAA", "TTATAACCCTGAACTGATATCCTTTTTATGATATTCGGGAAACTTGGGAAACAAGAATCAGTCCCTATACGGAAGAGAGGAAAAGACAAATTACTTTTTGCCTTTTCCTCTCTTCCGTATAGCATATGCAACTCCTGCCCAGAGTTCC", "GCACTAGTTATTATTGTTTATTTAAAATTCGTTTTACCCAGCGGTTGGCCGGTTTCTCAAAATAATAATAAGAAAGCAGACTCAGCCCGATAGTGACTGCAAAGATAAGCGGAATACTGATATACAGACTGATATGCCAATCGTATGTTTGCGCCAACTGCACATAAGCAAATAATATCCACTATACTCTCCTCTTCGGTGCTATTCCTGCTCTACATATCCGGGGGAGCGGTGAGCAACATTGGATAATAGACTACAGACAATATCATCAGAGTTTTGACAACTCTTACCAAAAACTGTATATTAAAAAGAGAAACTGATTTC", "CCCAAAGGTTATACTTTTCTAAAGCTTTCCATTTATCGTCCATACTCGAATCTTTTCCCACAAAGATAGTTTTTTTCGAGTATAATCGAAAAAAAAACACTGTTTATTTCTTTTTTCGAGTATAATCGAAAAAACGTATCTATCTCAAACTGAATTAAAGAAAACGAATACAATCCGGCAGTATGTAAAATTTAACAAATTACTTAAACAAGCAAACTATTATTTTCCTACATTTGTTACCAGTGAAACTTTAAATACGAAAGAAC", "TGACTCATCACTCAAGAAGATCATCTGACCTTTTTAAACGATGGTCTTCTATGTTCATATCACCTCCTCTTCTTCGTTATCAGATACATTTTCAGCTACCTTAGTTATACTTGCCATTTCAAAGTCCATACATATCCATATAAATATATGTATAACCATCGACTATGAAAAGAATAAATGTCCCCATGTGCCCATAAACAAACCACTCCCTGTACTATCTGCACAAGGATACTTCTCCAAATAATTTATTTCATCTCCAAAGGCTGAAATAAAATTCATTTAACAAAATAAAGAGATATCCTTTATAGGATAAGTTCTACCAGAACTACATTTTTAAATTAAGATTATATCACATCGCAAATATAGGATATTTTTTATTAAATCAATTTTAATTATAAAATTATTTTTTGATATACGACACAAAAAGTACAAAACGGTCAATATTTACGGATAAATAAGCTAAATAAAAGTATATAAAAAGGGATATCTTTTATCTTTTTCCGTCTCAAAGTAGCATAACAGTAGAAATTCAGTAGAAAAATCCTTTTAAAATTCCTTCTTAAATAAAATAAAATACAATCTATTTTCCCTTGATGGACACAGAATAGTTCTGGTAGAACTTCAAACAGTAACTTTAAAAACAAATCT", "ACTTATAAACTCTAACAGATT", "GCCAAATCCTCCTGTTTAGTTTTCAGGACAGATGTTTTAACATAGAAAAATAAAGGTGTGTCGTGAGATACACCTTTATTCATTATGCATATAGTTGATAAAACATCAATAACACAGAAAATCAATAACTATTTCCGTTCTTTTGAAAGTTCCTCCAATGTTTTCTCCTCGTACCTGCAAATCGCCTCCTTATAATCCTCGGAAATCAATTTCGGTTCTTTGTTTCTGTTAAATCAGTCTGATATATCTTTTTTAAGTTTAGTCACTTCAAAGAAAAAACTATCCGACTGATATGAAAACCTCATAAGAAAAATATTTCAGAGATTTTTAAACAGGCTATCAAAATATATTTGATATAATAAAATTTCAATGTACATTTGTGAAGTTAACTATATACTAACCTTTAAAAGCAAATCTT", "GCAAGACAATTATCTAAATAACTTTTGAAGGGCTCTTAATATTCCTATATTATAATATATATTCTCAGATTTAGAAAAAGGATTATTAATAGCCCTTCTATCATCTATTAACTAATATGAATT", "AGAAAAAGAACTACTCAATCATTCTATGTGGAGGGCTGTTCCTGGCAAGCTGTATGTCCAATAATGACAAATGCCTTCAAAAACTGTTTGATGAAGTCGGAGTTGAGATAACTTCCTAGCTATTTATAGCGAGTATATCATCTATGG", "AGTTTAGTTAATTAAAATCGTTCAAATATAACACTTTTCCCAATAATTAAGCCATAAATAACAGATTATTCTTAATTTTGCCGCGAAATAAATGAATATGTAATTTTAATAACAATATAAAC", "GGCGAAGCGG", "TCCTCCAACCCATATCATTTCATAAGAAAGCCTCTTGTTCTCATCCTGAACTTGCGAAAGATTTGTAAACAAGGCGAGGGTTTCTTCATTCTACTCCCCGAAATTACCTGAATGAAAGGAATTGGTTAAAGGGACCGGCAAAGCCTGTGATCATGCTGATGAAAGATCAAACAGGCTATTGAATGATGCTTTCTTTGTAAAGCTGAACCTCTTTACAAACATAGAAACTTAAAAAAATTCTTTTCCCTTTGTAACAACTTTCCCACTTCCCCCGTCTATCTGATTGAAAGCGCTTTCAAAAAACAATTTCAGTATGAACAAAAACAAAATAGAACC", "CTCCACACATCCCCCACCCTAAGGCCTTTCCTCCCGCCTGCTAAGGTCTGAAGAAAGGATATATACTATCTCCATAGCACTATGGAGTTATCTCCAAAGCTTGCGGAGTTAACTCCAAAGGCTATGGAGATAACTCCGCAGGCTTTGGAGATAGTAACCGGACGGTCGGAAAGGCTTAACAATAGGGCTGCGAATGCTTACGTTATCTCCGGAAAAGGGTTATCCGCCCGCAGAAGCCCCGCCAATGAAGGAAC", "ACCCCAAGTAGTTTATAATCTTTATCAGACAGACAAATATACTTTTTCCTATTGACAATGGGTTCATCGGCTATGTTAAAATTTCATTTCCTGTCATGCATCCTCATCGGTCTAACCGCCATCATTCCGGATGGAAGGCTCTTCTTCCTAAAATGAAAAGATAAGTCTAAATAACACAAGAAACAGAAGGAGACGTTTTTTTTTCTATATATTTGCCGGAAAACAAATGAAAAGTTCTTCGCCATACCCTTGCATCGCCGCAGAAGGCTTCCCCCTCTCCGCTGCAAAACCGCGCCCGGTATGGCAAGGAAATATTTATAACCTATCATT", "CCCCACCCGACAATTTATCACATTAACGTCTTAAGCAAATAAAGCAGACCTTCACAGGCACACCTTATTTCATAACATAAAGAGTCATAGAAAA", "AATAATTTAAATTTTATTCTTTACTAATTAAAAATACAATAATTCATACCAAGGTCACATCTCGCCAATCACCCGGCAAGACAGAACCATGAAAAACAAATTGGTAAAAGAATAAAAG" ]
[ true, false, false, true, true, false, false, false, false, false, false, true, false, true, true, true, true, false, false, true, true, true, true, true, true, false, false, false, false, true, true, true, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, false, true, true, true, true, true, true, false, true, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154 ]
[ "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123034|-|860:1852", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123035|-|1864:2469", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123036|-|2586:2975", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123037|-|2987:3367", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123038|-|3401:3517", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123039|-|3532:3750", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123040|-|3752:4549", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123041|-|4560:5906", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123042|-|5911:6357", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123043|-|6388:6564", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123044|-|6574:7089", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123045|-|7096:7437", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123046|-|7459:8028", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123047|-|8043:8438", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123048|-|8496:8795", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123049|-|8802:9359", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123050|-|9359:9682", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123051|-|9703:10068", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123052|-|10071:10328", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123053|-|10340:10534", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123054|-|10541:10975", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123055|-|10997:11728", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123056|-|11735:12145", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123057|-|12182:12451", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123058|-|12474:13295", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123059|-|13301:13591", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123060|-|13613:14239", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123061|-|14239:14856", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123062|-|14878:15183", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123063|-|15269:17386", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123064|-|17418:17894", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123065|-|18043:18456", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123066|-|18645:18986", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123067|-|19195:23481", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123068|-|23520:27332", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123069|-|27435:27809", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123070|-|27856:28374", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123071|-|28390:29088", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123072|-|29104:29547", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123073|-|29608:30150", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123074|-|30164:30358", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123076|-|30505:31689", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123081|-|32229:32528", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123082|-|32543:33424", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123083|-|33502:33693", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123084|+|33862:35643", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123085|+|35653:36177", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123086|+|36223:36714", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123087|+|36828:37784", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123088|-|37835:38536", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123089|-|38561:39946", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123090|+|40068:42365", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123091|-|42431:44095", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123092|-|44169:45155", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123095|+|45602:49768", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123096|+|49851:52115", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123097|+|52123:53241", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123098|+|53317:56340", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123099|+|56361:58001", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123100|+|58075:58887", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123101|+|58907:61240", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123102|+|61266:62678", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123103|+|62681:64960", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123104|+|64967:66706", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123105|-|66954:70994", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123106|+|71208:72707", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123107|+|72730:75768", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123108|+|75813:77444", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123109|+|77561:79159", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123110|+|79172:80737", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123111|-|80818:81840", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123112|-|81980:83530", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123113|-|83543:84109", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123114|+|84616:85539", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123115|-|85633:86829", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123116|-|86846:88246", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123117|-|88304:90691", "2510065017|RJ2H1_RJ2H1-contig-019.19|CDS|2510123118|+|90873:91493" ]
[ "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000001|+|857:859", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000002|+|1853:1863", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000003|+|2470:2585", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000004|+|2976:2986", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000005|+|3368:3400", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000006|+|3518:3531", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000007|+|3751:3751", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000008|+|4550:4559", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000009|+|5907:5910", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000010|+|6358:6387", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000011|+|6565:6573", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000012|+|7090:7095", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000013|+|7438:7458", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000014|+|8029:8042", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000015|+|8439:8495", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000016|+|8796:8801", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000017|+|9683:9702", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000018|+|10069:10070", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000019|+|10329:10339", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000020|+|10535:10540", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000021|+|10976:10996", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000022|+|11729:11734", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000023|+|12146:12181", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000024|+|12452:12473", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000025|+|13296:13300", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000026|+|13592:13612", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000027|+|14857:14877", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000028|+|15184:15268", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000029|+|17387:17417", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000030|+|17895:18042", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000031|+|18457:18644", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000032|+|18987:19194", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000033|+|23482:23519", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000034|+|27333:27434", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000035|+|27810:27855", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000036|+|28375:28389", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000037|+|29089:29103", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000038|+|29548:29607", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000039|+|30151:30163", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000040|+|30359:30504", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000041|+|31690:32228", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000042|+|32529:32542", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000043|+|33425:33501", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000044|+|33694:33861", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000045|+|35644:35652", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000046|+|36178:36222", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000047|+|36715:36827", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000048|+|37785:37834", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000049|+|38537:38560", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000050|+|39947:40067", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000051|+|42366:42430", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000052|+|44096:44168", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000053|+|45156:45601", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000054|+|49769:49850", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000055|+|52116:52122", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000056|+|53242:53316", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000057|+|56341:56360", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000058|+|58002:58074", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000059|+|58888:58906", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000060|+|61241:61265", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000061|+|62679:62680", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000062|+|64961:64966", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000063|+|66707:66953", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000064|+|70995:71207", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000065|+|72708:72729", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000066|+|75769:75812", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000067|+|77445:77560", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000068|+|79160:79171", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000069|+|80738:80817", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000070|+|81841:81979", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000071|+|83531:83542", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000072|+|84110:84615", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000073|+|85540:85632", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000074|+|86830:86845", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000075|+|88247:88303", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000076|+|90692:90872", "2510065017|RJ2H1_RJ2H1-contig-019.19|IG|IG_000077|+|91494:91835" ]
[ "MAILAFQKPDKVLMLEADSRFGKFEFRPLEPGFGITVGNALRRILLSSLEGFAINTIKIEGVEHEFASVPGVKEDVTNIILNLKQVRFKQVVEEFENEKVSITVENSSEFKAGDISKYLTGFEVLNPELVICHLDSKATMQMDITINKGRGYVPADENREYCTDVNVIPIDSIYTPIRNVKYQVENFRVEQKTDYEKLVLEITTDGSIHPKEALKEAAKILIYHFMLFSDEKITLETSDVDGNEEFDEEVLHMRQLLKTKLVDMDLSVRALNCLKAADVETLGDLVQFNKTDLLKFRNFGKKSLTELDDLLEGLNLSFGTDISKYKLDKE", "MARYTGPKSRIARKFGEGIFGADKVLSKKNYPPGQHGNNRRRKTSEYGVMLAEKQKAKYTYGVLEKQFRNLFEKAASANGITGEILLQSLEARLDNVVFRLGIAPTRAAARQLVSHKHITVDGKVVNIPSFSVKPGQIVGVRERSKSLEVIANSLAGFNHSKYPWLEWDESSKVGKLLHIPERADIPENIKEHLIVELYSK", "MAKKTVAAKKRNVKVDANGQLHVHSSFNNIIVSLANSEGQIISWSSAGKMGFRGSKKNTPYAAQMAAQDCAKVAFDLGLRKVKAYVKGPGNGRESAIRTVHGAGIEVTEIIDVTPLPHNGCRPPKRRRV", "MAIRIVGVDLPQNKRGEIALTYVYGIGRSSSAKILDKAGVDRDVKVKDWTDDQAAKIREIIGAEYKVEGDLRSEVQLNIKRLMDIGCYRGVRHRIGLPVRGQSTKNNARTRKGRKKTVANKKKATK", "MKVRASLKKRTPECKIVRRNGRLYVINKKNPKYKQRQG", "MAKQSAIEQDGVIVEALSNAMFRVELENGHEITAHISGKMRMHYIKILPGDKVRVEMSPYDLSKGRIVFRYK", "MIFLKTDDEIELLRQSNLLVGRTLAEVAKLIKPGVTTKELDKVAEEFIRDNGAVPTFKGFPNQYGDPFPSTLCTSVNDQVVHGIPNDIPLKEGDIVSVDCGTYMNGFCGDSAYTFCVGEVDPEVLKLLKTTKEALYLGIENAIHGKRLGDIGFAVQQHCEANSYGVVREFVGHGIGKDMHEDPQVPNYGKRGYGTQLKKGMCIAIEPMITLGSRQIVMERDGWTVRTRDRKCAAHFEHTVAIGVGKADILSSFEYVEQVLGDKAI", "MRKSIETLKNIWKIEDLRQRILITILFVAIYRFGSYVVLPGINPAMLTQLHKQTSEGLLALLNMFSGGAFSNASIFALGIMPYISASIVIQLLAIAVPYFQKIQREGESGRRKINQYTRILTIAILVFQAPSYLLNLKMQAGPSLNASLDWTFFIITSTIILAAGSMFILWLGERITDKGIGNGISFIILIGIIARLPQSLFQEFVSRLASPGAGGIIMFLLEIVFLLFVIAAAILLVQGVRKVPVQYAKRIVGNKQYGGARQYIPLKVNAANVMPIIFAQAIMFIPITLVGFSNAATASGIVRAFVDHTSFWYNFVFAILIIVFTYFYTAITINPNQMAEDMKRNNGFIPGIKPGKNTAEYIDAIMSRITLPGSIFLALVAIMPAFAGIFGVKAEFAQFFGGTSLLILVGVVLDTLQQVESHLLMRHYDGLLNSGRIKGRAGNVAAY", "MNLSNLKPAEGSTKTRKRIGRGSGSGLGGTSTRGHKGAKSRSGYSKKIGFEGGQMPLQRRVPKFGFKNINRVEYKAINLETIQKLAEAKNLTKVGMNDFIEAGFISSNQLVKVLGNGSLTTKLDVEANAFSKSAVAAIEAVGGNAVKL", "MSTIKIKQVKSRIGAPADQKRVLDALGLRKMNRVVEHEATPSILGMVEKVKHLVAIVK", "MAVNNRVKITNDIELKDRLVAINRVTKVTKGGRTFSFSAIVVVGNEDGIIGWGLGKAGEVTAAIAKGVEAAKKNLTRVPVLKGTVPHEQSAKFGGAEVFIKPASTGTGVVAGGAMRAVLESVGVTDVLAKSKGSSNPHNLVKATILALGEMRDARMVAQNRGVSMEKVFRG", "MTTKLERRIKIKYRVRNKISGTTERPRMSVFRSNKQIYVQVIDDLSGRTLAAASSLGMEAMPKKEQAAKVGELIAKKAQEAGITTVVFDRNGYLYHGRIKEVADAARNGGLKF", "MSRIGKLPISIPTGVTVTLKDNVVTVKGPKGELSQFVDPSINVAIEDGHVMLTENENAMLDNVKQRHAFHGLYRSLVNNMVIGVSEGYKKELELVGVGYRASNNGNIIDFALGYTHNIFMQLPPEIKVETKSERNKNPLIILESCDKQLLGQVCSKIRSFRKPEPYKGKGIKFVGEEIRRKSGKSAGAK", "MTDPIADYLTRLRNAISAKHRVVEVPASNLKKEITKILFDKGYILNYKFVEDGPQGTIKVALKYDSVNKVNAIKKLIRVSTPGLRKYTGYKDMPRVINGLGIAIISTSKGVMTNKEAAELKIGGEVLCYVY", "MAKESMKAREVKRAKLVAKYAEKRAALKKIVNSGDPAEAFEAAQKLQAIPKNANPIRLHNRCKLTGRPKGYIRQFGISRIQFREMASNGLIPGVKKASW", "MSNTASLKKEYAERIAPALKNQFQYSSTMQIPVLKKIVINQGLGMAVADKKIIEVAINELTAITGQKAVATVSRKDIANFKLRKKMPIGVMVTLRRERMYEFLEKLVRVALPRIRDFKGIESKFDGRGNYTLGIQEQIIFPEINIDSITKILGMNITFVTSAPTDEEGYALLKEFGLPFKNSKKD", "MSKLHIKKGDTVYVNSGEDKGKTGRVLKVLVKEGRALVEGINMVSKSTKPNAKNPQGGIVKQEAAIHISNLNLVDPKTGKPTRVGRRESSDGRTFVRYAKKSGEEIK", "MIQVESRLTVCDNSGAKEALCIRVLGGTKRRYASVGDVIVVSIKSVIPSSDVKKGAVSKALIVRTKKEIRRADGSYIRFDDNACVLLNNAGEIRGSRIFGPVARELRAANMKVVSLAPEVL", "MEARNLRKERTGVVVSNKMDKTITVAAKFKEKHPIYGKFVSKTKKYHAHDEKNECNVGDTVHIMETRPLSKTKRWRLVEIIERAK", "MKIAEIREIATNELAERIEAEVANYNQMVLNHSISPLDNPAQIKKLRRTIARMKAELHQRELNK", "MLQPKKTKFRRQQKGSQKGNAQRGNQLAFGSFGIKSLETKWITGRQIEAARIAVTRYMQRQGQIWIRIFPDKPITRKPADVRMGKGKGAPEGFVAPVTPGRIIIEAEGVPYEVAKEALRLAAQKLPVTTKFIVRRDYDAQNQNA", "MGQKVNPISNRLGIIRGWDSNWYGGNDYGDALLEDSKIRKYLNARLAKASVSRIVIERTLKLVTITVCTARPGIIIGKGGQEVDKLKEELKKITDKDIQINIFEVKRPELDAVIVANNIARQVEGKIAYRRAIKMAIANTMRMGAEGIKVLISGRLNGAEMARSEMYKEGRTPLHTFRADIDYCHAEALTKVGLLGIKVWICRGEVYGKRELAPNFTQTKESGRGGNGNNNGGKNFKRKKNNR", "MGARKKISAEKRKEALKTMYFAKLQNVPTSPRKMRLVADMIRGMEVNRALGVLKFSSKEASARVEKLLRSAIANWEQKNERKAESGELFVTKIYVDGGATLKRMRPAPQGRGYRIRKRSNHVTLFVDSKSTNDNQN", "MSRSLKKGPYINVKLEKKVLAMNESGKKVVVKTWARASMISPDFVGHTVAVHNGNKFIPVYVTENMVGHKLGEFAPTRTFRGHAGNKKK", "MAVRKFKPTTPGQRHKIIGTFEEITASVPEKSLVFGKRSTGGRNNVGKMTMRYMGGGHKRKYRLIDFKRNKDGVPAVVKTIEYDPNRSARIALLFYADGEKRYIIAPNGLQVGSTLMSGANAAPEIGNALPLENIPVGTVIHNIELRPGQGAALVRSAGNFAQLTSREGKYCVIKLPSGEVRQILSACKATIGSVGNSDHGLESSGKAGRTRWMGRRPRNRGVVMNPVDHPMGGGEGRASGGHPRSRTGLYAKGLKTRAPKKQSSKYIIERRK", "MGIIIKPLVTEKMTAITEKLNRFGFIVRPEANKLEIKSEIEALYNVTVVDVNTLKYSGKSKSRYTKSGIINGRTNAYKKAIVTLKEGDTIDFYSNI", "MEVNVLNIKGEDTGRKVTLNESIFGIEPNDHAIYLDVKQFMANQRQGTHKSKERSEISGSTRKLGRQKGGGGARRGDINSPVLVGGARVFGPKPRDYWFKLNKKVKTLARKSALSYKAQENAIIIVEDFTFEAPKTKDFVSMVNNLKIADKKLLLVLPEANKNVYLSARNIERANVAIASALNTYNVLNAETLVVTENSLKAIENILS", "MPGLLGKKIGMTSVFSADGKNVPCTVIEAGPCVVTQIKSVEKDGYAAVQVGFQDKKEKHTTKPLMGHFKKAGVTPKRHLAEFKDFETELNLGDTITVELFNDAAYVDVVGTSKGKGFQGVVKRHGFGGVGQTTHGQHNRARKPGSIGACSYPAKVFKGMRMGGQMGGDRVTTHNLQVLKVIPEHNLLLIKGSVPGCKGSIVIIEK", "MSQKIRIKLKSYDHNLVDKSAEKIVRTVKATGAIVSGPIPLPTHKRIFTVNRSTFVNKKSREQFELSSYKRLIDIYSSTAKTVDALMKLELPSGVEVEIKV", "MAKHDLHLTRNIGIMAHIDAGKTTTSERILFYTGLTHKIGEVHDGAATMDWMEQEQERGITITSAATTTRWKYAGNTYKINLIDTPGHVDFTAEVERSLRVLDGAVAAYCAVGGVEPQSETVWRQADKYNVPRIGYVNKMDRSGADFFEVVRQMKDVLGANACPVVIPIGAEESFKGVVDLIKMKAILWHDETMGADYSVEEIPANLVDEANEWREKMLEKVAEFDDALMEKFFDDPSTITEEEILRALRAGTLKMDIVPMFCGSSFKNKGVQTLLDYVCAFLPSPLDTPAIVGTNPTTGAEEDRKPSEDEKTSALAFKIATDPYVGRLTFFRVYSGKVEAGSYIYNTRSGKKERVSRLFQMHSNKQNPVEVIGAGDIGAGVGFKDIRTGDTLCDEDAPIVLESMEFPDPVIGIAVEPKTQKDLDKLSNGLAKLAEEDPTFTVKTDEQSGQTIISGMGELHLDIIIDRLKREFKVECNQGKPQVNYKEAITKTVELREVYKKQSGGRGKFADIIVAIGPVDEDFTQGGLQFIDEVKGGNVPKEFIPSVQKGFQTAMKNGVLAGYPLDSLKVVLKDGSFHPVDSDQLSFEICAIQAYKNACAKAGPVLTEPIMKLEVVTPEENMGDVIGDLNKRRGQVEGMESSRSGARIVKAKVPLAEMFGYVTALRTITSGRATSSMTYDHHAQVSSSIAKAVLEEVKGRVDLV", "MRKAKPKKRVILPDPVFNDQKVSKFVNHLMYDGKKNTSYEIFYAALETVKTKLPNEEKSALEIWKKALDNVTPQIEVKSRRVGGATFQVPTEIRPDRKESISMKNLILFARKRGGKSMADKLAAEIIDAFNEQGGAYKRKEDMHRMAEANRAFAHFRF", "MPTIQQLVRKGREVLVEKSKSPALDSCPQRRGVCVRVYTTTPKKPNSAMRKVARVRLTNSKEVNSYIPGEGHNLQEHSIVLVRGGRVKDLPGVRYHIVRGTLDTAGVAGRTQRRSKYGAKRPKAGQAAAPAKGKGKK", "MENEKNNNQLQIELKEEVAQGTYANLAIITHSSSEFIVDFVRVMPGLPKAGVQSRIVLTPEHAKRLMYALQENVAKYERNFGPIRMPEEMNGGNNGPDGKTFIPPISGFKGEA", "MAFRKETKIKSNFSKISIGLASPEEILENSSGEVLKPETINYRTYKPERDGLFCERIFGPVKDYECHCGKYKRIRYKGIVCDRCGVEVTEKKVRRERMGHIQLVVPVAHIWYFRSLPNKIGYLLGLPTKKLDAIVYYERYVVIQPGVKAEDGINKYDLLSEEEYLDILDTLPKENQYLEDTDPNKFIAKMGAEAIYDLLSTLDLDALSYELRHKASNDSSQQRKNEALKRLQVVESFRASRGRNKPEWMIVRIVPVIPPELRPLVPLDGGRFATSDLNDLYRRVIIRNNRLKRLIEIKAPEVILRNEKRMLQEAVDSLFDNSRKSSAVKTDANRPLKSLSDSLKGKQGRFRQNLLGKRVDYSARSVIVVGPELKMGECGIPKLMAAELYKPFIIRKLIERGIVKTVKSAKKIVDRKEPVIWDILEHVMKGHPVLLNRAPTLHRLGIQAFQPHMIEGKAIQLHPLACTAFNADFDGDQMAVHLPLSNDAILEAQMLMLQAHNILNPANGAPITVPAQDMVLGLYYITKLRKGAKGEGLTFYGPEEALIAYNEGKVDIHAIVNVVVKDLDKDGKIVDVMMKETSVGRVIVNEIVPAEVGYLNTIISKKSLRDIISDVIKAVGVARACEFLDGIKNLGYYMAFKGGLSFNLGDIIIPKEKEELVKRGNEEVEQIMMNYNMGFITDNERYNQVIDTWTHVNSDLSDILYKTIKNDDQGFNSVFMMLDSGARGSKEQIRQLSGMRGLMAKPQKAGAEGAQIIENPILSNFKEGLSVLEYFISTHGARKGLADTALKTADAGYLTRRLVDVSHDVIINEEDCGTLRGLVCTALKNNDEVIATLYERILGRVSVHDIVHPTTGKLIVAGGEEITEDIAQEIEDSPIESVEIRSVLTCESKKGVCAKCYGRNLASSRMVQKGEAVGVIAAQSIGEPGTQLTLRTFHAGGIAGNMAANASIVAKNNARLEFEELRTVDTVDEMGEAVKVVVGRLAEVRFIDVNTGIILSTHNVPYGSKLYAADGDIVEKGKLIAKWDPFNAVIITEATGKIEFESVVENVTYKVESDEATGLREIIIIESKDKTKVPSAHIVTEDGNLIRTYNLPVGGHVVVENGQAVKAGDIIVKIPRAVGKAGDITGGLPRVTELFEARNPSNPAVVSEIDGEITMGKIKRGNREIIVTSKTGEVKKYLVNLSKQILVQENDYVRAGTPLSDGAITPADILAIKGPTAVQEYIVNEVQDVYRLQGVKINDKHFEIIVRQMMRKVEIDEPGDTRFLEQQVVDKQEFMEENDRIWGKKVVVDSGDSQNLQPGQIVTARKLRDENSMLKRRDLKPVEVRDAIPATSTQILQGITRAALGTSSFMSAASFQETTKVLNEAAINGKVDRLEGMKENVICGHLIPAGTGQREFEKIIVGSKEEYDRILANRKNVLDYSEVE", "MSSNTENQRVNFASIKNPMKYPDFLEVQLKSFQDFLQLDTPPEKRKNDGLYKVFAENFPIADTRNNFVLEFLDYYIDPPHYSIDECLERGLTYSVPLKAKLKLYCTDPDHEDFDTVIQDVYLGPIPYMTPKGTFVINGAERVVVSQLHRSPGVFFGQSVHANGTKLYSARIIPFKGSWIEFATDINNVMYAYIDRKKKLPVTTLLRAVGFENDKDILEIFNLAEDVKVNKTNLKKVVGRKLAARVLKTWTEDFVDEDTGEVVSIERNEVIIDRETVIEEDHIDEIIDSGVQNILVHKEEANSSDYSIIFNTLQKDPSNSEKEAVLYIYRQLRNADPADDASAREVINNLFFSEKRYDLGEVGRYRINKKLGLTTDMDVKVLTKQDIIEIIKYLIELINSKADVDDIDHLSNRRVRTVGEQLSNQFAIGLARMSRTIRERMNVRDNEVFTPIDLINAKTISSVINSFFGTNALSQFMDQTNPLAEITHKRRLSALGPGGLSRERAGFEVRDVHYTHYGRLCPIETPEGPNIGLISSLCVYAKINELGFISTPYRKVADGKVDISDEGIEYLTAEEEEDKIIAQGNAPLDDEGKFVREKVKARRDADYPVVTPDQVELMDVSPQQIASIAASLIPFLEHDDANRALMGSNMMRQAVPLLRTEAPIVGTGIEKQLVEDSRTQIAAEGDGVVEYVDATTIRILYDRNEDEEFVSFEPALKEYRIPKFRKTNQSMTIDLRPTCDKGQRVKKGDILTEGYSTQGGELALGKNLLVAYMPWKGYNYEDAIVLNERVVREDLLTSVHVDEYILEVRETKRGMEELTSDIPNVSEEATKDLDENGIVRVGARIEPGDILIGKITPKGESDPSPEEKLLRAIFGDKAGDVKDASLKASPSLRGVVIDKKLFSRVIKSRSEKNADKAILPKLNDEFEEKAAKLKDILIEKLLVLTNGKVSQGVKDYLGTEVIAKGAKFTKRDLESLDYTIIQLSKWTADAHKNDMIRDLVMNYLKKYKELDAELKRKKFAITIGDELPAGIIQMAKVYIAKKRKIGVGDKMAGRHGNKGIVSRVVRQEDMPFLADGTPVDIVLNPLGVPSRMNIGQIFEAVLGRAGKELGVKFATPIFDGASMDDLNEWTDKAGLPRYCKTYLCDGGTGERFDQPATVGVTYMLKLGHMVEDKMHARSIGPYSLITQQPLGGKAQFGGQRFGEMEVWALEAFGAAHILQEILTIKSDDVVGRSKAYEAIVKGEPMPTPGIPESLNVLLHELRGLGLSINLE", "MADLKAFAEQLVNLTVKEVNELATILKEEYGIEPAAAAVAVAAGPAAGAAAAEEKTSFDVVLKSAGAAKLQVVKAVKEACGLGLKEAKDMVDGAPSTVKEGLAKDEAESLKKTLEEAGAEVELK", "MRKEDKGVIIGQLAETVKQYGHFYLVDTTAMDAAKTSELRRKCFKAGIKLVVVKNSLLHKALMSMEGVDFSPLFDSLKGTTSVMFSEVANAPAKLLKEYKEEIPALKAAYAEEGFYVGANQLDALCNIKSKNEVIADIIALLQSPAKNVISALQSGGNTIHGVLKTLGERAE", "MGKLTKNQKLAAEKIEAGKAYSLKEAAQLVKDITFSKFDASLDIDVRLGVDPRKANQMVRGVVSLPHGTGKQVRVLVLCTPDAEAAAKEAGADYVGLDEYIEKIKGGWTDIDVIITMPSIMGKIGALGRVLGPRGLMPNPKSGTVTMDVAKAVKEVKQGKIDFKVDKSGIVHTSIGKVSFTADQIRDNAKEFIATIIKLKPSSAKGTYIKSIYLSSTMSKGIKIDPKTVEEN", "MAKEVAGLIKLQIKGGAANPSPPVGPALGSKGINIMEFCKQFNARTQDKAGKILPVIITYYADKSFDFVIKTPPVAIQLLELAKIKSGSAEPNRKKVAEITWEQVRTIAQDKMVDLNCFTIESAMTMVAGTARSMGIAVKGEFPGNN", "MSEIEKKWYVLRAVSGKESKVKEYLDADIKNSDLSEYVSQVLIPTEKVYQVRNGKKIVKERSYLPGYVLVEAALVGEVAHHLRNTPNVIGFLGGSENPVPLRQSEVNRILGTVDELQEGGEELNVPYTVGETVKVTVGPFSGFSGLIEEVNTEKRKLKVMVKIFGRKTPLELGFMDVEKE", "MFQKVANYCKESYDELVHKVSWPTRKELSSSAVVVLYASLLIALVVFLMDSAFQFVMEDIIYPH", "MAKEKFERSKPHVNIGTIGHVDHGKTTLTAAITTVLAKKGLSELRSFDSIDNAPEEKERGITINTSHVEYQTANRHYAHVDCPGHADYVKNMVTGAAQMDGAIIVCAATDGPMPQTREHILLARQVNVPRLVVFLNKCDMVDDEEMLELVEMEMRELLSFYDFDGDNTPIIRGSALGALNGVPQWEDKVMELMDAVDTWIPLPPRDIDKPFLMPVEDVFSITGRGTVATGRIEAGIIHVGDEVEILGLGEDKKSVVTGVEMFRKLLDQGEAGDNVGLLLRGIDKNEIKRGMILCKPGQVKAHSKFKAEVYILKKEEGGRHTPFHNKYRPQFYLRTMDCTGEITLPEGTEMVMPGDNVTITVELIYPVALNVGLRFAIREGGRTVGAGQITELLD", "MEVRIQAIHFDATEKLQDFIQKKTAKLEKYCDDINKVEVSLKVVKPETAMNKEASIKVLVPNGEFFAEKVSDTFEESVDVCVDALSKQLTKYKEKLRGK", "MMTDSFLDYLRFEKNYSEKTIVSYGIDLTKFEEYFKGKDEKVDFTTVDADLVRGWVMNLMENGYTSASVNRKLSSLRSFYRFLLKKGVIEEDPMLKIIGPKNKKPLPVFLKEREMDRLLDDVPFKEDFTGCRDRMVLEMFYATGMRLSELIGLNDVDVDFSAFLIKVTGKRNKQRLIPFGEELRRAMSVYLKIRNEVLSGKAEAFFVLKNGKRMYPGKVYLLVKRNLSKVVSLKKRSPHVLRHTFATAMLNNEAELGAVKELLGHSSLTTTEIYTHTTFEELKKVYEQAHPRA", "MIVVPVKEGENIEKALKKFKRKFEKTGVVKELRARQQFDKPSVLNRLKRERAIYVQKLQQVEE", "MKSEIINRIASLRNFMRKHKLSAFIIPSTDPHSGEYIPKHWEARKWISGFTGSAGTVVVTLDKAGLWTDSRYFLQAAEQLENTGITLFKERLPETPSIVEWLGCVLNAEDNVGIDGWVNSYQETSNLQKELEKKQIHLTLAPDPFNELWTDRPALPDNKVFIHELKYAGLSYKDKITQIREAIRRNSCTGILISALDEVAWTLNLRGSDVHCNPVFVSYLLITEYSSTLYIIENKLSDEVKDYLTENEIKVRPYSTIEKDLKDFTGKLLLSANINAAVHAAACAHSLIEIAPSPVLFLKAIKNETEIEGFHRAMKRDGVAMVKFLRWLKAAVSTGNETEISIDKKLYEFRAGQPHFNGISFDTIAGYKAHGAIVHYEATPETDIPLKPEGMLLLDSGAQYLDGTTDITRTIVLGALTKEEKTDYTLVLKGFIQLSMAQFPHGTCGTQLDALARLPMWKAGINYLHGTGHGVGCFLNVHEGPHQFRMNHMPALLVPGMTVTNEPGIYKTGRHGVRTENTMLIVPSQETEFGTYYKFEPLTLCPIDKEAILTDMLSDEEITWFNQYHEKVYNCLNPELNNEEREWLKEVTSPLKR", "MALIKSVRGFTPKIGENCYLADNATIIGDVVIGKDCSIWFNAVLRGDVNAIRIGNRVNIQDGSVVHTLYQKSVVEIGNDVSVGHNVTIHGATIKDGALIGMGSTILDHAVVGEGAIVAAGALVLSNTVIEPGSLWAGVPAKFIKKIPEAQSKELNQKIANGYLMYASWFKEEEK", "MKKIIIAACMALLGCGSVFAQQGKQAIGGNLSYGTEIESVGIGLKYQYNITDQIRIEPSMNYFFKNDGLSMFDINANIHYLFPIASNISLYPLAGFTYTNWHLDLGKAGDYDVSGSDGKFGVNLGAGMEFDLDKNWSLNFDIKYQLISDLDQAVFNLGVAYNF", "MNNIRQLTKDEYPKAIELSWQVFTITGKEDFNNEGLEFFKSFIYNKKCINEIIFYGSFDNEALTGILGIKSNGKHISLFFIKPEYHRHGLGKKLFHYASTLHPSIETTVNSSTYAIPFYQSLGFAVVGEKQNYHGLCSTPMRRYHAVFVQKNKYTLRTWQTEDAHSLVQELNNKKIWDNCRNVFPHPYRLEHAETFIDLIQKKEGIHDFCIEVNGKAVGNIGFTPGTDVECFNAEVGYVIGEKYWNQGIVTDALQEAIYHYFTYTNTIRIFALVFEHNLPSMRVLEKAGFNKVGIMTKSIFKNGHFTNAHLFELLKNV", "MIKLLLVEDDANLCYIIRGGLEDMIGGYEVMTASNGEEGLKIWKEQHLDIIISDIEMPVMDGYEMVRRIRETDGFIPIVFTSGRVSPKDVVKGYELGVNNYIKKPFLAEELDAHIGALLKMKRGMGAANESEIYRIGENYTFDAVHAVLKHSSCVQKTMTEREAKLLQMLCKKKNELVRRDIILSRLWDTEDDFFASRSLDVFVTRLRKLFADDERIQIKTVKGVGLCLSDKG", "MTIKHIRWVACIGLLAIICLQYVWLVNTYKLTKESIQFRSNEVFRDATMREVFYRMEVYQDSLQKKYKDKDTSIMVRINLDEDYDFFEDGRGDKNVNQWLMSNMQVSMQEIVKRDYKLSVSLSSLDSIYRTGLAAEGLDAEVITCVTDSLGNILRSSRSIQVGDYGLLKTGLQPINYKCTENLQAFIVNPYWVIFQQMTLLLIATVLMMAMIVYCLVYQIRIIAHQNKIARMREDFSYAMIHEMKTPLACILMGTRMLKSGKLDIFPDKREKHFQILEDESEHLLSLTNKVLTLSKLENAQLRLWKKEIQLRPMLEDLIEKYTAKADKPVHFSLHLESEWVYADEEFLKEAIGNLVDNSIKYSGEEVDIQISSLRQDYNFYLIKVRDNGIGIPLKDQSRIFEKYERASAADRSRKGGASGFGLGLNYVFRVAEAHGGKVCVESIEGEYSEFFLFLPSGEKK", "MKKQNFIITSLFLFWVIGTTTAQTITGKVTNIHAQAIDGATVILQTIDSTFVDAVITDTTGYFRFNRQPASYRLIFQHIMYETLLLTTTGEDAGTITLKSKDYALDEVIVKGERPLVKVEEGKLSYDLSQLTTHRIVNNAYEILQQLPGVQEINGNLSLAGTHNLNIILNGRPTTMSHEQLTILLKNTPASRVEKAEIMYSTPPQYHVRGAAINLLLKGYRPEESGLQGEVNAGYLYNYRSGTQGGISLLYTTPKWNIDLLYNTHYEQNRQTTDTYSHHTLKNNIYDICQHNDIDRKGITHYVRTGAEYKFNDNAHINLAYTGVFNPNNDNHSYSDGNITTADNRTKKETRMHNIALDYLSSFGMKAGINYTSYHSESHQQFTNKDNKNQTSEFHTTSGQTIDRWKIYVDQSHTLPREWTLNYGTSLTYASDHNTQFYHTQNGTDMSELNTNNRYNEYTYDFYVGFSKNMGEHLSFSASITGEYYKTARYHAWAAYPTTELTYVMTPAHILQLSFTSDKSYPSYWDLSESTGYISGYEEVQGNPMLKPSTDYSANLNYILKNKYIFSLAYDYQPDLFQQLAYQSTERLALIYKTLNWDYQQSFSATTIIPFKIGHWLDSHVTLQAEYRQAKCNKFFDLSFNHSKWIGLAMLQNNIILSTKPDIRMELTGLYLSPSIQGSYDLNHIWAIHTGIRWNFANQKASIQVKANDLFNSMEGNIDVTLRNKGQYMDMHTNNYSRNITLSFTYKFGGYKEKQHKPIDTSRFK", "MICVGLTGSALFSFNKGDDRNFQIAKNLDIFNAIFKELDMFYVDTIDPEKVIKYGIDAMLAQTDPYTEYYPEEDNTLKEMTSGKFGGIGSVIRYYTPRKRVAIIEPSEGSPAAEIGLKAGDIIMEINGKDMAQGDRIPNDLTSYVSDNLRGEPGTTCVLKVERPTSDSTYVPMEFKITRSTIRTNPVPYYGIVGNNVGYIVISTFAIENCSKDIKKALIELKQQGAKSIVLDLRGNGGGLLGEAVNVVNFFVPKGKEIVVTKGKIKQAGTTYKTMNEPVDTEIPLAVLVDGSTASASEIVSGSLQDLDRAIVVGSRTYGKGLVQVPRELPYNSSMKVTTAKYYIPSGRCIQAIDYAKRNADGSVARTPDSLTNVFHTAAGREVRDGGGIRPDVEVKVENFPNIMFYLLNDDMIFDYATQYCIKHSQVGEVKDFTITDADYVDFKKMLHKRKFTYDRQSEKMLKNLKEIAEFEGYMDGAKEEFAALETKLTHNLDHELDRFSKEIKDAIAQEILKRYYFQRGAILQRLKDDPDLKKAIETLNNQSEYSKILSVMK", "MDKIIGMGNALVDVLVTLQDDSLLDEMSLPKGSMQLINEDKFLKISGKYSGMQTHKATGGSAGNTVLALANLGAHPGFIGKIGNDDFGQYFKKNGLKQGIDMKLLAGDLPTGVASTFISPDGERTFGTYLGAAATMKAENLTLDMFKGYAYLYIEGYLVQDHELILRAMQLGKEAGLQICLDMASYNIVEGDLEFFDILITKYVDIVFANEEEAKAYTGKDAWGAINEIASKCSVVIVKLGAQGSCIKKGTECIKLEVPPVKKVVDTTGAGDYYAAGFLYGLTCGYSLEKCSIIGSILASNVIQVVGTTLSKKKWDEIKLNIEALLQA", "MKTHIIALLLLTGGVLNLFGENLHNFFNRYNFSFITEDTGLPHNFINDIYKDTQGYIWVATNNGIGRYNGYQFIHYNSQSHSIRLKNDYVHKVCEDKFQRLWIGSEEGIDLIDLKHYTQIDTDKELPAELKSLASNYIASIYRDKQDNLWISTDKNLWYLELGVNGQIKDYYKLKKDTESPIHAVIDLQEYICAGIDNHVCRIEKGSNHLLKTSMVSELLKPFSEDWRILCMETDGELLWIGTNRGLFKYNHTRQSLKRYRYSNHRPGMLSQAYITGVKLTSKGDVIVSTLNGLNVYNRDTDTFTYIRQNNEMPDKSLNCNFINCLLTDNENIWVGTEIGGINLLTPNCLQTYVWQYNYLRETSLSPNPVNAISEDKDGNLWVGTVEGGLNKKSKGSDEFIHYTFDQNNPTSISNNSIRGILIDSENHLWAYTWGVGINELNLNIPHNQTFQRHIREDSIGLEGDFLSSACEDTMNNGLWFGTTRGLHFYHKKTKRFTRVLFDRSDNEFDAVGSIIIDRKKRLWMGTSEGVFIMDLHSFSISSAQFSYTYLKHKLTEPTSLQLEKINCIIEDHNGTIWLGANGNGLYQLAEENGTQFTFHNYTRKDGLPNNTIIGIVEDKTGHLWMSTNHGIAQLDTQTMTFTNYTKEDGLPNNQFYWNASYYSPQNNLLYFGTINGLVAFTPDIAKTKKQDAKVKLTSISVAGTMVYPPADGKTPCAVTNLHTIRLHEKDHGFSIEFSTLNYGNCNRVKYAYRLKNYENEWTETLPDEHTARYNFIPSGKYVFQVRATDEKGHWSDKITEVKVTITPYFYKSWWFYLLLAILITVGSYYFYQWKISLYRQQKKQLEKEVAQRTHELELQNKQLEIMARHVEEVTEEKIAFFTNITHEFRTPVTLINGPIQRALDESHEPEVKKQLQIAERNSNYLLSLVNELMDFRKLDADKVVLNKTNENFIRLIQNILMPFEVFAHERNINIITYFRLCTPFWIFDVEYMRKAIINLISNAVKFTPDYGKISLYVASLTDKNNHTWLFIDIKDTGNGIVPEDIERIFERFYQSKKSIKYPVYGQSSTGIGLFLCKKIISLHGGNIYAGNNPKQGAFFRILLPLEKGIPQAESEKEKYEQNEHPLSIPANGNEKKETILIVEDNADMRTYICSILKNNYQLKEAQNGAEALYLIQRETIDLIVSDLMMPVMDGNELSRRVKANLATSHIPFLMLTALRSEAQERISYEIGVDEYLCKPFDEVILRLRIRNILALRQKYKSMFSTSMNCETLNINASSKDNTFMTSAINLMKEHYADSDYNLERFIRDMGYSKTLVNQKLQSLTGQSIGQFMRNYRLNVAKDTLTKVECDISVAEIAYAVGFNDPKYFTKCFKELFGVLPSEYFGKK", "MQMYMKNTFLLLSWLILLPSGILANPIKEMLERIDKGASDKFVVELHKSPNDFFELDQKGDKVVIRGNTYINIATGINWYLKYHAGIHLSWNSMHASLPNVLPPVFRKERHETNLALRYDFNYCTYSYSMAFWDWKRWEEELDWMALHGINLPLAAVGHECVWRNLLLRLGFSKQQINDFIAGPAFLAWWEMNNLEGWGGPNPDNWYKQQEDLQKKILKRMKEWGMHPVLPGYSGMIPSKLDLGKRIDGGKEEKTLSNISSESAQSTLNKWNGFDRPGILLPDDPKFTQIASLFYEETEKLYGTSDYYSIDPFHEAKSLPAGLDFGKAGRAIMDAMKKANPKAVWVVQGWTENPRPEMMKALNPGDLLILDLFSECRPMWGIPSIWKRDKGYEEHNWLFCLLENFGGNVGLHGRMDQLLHNFYLTKDNPLATQLKGIGLTMEGIENNPVMFELMCELPWRAEKFTKEEWIKQYIRARYGTDDESIWQAWQILANGIYNCPAGNNQQGPHESIFCGRPSLNNFQASSWSKMCNYYDPTTTAEAARLMVSVAHKYRGNNNFEYDLVDITRQAIADRARIVYNYAVADFKSFDKKSYATHTRQFLELLIMQDKLLGTRKEFKVGNWIQQARNLGSTSEEKDLYEWNARVQITTWGNRYCADIGKLRDYAHKEWNGLLRDFYYKRWEKYWQVLQDQLDGKLPVLPVGNSSTPTADNPAMTIDWYALEEPWTLAKNTYAASAEGDCIEVAKEAITLINN", "MKKKELDTETAQQALPIKKRLLSLDALRGITVAGMILVNNAGGKVSYAPLQHSAWNGLTPCDLVFPFFLFIMGISTYISLNKFNFNVSLQVVTKILKRTFLILCIGWAIGWFDHVCEGDFLPFVHLRIPGVLQRIALCYCVISFTALFMNHKFIPTLTFILLVSYTVISCMGNGYTCDESNILSIIDRQLFGEAHLYQKSPIDPEGFVSTLSAIAHTCIGFSCGKWIIQSHQTENKVLRLFLTGFILMSIGYLLADALPLNKRIWSPTFVLVTCGAASMSLATLMYYIDIRNKQKWCRFFIIFGVNPLFLYVLSEVLAIMMGSTGWKAAAYAAIHSGITDAYLASAVYALVFTLFLGCIGYPLYLKKIYIKL", "MLNVQSLTQRTFAQIVVFALLLLNSTLAFAQNQVNGIVTDKTGEPLIGVNVVEKGTTNGVITDFNGQFTLNVAQGKTLVFSYVGYTTQEITVKGSSLKIIMEEDSKTLDEVVVVGYGSMSRKDVTSSITTVKADKLNVGVYSDPGQLLQGKVPGLTVVQSSDPTSGTASISLRGASSLRTGAAMEPYYVIDGIPGMSLSLIAPEDIESIDVLRDASATAIYGSKAANGVILITTKKGSKSEHTSVNYSAYLAFDNIAKRLDMMTADELRTYAKENNITLPNDKGANTNWNDEVLRTAISHNHNVSINGGSEKTQYSASMSYQNKQGIVRGTDFERFGGRAFLQTKALNDRLTLAFNVNAAQSKGTTVDSAKDGQSVFDAMNYYSPLVPVTNADGSWYSDKTISQNFNPVSMINEDTFENNKKLLQGTAKGTLDITKDLKWNLSLSYQDEQYIWNEYHTSKSQYNTRNGEAKRIATENKKKILETYINYDHTFANIHKLGLMAGYSWEQNDDNDSFGLDVYDFYNDNTTFYNLNLANKMDWQNGGITSNNNGHLETLRMISFYGRINYSFNSKYLLQATIRRDGSSAFGKNNRWGTFPSASLAWRMSEEKFIKDLNVFDDLKFRVGYGVSGNSLGFGAYSAIQTYSTSGWFNYTNANGTQNSYHTLAAASNANPDLKWERTAMLNIGLDFSFFGGRLGGTIEYYDKRTSDLIYTYEVSTNRYPFGTMPANVGDISNKGIEFTINATPIQTKNFSWQTSLNLSHNKNNVESISNSEYSVDYIRAADPEIAGYSSNADVQRIMEGHPIGTFYTYEWAGYNNQGVSIFYVHDPETGERTGETTTDPETDRDRTITGCAQPDLNLGWSNNFQYKNWNLDLFFTGVLGQDIYNATAEQYSNVSFVKEGRNVLKSVATEHRATDTQSQAPSNRWIENGSYFRLSSVSLGYTFGKIGNWINSLKLYATCNNVFTITGYSGRDPEINLGGLEPGMDRRTNYYPRTRSFMIGVNMNF", "MKNSIKLITWLVGGLFTFSSCTDLDVDLKSTYTEYPDSEIAKEAKMAGLYYGFGGALGRRYMEAALLSSDEFMAVTFGGNWYDGGNYIHSSLHASLPGDAHVDWAGDIPAAITKCNQAIFDLGGEDENNAEQEALIAPALAMRAFYHFIFMDTFGATPKLDHLIGDSEAIDRSPRSEITKFIESDLLRALASGGLKEDVDASTYGKPTKWMAEALLAKLYINWAVYTCDDVATYDPSMTNSKLNDVIKYCDDIIASGHFNLSDGYRKKFMPDNGYQIKDFIYAMPYQNNETSTRANTYARFQFWPKFNNDGADGKGLFGITLSKNAGGVFIVTPEAADRFCLEGDERNDIIMKGAINYYDISTHTMGTEPYIYNGQQVVLTKNITILNDQMDLGNDLNAWCQGYRCIKWAIQADDYNLYNRNQSNDVPIFRYADILLMKAEAILRGATATNGDTPQSLFNQIRSYCNAPLLEHSPSLDELLEERAREFYAETWRRNDLIRFGKFEDDWGYKNQYHPEAKTEKWRRIFPVSVGLMNSNTNWKQNYGY", "MKRHQILLLHIATSILVLFPFPIHAHKMMYQDSIEIVVHRGANHIAPENTIPSALAALKHGAGWIEVDVRKSKDNILYNLHDETLDRTTNGKGPIQDMLSKDIEKLDAGSWFSSRFIGIHVPRIAEMLDTLQGKAHIFFDVKRGTPIKDLITLVRQKGYENKSFFWFADSEMLKEFIKIAPEMKIKVNASNIAALEKWMKICTPAYVETDILNITPQFKEFCKSNHIKIMAAIQNASEKEYKKAIEVHPDLVNLDRPELFIKILHQEIKK", "MKSTLSYLLIICSLFTACIGHQEESLLFYVDTRTGTAPSATHTAELFGKNTEEYGQTLPAVLEPNGMNFWTPQTQDTEAKCKAPYYYKDTKIQGFRNSHWIVGGCTQDYGSMTLMPVSGTLKYLPQDRGSLFSHQEETATPAYYSVLLKDYSIFAEMTGRSRSAIFRFTYNQPEDAYLIVNPNSDEGKGYIEIDTIKKQIRGYNPVHRIYQGWGEPAGYNGYFIIEYQNEIEEYGTFRHDSLFAGQRQIADGTSIGAYLRFKIHSEGPILIKAASSFTDMEGAQKNLDTEIPHWDFDRTRQELNSIWEQRLSQVTIQTNNRNDKEKFYGALYRASFLPRTFNDVDGRYPSFSTGHPFRQSANGRNYYEDYSMWDTYRALHPLVNILTPKKAGDMMQSLVDKYEQGGWLPIFPCWNSYTAAMIGDHCISALGDAYIKGIRNFDINKACEGMLRNAFRTPATYEEYKNGMGRRALNSYLKYGYIPLEDSVPEAFHTCEQVSRTLEYAYDDFVLAQVLQKLETSDDYFPDPQKTGLYDTLMIRARYYRNVINPSTGYAQGRYADGSFLTDAGNAFSFTRFITEGAPCHYTWYAPHDIYGLMECMGGKEKYIAKLDSMFSEHRYWHGNEPCHQIAYLFNYAGQPWKTQREVRHIMETEYLNAPGGLSGNDDAGQMSAWYVFSAMGFYPVCPGTPYYIIGSPSFPRMSIRLENGKTFTILAHNANKKNIYIQSAKLNGKEYDKNYFTHQDIIQGGTLEFQMGPNPNTNWGASALSLPPDNMK", "MKNQTIISLLCCLLLFVTGCSTSHQGNTYDIMTYGAKGDGQTDDAAAIQRAINACSAAGGGTVIIPAGHTFLCGPLYLKSYVNLHLEPNSRLLANPDESIYTESAFRENRGEGMMWISGKDLKQISITGTGEIDGNGIAFMGKELDDSYELKPVTDFDPRPHVLTLINVEKTVIRDITIRNSAYWTIHLIGCYDALIDGISLLNNLKIRNGDGIDVDHSKKVRIANCFIESGDDCICLKNRREFEEYGSCEDIVVTNCIMTSRSCAIKIGSENMDKIDNVLFNNCIIKNSNRGIGIQNRDEGTVSNVIFSNILVDCMFYSDVWWGKAEPIYVTSYPRAVGNHKDAGWRFPKGATKGHSGEVSNIFFNQIKCTSENGIFVGGDTPEKVHHIYFDEIDVKLLKRTGYEGGVYDKRPCNGDGFVYDKTYAFYLDTASDIRITGCNIYWAFPQLTQAGGDIKEKNTIRVKINKK", "MTHIPFKVSLLRLVFLLLPVTVAAQEARLSDYVDPRIGSEGLGRVFIGPSCPYGMVKPSPDCTVHPNSGWLPMPEQVNGFSQVHVSGTGGGPKYGNILVMPFGKGMDQLNHIDYRKDETIRLGYYATEFQRTGIYTEITTAPRASFYRFTYPEDSLKSLLVDAGFFLGEQPTPDAREAQQFVGSEIQIISDHEVMGYTRIRGGWNNGRAYTVYFYAVTDHPFVQTTTWKGNQISNERYQPDSQQKTGALLRFPSSANTIQLKVGISFISSLKARENVWNEIPHWSFEDTRQALLAQWENLLQRIDIASNTPEKYKRMFYTGIYHTMLMPVDRTGENPLWSDPEPYYDDFYAIWDTYRTSTPLITLIDPQRETDIVRSLINIYKRDGYMPDARSGNCNGRTQGGSNAEIVIADAFVKGLPNIDYHLALEAMLKDATIPPGGNEEAEGRGGLIPYLELGYIPYGIPRAGNRTIEYSYCDYAIALVAKGLGKTDLYQQYLKQSSNWKNLWRADYEHAGVKGFILPRDKEGNWLDKIPFGNSHIQKPTFTYTPVTFEGPWYTPWWNMFFYEASSWEYALSIPHDVPELIEQCGGKEKFDERLDIFFDKGFFNVNNEPSFLTPCLYHWVGRPDKSGDRIHEIIQKNYNDGSAGLPGNDDSGAMSSWLVFHMMGLYPNAGQDYYLIHTPLLTESRFHLQEGKTFTIKTEGLSEKNKYIRSILLNGKNYPYSTIRHNDIIKGGELVLKMGPSPGNWGSKLFPESKE", "MKKKLFICFLLIGSLMGNVMAQDIITNPLLFVFKLHGQTRKYQFTFNQSNDTLYLHWGIERNTRWQSGSYAMPQEALKTAVRLSFLQPEDGQHICLPIQETFALLSATAFQELKSQKAFHYNQTEYQLADTKSQAMGYSLLHVNDSVDGCEMWIMDNPDFPLIWEIQNNPLGINWKVAPIDLPAHNLKEEIIQSPEKMGSIYYAYPTPNGIQTPVPEGYSPFYISHYGRHGSRWMTSDERYLEVIRVFDTFHNKSGLTDLGEDVRLRLQKVWENARGRGGNLTPLGERQHKAIAKRLYQQYPHIFRDSANISARSSVSVRCIMSMSAFTEQLKELNPSLQITREANQRHMDYIAYTSPEAEKLGSASAPWRTAFHTFEENHIHPERLIASLFKNPKEVRNPRELMMGLYWIASDMQDVELPLSFYDLFEKEELFGIWQSVNYRMYICNANAPVNQGAAPKSAKSLLKNIIESADRAIREGTPCATLRFGHDTNLIRLLALMQVEGCSNQETDPDRYYLAWQDFRVSPMGANLQLIFFKNKQGEVIVKLLHNENEVKLPIDSPIAPYYKWETVKAFYNHL", "MRKIFVLWLLLLSVVSLAQPYSVKQLGIEKGLSNNYVVSIAQDKQGFLWFATEEGLNKFDGTRFITYLKNEDLTRQGITGNELNCLLDDPQDSILWIGTQRAGLNAYDYVNNTFLCYRHDGENPESLITDDVTKIVAATDGNLWITTYWRGVDYFDKKAGKFIHYNTQTVPGLASDNIWSVVDGGDGKLYMGHVHHGFSVLSLKDKKVKNFMHDPEDPVSLPGNGVTCIYKDLSGNIWLGTDRGLALFNPEAENFIHFHHSEDGVPHTVFDIRQFDGNKLWIAMEFGGIAILDLTQRMFLSPDQVRFQYIKEGDDEYSLSNSTVRCLFQDSFKNVWAGMWGGGINFLSHESSYFNVYSYSPIQHSGSSLNNKTASSVCVARDGKLWIGTDGGGINVFDKGKRVAVYKEETGDLTDNSIQAALCDSEGNLWFGSFMGGVDFYDVKKKSFHQIFPKDKTGEDVRALYEDAEYVWIGTSNGIYKVRLHDKGIADHYTVENNLVRCISKDNLNRLWIGTFGGGLGVFDEHFQCVKLFNVTSLFPSNTINTVYMDSQNRMWIGTGEGLVCFPSSQSWDYKVYRSEEGLSNVHIRAITEDNHGNIWVSTNKGISCLLKDKEVFYNYDHWDNVPMGNFMSGSVAEAKDGTLYFGSINGLCRFNPDQVLEKRESPAAIITEMRIFGPLRDTDSNEKVMALEGQSEVRLSYMQNNFSVTFNIQNYALADQVEHAYMLKGLENSWYTVTDPNNVTFRNIPPGNYCFQVKTRIRNQEWADEIASLDIRIDPPVWLTWWAKLFYILSGVSVLYFILHAYKKKLDMESLYELEKKNHEQEQELNNERLRFYTNITHELRTPLTLILGPLEDMQKSNSLSGKDSQKISVIHQSAIRLLNLINQILEFRKTETQNKKLCVSRDNLAALVHEIGLKYKELNRKPEIDFCLEIEQEDMSLFFDKEVVTIILDNLISNAIKYTEKGTITLGLHQVVRNNIHHTEISVSDTGFGIAPDALPHIFDRYYQEGSEHQASGTGIGLALVKNLVVLHEGEIRVESSLNVGSTFYVSLLTDNTYPHVLHADSTEKTSDEKGEKEENIEPVHNGKRILLIVEDNRDICDYIVESFSDDFEVRTAANGEQGLEQALGCIPDIIVSDIMMPVMNGIVMCRKLKEDLRTSHIPIILLTAKDSLQDKEEGYQVGADSYLTKPFSATLLHSRIHNLLESRKLLAERFNTNSILIDKRAAVTESMNKLDNEFLEKINKLIEDRLSSEKIDIGYLSDAMCMSNSTLYRKMKALTGLSTNEYIRKIKMQYAERLLLEGKYNISEVAFKVGINSTVYFRQCFKDEFGMAPSDYLKKIKPE", "MKKKHLYGAMLWAFLVTANLCTGCSDDNDYPDVDGQNPTMTLATDHIESGAGHRFTIEGTLEDKDGIASISLQCADLHLNKTIDLIEIYGAPQESYDLSYYFDINRNEIGERFTVKVTVTDVGGRSISQDVLITMDGDFAAPVFSTAPDATVTVLMKNETKFNLRFTATDDRALDYVTINIPGIDGFDNRRVDADGKSSLNFAEIIVFPNEPKSYNVTITAFDKKENSTTTTSVLNISEMPDFPKMYLADVATAEELNSDIFGVPMVIEHTGEYQYKANYYCQKAGTKIFFLPQKSDFTPICFGLDPEDNTKLTDDPETAMPIVLDQANVYYEINIDVKNSTYNLKTYSIADAVDPIPHTYGSISLDTWGDGGSWLQEFYFGYMTSSPTEVLRFTQDKTNPHLFYLDTPLFLEAGTKMNFVIHNWHSDGWWNYCTWRVDNSDEPEIFGYYGKEAKYTNPAWTKPDHVGDNWAKPTVNVTGNYKLIFDAHLERAKLIPAN", "MKKQILLLCLALTSLCSYAQTITVKGVVTSASDKEPMIGATVQVKGTGTGTITSIDGDYSLNDVAKDAVLVFSSIGYETQEIKVNGQTVINVVLKDASELLDEVVVIGYGAVKKSDLTSSISTVKGKEITETVTGNAMDALQGKINGVQVTSGGGPGAQPKVLIRGVTTVNGTDPLYVVDGMPVGTNINFLNSNDIESMEVLKDASAAAIYGTRASNGVILITTKKGMAGKTNISFNASAGFQTLSKPKMANAAEYKEVFNTRYTNDGGTSIWNDTGATTNPGGTDWWDEVINKTALVQNYSLNISGGSDKLVYNLSMGYYRNNSQYDYGYWDKINARLNTEYTFNKYVKMGFDIAPRVESWDDTPDLFSAAMSMDPTTPIFKPEDQWVDNEFNNYQRSYNNQEWNPAGSLARQNSHSREMGTIVNTYLQISPIQKLTLRTQFGANAHFRRTDKFTPEFYIDALEQSTLSNVSREMQEWLDWNWTNTATYITTFAEKHNINVMGGFTAERFAEFQSKASRDDVPNNMDQMQEVNAGTQNQKSEGKTAYSTLVSYLGRVMYNYDNRYYLTASIRADGSSRFPKGNKYAIFPSVSASWRIISESFMQDQKIFSNLKLRGGWGRVGNQNIDNDATLTLLGQSDYVFGTAPGRVSGTMVSGVGNNLLKWETVEDWNVGVDMSFLDSRLDMTFEYFQKKSSDMLYQKQNIFAIGYPDWNSTVWMNIGSMKASGWELSLNWHDKVADFRYNVGLNLSAVKNKAVKFSGDGPIQTGGFNSDQIIRNEDGGLISRFYGYVADGIFQNWDEVYAHTNENGKLVQSNAQPGDIRFKDLNHDGVLDENDKTWIGNPYPDLMVGLNLGFSYKNIDFTANFYGTFGNDIFNKTKGLYSGVSGQNVWAGTLQKAWHGEGTSNDIPRLSYNDLNQNYTRISSFFVEDGSYMRCKLLQVGYTLPKKWLNGTELRLSLSAQNPFTITSYSGMDPERPQIGKDGSVIETGIDGIAYPNPRTFLFGIDLKF", "MIASLTFTSCEDFLTEEVRGQQNLDTYFQSAEEAEAFITGCYQAITFGGWWNINTVWLLSEMCSDDGWMGNTSQSQSDYISLAHYQGTGQSNGAISNFWQYRYKGILRCNIAVERISQADFSDEEMKNRLVAEARFLRGYFYFELVKNFGGVPLITGFLLPEEIQGITRASAEDVYKFIEDDLKAAADVLPQRSQYAATDMGRATRGAALGLLGKVYLYQSKWQEAHDVLKTVIDEGEYKLLDNFGDVWDVDHNNSEESLFEVQYMYDGTYALGGSLTVITGARSGPGDGWSWGQPTANLEQAYIDAGDTERLRWTIIKTGCTEIAGENNFDKFVENNTKIANYKDYIEKYGWDPECYIIDPSQHKSARIVRKYFVPIEKRPEVYNIDKIPLDHRILRYADVLLMYAEACNELGEDGTARTYLNEVRNRVKLPAVTSSGNELRKAIRLERRLELAWEQNRIYDIRRWTDDNGKKMICNLMGANGTFVKYNTDPATRDIYEWENQGEASDKGISFNENRDMVFPIPLYEITMSNGSITQNPGWN", "MKLKYIFTLPLFFSTVACSDDSPQTPDTSGQPDSSINVEKTVTIDAGQSFQTLTGFGASDCWAPAFVGKSWITNRDKISELLFSSEIQSGQPKGIGLSMWRVNLGGGSAEQGEASGIEDKSRRAESYLTDDLTLDWTRCKGQRYFLQRAKEFGCQSIVLFSNTPPVQYTSNGKGFSNSGGVSNLKDECYTDFARYMSDVAKYYVNEGYPVTHISPVNEPQYNWDSGQEGSGWTNDEVARLIRELDTAITSAGLSIDILPGESGDYEYLYKFKNDAAHSNVLSAFFTPGTSTYIGNLTHVKKLICGHSYWTDGTWDGMRNVRKQLAQAAQQYDVEIWQSEWSMLGDGYSSSEFIGYDQATEMDIALYMSKVIHNDLTIAGVSSWSYWTSMDLPRWGHKNRFLLISLEPSDGVYGDIEKEGTYKATPTLWVLGNYSLFIRPGYRRIMLNMNESSSFFGSAWISPKKDKIVAVYTNLSEKGVRLNEIHKEWVSEISSITTYTTTNNKNLQEVHVTADQQVIVPSESVTTVIYNLK", "MKYKALLFSLFATANLFAQHPAIHFEIETDQPCQTMDYFGASDCWSMQFIGLWPQEKQNQVADWLFSTENHENGQPKGIGLSLWRFNVGAGSAEQGEASQIASPWMRTECFLQADGNYNWNKQQGQRNFLRLAKERGVNKFLAFLNSPPVYFTQNGLATNTGRGGTLNLKEEHYKNFARFLANVIKGVEKHDGIKFNYLCPFNEPDGHWNWIGPKQEGTPATNREIARAIRLISKEFVNNQIDTQILVNESSDYRCMFDTHMTNWERGYQIQSFFNPDSTATYLGDTPNVPRLMVGHSYWTNTPLNNLHDIRCQLKDTLDKYKVDFWQTETCIMGNDEEIGGGGGYDFTMKTALYVARIIHHDIVYAGARSWQWWRSIGGDYKDGLIREYSDPTFLNGEVKDSKLMWALGNYSRFIRPGAVRKAIIAKDNQGKIIPEGDTDVTGLMCSAYQNTDGKEVFVMINYAAEDKEFTFYQRNGIIKNWKIYRTSDKDGENLLPVGSIKNHEKVVIPARSIITLVTQ", "MAESRRTSLKDLAQILGVSIPTVSRALKNSPEISRELCIKAQKLAKEMNYHPNPFAMSLRKNTPRTIGVIVPDIVTHFFASILSGIEDTAIANGYFVIITTSHESYDHEKRNIENLVNMHVEGIIGCLSQETTDYAHWLSLDDMNMPLVLFDRVCMPDRFSTVVADGVYSAQMATQHLLDHGSKRIAFIGGANHLDIVRKRKHGYLEALRENKIPIEKDLVVCRKIDFEEGKIATEILLSLPEPPDAILAMNDTLAFAAMEVIRNHNLRIPQDIALIGYTDEQHANYVVPRLSAVSHQTYKMGEAACQMLIDKIKGDKKIRQIIIPTCLQVRESSIKRKD", "MLDSAIKDQLKGLFAQLEAHYTFDIFVHPQHESRAELVDLLEEVASCSDKLSCRLQDSEGLKFILLKEGKDTGITFRAVPSGHEFTSLLMAVLNADGKGKNFPDEFITRRIKALQGPISLTTYLSLTCTNCPDVVQALNMMVLLNGQIRHEAVDGSINEEEVERMKVQAVPTVFADGEQIHVGRSSMGDLLEKLEARYGSVELEAVETKEYDVLVAGAGPAGATAAIYSARKGLKVAIIAERIGGQVNETMGIENLISVPQTTGKQLAQDLKKHLAEYHIDILENRRIEKVEVAEGMKVLSVKGGETYKAPVLIIATGANWRKLNVPGEEKYIGHGVAFCPHCDGPFYKDKEVAVIGGGNSGVEAAIDLAGVCSKVTVFEFMDTLKADTVLQEKAKSLPNVDIITNTQTVEVLGNGDKVVGLIKKDRSSEKEEIFALDGIFVQIGLTANSTLFKELLETNRMGEILTDKNGRTSVKGIYAAGDVTDVSYKQIVIAMGEGAKAALAAFEDRMRGTIY", "MEPIINSQVPEFKVQAFHNGEFKTVTNKDIEGKWAIFFFYPADFTFVCPTELVDIAEKYNQFKEMGVEVYSVSTDSHFVHKAWHDASESIRKITYPMLADPTGALSRAFGVMIEEDGMAYRGTFVVNPEGKIKLAEIQDNSIGRNADELLRKVEAAQFVATHDGEVCPAKWKKGAETLKPSIDLVGKI", "MRKYLYCENGFVEKPQWMPNCWVNVECPDQSDFEFLTKELKVPEAFLEDIADMDERPRTDTEDNWLLTIIRIPLQQQGSIPYITIPIGIITNNEIIVTVCYHSTEMIPDFIDHTRRKGIIVPNKFELILRLIYSSAVWFLKYLKQINNDVAAAEKELERSIRNEDLLRLMKLQKTLVYFNTSIRGNEVMVGKLQSIFQEKDYQNRDLVEDVVIELKQAYNTVNIYSDILTGTMDAFASIISNNVNTIMKRMTSISIILMVPTLIASFYGMNVDVHVDALPHAFSFIILASITLSALAFVIFRKIKWF", "MGVCGQYVLMQTLFMNRIEDFMKKIFRQIHLWLSVPFGLIISLICFSGAMLVFENEVMELVRHELYYVKKVETVSLPVDRLLEEVELMLPDSVSVTGINVFSDPERAWQVNLSKPRRAFMYVDQYTGEIKGKYERPAFFVTMFRLHRWLLDSMKADGGVFWGKMIVGVSTLLFVVVLISGIVIWWPRTRKALKNSLRISVGRGFRRFWYDLHVAGGMYALFFLLAMALTGLTWSFGWYRTGFYKVFGVEVQQGGAHGGVTQRGGKGGDQSGKNVSHSSSYVCWQQVYEQLALNNPGYKQITLSDGAANVSFNTFGNQRASDRYKFNPHTGKINEVVLYKDAEKVGKIRGWIYSVHVGSWGGMLTRLLTFIAALIGATLPLTGYYLWIKRIWRKKVRVS", "MKKDFFLNGFTAIAIVGMALSACTDNEPDYGLGTEAKGEYVIASSVTASGNTTNVLLTSETLDKGTVSTVNNGLVNDGATQWVFYKNQYLYALTYNQGNAGTTRSYIMDSNNEVKARSGEFAVKRFTTYGIYDKYIMTSSTGDGPTAYADENGYLPKMFLLSYLDVSAETFTTNDTQNKAYMSENFLGNGEYVTLAGILERNNKLYSAAIPMGLSQYGSATDGGKWILPGNEDLVKTEDGGSNSSSYKKGELQWTQYPNKCWVAIFDDETLTNKKIIETDKISYACGRFKSQYYQTIWAADNGDIYVFSPSYAKTMADKRQQTTLDAGVVRIKAGTEEFDPDYYYSIEAQTGGKSFIRCWHITGDYFLLLMYDRSLTETGFTANQLAIYKGETGKLTYVTGLPSADLISGFGNTPYVENGYAYMAVTTTEGYPSIYKIDPVGAVATKGVSIEATQISGVGKLQPQN", "MVKRQLQRISLGSLFFIIGAISIFAQPKTMVSGKVISKEKQEVVDFATVYLKGTTYGCTTNEEGIYHLHAPAGKYTLVVSAIGYKTIEKPVTLVHGERIKMNVMIDPSVTELGEVVVVSNGVSRVKRSAFNAIAVDTKEFQNSTKNLSDALAKAPGMKLRESGGVGSDMQLMLDGFSGKHVKIFIDGVPQEGVGSSFGLNNIPVNFADRIEVYKGVVPVGFGTDAIGGVINIVTNKKKRNWFLDGSYSYGSFNTHKSYVNFGQTFKNGFTYEINAFQNYSDNDYQVDAPVEDFETGRIDKDKRVRVKRFNDTYHNEAVIGKMGIVDKKWADRLMLGFTYSHMYKEIQTGVRQEIVYGEKHRKGHSLMPSLEYSKRGLFIKGLDVALTANYNKNATTNIDTASYKYNWLGDRKLMNSPGEQSNQYSRADNNNWNGTLTVNYRLAKIHMLTFNHVLNTFRRSNTSLLAKMESEDAIAKETHKNISGLSYRLMPSDNWNLSVFGKYYSLYVAGPMATTTNQDDYVRTTRNMNSIGYGAAGTYFILPGLQAKLSYEKAYRLPTIEEMFGDEDLEMGDISIKPESSDNLNFNLSYNRTFGRHSVYMEGGVIYRNTKDYIQRNIADLSGGKYAAKYINYGKVLTKGYTVSARYGFGNWVSIGGNFTKMDVRDNMRTSISSSAENLAYKERMPNLPYMFADSDVTFYWRDLGRKGNMLTVSYDNQYLHNFTYYSSRIGSNKGDYVVPDQFSHNISFSYSLQKGRYNVSLECRNFTDEKLYDNFSLQKAGRAFYGKLRVCFGN", "MNPLHLFYITNIRIMQDITNGRCGWCGTDELYVKYHDQEWGKLVTDDKTLFEFLVLESAQAGLSWITILRKREGYRKAFYNFDAELVAQMTDEDIERLMQFEGIVKNRLKIKSTITNAKLFLAVQKEFGSFYNYTLSFFPDKKPIINTFRSLSEIPVSSPESDAMSKDMKKRGFKFFGTTICYAHLQASGFINDHLTDCICRKVPQ" ]
[ "TTT", "GAAATTAATTA", "TTCTTTTTCTAATTTAATTGTTACTAATGAACTTAATTTGTTGCAGCCGCGATTACAGAAAGGAAGTGCAATCCATTATTAACAAAATCAAGTTACATATAAAAATAGGTTATCTA", "ATCAACAATTA", "ATACTAAATTATTTTTTTTGCAAAAATAATAAA", "ATCTTATTTCTTTT", "A", "CTCAGACTAA", "TGAA", "ATCGTAATTAGTTTTTTTATTAACAATGAA", "AATTCCTCC", "AATTGA", "GATAATACAATTTTATGTAAA", "TGCTTATCCTCCTA", "ATAATAATAAATTAAATTAATCAGGACTACCCTGACAATATTTAAAAATAAAAAGAA", "AATATA", "TACTTAAATCTTTTACAAAA", "AA", "GAGGACCATTA", "AATAAA", "AATTCCTAAAATTCAAATCCG", "CTAGTC", "TATTTATTACTTTATTATTTCTTCAGATTATCCTGC", "AATTTACTCAATTAATCAGTTA", "CTTTA", "TATCTAAGCCTCCTTTTTAAA", "TTCAGTTAATTTTTAAATGCA", "TAAATTTTAAATTAAAAAATGATTAAATATAAAGTGGGGCCGGATTAAGAGTCATTCGCTAACCCGTAGCCCCTGCTTTTTTTTA", "CTTCGTCCTTTACTTATTAATTATTACTTGA", "TTTTTCTCAAAATAATGTTTTTGTTTGGGGCTGCACTTTTTCCGTTTACTTCAACGCTTCCTCTGAAGCATTTACTCAACCTTTATAGCTTATTCCAACAAACCAAAACTTAAATTAATATTCTTTGTTTCAGGAATTCAGTTACAAT", "TTTGTTTGATTTTTAATTATTATATATTGTTTATTAATTTGCTGTACTAAGTCCAAAACTATACATTTTGGGCTGCAAAGTTACGAATAACATTTTAATAAACAATACTTTCTACTAATAAAAATAGTCTGTTTCTCAAAAAAGTTTTTGAAAAACAGACTACTATGTTATTTTTGCTACAAAAGCCC", "GATTTTATACTTTAGCATTAAAAAAATATTCTGAATTTCAAGGTCCCCAACTTCTATTCTTTGGTTGGAGTACAAATATAGACATTTATTTGTAATAATACAACATACTGATTATTCTATATAATTTTCCTATAGCATTTACTATAAACCTAAAGAGGCTGATTTCTTAAACAGAAATCAGCCTCTTTTACAGTGTATGTATTAAAAA", "ACTTCCTTATTTATAATGTAAAGAGTTATATTTTTTTA", "TTGTTAATATTTTGTAGAACTTAATAATAATATAGACACAAAAAGGTTAAGAATCCTCTACCAGAGGATTCCTAACCGTATTACCTGATACTCAGGCTAATA", "TTTTGTATGATTTTAATTGTTTGTTACTAATTGATTATAAAATTGA", "GATTCCTCCTTATTG", "TATAATTGAAGTTTA", "TTTGTTTCTAATTTTTATATATTAATTATAGCGAAAGTCCAATGCACGTAACAGAATGCA", "TTTATTCAATTAA", "ATTCTTTCAAGTTTAGCACGGGAGGAGAGGCTCGAACTCCCGACACCCGGTTTTGGAGACCGGTGCTCTACCAACTGAGCTACTCCCGTAAAAATCAGTTCCCGGTGTCTTACGACCGAGAACTGATCATATTAATTATCTAGTGA", "AGCTTTACTTGTTATTTATTTGATTAATAATCAGCAATTACGTTATCAAAGAGCTGTTAACGAGACTTGAACTCGTGACCTCTTCCTTACCAAGGAAGTGCTCTACCACTGAGCTATAACAGCAAGAAAAAAAAGAGCGGAAGACGGGGCTCAAACCCGCGACCCTCAGCTTGGAAGGCTAATGCTCTATCAACTGAGCTACTTCCGCAAAAAACCAAAGACCTTTCAAGTCTTAAGAATGTGGGCAAAGATGGATTCGAACCACCGAAGTCGAAAGACAGCAGATTTACAGTCTGCCCCATTTGGCCACTCTGGTATTTGCCCAACTTACTTTCAAAGAACAACAGCTTCTCAGCATCTTTTCTTGAGCCTCTTGTCGGATTCGAACCAACGACCCCGAGATTACAAATCACGTGCTCTGGCCAACTGAGCTAAAGAGGCTTGTCATATCTAACTTCGCAACCATTCCGCCTTGAATGGTTAAGCGGCTGCAAAGTTAGATATTTATTTTAAATCTCAAAAACTTTCGGTGTTTTTTT", "AAATACCTCCTTTT", "AAGAAACGTCGCTTATCAATTCAGCAACGAATATATGAAAAAGAATTCAATTATTCAAAGAATGTGCGAGTTTTTAA", "TGTTTTTAATTATTAAATATGTTGTTATTAATATTTTCCGATAGTCAAATTGCGGCGCAAAATTACACATACTTTCTTTATTTACAAAACTTTCCGCAATTATTTATTTAAAATAATCTAGAAATCGTATCTTTGCTTTCTAGTACAAACCATTATAAACCTATTAAA", "AAATTAATT", "TTCTACATATAAAGAAAAGTTAGTATTCATTTAAAATGAGTGATT", "TTAATAGACAAAGAAGGATAAAGTTGTTTTTAAATGCACCTTTATCCTTCTCCACCTTACAAAGAACATACCTGCTCTACCTATTTTTACACTAATCTACAATATGGCATACC", "TATCTGGAAAATGCCAAAAGAACTTACAATAAATGTTATATTACACATAT", "ATCATCTTCCTCCTTAAAAAATAT", "ACACTTATATCCTATCCATACTTTGTTAACATTGTGTTAACCTGCCATTAACCACGTAAGGAAAAAAGTCTCCCTACTTTTGTTTCGTCAAAGTTAAAGAAAATATTAAAAACAAATAGAT", "AGCATCATCAATGGTATCATGATACAATAATGAGGGGCAGATTCGTACATCCGCCCCTCATTCGA", "TAACAATATTTTCTTACTATTCCACTTCATCACTTTATGTATTTGTGAACCGCAAATTAATACATTATTCTAT", "TTATCTAAAATTTTTCTGCAAAGATATTGCATATTTCGGAAAAACCTATTATCTTTGCACCGCATTTGAGAGAAAAACCTTCTTCGTTAGCTCAGTCGGTTAGAGCATCTGACTGTTAATCAGAGGGTCCTTGGTTCAAGTCCAAGACGAAGAGCACTTTTAAAGTCAAATGCAAATTTTCTTCGTTAGCTCAGTCGGTTAGAGCATCTGACTGTTAATCAGAGGGTCCTTGGTTCAAGTCCAAGACGAAGAGCACAAAGGTTCGCAGATAGCGAGCCTTTTTTGTTATCATACATATCATAAAAGCCTTCATCACATTCTTTTGTAATTCCATTGTAATCTCCAGCCGCCATTTTCCTTATATTACTCTCAGATACAAGATTTCCACTCAAATGTTTTGGAACTATCTTACTATTATTTACATTTGTTGGGAAACAATTACTAAT", "TTCACTTTACTATTTTACTCCATTTGTGCACCATATTCTCCTATAAAAAGCTTTGTTTCCCTTACATTTGTAAAAAACAGAA", "TAGTAAG", "CAACCAATTCATAATATATAGAGAACTATGCAGTATTAAACAAGAACATATTAATCAAAACCAAAATTAAATTCT", "CCATATAATTGAAAACTAAT", "TAAATTAAATAAGTTTTTATAAGGGCTTGTTACGGCAAGCTCTTATAAAAACCTTTAAAGCAAAAAATAATTC", "CCCTTTTCCAAGAAGAGCT", "GTACAAAAAATAAAAAACAACCCAT", "TT", "CATACG", "ACATACAAATTGAGAAACAGCAATTTCCTTCAAAGTCCAATCTCCCTATTAGCTAAGGCTTTTCTTGCCTACAATTAAGCCCTTTCTTACGGTTACCTACTACAGACTCCCTCAATGGACCGTAGAGTCCATTGCAGCAGACTGTATAGTCCACCCAAGCAGACCTTACAGTCCACTGGCGGAGTCTGTAGCAGATGACTGTAAAAAAAAGCTTAACTATATGGAAGAAACGGCTTACACAACCACT", "AGCATCAGTGTACACATTGTTAAATAATATGGTTCAAAAATACAAATAAAGTCTGTATTTTAGATACATAAATCATGCATTGCAAGATTTACCTCCCTTTTCTGCAAGATTTATATCAGGATTTTAATTCTTCTTCACGTACATTTGCACCACTAACGAATTTAATTCGTCCTCTATTAGAGTAGATGTTTAATCTTCAAATACTAATAAAAT", "CAAGTAACATTAGATTTAAGAT", "CCCTCAAGGTATAAACCATGAAAAAGATATTAACAATATTAACA", "TCATTTTCCAAAAAGAACAGATTGTTACAGGAATTAAACATTCAATAGGTCTTTATATTCTGTCGCGGATAGTTTGCTAGGATTATCCGCGACTATTTTTTAATCCATCTAAAACA", "TCCCTCAACTTT", "TACTCCAATATATAAAGAAATAAGGTTGGCTCTTTGTGAAGAGTTCAGCCTTATTCTCTTCTTAAAATACATTTCGGTTC", "ATCAATGCTGTAAACGGTGATTCACCTACAAAGAAAGCATATTCTCACCAATATACATACAAAGAGTGCATTTTTTAGTGATAAGATTAGTGGTAAATACTGCGATATGCACCCACTATTCACCACCAACCACTTATCA", "GAGTTCTATACT", "ACTCTTTTTATTTTTAAATTAAAACTAATTTTTAAATTGTAATTATTACATTTGCAAAGGTAACCGACTTCAGCACCTTCCACAATACCTAATTATTAGGATTATATAGAACAAGATTCATCATAAATAGGTATTGGACAAACGATTTTTTAAGTGAATTTTGCATGTAATTAAAAGGATGCTAAATGTTTTAACAACAATAGTTTCCGCCAGGGAACTTAATCGGTAAACACAGTACGTTAGAACTGCGCTATGGCGACAAAACCATCATTGCTCCGGCACTGATTGCTTTCCGGTGATATTCTTAAAAAAAATATAAAAGCCTCCTGACTTCTGTCTGTCCTTTAAAGGTTTTATGTACTTTTGTACCGCTTAAAACAAAAAACAATCAATAAAAATGGACGATTCAATACCACGAACGTGCAATAGTATTAACTGATCCGACAGGGCAATCACTACTCTGAATGCCTCTGAACGGATATAAACGAACGAAAGGAGGCAATAAG", "AAAATCCTGTAACAATATCATATGACCTGTCATTCACGACAACAGGTCATATGATAAAATTCTTTTCGCCCCCTAATCTTATAACAAAGATAT", "TATATTATTACAATAC", "TTTATTCTTCTATTTAATTTTAAATCCAATTAATTATAAACTCCTTTTTCCAATTCT", "GAATACCTATAATTCTAAATTCTTAATTTTTGAGAATGCAAAGGTCCGTAAAAAGAAAAGGGGAAACAATCACCTGATATAGGTAATCTTTTCCCCCATTTTACGGAATATATATACTATGCAATAACTTTTTATAGGTATTTATGCCACAAAATAGTCCTTTTAAATTTGGCATAATGCC", "AGTTTTTAAAATCTGCTGCCACTGCTACCACCTTTTATCGGAAACACTGATGGATAAAAAGATTTAGGGGTGGTGGCAGCTCCTTGTTTTATGTGTTGAAAAAGGATGCTGCCATCACTTTCTCATACTTCTCCATCTAAAACTTACAACTGATCCCAAGTTTTCAAACTGACCCGAAAATCCGCTGACCCTGAAATTCTTCATGTTCAGACTGGCTAGGCTATACACTTAAAAGAAAGCTTACCAACCAGGAAAATCCGCTGACCCGTACTTCTGGGGTCAATGTAAAAAGCTGAGGGGTAAATTTATAAATTGTTATCTTTGTTTCCAAATTCATAGCTT" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, false, false, false, true, false, false, false, true ]
[ 1, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 76, 78, 80, 81, 83, 85, 86, 88, 89, 91, 93, 95, 97, 99, 101 ]
[ 0, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 77, 79, 82, 84, 87, 90, 92, 94, 96, 98, 100, 102 ]
[ "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123121|+|492:686", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123122|+|679:1665", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123123|-|1754:2950", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123124|-|3184:4947", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123125|-|4994:5875", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123126|-|5887:6297", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123127|-|6308:6865", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123128|-|7407:7994", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123129|-|8023:8328", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123130|+|8501:8791", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123131|+|8991:10016", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123132|+|10109:10270", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123135|-|12098:13090", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123136|-|13114:14454", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123137|-|14469:14873", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123138|-|14971:15609", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123139|-|15709:16401", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123140|-|16385:16870", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123141|-|16872:17708", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123142|+|17753:18805", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123143|+|18933:20330", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123144|+|20364:21095", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123145|+|21107:23749", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123146|+|23770:24285", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123147|+|24343:24846", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123148|+|24922:25794", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123149|+|25851:26723", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123150|-|27110:28348", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123151|-|28358:28693", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123152|-|28767:29405", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123153|-|29421:30884", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123154|-|30917:31339", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123155|+|31406:32347", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123156|+|32489:34165", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123157|+|34319:35386", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123158|+|35391:36929", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123159|-|36931:37098", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123160|+|37385:39427", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123161|+|39509:40945", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123162|+|40945:41970", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123163|-|41993:42823", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123164|+|42914:44719", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123165|+|44716:45816", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123166|+|45820:46617", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123167|+|46631:47647", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123168|+|47620:48735", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123169|+|48748:50010", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123170|+|50003:50749", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123171|-|50775:53267", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123172|+|53352:54047", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123173|+|54059:54544", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123174|-|54647:54916", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123175|-|55197:55496", "2510065017|RJ2H1_RJ2H1-contig-020.20|CDS|2510123176|-|55508:55753" ]
[ "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000001|+|162:491", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000002|+|1666:1753", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000003|+|2951:3183", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000004|+|4948:4993", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000005|+|5876:5886", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000006|+|6298:6307", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000007|+|6866:7406", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000008|+|7995:8022", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000009|+|8329:8500", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000010|+|8792:8990", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000011|+|10017:10108", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000012|+|10271:12097", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000013|+|13091:13113", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000014|+|14455:14468", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000015|+|14874:14970", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000016|+|15610:15708", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000017|+|16871:16871", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000018|+|17709:17752", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000019|+|18806:18932", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000020|+|20331:20363", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000021|+|21096:21106", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000022|+|23750:23769", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000023|+|24286:24342", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000024|+|24847:24921", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000025|+|25795:25850", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000026|+|26724:27109", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000027|+|28349:28357", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000028|+|28694:28766", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000029|+|29406:29420", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000030|+|30885:30916", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000031|+|31340:31405", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000032|+|32348:32488", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000033|+|34166:34318", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000034|+|35387:35390", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000035|+|36930:36930", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000036|+|37099:37384", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000037|+|39428:39508", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000038|+|41971:41992", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000039|+|42824:42913", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000040|+|45817:45819", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000041|+|46618:46630", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000042|+|48736:48747", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000043|+|50750:50774", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000044|+|53268:53351", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000045|+|54048:54058", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000046|+|54545:54646", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000047|+|54917:55196", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000048|+|55497:55507", "2510065017|RJ2H1_RJ2H1-contig-020.20|IG|IG_000049|+|55754:55770" ]
[ "MDNNNYKRQYRQLNDITKQKISQSLRGRTKSATHTQAISNGLKKYWATVPNQPNNNENKNEEHE", "MNKIYGLNIDALRLCYEVTEPNNINVIKDKEIGEEIDFLYFYLRRIEGKHFKFVYEIRYNDIGKDKLFGELRLGINDNQEESNTHQNGYKKAWISISNRVLYSNEIYYLDFIEDNLGLELHNITTLDLCLDMSNDIARLIRKLIRNPQITTLLNGKRITNRKEDRPEITYTFSGNMDKDKYLTVNIKQKKAIKDKSKGSTLIAYNKGAEITNSSDKTYINNHYNNPAKLHRLEVHLNNEEIKDYIAKTRYELSFYSLADEKFLAKLFGYTLNSLIRFEKDGKAIDWTDLLSEGYNNHPCQRLFSRVTNSNTEKNIFKKRSSKKQYSEN", "MILSQEEREYIQLFKDMIVVLYADSDIEPLLTFCNQCITKEAEIFSSMSRHLEMMRKKNNDIQNAQYLKNVINGVIAMLGNENIILYDNIEQLDVQFRKQIKITLAIFDSLDKEYISSHSLEEISQYICDNSFIKNLDIRLISSLKKLYAKNNTIDESDKDILIALIKYFLYDMQHKIKYNYINMLIIENQEQPIIEDKAKTLQSFLFYAQRIAGIRYQKIVVNEAVLLNDDTIMEIEKEASVDSILSKDSSQTLIEKEGLSNKAVQPLANEKKNSSTILDKGKLLEKLCPSLHNREQVRKILEKVDERFEISNGECSKLDIATLCLILKEKCTLFNKNIRNFSDFKGYICSYYGVANPSYKKNDCLVCDGMSPSRFDELYNDNGAFWNLCLRKNNQY", "MALITCSECGSTVSNRATVCPQCGCPINDSSTALQAVVNNSRNKILIILFVSLGILLVGLVGWKVSNAIGKDNIVEIGKAIQGCEELFSFHNGLSCVKQNGKYGFIDKKGKVVVPFQFDDTAYSFSDGLTYVKREGKYGFIDKKGKVIIPFQFDDAESFSDGLAKVRQNDKYGFVDKEGKVVVPFLFDAAYSFGEGLSCVEQDGKYGFIDKKGKVVIPFQFDAACFFSEDLAQVRQNYKYGFIDKKGRVVIPFQFDATGSFSEGLVQVWQDGKCGFIDKKGKVVVPFRFDTADSFSEGLAQVWQDGKCGFIDKKGKVIISFQFDTADSFSEGLAKVKQNDKYGFVDKEGKVVVPFQFDDAGSFSDGLSYVEQDGKYGFVNKKGKVVIPFQFDAAFSFSEGLAQVWQDGKSGVVDKKGKIVIPCKYDKIYGFSDGLIMVKLENKYGFVNLQGIDTFTKQKEDYEEAKLQKESQNQISMVNNLIKDKATFKGYGANGYLIFSPCNEKGGRARIVITLASQYDYIYDITEDGRILLHDGTWYKGISQPEKADSRELIFDENQQGFYYYYGGDKHYYKLTQERLYDEFYKF", "MALIKCSKCGNMVSDKASFCPKCGTPSLEMEYHVTENNPILQDCLTTNNTSENSCTLYNKKNKLILIACILVGAIFLCVGVYFLLTGNWVEDDVEVVSANANKNMVVVKSHSITHQGTNDVKLGMNIVNFLSNATMDGLAAKSKYDFYSRVEIKNIGISEYEQGYFLYNDNQLVMILATTYDDEPNAKIRQIKIYSDAYFPTGFENVKVGVTLKKLVEDYNAKIHLTAGPEVAYLTIIIPGMEEGIIIAGDVKDVIDWGDLEENMEGFVDSDVALSNLKSGAVVNYILIGELF", "MALMQCPVCCATVSDKATNCPHCGNAIVKPQYRVRYRDYIKSPIPDVLRPQSYMIYSILLGLASLILFTVWCLPFAIVSFIYANKVDDLWEKGDIDGAIFASHTAHKWYSIGLWVGISSWLLFFFVMFFLFIGLID", "MIPCDSKSCSFCGAPIESINNYKPNLSASKLKIQWKGKYAMVKCCIKIFVNNQYIGEYSYNEGFCIEVPITSSEMLVEVLCNGVKKTFQLQLTSNMNYTCNLIMPNGVNSIAGFSYELSDSYGNIKKDADKLGIGMMLLCFLIPIVGVIYYFVKRSEYPVKAKVALYCGLTAWAAYTFTYMIRQF", "MSLKNSYVTSDYMEWDSMLSLVRKLYRDKEYRLSLLIACGSFFGLRISDILSLTWSMLLDDERFTIIEKKTGKRREIKINSNFQKHIMDCYTALGVVDKHEKCFLSRKKTVYSTQRINVLFKSIKSKYGLKIEHFSTHSMRKTFGRRVVEAAGENSEFALIKLSELFNHADVMTTRRYLGLRTQELLETYDMLSF", "MKRYNKQQVMKDAHRLYKNDFQRRGRSWSECLKAAWSWERDAVKTREEKAAKLDAMIAASWAAHNARKNESVHKNEFEGLSADAVSWAMGYNRGNGFYCGD", "MGLRIKEVIKEKGMTVQTLADKMGINRVGLSNHINGNPSVAVLEKIAAALEVPIQELFEKEQKENINGYIEIGNEIFKITSFQDIRNIINRFDSDN", "MMNLRNTFNEDSFEYTTMNDIMAEGMRQPKRQALYKNLWYENEMCILFGASNCGKSIYAMQIAKHIAQEQPILYFDYELNIQQICDRYTNDEGTSPCKFPQNIFRPNLDFDMAKNFKERRAYLRMRIEEAVTKKGIKLFIIDNITCLHPNLSKANEAATFILELRTFMNSLGASFLLLGHSPKKKDNSPITLDNLAGSKNVGNFIDSCFCIGQAKTDGDEKRYIKQLKNRSSQIILNEEHVLVCSIEKGEDNFLNFKEEGYAKEKDLIKGTGNITPEKEKVYQLYKEHKSYRKVAKMTGISDKTIKKWVDEYEEYYTEQKAINEQANESNEIESAEMRNAE", "MDAQHLNIDTIKENRNFKGITQEDTKELIDTLYAFSILSYEAYTKKDTQYGEF", "MKYQPSADRYSKMQYKYCGNSGLLLPRISLGLWHNFGSVDDFGVATDMIKYAFDSGVTHFDLANNYGPVPGSAEINFGKILKENFQGYRDELIISSKAGHEMWNGPYGGNSSRKNLMASIDQSLCRTGLDYFDIFYSHRYDGVTPVEETMQALIDIVKQGKALYVGISKYPPMQAKVAYEILRSAGVPCLISQYRYSMFDRAVEEEVLPLAAEQGSGFIAFSPLAQGLLTNKYLHGIPEHSRAARATGFLKVDQVTEDKVEKARRLNEVAVRRGQTLAEMALAWVLKDERMTSVIVGASSVKQLADNLHALDNLAFTDAELTEIEGILRL", "MAQKLWEKNVRVNEEIDRFTVGRDREMDLYLAKHDVLGSMAHITMLESIGLLTAGELEMLLAELKNIYASAEKGEFVIEDGIEDVHSQVELMLTRKLGDVGKKIHSGRSRNDQVLVDLKLFTRAELKEVAEEVEQLFHVLISQSNTYKDVLMPGYTHLQIAMPSSFGLWFGAYAESLVDDMMFLQAAFKMCNRNPLGSAAGYGSSFPLDREMTTRLLGFDSMDYNVVYAQMGRGKMERNVAFALASIAGTVSKMAFDACMFSSQNFGFVKLPDECTTGSSIMPHKKNPDVFELTRAKCNKLQALPQQIMMIMNNLPSGYFRDLQIIKEVFLPAFRELKECLQMAAYIMDKIKINEHILDDDRYLYIFSVEEVNRLASEGMPFRDAYKKVGLDIEAGKFTHDKKVHHTHEGSIGNLCNDRIESLMRQVVDGFNFSVMEQAERSLLGR", "MAQFESGVKYVPYSQEQVFNKLSDLSNLESVRDKLADKVQGMEFDSDSLSFTVQGISITLRIIEREPYKCVKFESEKSPVPMNLWIQILPVEAGQAKLKVTIRAEVNMFMKAMVAKPLQDGVDKLAEMLSMIPY", "MKTLEKLFAEKLLKIKAIKLQPANPFTWASGWKSPFYCDNRKTLSYPSLRNFVKLEISRIVLEKFGQVDAIAGVATGAIPQGALVAEELNLPFVYVRSTPKDHGLENLIEGELRPGMKVVVIEDLISTGGSSLKAVEAIRRDGCEVIGMVAAFTYGFPVAIEAFKEAKVNLVTLTNYEAVLDSALKTGYINEEDVPVLDNWRKDPAHWEAGK", "MSIWTDLWNLFFPHSCLLCGRQLISGERVLCLKCLSGLPRTQFHLRKDNIVECNFWGKIPVEHATSFLYYAKGGNVRQLLYELKYHGNQEVGEVMGRMMASELMCSHFFDGIDLIVPVPLHQRKKRLRGYNQSECLARGVSVVTGIPMDTKVVIRSRYTDTQTHKGQYARWENVRNLFACIFPGSLEGKHLLLVDDVLTTGATVVSCADAFRGIPGLRISVLTLALAGES", "MKGELSENDLKYKAEAYCSSMERCVADVEAKLSQWGATPEMMEKIVRHLQDERYIDQKRFCSAFVRDKYRFNQWGRVKICQALRMKKIPADVIAKGLEEVDEREYMEILSGLIEQKRRSVKACTEYERNGKLIRFAVGRGFEMEAVCRCVKQTGEDDVYLD", "MNPVVSYIKQTLQGYYPDSELVPMAKLLLTQVFGMSVVELYAGKDTTFSVNERKQLDDILVRLQKLEPIQYIIGTEEFYGLTFEVNKHVLIPRPETGELVDWIIREHKYGRVRILDIGTGSGCIAVSLAKNLEEAEVVSWDVSEKALQVAERNCRRNGVRVILEQRDVLLASPAGERFDVIVSNPPYITEKERADMSANVLEWEPELALFVPDDSPLLFYRKIAELGRDMLVSGGRLYFEINQEYGRETVDMLAGLEYKNIELRKDLFQNDRMIKAEK", "MTKDEKYISRCLQLAYNGLCNTAPNPMVGAVIVYHDTIIGEGYHIRCGEAHAEVNAIRSVKDENLLKESTIYVSLEPCSHYGKTPPCADLIIEKRIPKVVIGCIDPYSQVAGKGIEKLRKAGIEVTVGVLEEECRHLIRRFITFNTLKRPYITLKWAESADGFIDINRTGGKPIILSNPLTSMLVHKKRAEHDAILVGRHTALLDNPSLSTRNWYGKHPVRLVIDKELTLPRDLELFNGKIKTFVFTRESPCQPNALTEYISLDFNKDILPQIMEVLYQKKIQSLLVEGGSILLQSFIDSGLWDEAFIEKAPLRLNNGIQAPSIQKKHFKLNKIYFGREIMHAVHSQIQR", "MKIKFLTVITSLLAAAFMITSCLDDNEVETEYSSESSITSFAIKDKIETQYTEKVNGKDTTLTFTVDGTKYPFAIDQGTRHIYNVDSLPVGTDISKVVVSIKSDGIGIFIVAEDKDSLWNDTDSLNFEKPVQFKVMAMSGVYGPIYKAEINVHKQVPDSLQWSHRGSSFDNTIQAQKAVTLGDYIYVFAQQDNGTAVTSTHINDGKTWTPLQALPENMQNADYSSVMAWGNQLYILADNDLYCSSDGKSWSKMGTNTKFEKLIAGVHSEHNCKLYAIDTNNHFMESTDALVWDTNGEVPANFPKNQLSYTAYPLVTNKFIDRMVLMGENPIATDTTSTVWTRLTTEDSWADYPTAAYDSFYCPKLANIAMIHYNDQLYAFGGPGKSFGKDIPAFSRFYGSTDQGITWKPVSRYVFFPTEFTDLYNQADGNYSYVVDKNNFLWIIWSRSGEVWRGRINKLGFDSKE", "MPYREQIDKSRIPAHVAIIMDGNGRWAKQRGQARSFGHQAGAETVHIIAEEAARLGVKYLTLYTFSTENWNRPVDEVAALMSLLMDSIEEETFMKNNISFRIIGDTAKLPVEVLERLNQCIERTSINTGMCLTLALSYSSKWEITEAVRQIAAKIQNDKLAIEDIDDNLISAHLSTNYMPDPDLLIRTGGEIRLSNYLLWQCAYSELYFCETFWPDFREEEFCKAIYDYQKRERRFGKTSEQI", "MLYRISLILLTLTCLFCFSTGSYAQEANVEENDNPVILYSGTPKKYEIGGIKVEGVKNYEDYVLIGLSGLSVGQTIVVPGDDITTAVKRYWRHGLFSDVQILAEKIVGDKIYLKIILTQRPRIADIRYHGVKKSEREDLEAKLGLVKGSQITPNLIDRAKILIKKHFDEKGFKNAEVTIVERDLADNKDQVDVDVMIDKKEKVKVHKITIDGNTVLSDKKLKRVMKKTNEKNKLVNLFRTKKFIEEKYEEDKQHIIDKYNELGYRDAQIVVDSVSPYDDRTVDVYMKIEEGDKYYLRNVTWVGNTIYASDWLNEQLRMKKGDVYNQKLMTERLTGDEDAIGNYYYNKGYVFYNLDPVEVNIDGDSIDLEMRIQEGPQASISKVRINGNDRLYENVVRRELRTKPGDLFSKEALERSYREIAQMGHFNPENIQPDVQPDPTNGTVDINWNLESKANDQVEFSAGWGQTGVIGKLSLKFTNFSMANLFHKSDNYRGFLPQGDGQTLTISGQTNGSYYQSYSVSFFDPWFGGKRPNSFSVSAFYSIQTDISSNYYNSAYMNNYYNYYSGYGNYYGGYNNNYESFYDPDKSIQMYGASIGWGKRLRWPDDYFTLSAELSYQRFILKDWSYLYIKLNNGEYMSTGNCNNLSLNLTLARNSTDNPIFPRRGSEFSASVQLTPPYSLFSNKDYSVYGKDDYDEAASMFNWIEYHKWKFKSKTYTALTGGQKCPVIMTRAEFGLLGHYNKYKKSPFETFYMGGDGMTGYSTSYASETIALRGYENGSLTPYGSEGYAYVRLGAELRYPLMLENSTSIYALGFIEGGNAWTDVSKFNPFQLKRSAGVGVRIFLPMIGMMGIDWAYGFDKINGSSQYSGSQFHFILGQEF", "MKKAILLSLLFVACVFTASAQKFALIDMEYILKNIPAYEQANTQLNQASQQYQSEVEAKAKEAEALYKEYQKASASLSAAQKTQREEAIVAKEKEAAELRKKYFGPEGEMAKKQEALITPIQDKIYEAVKQISEQKGYAAVVDRGSAQSIIFASPSIDISNEVLSRLGYSN", "MLKKIALLLLLIAPMSVFAQKFGHIKSADVLTVMPEFTKAQTDIQAMQKQYEDEMKRATDELTKKYTEYQQEQANLPKNIQERRQKELQELQEKGMQFQQDAQQQLQKSYADMMEPIYKKIEDAIKAVGQEGGYTYIFDLNRTEIPYVNEAQSTDVTAAVKAKVGIK", "VPVLYSYTAMIPSILPSTGPIGVFDSGYGGLTILDKIRGLMPEYDYIYLGDSARCPYGPRSFEVVYEFTLQAVSKLFELGCPLVILACNTASAKALRTIQQINLPVIDATRRVLGVIRPTAECIGEITRSRHVGILATAGTIKSESYLLEIHKLSPDIVVTGEACPMWVSLVENNEYQSEGADYFVKQHINRLLDKDPMIDTIILGCTHYPLLLDKIRQFTPEPIRIISQGEYVARSLRDYLNRHPEMDARCDKGGNCRFLTTESENKFEESASIFLGRQDIKVKSIALE", "MYTNKVKKIAAVHDLSGAGRVSLTVVIPILSSMGFQVCPLPTAVLSSHTQYPHFSFLDLTDEMPKIISEWKQLGVQFDAIYTGYLGSPRQIQIVSGFIDDFRQSDSLVMIDPVLGDNGKLYTNFDEQMILEMRHLIRKADVITPNMTELFYLLDKPYKAENTDEELKAYLHEISEHGPGIVIITSVPVQGDPRKTSVYAYDRQGNRYWKVTCPYLPAHYPGTGDTFTSVITGSLIQGDSLPIALDRATQFILQGIRATFGYEYDNREGILLEKVLHNLDMPIQVSSYELI", "VNFPFYIAKRYLFSKKSHNAINVISAISVCGVALATLALVCTLSVFNGFQDLVATFFTAFDPELKITAVTGKVFDGQEARIEALRRMPEIAVFSESLEENAMVQYKGRQTMAVIKGIEDNFEDLTAIDSILFGRGQFVLHDEVVDYAIPGIELVSILGTGIKFLDPLEIYAPKRGAKVNMANPASSFNSADLYSSGLVFAVNQQKYDSSYILTSLQFARRLFQYDTEVSSVELKLKPDADVGSVKSKIQKILGDGFRVQDRYEQQADTFRIMEIEKLISYIFLTFILMIACFNVIGSLSMLIIDKKADVVTLRNLGASDKLITRIFLFEGRMISLIGAVVGVILGLILCFIQQEFGLLSLGGGNSGGNFVVDAYPVSVHVWDIVIVFATVLVVGFLSVWYPVRYLSRRLLGR", "METTRQNKISRLIQKELSEIFLLQTKSMNGVLVSVSAVRISPDMSIARVYLSVFPSERSQEIVKNINDNMKSIRYELGTRVRHQLRIIPELKFFVDDSLDYAERIDELLKK", "MKETDAIDEYILQHIDEESDYLKALYRDTHVKLLRPRMASGHLQGRMLKMFVQMIRPRQVLEIGTYSGYSALCLAEGLEEGATLHTFEINDEQEDFTRPWLEGSPYAGKIKFYIGDALKLLPGMNITFDLAFVDGDKRKYIEYYEMVLEKLSPGGYIIADNTLWDGHVLEEPHHTDLQTIGIKKFNDLVAADKRVEKVILPLRDGLTIIRKC", "MMLKQTKIVASISDQRCEVEFIRDLFKAGMNVVRMNTAHASREGFEKLITNVREVSNRIAILMDTKGPEVRTTAIAGGEPIPYQIGDKVKIVGNPAQETTRECIAVSYPGFVHDLQVDGDILIDDGDLELRVIEKTDEYLLCEVQNEATLGNRKSVNVPGVRINLPSLTEKDRNNILYAIEKDIDFIAHSFVRNKQDVLDIRQILDAYGSDIKIIAKIENQEGVDNIDEILEVADGVMVARGDLGIEVPQERIPGIQRLLIKKCILAKKPVIVATQMLHTMINNPRPTRAEVTDIANAIYYRTDALMLSGETAYGKYPVEAVKTMAKIAAQAEKDKLAENDIRIPLDENSNDVTAFLAKQAVKATSKLNIRAIITDSFSGRTARNIAAFRGKYPVLAICYKEKTMRHLALSYGVEAIYMPEKANGQAYYFAALRKLLDDGVLSESDMVAYLSSGKQGTQTSFLEINVVGDVLKYAMDYVLPNRNRYL", "MKIQIINGPNINLLGKREPSIYGAVSFEDYLVELRRTYPDIEIAYYQSNVEGEMINKIHEVGFDYDGIVLNAGAYTHTSIALQDAIRAVTAPVVEVHISNVHTREEFRHKSMISCACAGVICGFGLHSYRLAIEALLMRK", "MKRTEKTDKILVKYKQYLKLEKSLSDNTVDAYLTDLDKLLAYLTLENINILDVRLENLEDFSAGLHDIGIHPRSQARILSGIRSFYRFLIMEDYLKADPTELLESPQTGFKLPEVMTVEEIDLLIGSIDRSTKEGQRNRAILETLYSCGLRVSELCNLKLSELYFEEGFIKVEGKGSKQRLVPISPRAIKEIRLYFTDRNLMKIKPGFEDFVFISNFGKNISRIMVFHIIKELAARIGLKKKISPHTFRHSFATHLLEGGANLRAIQCMLGHESIGTTEIYTHIDRNMLRSEIIEHHPRNIKFREKDNKGSIF", "MIKKLYLPLVALLVLALSSCGKMGELSSDYFTTNPEVLEAIGGKVPVTINGKFPEKYFKKNATVEVTPVLRWKGGEAKGQPAVFQGEKVEGNNQTIAYKAGGSYTMKASFDYVPEMANSELYLDFKITKGKKSYTIPSVKIADGVIATSELPTAASSNASYANDAFQRIIKDAQTANIMFLIQQANLRNSELNSDDIKEFHKKVAEINADTKNYKLNNIEISAYASPDGGVELNTGLAENREANTEKYMERQLKKGKIDTNLDAKYTAQDWEGFQELVSKSNLQDKDLILRVLSMYNDPEQREAEIKNISSVYKTLADEILPQLRRARLTANYDIIGRSDDEINEAFNSDPKVLSVEELLYAATLTNDNARKEAIFTKTTQLYPNDFRAYNNLGELAFAAGDAAKAESYFKQAASKNANAPEVNANLGLCELVKGNVAAAETYLGKATGANAAGEALGNLYIKQGQYDRAVNSFGDAKTNSAAQAQILAKDYNKAKATLSAIKNPDAMTDYLMAIVGARTNNASLVSSSIKSAIAKDPSMAEKAANDREFAKYADAIK", "MKKLLFFLFTLVVLASGCGKKNTFTLEGSIKGLPSDTILVFYQEPDYKLDTILLSKGKFTYTITPDTFTIFSLLLGEKQILPIYADKGESVTLNGMAGKIEVKGKGENAQLAKHIQYLSTLENNKAAVMTAVDSLIKTNPSSYSNIYLIDKYYVQDSLPDYNHIDQLIKGLSGIIKDTPYIIELQNKLSEKKELTEHRYVSNISCTDKKGKTVNWNSVKGKYVLLDFWASWNKESIATQDSLVSVQKALKKDKFVIISLSLDLDKKEWMEKIIQRDTTQWKQVCDFKGWNNSIVKQQGITRIPANILIGPDKRVITQDIRGKELIDKVRQLTEQDKEKEKAAKEAERARKRQNKK", "MLVKVYGAAVQGIDATIVTIEVNSSRGIKFFLVGLPDSAVKESHERIISALQVNGYKFPTCQIVVNMAPADIRKEGSAYDLPLAIGILAATQIVSEEKLSRYLIIGELSLDGSLQPVKGALSIAISAREQGFEGFILPKQNAREAAVVNNLKVYGVENIKEVIEFFNNERNLEPSIVNTREEFYEHQSSFPYDFADVKGQESVKRALEVAASGGHNLIMIGSPGSGKSMMAKCMPSILPPLSLGESLETTKIHSVAGKLGKDSSLIAIRPFRSPHHTISQVAMVGGGTNPQPGEISLAHNGLLFLDELPEFNRSVLEVLRQPLEDRHISIARAKYSLDYPASFMLVASMNPCPCGYYNHPTRACVCNPGQVQRYLNRISGPLLDRIDIQIEIVPVPFEKMAERHHAESSASIRERVIKARKIQAQRFANHPGIYCNAQMEAGLLHLYAQPNEAGLKLLRTAMTRLNLSARAYGRILKVARTIADLDNSEHITSIHLAEAISYRNLDREDWAG", "MNTWYKFILNALFSKKREDKDWETTDKEEDVLSNYIELDSLMQVIYHAKETESGS", "MENKFKRTTVTSALPYANGPVHIGHLAGVYVPADIYVRYLRLKKEDVLFIGGSDEHGVPITIRAKKEGITPQDVVDRYHTLIKESFKEFGISFDIYSRTTSKTHHELASEFFKTLYDKGEFIEKTSMQYYDEEAHQFLADRYITGECPHCHAEGAYGDQCEKCGTSLSPTDLINPKSAISGSKPVMRETKHWYLPLDKHEGWLREWILENHKEWRPNVYGQCKSWLDMGLQPRAVSRDLDWGIPVPVEGAEGKVLYVWFDAPIGYISNTKELLPDSWETWWKDPETRLIHFIGKDNIVFHCIVFPAMLKAEGSYILPDNVPSNEFLNLEGDKISTSRNWAVWLHEYLQDFPGKQDVLRYVLTANAPETKDNDFTWKDFQARNNNELVAVYGNFVNRALVLTHKYFDGKVPVCGELTDYDKETLKEFADVKAEVEKLLDVFKFRDAQKEAMNLARIGNKYLADTEPWKLAKTDMDRVATILNIALQLVANLAIAFEPFLPFSSEKLRKMLNMETFEWDQLGRTDLLAEGHQLNKAELLFEKIEDETIQAQVDKLLATQKANEAANYKANPIKPVIAFEEFEKLDIRVGTVLECEVVPKMKKLLKFKIADGLENRTIVSGIAQHYKPEELVGKQVLFIANLAPRQFKNGLVSEGMILSAENFDGTLAVTSLLREVKPGSEVK", "MAEQSLKEKTAKGLFWGGLSNGVQQLLNLFFGIFLSRILNAEDYGMVGMLSIFSLIAGSLQESGFTAALANKRDISHKDYNAVFWFSTGLSACLYLILFLCAPLIAEFYHTPELTALARYTFLGFFVASLGIAHSAYLFRNLMVKQKAMAVTIGLTASGTIGITMACLGFAYWGIATQSIVYVGTINICYWCFSPWRPTLTFDFKPLRGMLSFSSKLLVTNIFNHINNNIFTVILGKFYSGQEVGYFTQANKWNYMGHSLISGTVNSVAQPVLSSLSDERERQQRAFRKMLRFTAFISFPAMLGLSLIAPELIILTITDKWLPSAFILQLLCIGGAFIPITNLYSNLVISKGKSDIYMWNTISLGIIQLTTMLLLYPYGVHTMIIVYVSINICWLFVWHYFVWKQIRLSLFAALKDILPFAFIATAVMAATYYITIGFANLYLLMASKMIIAGTLYTATLWLSGSVTFKESLHYIIKK", "MDATRHSGLECLRIISIILIVSMHILGNTFHTGNWLNKEFILFINTLGNTGVTLFILISGYFGIRFNTHKFFKMLVVVWFYSIVSYLIETIWLHTPHTWTGLASSLLPILSKKYWFMTCYVVLYCFSPYLNRLVHNLSQKSYKQLLLLWGFFFVFAPTILFFEIQNDTGKGIINVTLAYLIGQYLKTYGLPENMKRHSREILSGSLAGIFILNTLLTAMSGNIILRFARDNNLLIIIASIMIFYQFTRWHFSSRIINYLAGYVFALYMLQGLLIHCLQPWYTPYADSNLLVLYFMGTLVSICLTTLVIEWSRRLLLGKIENKLANAIERRGAKIKMFADNH", "MGKITFVPVGGLANRMRAVASAVMLAGKTKSELSIIWFRDWALNAPFYQLFKPVDREVACLRDASRLDYALLDRPRSKNFHFPLLFQKLLFKSCLYERSITPLCNRHFDFERWVKEGGCVYMASYTAFQLYDYAWISRLFVPVDEIMEEVENRCRNFSDAMIGVHIRRTDNLASIRQSPIELFYQKLDEKIKEDGKVAIYLATDSEEVKREMKERYGDRIFCSGKKADRGSLEGIREGITDMYTLARTQKIYGSFQSSFSDMAAQIGGVPLEILKL", "MQAIILAAGMGKRLGDLTKDNTKCMIKVNGTYLIDRLLSQLDSLNLERIILVIGYQGEKLRTHIEKQSRNTPIEYIYNPVYNKTNNIYSLYLAKEELQKQDTLLIESDLIFEDTLFHKILNNPYPNLALVAKYEPWMDGTMVRLNTENDIIDFISKKTFRYADIDDYYKTVNIYKFSKEFLRNSYVPFLEAYSKALGNNEYYEQVLRVITLLERCELKGLPLEGERWYEIDDIQDLDIAETIFAEQDQLQRYQKRYGGYWRFPKLKDFCYLVNPYFPPQKMCEELQANFNVLLREYPSGMGVNTLVMAKNFGIRQDYVVVGNGAAEIIKALMEHSDGKMGVIYPTFEEYPNRQSEEIIAFYPQNADFHYTAKELMLFYADKDIRHLLLINPDNPSGNFIPLNELMDLLAWTQQRNIHLILDESFVDFSEKSVENTLLKNEVLETYPHLTVIKSISKSYGVPGLRLGIAASSDKEIISYLRKNMAIWNINSFAEFYLQIYSKYNNDYQNACKKFIAERQRFFEVLQQVDFLRVIPSQANYFLCEVTSRFSSTKLVSLLLEHNLLLKDCSTKTGFDGRNYIRIAIRDTEDNNYLAENLKKLQA", "MSINICICGGGGLGHVIAGVAAHKGFNVSILTRHPDQWNPSLLIEDCRGNTFSGSLACVTANPAEVIPHSDIVLLCLPGFAIEEELLHIQPFLQEKTCIGSVVSCTGFFFTAYRILGKTASLFGFQRAPFIARVQTYGQKALLLGYKKELQIATVNISKSDILLRTLQEMLDTPVRMLHHFLEASLTNSNPLLHPARLYSLFHTWSRGKAYHEIPGFYNSWDEESSELLIACDNEFQQILKALPVRIEPIPTLLEYYDSYDARSLTRKIRSIIAFKHIPAPMEKTEKGFLPDFKSRYFTEDFPFGLLIIKSIAEVLNICTPNIDKILLWGQDVLNKEYIHEGELKGKDLSKTGYINADLFYKLLKN", "MDSELRKRFNPDGSLLRRQQLRMLELLEVIDVICRKHQIPYWLSSGTLIGAARHKGFIPWDDDLDIEMLRSDYLRLLKVLPQELPDNLALQTNETDPNYIFIYGKLRDKDSHLEETNSYDRIFHYTGIYIDIFPLENIPYCLAWIGGHMQGQIYNQLNNKNIKESTLYKRIRRIYHFNTRIGFPILRFIAKLFPGKELRHSFGTAYFKPRYTDDIFPLTEMEFEGKMFPVPRQTDAVLRKIYGNYMQLPDLENIHPHYNKLEFYK", "MKPSLVDVPVLILFFNRPQQLSQVFEQVRKARPSKLFLYQDGPRGERDLPGIKACREVVDQIDWECEVHRKYQEKNYGCDPSEYISQKWAFSMVDKCIVLEDDDVPSVSFFTFCKEMLDRYEQDTRITMIAGFNNEEITPDVPYDYFFASTFSIWGWASWKRVIDQWDEHYTFLEDTFNMQQLEQLMKERKFRKDFIYMCHRHKENNKAYYETIFHASMLFNSGLAIVPTRNMINNLGATADSTHFAGSVHTLPKGYRRIFTMKRYEVEFPLKHPRYVIENVAYKKAVYRIMGWGHPWIKIGRSFEELFLNLRYGNFSIITKAIKNRINKWLKRDQHR", "MAEKRPTPVKPLTIYFYHTRLTRESYEEWKDYKFPGHILYGLPLLEKYGIRSVMHKYKAFPSRLKLMLYATKEILCCKEPYEVLYGTSFRGLELIILLRALGLYRKPIVIWHHTALKTSSRKIRERISRFFYKGIDHMFLFSKKLIKDSLATGKAPEEKLQLIHWGPDLAFYDHLLQTMPDRKPEGFISTGKENRDVDTMLQAFCATDQQLDLYIAPTNGSVNYQQIIESFCLPDSVRVHYTDGVIPYLLAQKVARKSCVVICCMDFPYTVGLTTLVEAFALGIPVICSRNPNFEMDIDKEEIGITVAYDDVEGWINAIHRIADHPEEAQKMGANARKLAEKRFNLEIFSREIAESLLEISKMSPKKRTFA", "MRVLIINTSERIGGAAIAANRLMEALKNNGIKTKMLVRDKQTDQISVVELKKSWWKVWQFIWERVVIWQANHFKKHNLFAVDIANTGTNITALPEFTQADVIHLHWINQGMLSLTDIRRIIQSGKPIVWTMHDMWPFTGICHYAGDCDKYATQCHNCPQLYKGSRKDIAYRTFQKKKKLFEGAQITFVACSRWLESLAKKSDLIKGQTITNIPNAINTNLFKPRDKKQAREKCHLPQDKKLLLFGSVKITDKRKGIDYLVSACKQIASSYPDFSKELGVVVFGNQAEQYASLFPFPIYPMNYVSNEKELVDIYNAVDLYVTPSLQDNLPNTIVEAMACGIPCIGFNVGGIPQMIDHLHNGYVAEYQSSKDLANGIHWALTEGEYESLSEEACRKAVSSYSESTIAKKYVEIYNKITGNHA", "MHNHHPHPKFSIITVTYNAAKVLEDTIQSIVTQTYKNLEYIIVDGGSTDETLDIIHKYQEHITTVISEPDQGLYDAMNKGIKLATGDYLCFLNAGDGLHEDDTLLQMVHSINGTALPGVLYGETEIVDSQGHFLYMRRLSAPATLTWKSFKQGMLVCHQAFFARRDLVEPYDLRYRFSADFDWCIRIMKKADVLHNTHLTLIDYLNEGMTTRNHKASLKERFRIMSRHYGWASTVTHHLWFVLRLLYK", "MNLFKRILPDIVVIILFAVISFVYFFPAVTEGRILSQHDSVAGIGAGEEAKEYLERTGERTRWTNSIFGGMPTYQMAPSYDSTDTLKGVEKLYHLYLPNYVWYVFVMLLGFYILLRAFDFSVWLASLGAVLWAFSSYFFIIIAAGHIWKFVTLAYIPPTIAGMVLAYRGKYLSGGLLTAVFVALQIVSNHVQMSYYFLFVMLFMAVAFGVDAWQKKEMPQFLKATGVLLMAGILGVCINLSNLYHTYEYSKETMRGKSELVKPDSHNQTKSGLERDYITQWSYGIGETFSLLVPNVKGGASVPLAANEKAMEKANPMYNSIYSQIGQYWGEQPGTSGPVYVGAFVMFLFVLGLFIVKGPMKWALLSATVLSVLLSWGKNFMGFTDFFLDYIPMYDKFRAVSSILVIAEFTIPLLAVLALKEVMARPQLVKEQARSFYISLGLTGGIALLFALAPGFFFPSYVSSMEMQALQGIPADQLAPLLANLEEIRQSIFTSDAWRSFFVIMIGTAVLWLYGMGKLKAKVTILALAVLCLADMWSVNKRYLYDEQFVEKVQQDNSFKPTETDKAILADKTLDFRVLNLAGNTFNENTTSYWHKSIGGYHAAKLRRYQEMIEEHISTEMNGVFKAVSEAGGDMQKVAPSGFPVLNMLNTRYFIFPLQDGKTVPIQNPYTLGNAWFVNEVQYVDNANEEIDALHRIDPAKTAVVDKKFSAEVKSAAETDTLGTIKLTAYEPNDLKYEVNSKTGGTVVFSEIYYPGWQAYIDGVEAPHGRADYILRAMNVPAGKHVVEFKFDPKSLHVTETVAFVALGVLTCVLVLFLFLQVRRARRKID", "MKIGIITAMSSEQKQVAQLLENKKEYTEGPFQYTEGSIRNNTIILMKCGIGKVNAAAGTVELIRTFQPDCVISTGVAGGIDSCLKIMDVVVSRQVVYHDVWCGEGNMYGQIQGLPARFEGNATLFDCAMSLDTPTAIHGGLICSGDKFITDRSELNDIKEKFHEGLAVDMESGAIAQICYIYKVPFISFRIISDTPGSDNHWEQYTNFWETIADRSFEVTHTFLSSLPANL", "MKTIPSFTIDHIRLLRGIYVSRKDEVGGETVTTFDIRMKEPNREPALGQGALHTIEHLAATYLRNHPIWSDKIVYWGPMGCLTGNYLLMKGDLKSEDIVELMQETFRFVADFEGEVPGASPKDCGNYLLHDLPMAKWESAKYLHEVLEHMTKDNLYYPTKE", "MYLDAAKKQEIFEKYGKSNTDTGSAEAQIALFSYRISHLTEHLKLNRKDYSTERALTTLVGKRRALLNYLKNRDIERYRAIVKALGLRK", "MREIIMMLERELSNDGLIYIYRESDGKWYAYEQSAFYLSRMMLELSLDRYVMENALWLARAEIDVNRIPWDKVISHSQSEYVLHYTPYDGFHEWLVEIK", "MSNLLEIMDKKSCGKSEIYISEEDGRWYACYRSAHLLKKLNETVLRGVMLDKVEVDLNKLLNGPWIVVLCSDNEMILIKNE" ]
[ "AATATTGAACCTTTGGAATGATTTTCTAGAAATAGTAGATTATCTGCAATCAACACCACAAATTAGTAAAATTATAGAGAGTATTTAGCAAGTACAGTAATAAGGATAAAAATATCAGCACACCTATATAATATGGATATAATAAATTAACATACAATAATTTAACATCGTAATCCGAGACTCAGAAAGTACTATTTTAGTAGGTGTGATAAAGACCTAGCTGTTAGCCATCCATCAAAAATTTTCCAGATATTTTTTTGAAAATTCAGCCTATTAGACTTTACTTTCCTACTAAACCACATATTTATATATGTATAAAACAAATAAACT", "ACATTAACTTCGGATATGCGGAGTGCGGAATCAGAAATAGCAATTACTATATTTCACTCCGCACCTCCGCACTTCCGCATACAATCTA", "ATCAACGGCATTTAAACTGCCGTAAAGATACAAATTTCATTGTAAGCCTACAAAATTGGCTTTCATGGATATTGGCTCATATTGATATAAAACATTCAAAAAGACAGCTTATTAGCTAAAAGTGAATATTAGTTTTAACGCACTTCTTGCCAATTTACATAAAACGCTCATAATCAATAATATAAATCCATTTCTAATATTCACTTCTGAGATTAGTGAATATTGGCATCAAA", "AAGTTTACAACTTACATATTAAAACTCAATAAGACAATCACACCTT", "AATCGTATTTA", "AACATTCCAT", "TTGTCCGCATTCTTCACACTTTATCATTGAAGAATTGCAAGGTGCACCACAATGCGGACAACTAACAGATGATGGTGGAACAACCCCACCACATTCTTCACATTTTAACGGTATCACTACAGGAGCACCACACTTAGGACAAGATATTGCCTTGTCCGATACCATATTTCCACATTCAGAACATTTTATTAAAGCCATAAACGATTGTTGAATATTCCCGTAGGCTCTAAAGGGAAGATTATAAAAAAGAAGTGTGAGCCTGTTAGTATTCAACCGATAGGCTCTGGACTGCCCGTGTAAGTGAATAAAAAACAGCTCACACCTTTGGCTGTATATGTTATTAAAGAACATATATAACAAACCAAAGGAAGAACGTCTTATATCCCTCTTACACTTGAATTGTCCAGATTCATCGGTAAGGAACTACTAAACGCTTCCTACATTATGTACGCTACCACTGATAGCAGTGCAAAATTACAGAAAGTTTAGATAAGAACATTCTTTGTGAGCTGTTATTTTTCACAAAGGTATAGCTTTTATA", "AATATTTTTTTTAATTGAAATCTTCTAT", "CTTATTGTAGTGCTATTAGTTTATTACCACGCTACAAATGTAGTGCTTTCAATAGACATAAACAAATAAAAGTGTATATTATTAGCATTACATTAACTTATTTTATGTACCGCTATCAATATACATTTTAAGAATAAACGTATATTTGCATATATAACAAGAACAAATAGGT", "TAAGCCTATCTATCATAATCCCCTCATTTTCCCCTACAAATCCCCTACAAAATCCCCTTTCAAAATATAACTCACTAAGAAACAGTAAAATATAAGTGAGGTATAAAAAAATCCTATCCTCAACTCATCATATCACTATACCTTTGCAATGTCAAAAGGTACCAAAGACACCATGTATAATAATTAAAAAAATATGATT", "TTAAATGCAAAATATTGCTAATAAACAATCTGACATTCACTTACTTTTTCTCTTTATCCTAATAATAATCAATTTTGTAATGAAAACACAAT", "TTTAAAAGGTTTTGCCAAAGGCAAAAAGCAACAAAAAGAGCAAATAGGCGGCAATGCCATCATTTATACTCGTGTTAGTTCAAGCGAGCAAGTTGATGGGCAAAGCCTTGAAGTGCAAATTGACAAATGCAGAGAGTATGCCAAAGTACGCTCATACACTGTCGTTGGTGAATTTGGTGGCACGTATGAAAGTGCCAAAAGCGACAAAGAGCGCAAGGAGTTTAACCGTATGCTTGCTTTCATCAAACAAAGTAATAAGAAAGGCAGCAGCCAACCCGTAAAAACAGTAATAGTGTTTAGTACCTCCCGTTTCAGCAGAACGGGAAGTACAACTATCATTGAAGAAGTTGAGGCTAGAGGAGCATACGTAGTATCTGCAACCTCCAACTATGACCCACGCACTCCAGTAGGAAAGTATATGCAACTCATGGAACTTGCAAATGCAAGGTTTCAGAATGACGAAAAACGGGCAACTACCATTGAAAACAGTGTAAAAGCATTATTAAAAGGAAGATGGATTGGAAAAGCCCCTAGAGGATATGACCAAAAAACAACCAAAAAGGAACAAATTATAACTATTAACGAAGAAGGCAAACTGATTCGGAAAGCATTTCTTTGGAAGGCAAACAACAAGTTATCCAATGAAGAAATTAGGCATAGACTGGCAGCAGAAGGTTTCCATATCTGTAAACAGAAGCTATCAGAACTATTCAAGAACCCTTTTTATTGTGGCTATATGGCACACAAATTCTTGCAGGGGGACATCATACTAGGAAATCACCCTCCATTGATACCAAAAGAAATCTTCTTGAAAGTAAATGGAGAGTTATCCAAAAATCATAGTGGGTACGAACAAAAGATAGATAAGGAGTATGCACCATTATTAGGTAGTATCAAGTGCCCATGTTGCGGAAAAAATCTATCTGCCAGCATATCAACCAAAATGCGAAAGAGATTCGGACGTACTGATATAGGCTATTACGTTTGCAGCCGAAAAGGATGCAAGTACAACAGTTCTACTAAAAAGGTACATGAAGCCTTTGAAGAAGAAGTAAACAGGTATGCCCTATCAGACAAAGTAAGTGAACTCTTAAAAATGCAACTTACCATCACATTTGAATATATGAACCAAGAAAACAGGGAACGAGCCAAATCTATCAAAGCGAACATCAAAGCTAAAGAAAAAGAGTTGGAACAAGTAGAAACAAATTATGCACTCTGTACCGATGCGAAGAAACAAAGCATTTGCGAGAAGGTTATGACGAAATTAGAGAAAGAAGTCAGAGATTTAAAGACCGAATTTTCAAAAGTGAATACAGAAATATTGAACCTCGATAAATTCATTGATTTTGCTTTTAAAATGCGTTCTAACTTGTTTGGGCTGTGGGAGTTGCAAGGATTAGAAGGAAGAAGAAGACTACAAAAACTCGTGTTTCCTGACGGATTTATTTACGACAAAAATAACGAGCATATTGAACCTAAAAGAGTGAACCAATTCTTTCTTCTAAAGTATTCATATCCAACAGATAACGGGGATAAAAAGAAAGAGACGAACCGTGGAAAACACGATTCGTCCCTTAGAGTACTCGAAGCGGGACTTGAACCCGCACAGCCGCAATGGCCAAGGGATTTTAAGTCCCTCGTGTCTACCGATTCCACCATTCGAGCATCCTCGACGAGAGAGAGCGGAAAACGAGACTCGAACTCGCGACCCTAACCTTGGCAAGGTTATGCTCTACCAACTGAGCTATTTCCGCGTTTTGTTTTAACGGGTGCAAAGATAAGCCATTTTATAATACCAACCAAACTTTACACCCATTTTTTTAT", "GCTATTACGTTTTACAATTATTT", "ATTTGTCTTTATTT", "AATACCTGCTCTCTAAAAACACGAAAAGAACAATCCGGAAATCCATAAAAATTCCCGAACGGTTCTCTTCATATTATATTTGTTTTAAAACTATAAC", "AACTCAACTATATCTGATAAATATTGTGCAAAAATATAGAAATACATCGAGATAATGAAAAATCGGACAAGGTATTTTTCTAAAAAATAGTTGTTGTTA", "C", "AGTGTCATTTTATATGCAAAAGTAAGAATTTAAACAAGATCGTT", "AATGTGGCTGATTGACCGAGAAAAAGGGCTGGTTTATCTATAAATTAATATAAAACTTGTCCCAAAACTTCTATATAGAAAAAAATCTTCCTACTTTTGCGACTTGTAACAATAGACTCATTTGCAA", "AGTCAAAGAGAGTCATATAAAATAGATTGCGAC", "AGTTAGATAAA", "TAACTAAAAACAATGTGATT", "TTTCCTACATTTGCAACCGATAATCCAAATTTGCAATAACTAATAAAAAATAGAACT", "TTAAGTTAAGGACCTTTACAGGCACGGATTACACAGATTTCACAGGTTTCAGAACCGTGAACATCCGTGTAATCC", "CTTTCTTCCGGTTTACCTGTTATATATACCATCCATAACGCTTAATTCATAAAGAT", "GGACCCTGAAAGTAGAAGATCTGAAACGCCCTGGAAAGAAGCTTGTACCAAGCCCTATTGCTCCGACGATTAAGGTCGTTTTTCCTTATAGCTAACCCTTATCATACAGTTAACTACTACAGACTCCGGCAGTGGACTGTACAGTCTACTGCAAGAGTTTATACAGTCCACTATATCAGACTGTACAAACCACCGCAAGAGACTATAGTACACAACCATAAAAAAGAGCTTAACCATAAGGTAAAACAAGGTTAACCACAAAGAAGAAAAGACTTAACCAGATAAAGCCATAAGGCCAAAAGACGAAAAGAATAAAAACAAAGAAAGCAGCCAAGCCGTACCGTACAAACCGACAAACATCAGCCGTATCAACAATAATAAATAAA", "CGCCCGGTT", "AATTAATAATTATTCAGTTTGCTAATTTGTTAATATGCCATACGGTTCATAGCATAACCTATTGGCACATTAT", "TCCTATCTTCTATTA", "AATCTTATCACCTTATATTATACCTAAACGTT", "ATTTATACTATATCTGAACTTTTTCTACCTTTGCAGGGAATTTGGGTACAAAGGTAGAAAAAAGAA", "AATATTTTAAGATAAATTGAAAAAAAAGCAGGCTAAGAAGCTAGCATATAATAGAGTTTTTCCTATCTTTGTCCCCAAAGAGACGCACAAAAACGTACTATAGCGAATTTTACATTACAAACGTTAAATTAATAAGTAACT", "TATAACTAGATATCATCTTGTCTAGTTTTTTAGATGATTATGACTGAAACCGGAGGCTTCGTGATGAAGCTTCCGGTCTTTTTATGTAAAATAACCTTCATTTATGCCTGCCTTTAGCCACAAAATGCGTATTTTCGCAAAAAATATCTTGAA", "AAGA", "T", "GTCTCGTTCGACTTAAGGTTTTATAAAAAACAGGATAAGTAAAATTTAGTTCATCATTTTTCTCTCCTTAAGATTAAATGCAAATAAGTGCAAATCACTGCATCACGATATAACATTTTTCTGTATTTTCACTTCTTCCGACAAAGCCCCCGAATAACCATATTTTATAATAAGTACGGCCTGTCAGAGATTTTAAGGGAGAAATATTTCTGATTATTCCATATACTTACTAAAAATCACTAACTTTGCACGTTACTGGTAGAAATAACAAAAAAGACATCATACA", "TATACACCCAAATACAGAAAAGGCTGAAAGCAACTGAAACGTCCGCTTTCAGCCTGACTGTTTTATAATCTATCTATAAAA", "AACAATGATTTATTTCCGTAAC", "TCCTGTTTAAAATTTGAGGCAAATATAAATAACATCGCTTATAAATGCTAATTAATTACTACTTTTGCAATATATATACCTAAATTTATT", "TGT", "AGGAGATTGAACC", "ACTTTTATGCAC", "TCCGGAGTCTGGCTTACGACGGGCC", "AAGTATATCTGTTTATTAACGTTGCAAAGATACGATATACTCTGATAAAATGCGTACATTTGCAGCCATAAATAAAGTTGAATT", "AAACGAATAAT", "TTCTCAACAACAGAACAGTAGAAACGTAATAACAGAAAATAGAAAAGCCGCTTTCTTTTCAGAGAGCGGCTTTTCTTTAAGTCCTTTTCAATAAAGATTGAA", "AATTCTTAGATTTTTTGATATATAAAATTTTCATTTAGCGGGTGCAAAGATAGACATTATCTTTTATATCACAATGAATTAGAGCGATTTATTTTAAAAATAATCTTCTATCTCTTTTACTGTCGCATCTTTCAGCATATCTTCGAACATCATAGCAAAGGCTGCCCAACTGACATTGAATGAAATTGTAAACCCGATTTCTTTTCATCCGATGAATAAAAGTGCCCAGTCCGAAACCGTTTCTTCATGAATTTCGGACTGGACACTTTTTAAACTATCG", "AATTTTATAGA", "AACATCCTCCTTTATAC" ]
[ true, true, false, false, false, false, false, false, false, true, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, true, true, false, false, false, false, false, true, true, true, true, false, true, true, true, false, true, true, true, true, true, true, true, false, true, true, false, false, false ]
[ 1, 3, 5, 7, 9 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "2510065017|RJ2H1_RJ2H1-contig-021.21|CDS|2510123179|-|1078:2124", "2510065017|RJ2H1_RJ2H1-contig-021.21|CDS|2510123180|-|2209:3915", "2510065017|RJ2H1_RJ2H1-contig-021.21|CDS|2510123181|-|4739:6199", "2510065017|RJ2H1_RJ2H1-contig-021.21|CDS|2510123182|-|6212:6781", "2510065017|RJ2H1_RJ2H1-contig-021.21|CDS|2510123183|+|7220:7825" ]
[ "2510065017|RJ2H1_RJ2H1-contig-021.21|IG|IG_000001|+|1055:1077", "2510065017|RJ2H1_RJ2H1-contig-021.21|IG|IG_000002|+|2125:2208", "2510065017|RJ2H1_RJ2H1-contig-021.21|IG|IG_000003|+|3916:4738", "2510065017|RJ2H1_RJ2H1-contig-021.21|IG|IG_000004|+|6200:6211", "2510065017|RJ2H1_RJ2H1-contig-021.21|IG|IG_000005|+|6782:7219", "2510065017|RJ2H1_RJ2H1-contig-021.21|IG|IG_000006|+|7826:8174" ]
[ "MKTVKTFARQFSFSLMMAMTLGSAVVSCDSILGEEEVDCSVEYRVKFKYDYNMKYADAFSREVGTVTLYAFDDNGKLVYQKTEEGDVLGEEGYTMKVDLEPGDYHLVTWAGLNDEASFSVPLVTAGESSLDELQCRMDRLYSRAADGTAVVNSKLSALWHGEVTKQSFSRAASSQVVTVPLVKNTNTIRIILQQMDGVTVEVDKFEFTITDDNGLMNYDNKLLEDETLTYYPYYRMQGGTDMGTRADGDAEDSNISVAIAQITVGRLVVENNPRLTITNKETGEPVLSIPLVKYLLLTEAEGHEMTNQEYLDRQDEYNMTFFLDESMKWINTSIIINDWVVRFNELDM", "MKIKGLLLGMLAYAAMVACTNEDIVKNNVNQPEKVKGNLSLVISSTSNSSRAADNEESGVTDPGIEGESTVTDAVIILNRLDENGNLTKEEFGGYLTKAQLNETTASGETIYNPFFTLANSGWYKVLVVLNPTESIEAIANSQQSTDKSKYEQIAESSYTTTGDITIAAAGQFMMVNKKEIKVDVLSNNYEDPTIKKEVEVERVVSKINYVIAKPNNLYPLTVQTTDYAIAETTSGYYIYPDNKAVRLTGLHKAKNLDNDNSDVWIHEGTDGTDRRAFIKTEKTYGQTGEHIFTLLEPFPKFEYYTTSTDGKLDWTVKLDKYALVNLSNSVYTARHLTDASWENFRTLGLLGVDNMAYMVDPNSKNKNNVTDYDQVFGSYFYNALKNVNADKVDEASDDSQVYFQDLPTANTDINDNEQVGHRLAYCLENIVKKEKQVPALVTGIIFRGQIGDETGEPVGTIYKCNNKFYTSIDAVKADNGADASYDTYENGHCYYYSSEICHNKGDQYMDKAIMRNNIYVLKVTGFENIGGATITIDPSGEESDNNFYLQLNAKIIPWIVRFNNIEF", "MKLICYILLILLIPTIPVGAQTLSGGQVQVSNQSILISDNGQVMIGMDITLPAAMELSSNCVATLTPVLKTQDNSYNRILPAIWVYGRIRSIVQQRERSIPSDAYTILRRKNGTEQTVNYSARIPYEKWMNGAELELQAAIRGCADCQKEENSAFITRANLERYVVKPVVAFVSPAVEAVKNRAEEGRAYLDFPVNQMKIYPDYRHNPSELAAIKHTVDVVKNDVNTTITEIAIVGYASPEGRYAANARLAQGRAEALKSYVMNEYGFKADLFKVNSVPEDWAGLRAYVAKNDLPLKEEILSIIDKNESDFDVKEERIKALDGGKVYAALLQDCYPALRHSDYTVRYVVRGFDVEEAKQIIKLRPQQLSLQEMFLVAQTYEKGSDEFNEVFDVAVRMFPDDPTANINAAAIELQRGDLQQAVRYLDKADAQASATLNNRGVLKLLQGDLDSAENYFKQAQAKDSVEAGANLEEVTNKRKDEAIFVK", "MVIRRFLFSWVCLLASSLFMYGQVAVKTNVAIDAVAIPNLGVEVGLSKKLTVDIPFYYNPWKYSDNKMLKLVMVQPELRYWLCDKFNGHFFGFHLMGGAYNTTGIDLPFSPFDDLKDFRYKGHFYGGGVSYGYQFVLGRHWNLGATIGLGYAYVRYKKYECEECGDMLEKSNKNYWGPTKAALNLIYIF", "MKAMHLIIAMLVMMSLASCSADGNDPVENSVSTYLLEKTYGARSVTYEENNSDKLKLSELPAISLSEADHILSVLRKHTDAQEELDIQTATKGEQTWLRIVMKQTIDHKYAFTIQLNMNCYNDGSLYYGGYQAECSSSLIKWYLKGFSLATDNATKNYKFESQSYIYMKVIDNGIKYMQIPVTINGNYNPRNHDAAFSYNL" ]
[ "AGATTGCTTTTTTAGTTTCGTTA", "GCTTTTGGTCATTTTATAATGTTAGAATATAGAAAGGTATAAAGGGGAGGGAGATGAATCCTCCCCTGTCTGTCATAGTGTTTG", "AATCCTAAGTTTTTAAATTATAAACTATTTTTGTAATTTCCATTCTTGTTTGTCAACACAGCAAAGGTAAGTGGTTAATCGTATAAACCTTAATCGGTATTTTCGACAAAATAGATTGGTATCTTTGACAAAATGACTTTATATCGTCTTTTACAGGGCATTTCCATCATACGTGAATAAAATACCCTACACGTTGAAAGAATCACCTCTTACATTTTTCCATTAAAAAAGAAGAGAAAAAAACAAGGATAACATGTACTTTTTTTTCACTACATCAAACAGATCGGACAGTGTGGCATTTCAGCAAAATATCCCTCCATAAAAGCCGCCCTCATCAACATATGCGGAAGCCAAATCTAAAGTGGGAATCACCCGTAAAAACATAAAAATGTAGTGAGCAAGTGTTTCTCTCATCAAGAAGTACACATTCCTTCACATACGTACATATCCATAGACCTATACAATTATATAGGCTTATGCGTACGTATATAAATACTACATGTTCAAAGACAAGGGTATTTCTTAAAAAGATCAAGTCGTTTTCAAAAAAGACGGCGAGCTTTTTTAAAAAGATGGCGAGCTTTTTAAAAAACGTCTTGATCTTTTTTGCCACTGCCGGAACTTCGACTTCCGACATATTCCATAAAGCCCCCAACCGACAGATTATGTAAAATTCAACTTAAAAACACTGTGAGGACACTAATGCGCTGAACTTTAATTCAAAATCCGGGAATAGACTCCACTACCCCGGATTTTACCTTGCGCTTTTCGCAAAGAACAAGTTTCTTCTAACATCTTTTATTGTACTGTTTGTCTATATGTC", "AATCCGTAATTT", "ATTCAATTCTCTCTAGCTAAGTTATTTTTTACATCACAAAGGTAGAGGCTATTCTTTTAACAGGATATTGGCAAATTAATCTTTATTAAGAGGTGGTTTCGGCAAAATACAGAATGAACAAAAAACAATCTTAGTTCCTATTTCCGTCAAAAACAGAACATCCATTCAATGAGAAACATTCCAACTATCAAATGGAACACCAACGGAGTTTTCTCCATCCATAAATGAAAATCCTTTCATCATGATAAAAACGCCCCCTTATATAGCCAAACAACTCCTTCTTTCCAACATAGCAGGTATTTTCATCAAAAAGAGGGTGTTTTCATCAAAAAAGCTTGAAAATAATTTGGATACATGAAAAGAATACCTACTTTTGTATCGGATGATAATGGGTCAATCATTCACATAATCAAAAAAACAATAAAAAATTATAGTTCT", "TATATAATACAATAATCACTTTATAATATATACCATCATTCCCCCCTTGTTTCTAGCTTGGTATACATACCTTAATAAAATAGCAAGAGACAGGGGGTGTATTTCATTCAAATACAATCGTCTAATAATCAAGACAAGACAAAACTGTGTGAACGGAATACCTACTATCCTTTCTTTTCACCGAACCTGTTGATACTAAAAACAGAATATATTCCTATGACTTTTAGTTGGTTATGAAAATATAGTTTGCTATATTTGTAGGAATTCCTAAAATAGATTAAGGAATAATATCAAGCAGATTGTACGTGACCAATCTGATTACAGGGGAATAAAAGTGAAACAATTTATT" ]
[ false, false, false, false, true ]
[ 1, 3, 5, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 25, 27, 29, 31, 33, 35, 37, 38, 40, 41, 43, 45, 47, 49, 51, 52, 54, 55, 57, 59, 61, 63, 64, 65, 67, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 89, 90, 91, 93, 95, 96, 97, 98, 99, 100, 102, 104, 106, 108, 110, 112, 114, 116, 117, 119, 120, 122, 124, 126, 127, 129, 130, 132, 133, 135, 137, 139, 141, 143, 145, 147, 148, 150, 152 ]
[ 0, 2, 4, 7, 9, 11, 13, 15, 17, 19, 21, 23, 26, 28, 30, 32, 34, 36, 39, 42, 44, 46, 48, 50, 53, 56, 58, 60, 62, 66, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 92, 94, 101, 103, 105, 107, 109, 111, 113, 115, 118, 121, 123, 125, 128, 131, 134, 136, 138, 140, 142, 144, 146, 149, 151 ]
[ "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123186|-|3543:4334", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123187|+|4438:5532", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123190|+|6013:6657", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123191|-|6654:7718", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123192|-|7725:8675", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123193|+|8821:9759", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123194|+|9787:12243", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123195|+|12447:13751", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123196|-|14248:14481", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123197|-|14796:16346", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123198|-|16628:18226", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123199|-|18341:21046", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123200|-|21082:23163", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123201|-|23160:25607", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123202|-|25706:27247", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123203|-|27261:30614", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123204|-|30767:31702", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123205|-|31757:32347", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123206|+|32446:32577", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123207|-|32723:32905", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123208|-|32844:33236", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123209|+|33392:33595", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123210|+|33588:34148", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123211|+|34159:34422", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123212|+|34449:34667", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123213|+|34687:35373", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123214|+|35400:35708", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123215|+|35734:38592", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123216|+|38567:38869", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123217|+|39508:40041", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123218|+|40038:40451", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123219|+|40503:41504", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123220|+|41506:42477", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123221|+|42492:43106", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123222|+|43177:43983", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123223|+|43970:44470", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123224|+|44445:44726", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123225|+|44732:45340", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123226|+|45340:46947", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123227|+|47710:48264", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123228|+|48271:48840", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123229|+|49076:49273", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123230|+|49303:49716", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123231|+|50041:50994", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123232|+|51429:51845", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123233|+|52000:52653", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123234|+|52722:53393", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123235|-|53713:54840", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123236|+|55187:55447", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123237|+|55444:55791", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123238|+|55788:55970", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123239|+|55960:56214", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123240|+|56324:57358", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123241|+|57360:57599", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123242|+|57596:59005", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123243|+|58989:59801", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123244|+|59767:60543", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123245|+|60530:61030", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123246|+|61014:61580", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123247|+|61631:63046", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123248|+|63061:64335", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123249|+|64722:65093", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123250|+|65113:65331", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123252|+|65528:66619", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123253|+|66712:67620", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123254|+|67634:68383", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123255|+|68512:68817", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123256|+|68814:69353", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123257|+|69366:71438", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123258|+|71431:72309", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123259|+|72346:73260", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123260|+|73342:76356", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123261|+|76368:77171", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123262|+|77168:77476", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123263|+|77807:78022", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123264|-|78008:78478", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123265|+|78578:81022", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123266|+|81019:81591", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123267|-|81602:82381", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123268|+|82408:83064", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123269|+|83080:84036", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123270|+|84072:84647", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123271|+|84720:84992", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123272|+|85004:86128", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123273|+|86139:86690", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123274|+|86687:86917", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123275|+|86991:87965", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123276|-|88269:88352" ]
[ "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000001|+|3289:3542", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000002|+|4335:4437", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000003|+|5533:6012", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000004|+|7719:7724", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000005|+|8676:8820", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000006|+|9760:9786", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000007|+|12244:12446", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000008|+|13752:14247", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000009|+|14482:14795", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000010|+|16347:16627", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000011|+|18227:18340", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000012|+|21047:21081", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000013|+|25608:25705", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000014|+|27248:27260", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000015|+|30615:30766", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000016|+|31703:31756", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000017|+|32348:32445", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000018|+|32578:32722", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000019|+|33237:33391", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000020|+|34149:34158", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000021|+|34423:34448", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000022|+|34668:34686", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000023|+|35374:35399", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000024|+|35709:35733", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000025|+|38870:39507", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000026|+|40452:40502", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000027|+|41505:41505", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000028|+|42478:42491", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000029|+|43107:43176", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000030|+|44727:44731", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000031|+|46948:47709", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000032|+|48265:48270", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000033|+|48841:49075", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000034|+|49274:49302", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000035|+|49717:50040", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000036|+|50995:51428", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000037|+|51846:51999", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000038|+|52654:52721", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000039|+|53394:53712", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000040|+|54841:55186", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000041|+|56215:56323", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000042|+|57359:57359", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000043|+|61581:61630", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000044|+|63047:63060", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000045|+|64336:64721", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000046|+|65094:65112", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000047|+|65332:65527", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000048|+|66620:66711", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000049|+|67621:67633", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000050|+|68384:68511", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000051|+|69354:69365", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000052|+|72310:72345", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000053|+|73261:73341", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000054|+|76357:76367", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000055|+|77477:77806", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000056|+|78479:78577", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000057|+|81592:81601", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000058|+|82382:82407", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000059|+|83065:83079", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000060|+|84037:84071", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000061|+|84648:84719", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000062|+|84993:85003", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000063|+|86129:86138", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000064|+|86918:86990", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000065|+|87966:88268" ]
[ "MNKLRPTIKLALFISVASLFFAACSDDDDKSIPVPEPSRMITGIKVHKISDVITYQGMISLTYDNNKRLTRIYSSSPLAAVNYTYKENSEVSYTYSTENSPLVEISTSLENGRSYVCKFSNRENPVTYSYDNEGYLKSCNNNGTVLEYNWESGNLSSITTTPRGTYNSDYRVSNIANDYSLDLNTLAQWIDDRENYTTVMNTFGQMAEILGKRSANILEDTYYIYDYSFRQDGRLKDMTLMGGSENIGYSFRFSYADDTEVSE", "MGKEKIILTGDRPTGRLHIGHYVGSLKRRVELQNSGLYDKTFIFIADAQALTDNIENPEKVRQNVIEVALDYMAVGLDPMKSTIFIQSQIPELCELTFYYMDLVTVSRLQRNPTVKTEIQMRNFETSIPVGFFTYPISQAADITAFKATTVPVGEDQEPMIEQTREIVRRFNHIYGDTLVEPEILLPDNAACLRLPGTDGKAKMSKSLGNCIYLSDTADEVEKKVKSMYTDPTHLKVSDSGKLEGNTVFTYLDAFCKPEHFGRYLPDYPNLDELKAHYTRGGLGDMKVKKFLAAIMQEELTPIRERRKEFEKDIPAIYDMLRKGCETARATATATLDEVRKAMKINYFDDVELIAEQAKRFGQE", "MKTLLLIDNQDITREGMKTVAGRIGGFAAIKEAGSQSELTGLLVDNPNAVAVLDYTLFDTSAEYLLILQERFKEAHFVLFSDNLSEDFIRRMVFSGTSFSVVMKDAPMIEIEEGLRKAKQHLQYMCTRAVWLLQHKEDKKDKLVSPLTVTEREILKLMTLGKTTKEIAAERFLSVYTVMTHRKNIFRKLEVNNVHEATKYALRAGIVDVVEYYI", "MNIAALISGGVDSAVVVHLLCEQGYKPDLFYIKIGMDGDEDLTCTAEEDIELCTATARKYGLSLNIIDLHRQYWDNVVAYAIDKVKRGLTPNPDVMCNKLIKFGCFEQEAGHLYDKTATGHYASILEKDGKIWLGTAQDPVKDQTDFLAQIDYLQISKLMFPLGGLMKNEVRDIARIAKLPSAQRKDSQGICFLGKINYNEFLRRFLGEKEGDIIEMETGKRIGTHKGYWFHTIGQRKGLGLGGGPWFVIRKDIDENIIYVSHGYDTDKQYGTDFALHDFHFITEDLWKGAPSADVSFKIRHTDTFMKGILTREDNLFRIHSHVPLQGIAPGQFGVLYDKNAEICVGSGEITLS", "MARIKKELTELIGGTPLLELSRLSKKHDAKAQIIAKLEYFNPGGSVKDRIALAMIEDAEAKGILKPGAVIIEPTSGNTGVGLAWVASVKGYKAILTMPETMSEERQNLLKAMGAQLVLTEGAKGMKGAIEKAEELRDRTPGAVILGQFENPANPAAHARTTAQEIWNDTDGKVDIFVAGVGTGGTLSGVAEGLKKQNPHIQIVAVEPDNSPVLSGGNPGLHKIQGIGAGFIPHTYNEKLVDKIIRVKDDDAIRTGRELSLTEGLLVGISSGAAAYAALELAKLPENEGKQIVVILPDTGERYLSTVLYAFEEYPLS", "MMITRNFIGVVVLCLCALAACTSSKESKKTLTVLSWNVWHGGHSKTYSGKGCEGTIDILKKSEADVVLMIETYGAAPMVADSLGYSYNLISDNLSIYSRYPIIRKYAFADSISTFNFGGVMIDVDGKPVRVFNTWLHYLPDMRLAPTDKSKEEILAWEMEGTRDEEIHKILSVLQPLLAEADSIPIIMGGDFNVHSHLDWTEATRNLYLHGGAVVDWPVSIAMEEAGFKDSFREMNPNPVANLGVTWLTDADSLETECRMDRIDFIYYQGKTIQAIASECYDNSLGKTFTFKGEDFFYPSDHGFVLSKFELD", "MKKTFLLSCLMLAAMPVMAAYTGHVYVDKNKNGVFDQSEKPLAGIKVSDGLNVVETAADGSFTLPGHERERFIFITTPSGYKTFNRHYHKIEKKQSGYDFGLIPYSGRIRKNGSHRYIHIADTEIFNTENHADWVNNVRDYARNEQAAFIIHTGDICYEKGLKAHIKLMNTENMDCPVFYCIGNHDLVKGKYGEELFESIYGPVYYSFDAGNVHYVVTPMPGGDHAPGYTSDDVCRWLKNDLAHIRPGTPVVVFNHDLLTYEDTFIFKSKNAGSINLNEYNLKAWVYGHWHINYMKKQGDVYSVCTSSLDKGGIDHSTTAFRVMHVDSKGDFTSELRYTYLDKNICIASPAGVMASGVLPVAVNVYSSVSPVREVLYTCLADGKPVLKNKRLLQSTDWSWNGELPLSHKYVGKELTLRVTARFNNGEIAEAESNFICRTEKAVPLFSADWDNLSGNAKHSAPVSAPLNLPLQLAWTNNVGANLYMTSPLIHKGKVIVASVDEDLKGAGHVYALNGKDGTILWSCPVRNSIKNSIAVDSDIVFAQDAQGFLYAIDTETGKLCWEKQLPVNGLPALIDGLVAGEGVVYAGTGKGLCAFEARTGKQLWKNEGWGQGEGTTSTLTLGNNLLVAGAQWNALYGNDAKTGEKLWAVSDNGLRNRGASPAMHGALLYLISDKSFFILEAATGKVIVRKPLPYNVDVTSTPLLTDKEIIFGSAQKGLIALDSETLEEKWTCPVGDALVYTCPYSRQPSATIETSAVWAGDIVYVAASDGTVYGINKEDGKVVWKHATGAPMFGSVALSGNALVVSDFGGNVYLFCK", "MTFKELDLIEPILKALQQTGYTTPTPIQEQAIPVLLKGKDLLGCAQTGTGKTAAFAIPLIQRLYQSDHKKGIKALILTPTRELAIQIGENFDQYAGYTGVKHAVIFGGVPQKAQVDALKRGVQVLIATPGRLLDLQSQGCISLKGLEYFVLDEADRMLDMGFIHDIKKVLKLIPARRQTLFFSATMPSEIEKLADSMLTNPEKIEVTPVSSTVDTIRQSVYFVEKKEKKDLLLHLLKNPEIESVLIFTRTKHGADKLARILNKSEIGAEAIHGNKSQNARQRALTNFKDHTTRVLIATDIAARGIDVNQLSHVINYELPNISETYVHRIGRTGRAGHDGIAISFCESEELPYLKDIQKLIGLQIPVVKDHPWVTVEGEKAQAGKTEELKEKAKANKVYRGSKSNGDYWRRKKQAQSRQGQGRQSSDRKASGRQG", "MVTFYTFFAMIPKGKHPISVCMGTVCRLRGSEKLPEEFKRILGIEAGETTPDGKFFPDCLWCVGAYGLLPVIMIKSL", "MKKTTTILCLGIALCMLGCSDDDDQVTTTSTVPFSLTASLSPRSSDAEDTGILQGNWDANSQLAVIKTGSSGVPKSILTRKTDSSDTFTGNLSTLVKNENEIAVFYPAAAITANSSDTLTQTLNLSGQDGTLAGITNYDYLWAQCKAGMNETTGSSACEMTSLVTIGKFQFTVNGGSPLNNITRITITATAGTLYSSAVMKLKNGEFSSTQTGNITIKNKAGISGTTYISFFPSEAQLHFTLVTTTGEVYEAATSTTIKLEKGKVYEAPALTCTLLPSAKVGDYYYSDATFSSEKNENKTCIGIVYALDDADGNLSPTLSTSPFGRIVALGDNQSSTKWISKAEDIEGIENYTTADGTLTSGVLPYYNGTADSFFSDKDEERIKGATIHVETGQPATWVSEGAISDFNGKAHTAYLGKSSSSYPAGGYCYQYSTSGKSAGEWYLPSAGELTLLWELQKAGIICKDKQDCFNDFARKAYWSSSEHSAESAWHLNFVSGAIVANSKASNYATRPVAQF", "MTYKLTVTTLALTAITSTVAATHTKPDTEHPHIVLILCDDMGFSDLSCYGGEIHTPNIDYLAEQGIRFSQFKNTGRSCPSRAALLTGHYQHEAGMGWMTAVDEHRPGYRGQLSDHLPTLAEVLRDQGYATYMSGKWHVTLDAAFETPNGSYPVQRGFDKYYGCLHGGGSYYKPDPVYHNLQRITEFPDDYYYTTAITDSAVSFIKQHPTQTPMFMYIAHYAPHLPLQAPQDKIDACRERYKAGYDVLRQQRFERQKALGLITPEMVLPVFQKEFPDGKRPAWTEFTPQQQEKWITDMATYAAMIEIMDSGIGKVIQTLKDKGMYEHTLFLFMSDNGSTSEGGFIKQLMADLSNTPYRSYKQWVFQGGTSSPLILTDGRSKSQTEKGSICREPSHIIDIFPTCLDIASAHYPDTFHNQKVKLAGTSLLPAIRKQKIQPRDLFFEHQTSCAILSDGWKLVRANGRQPWELIHLTTDPFETQDLSEQFPLKAKELENKWTRWAEQQHVYPFEYRPWTERINYYQSLYPDQKGKEN", "MNLIIQHYFMKQHFLSYLIALLLFPLYEMQAAYQPEFSTAGFYELANSGREVYSMNPAWRFHKGTATGAETTDFNDQDWKVVSLPDGIEYVPTEASGCINYQGEVWYRKHFTPANELKGKKLFLHFEAIMGKSKVFVNGNLLTEHFGGYLPVVIDVTDALNWGTDNVIAVWADNSNDPSYPPGKAQDVLDYTYFGGIYRDCWLIAHRPVFITDPNFENEMAGGGLFVAYDKVSDTSAEIILKAHIRNDSKKNFKGVVEYELQQPDGTQAAFLNDVIQVRPGKAVTSKDKITLKSPLLWSPETPTLYNLIVRIRDNEGNVVDGYRRRIGIRSVEFKGKDGFWLNGKPYPSPLIGANRHQDFAVVGNAVANSIHWRDAKKLRDTGMKVIRNAHCPQDPAFMDACDELGLFVIVNTPGWQFWNDAPEFAQRVYSDIRNLVRRDRNHPCVWLWEPILNETWYPADFAKNTLDIVNQEYPYPYCYSGCDSEARGHEVYPVLFTHPANADKDWAIKSLDPKITYFTREWGDNVDDWNSHNSPSRVARNWGEQAMLIQAQHYAAPRYPFTCYDVLCRTPRQHVGGCLWHSFDHQRGYHPDPFYGGVMDVFRQPKYAYYMFKAQRSPEKQDRLFETGPMVYIAHEMTPFSPKDVTVYSNCDEVRLTYNKGGKTWTYTKPATKEGMPSPVITFKDIYDFMIDKNMSMRKKKQDEVFLLAEGIIDGKVVATHEVRPARRPEKVLLWVDNENTDLKADGSDFVTVVAAIADKNGNIKRLNNYYVKFHVEGEGRILGGANILANPAPVKWGTAPVLIQSTLKPGKIKITASVLFEGSQMPASAVLELESKPAAHPLIYTESEAALISMNSDSPFGQSAAKSASELEQERLLKERNAQKLKEVEKQQADFGEKK", "MKKILLLLCLCLFIEEVASRELDKTFQLLPQPQSIELTSGKGINYWELSYILSSDTTSIPILGDMLNKLPRCPRKGKPIRLQLTSQHVPASPEGYMMEINEKGVCISARTMTGIFYGCQTLEQLMEDSRDFNILIPAMLIIDYPAISYRAVHFDVKHHLDRMEYYYQEIDKLARYKINAVIWELEDKLRYTRRPEIGAPNAISKQEMQALCRYAKERNIEITPLVQGLGHAGFILKHHWELRENPDSDWEFCPSDPRTYDLQFDLYRDAIEAMPYSKYLHIGGDEITAIGIDQRCKATGKTPFELQMIWLKKVCDFATAHNRIPIFWDDMPLKYGGVWDLVNSNETQDEIAAKWNDKKLNESIKLFPKECVYMRWNYKDATQPGHQRILQWYHDKGLKVMGATAASCGSSPFIPRENSLAGYIKGFSKLVAQNQLEGILATAWDDGSPHSETVWRGYIAQGEYGWNPTARTVEAFKAAHAQREFGFHPNDNHMAFLDELEQEAFFFDDALVNSGRRNPAWGTTDFTLISLPDPDAPGAWSRTYQQKIAQAKVEQKRYEKICQGIKEAKQHALRNRYTLEVYEQTNHLFNFPVRLILALHNYDITIHEQDKQTALQQINEVCNDFQTMRKQLEETYSQTRFMEQPDGYIADQNHHNHLAAKTNNSDWWYYYEIPMIRKTRTWMNSQTARQKNIP", "MKRILLFVCIQFFLLASFAGETINFCKGWKFHLGDAGKGASSSSYNDSQWRILNIPHDWSIEGTYKQFENGTDWQSGFLPAGISWYRKTFTIPSKWKNKKVQILFEGVYLNSEVWINGHWLGKRPNGYISFVYDLTPYLQEGKNQIAVKVDHSKALTGRWYTGSGIYRPVYLLVSNPTHIPYSGIHFRSKLQNKQSATYTLSIEIETQEKKPIKVKTYLQAPNGSIADTSEKIFVSSADSLCFLSGSIRKPLLWSPDSPNVYTLICQLTRDNKILDECRLPVGFRQLEFNPVSGFLLNGKSLKIKGVCDHHTVGAVGAAVPDDLLHYRLKLLKDMGCNAIRTSHNPFSPAFYNLCDTMGIMVLNEGLDGWNQPKAADDYGNYFDEWWQKDMTDFIKRDRNHPSIIMWSIGNEVTGATPEIQHNLVSLFHQLDPDRPVTQGGTDPTRGMKTDYQKKFNYLDIIGFNGNGEEIGELEHFHKNYPTLCAIATEVPHTYQTRGVYRSQTQWRRRDFPAPWEKGNINWEQFKHRVFPIPDLTEKECFPEESDYPYYQSSYDNASVRISARKSWQRTCSFPWLMGEFRWGSFDYLGEAEWPQRCGNFGIIDIAAIPKDAYFLYQSLWTDKPMVHLLPHWTHPGKEGKTIPVVIYTNCDAVELFINNVSLGSKPYTGEQLIWLVPYSPGKIEARGIKKGKIVATDCYQSAEAPHSVALASNKYSVKAGSDEVIRIEIDITDKNGIPCPYASNELSFHVSGPLRLLGVDNGNPTDMFPYQQPHCRCFRGKCVVLLQSDEEKGKGTLTVQGTKLVEKKLIIEVI", "MKTYIKTTLTILISGMLFSSCEDQLNQANLNQATEDTFWKDETDFNLALTSCYTPLKNALNGGYYGTRGVMLRIARADEVDFRNDISDVYTVNRFTNSNTNSLTQGMFYQFYNALYRTNSIMQKLEEKKEQFSTDFQNSVKGECLFIRGFYLFQLAKEFKDAPLRLTASQSPSTFPLAKSSQADIWAQAKEDLKTAASLLPITNKIGKPTQGAAYAALGKIYVYEENWQEAINVLEPLTQNPYTYKLVEDFNWNFDDTHENNAESIFELLIEDVGGTDLWGDGENINSTQSNTRPKEYAAAEVGGWYEANPTQQIMDIFWKEKDKDGNFDYRARCSVAWDYEGCTYYQRPFREVFAQDKWKTYWILKYQNWKTQKDEPAPPKSFINERAIRYADVLLMLAEAYMNKGALDTSIGYINQIRRRANLNDYSGPITKEGVFEDLVHQRAIEFFVEGERFYDLRRWGLLEQTLKTCDDTRYKNYQTGKSDNINKFNYFPIPAKELDTNPLCTPSEGW", "MKNNTLSGRYCFKNPRFKQIFRIMRISTFLLMVCVFCSYAGNAHSQNAKVSIRMNNVKLDKILNEIENQTDYLFIYNNQVDINKITSVKVKNEAVAQVLDKILSGTGINYELEGTHIILTTEAIKDLHAQQQAKTVTGTVTDVSGEPIIGANIRIKGTTTGTITDIDGNFSIEAEPQSVIEVSYIGYLTQETVINNQKSIRFLLKEDTKTLDEVVVIGYGVQKKADLTGSVANINTEKLNTQSNANIGQALQGKIAGVDIVSQGGAPGSGTRIMVRGIGTLNNASPLYIVDGMYMNSIDHINPNDIASIDVLKDASSAAIYGSRAANGVIIVTTKEGSNTEGKPIIDLSVNLGISTASKFLDMLDAKGWAEVTTIARQAIGKPALDMATDLANKPDNDWQDIMFRPALMQNYNLSVKGGGKYSTYYTGLGYFNQDGIVKGTNYQRYNIQSKNDYKRGIFSAGTNLIISFSHDKPLHQELRGGMIGTILQSVPTLEKYDDTREGGYGGTYGDVVNIPHPLAIIDDNIMDRYNENVKIFANLYAQIELFKGLKYKLNLTPDFSFERYKNYLNKYDFGLATNSITQLTERQRRRRNILVENLLTFDRTFGEHKISALAGYTYQDSRFRHIQAYGEGLPQGLEEIDAATTNRSNEGNSWRSVLTSILGRVFYSYQNKYLFTATIRRDGSSKFGKNNRYGYFPSFSLGWNVAEEKFMENVHWLDQLKLRGGYGVLGNQEIDNYQYSSTITTGINYPDGNGGLLQGAFPKNFANPDIKWEETAMTNVGIDFMAFNNRLSLTADYYVKNTKDILLTVPIPISSGGANDPIRNAGKIRNNGFEFNLGWMDQPNPDISYGINLIGSFNKNKVIAMGSESGSIKGGSTNQNITTSETKAGYPIGGYWLISTAGYFNSQEEVDAYAKDGKKIQPAAEPGDIKFVDANNDGVINDDDRVFQGSPFPDFTFALNGNMRYKNFDLSIGLQGVLGNKIYNATRQTLEDVTKGSNFLASCLDYWTPENKNASHPRLTWDDPNRNTRAESDRYLENGSYLRLRSVQLGYTFPQTWFKGAIQHARVYINAENLFTITSYSGYSPDVNADNANYRGFDNFIYPTNRTFMLGLNVTF", "MEKEKLHRFFAGTTSIQEGMDIRAWMEASEENKRIFYKERKLFDALMVHDDQTTNNCISTKRIPKIIRQWLKIASVIILTLTINYLYQEYKSENEVIAMNTVSVPAGQRTNITLPDGTNVWLNARTTLQYPVTFSQKQRTVFLKGEAYFDVTKKKKTPFIVRTDKYDIEVLGTQFDVDAYPDQTAFETTLMKGSVKVTSQHFPEQTITLKPHHKAYVKDGQLAVTKVNDFTPYRWKEGLICFKDEPFQTIMEDFEKYYGIRIIINNKKVLKYSYNGKFRQADGIDYALRVLQRDIHFKYERANDEEIIYIN", "MTDPIQIKHYKEDITSFNQLYKEFQRRFVRFANTYVRDLTTAEDITIEAMMYYWENRQSLSEDSNIPAYILTIIKNKCLNYLRHQQIHEEYSDKIKDYYEWELNTRIATLQACEPYELFISEIQELVQQTLTDMPEKTRTIFMLSRYENKSYKEIAVLMNITPKGVDFHINKALKMLQTNLKDYFPLFLYFFMKCH", "MESVGGYAYFVSEGTLSADPKFLFFFFLKTFFYTFFEFENVYR", "MPKWKTMQYVPMQADQIWRDRIYSEKILIIKTIGNYIYKINRTYFGLTHIILTHFGNVYR", "MMIKQRLLDICEALNISANQFSIDIGMSRSYIANLKKDITTEVLLNIYVKYPSVNIMRIITGEGDILLSKQNLQIDNSFFLEKYNQLEIENKKLLLEVGELKGELKTIKKHAQVEDNAICADASGSDLER", "MVFTDYMKSLPNQQMDTIKKLAEITCSTPASVYRWINGLNPPAPIKQKIIAEYLGMSVEELFPSKDE", "MNEIANIEFYNTPEGDVMMKELGRPAVVLDENNRPTIECMLSVIRDRYPKAHTRLMQIYSSSTMNRWYYEFRVVHRFIRCNFGEYDQHNLDINRDGLFVFEEVKCPLRGECEHEGVICRPELNTSLTEREMEVFRLIASNYQTDDIAAELHISPCTVNRHRENIKAKIKVRNVGELIAYWHQNQMK", "MSKYKIGDKVRIKSIEWYNQNKDSFGLVLSDNDTNVFCYNMQKHCGEELTVRHISENGRYVLTDNEGSGTRDLYMWSWSEWMFEERK", "MNKRTIQIDVIGPIEETELMKCKLYVDGRVCVIGMSRYDYEELMREKVFIRDGKSVDSAGVINTTNTFIEKD", "MNTLDKNQRYLIHGFHYEISRNGELWNTNTGRLIRPGSDGRYLLRKQKHMYRFTLGRLLYAVEHEVSPDSIKGIVIMTEDSKPVLMTRGDYCKKVIIPFRHSSSQRDLVQRYREAVRIAEVMIDFYEKSDMEEMTSAFTTYESKIKGYMYSGGFTNSQDVIKEAWQSIITRVISGVCEKKLFTIDPYNYLRRCVRSYFCERKRERMALVGTPERRKGQITYDEILEML", "MMNQYRLYTIREWELAQPEGVSFSRFFLTDHSGEVRKVTGAIRVLKRKLVNGVMCRIPTNRRVFWDGYGHCYAGTHNIRKRDYDIPLKAGGEAGLSEKNATL", "MIKASDIYAASHDGLDIILYYYPQAEGCVDNRKKFKIRPDEDDASACIRKYGDCYKVTDFGDQGTATSPIDICMREEHVSFGEAVVLLAARYNVSDELKHSVNKPDIRKRPASADEAEGSRFFELEEAFTPEQLAILGPRVKQEHCDALHWHVAKSISYVKNREVTTKYTTPTYPILMRQCVIPGVDGKPESEKSFYKIYEPLNPDKQWRFSYTPDGVKPRYYTNGLYELKAAWAKWNASQETQFFDDPANEGKPYISQKLEEAFICSGERDALCVRALGYYPLWFNSETQKITSDEIKEIMKYVKRLYNIPDIDSTGIRKGTELALEFLHIYTVWLPESLGRYRDRRGKPRKDFRDYVELHPSNEDYRNLLALAMPAQYWEEKIGQRNGNKTYTINSSYLHYFLRLNGYYILKDDNSDTPRYVHVDRFKVSEIKAGDIVSFLKSDAMRRFLPVDIRNLILDSPRVGGSGLSMLDEIDLNFTAHTFNSQTMFFDNVNWKITGSGIEEVKEAGGVYVWTNNIIPHKVKVLPEPFTIKRAADGSWDVTVNPHDSHYMDYLINSSRVHWRKELEELWADKDQDQAAAYRAEHKFDLAGPLLSAEEIHEQKLNFVNKIFAVGYNLHRYKSPSRAWAVYAMDNKIGEEGQCNGRSGKSFFLTSLKQFLRTVVLSGRNPKLMDNNHVFEQVNQHTDFIIVDDCHRYLDTGLFYDSITGGMTVNPKNNHSFYIEFESSPKFAFSTNYVPGNFDSSSDARLIYTVFSDYYHQKTDENDYLETRSIYDDFGKNLFSQTDYTESEWNADLNFFARCLQFYLSTVHSGIKIQPPMGNIMKRKHKADMGDNFEAWANTYFAKDSGNLDRLIVRKKAYDDFKDFAKVTNTFWSMQRFTKALKGFAALCPYVQTLNPESMRNGSDRITRKVDGKSEDMIYLQSVGSTIDELNFNANIEDDDSGNPF", "MMTPEIRSDLIKHSDEYVHALMTDKEASKYMLKLYNFLAEMQPGQRMNLRADGEKLRWMLVTVGEFMRSEGHWRCYDLNADYTKIRRTELFPRPRKKRLG", "MKDFVFYIKLEHYLAQWLTHSLGNPVRFPAQSNENSVIRRFLQKLPPDKLPEMPSDDTVAIVIPDSKAKDPAVYNYLGPLAKEAVVESIEDLFRRNLWSELGDMTSSSVGLNKTIAAWCEMHGIDIDYIETVRQKYYRMRNAYNRKGMFLGSLTRKREDKTPVFVQHRTTANNTEQL", "MSEIHYISRVEYCEVRELTAMTVVKKQFALVPPAANFTRLPMVGLASVEVSDKIENKQRVFVSKLAVFLPERFEVGNKKLCFRLRTVSGEYFMLGSGDRPYSLITSTDTIPDTLSSRCGSGMVATYTGILPLLRIID", "MTYNLNIDDYIGRWGYSKQYVRNQLAGLKGKPVNVRISSLGGAVDDGLDIRQQFVDHGNVTAYLYGLVASSATIAALGAKKVCISRYCLFLVHKVSNWVDAWGQYNADQIQQLIDELKENKLQNDKFDLVLANMYAAKCNKKVDDILDVLKAGRWLTAQEALEYGFVDEIIEGDEDKLNLAAYEGKVNMLGLSPLPVASGSERDTADSHKLLNKILTKLDGLFSSKEKQSVPSIVSEMKKDYTKINTLLNVEGVEDSDGKVILTEEQVRAVNDRLDALETEVGEQKDLVRQRDEQIKNLQKSDGDTTTTSVKEDEKNDAVSAASMYDEVKDYI", "MAQVSVNITSEDLQKSARKYRKELLQMPVLGLSRSLQHMTLRPGIRYTETVGELSGDMQFGPYSETREDNSEVVINPRTLYTYFGSVVRNFSPNKIYQSMWGSDITKGEALKNTEITRKVLAYLTAQLGKNLNMVLWNAVRNDSGETSKDLFNGFDTITKKELDGKKLSEELGNYKVIEAITKENAVDTLKAVCMAADDMLTEESSVKLFVPKHVLFDYCEDYKSTTGAIPYNREYKQYYVEGFDNVNIVPLANKKNSPFIHMTVKRNMLVGVNQTGEEENVEVARFKAFVLQFIATMFFGVEFESLSKERLLVASIDGTTPI", "MAKDCTTADIYQSLNWCDGQTVLPGIRPKVFFQKKSNIAAWPKLPKLEEVKSMGELATYKGNFTMAAEKKWLTINSLSAKSNVTTEVQGERPSTTSLNKCTIKHPGTEEDAAGFCRQAMADDLVFLVQQRNGKFRVMGCEEFETVTKPAQALGEGVTGEAGTTLEIEATDVCPAPFYPGKIETEDGDISGADGSAWSDSSLDEP", "MDEKLTHKIQDYLDTPPSERDVVAGATLLLSLNRNKILFQNVIRKPEKFADKVEYELRKHLKIRLDGKTVSDIALMNITVIPSAQRIIDGGVPVLDVDDEFSETNVAKGRRMDHDRLPPEIQRLWTDNGALWFKIKELFEQLKGMESAPACDRYEYLKLLDEADKKYRANLQAYDDYKPGDPVTKTEDASGLDPAEIAKKVGAARKYLSDNKKKLAELKDTDAGKFTALLQKVQQRYDFLIATGNVVDETQAAELAAVGVIISTDEKG", "MKKVRQLLRSLSEAPLQAYLDNRVQLFDIIEMILSETGPAEIYISTFSTSEEFLRRIYRLKRRGQLIRATMLADLKASRKTVNLYTFIANVFDEVYLSENHSKVILIQNARWQVSICTSQNQTRGNRVESGIITTDPAVFIQLRERYAHIINTNAIQLDGLFNGTT", "MVYSTEQLDRISELAALLTPISDMAVLLDVDADTLRLDILDRNSPVSRAYYHAKASTALKLRRQEIELANVGSPLAVSLTNGYLLNMDADEDL", "MPVPATIEVCEKYLFADVNEMAADGIPELIQQRLIRLRDMYNYWLQFPRKKDLEIVQELEYRYKISKSSAYDDVRIIKRLLGDLAKTTKDYHRYKFCQMIDETFEMARRIKDARAMGAAANYYGKYTQLDKEDILDKGYDKIIVQPFEPTDDPTVLGIKPIPNVRDRIKSKIQQYWSDDIEDVDFEEVEFNEDDIFNPKPKE", "MKQYFNDPQQEVMYTAAKDSVIVGGRGIGKGLIHAAWNLRNMQRMPGSITGFVGANCKRVLTNTLPSMLIHWENWGFKRDLHWCVGRKPPKSWGWGEPIFEPDNWENILSLYNGSIGYIISQDRSGTSNSHSYDALDIDEAKFIDFEQLKDETLPANRGNKQHFGHHFFHHGMLISSDMPVTKKGSWFLDYEKKCDPELIEVIQGAVFEIWKTKDKIKKLVAAGKEIPAYLRSYLRTLSRDLCRMRSVAVMYKEYSSIWNMQVLGEKWVNDMKRDLPPLTFMTAILCKRIGITRDGFYSSLRSGHKYSATNFSYLDSLEYKFDKLKVPTSLADADVETQMPICIAFDYNANINWLVAGQPQGRKLRVLKSFFVKYERKLPELVDDFCTYYRHHKRKKVVFYYDSTALGSNYAVNDQDFRWVIAHEFRKRGWQVDEVYIGKPMSHIEKYLLVNRMLSGQANLIPFFNEQNNEDLLISIQTAGVYNGGKDKRGEKLAETEEDRLEGRTDGSDAFDTLCIGCEKFPHTHINLFVTSAL", "MAQKINDYYLKQWQKPIISFFDYLPIKYEATEKEWQIRQLIWDFKDGRRSGKVAELVARQIRAQFGSLCDTITFACIPACTAVANAIRYEEFAEEVCRLTGATNAYKAITIEGERLAVHENQNGKNIESVHIIKFNRDFFNGKKVLLFDDIITRGFSYARFACEIENFGAEVLGGYFLGRTLLK", "MNTLFDRDYRALNHSELIYKVTNRREFSKQENMTFEEVLESLTPARREVAEAVIELYKRCKNKEPEKILSSKDIYNYMYPVMTDLKQEEFWVVFINHSHKVIKRKRISIGRIDSTMVDVRLVLKEALSCCAVAMVVLHNHPSGAVNPSRQDDDVTEKLSKAGKLMEVELMDHVIFGNGTYYSYRDEGKL", "MKKYKVREVIKLLEADGWVKLKGSGGDHRQFKHPTKKGRVTVRGHESEVLSQFLLNSIWKQAGWK", "MAKIKVKVDWCDKNFGAVTEEDVLCGMVVATSKSYEGLMDELAAAVREHIEGLVQDGEVLPDWLVRGDYEFDVELGMAALLRKCEQFTSLAAISRASGINQQQLSHYASGLRTPRQEQRKRIIDGIHRIGQEFLSVV", "MVRTMGGAYYGEFVRGNYVAVGYNNISLEDLRHLPETENAAKETLKAMFHHRYPDIRNSGYPVAQLLRFTRDMQPGDVVIIPSSGAVHVAMGVVTGDMYEEGTLVIDDEHRCEFKKRRPVTWKYYGRRSVLPPALQLMFNSRHILSDVSNYAPYIDSVIHDCYIKDDVLNLVLRIRTQKEVSLDDFCDLKAVSSLIDDFSNKYGYGISLEDSLIMKIQMESPGWLRLSTKNIGKLLLFGLFVTMLTGGGIKCNQKDGLEIYTSGIGGAISDFLDRKADRELVEAAKRAMDSLQIKTPEDMQPIIDILNAKNKGRHDY", "VVPVGARYEEMFFNKMNKIQTEIADAILQYTYNNGRGSYLGVKDYLYFRVGKPLYSLKDINIVLGVLLGSKLLKGDAKMFFLTEEGEKAMRLGLNSYENFLSETEIKREKNDFKREIIIGIIGAIVGSIISFLLSWML", "MKQFFLKQFLFVVFMLLSLMAHAQNSAITPPKAIKELFSNPQKRKASIAKDEISSDGLRWIWGDYRYSFIGTSTKERSLRIMVLGIQRDSIITYQICFGIHEADTKLKIEKDSPVLIKFGDDSVYKTKNLFYDEDTVGESHVLTYSVFYTYDIYAYIELTDELIDGLKKGFKKIRFEVNDNIYDVEPRKDNVSKFIIDEYNLIKEAFKTKRTFTDDF", "LVDRIKYKVMKKIVLLILLFLPLTIYAQTDKGYKIGWYGAYNQYPILKKDYSDKDGMRYLSGSHFIFTIKNSSLTLKSKINLQVGLTLVSDKVDEMFLLIFNLSSKEKLFSWKGSPVLLKLENDEVLELVSISNTEDKYGEYISTYSMTKEYEVDIMYEVDACQLKKIASGVKKIRLKINNEFLDVECYRYKKDYFSDFIQEESILLLQKLIDSKSKDIHDDF", "MVSLTRTFHPIGFGAFYTECHKTIDKEINIVYDCGTITKDVNLKNYIENLYAKDSTIDILFISHFHADHINGIPYLKERCKIKKVIIPYIPEIDRLLFVYINKLNDFSQLIINTEEYFGKETEVIRIKPEQEDELNNSFQSDSVNHEKKNTNIPSGTPINIPLTTSHTNSQWYFIPFNFDYTKNITNLKTILQCNGLEYNNLNEENYIIDNFQTISKTYRDTLKSNTNDSSIILFSGTTYNTKPSLFFISYWKNKIKNGNVDMLKRYYCLSLPNCIYFGDVSLNSKRISCLKSKLNKIDQTFWKTIQTIQIPHHGSKNNFNSAILTPYLTCIISCDFIHFKSPSCSVIHDILKSGSLLKVVTHQKHTQFTEHAVY", "MKNQTATLPVLEAKKSTFSVWCEKENQLFSCVLESVVTNRQVCLMAHASLAFSALVCAGFVSAVPALLCLAWFVVSLHLCKKGGLK", "MKFFIDEPKTYLSVNNKGRAMNKWISTFTHVLIPDELSRDAFIESIRAKASMLDEEFPRTKPLRVDVSRNNDIRIEVYPDKNPYNTVFIVHIYPVRGEFRFCEASNPKMLEGGLR", "MNDEFSIMKTVEIGSDGNKEVKFHLFAQNYGDISEINHEQLIRLDAFLHDYVTKEVKHEK", "MKNNSTPNQSRVEEYVLIEYLMAFLPADQPDGDDVLLKSTQDIQDDLSDMVELSLNDIASTMRDTGYHIHVDSDNRPKWMMMRR", "MIVLVKDIPAYAFSSGLNELVFATDQNTAVLSLTVGEKEILSETYIPDASGRITINDLQGLIEPYLATNLIERCSYRITDGSSEQNKNFTVQFCAAESSMPAADFMAGYFLSTLMGEKITAIGRKEFVHLVTTEACPVTATCVYYRDEDGLSTREVSLRQVTDTDKIVTVEVSPELLVKPGFELVRYIIHAGVRTQTFSLDPDAPDVAPVLLFTNSFGCQETVYCTGTHALEPEYVRSTAYTNGMFRNYRIDETKVFKANTGVLTHEMALWLDDLFRSKEIYLLDGTTVGKEVTITESESKRSNDLDHLPFFTFSYRYAQRNHNILQLPRAGRVFDNTFDYTFE", "MGIKVIHRLDAIRLLESGQPVDLRVWKLSTGDIIEYKGVICIGSHWRGGTHLVKCPKSGLPRRLRDITLFSINGMEVYL", "MKNKTNNRVRLDYIPSGVFEVGKSGVQASMETVEDSSAVFDEDGEDVSSTTLPGAKGYKYVNWGADNRLPYELIRLIGVDEVMSQNKLFNVLTCYGAGQKYNDYDTGRPTVDKEIKKWMLHNSIPSFMLEQATDMKYYFFCVSVIILSVDGSRIVRLRHKEACYCRFEKADDKGRINHVFYGNFRKSALREDEIEVLPLLDEKDPLGDLEVRMGREPGKDGKKSIPTKDRKFAILVRFPTPGCRYYPLPNYTSIFRGDWFDIKRLIGKGKKAKLKNHATVKYQVEVHKDFWSNLLAEEHITEPVKQLERIKKEKENIKNFVSGIENSGKVWITGYYIDPNGKENRMVRINVIDTTKEGGDWSEDIQEASNITCYGDNIHPNLVGATPGKSQSNNSGSDKRELFTLKQSLEIAFHDLMYMPHNVVIHYNGWGEKVYPDVPMILLTTLDQNTDAKSTTANRINHNNDEDDN", "MKMIIDKQTFEKVVFAAASANVYVFDAIQDRFEQAEHKLFGTVLGSDTDVDTLPVKEDVCRYICLDAFYQAIPGLDLILTDTGFGIVNNQNISPASRDRVESLRVQIQREADYTLDCIIEGMTGDDAWSSSVCARLVISSLYYTGAHVRDFAGRPTAIRADLLELRPQISEAEEYIRREISAVLFDHLLEQIRHKSLAEAEIPLVCSLRRAIGFWINKQLPAFRVELANVVNLLEGCPDDFPAYKDSDAYKVKHFEYYKNEKEDTCYFWG", "MKKKIPATFGDRLINFHLPDAWHKLEQWQLRYVCYIMTRFDPVTAKTYIFVRLLGITVLRRQEDGWVCSVRNGWKKVRFFVHSWQVQSFLHMLDFIERPGDMPFCLWRIGRFRSVDARLHDVPFKEYVSIENYYQGFLRTRDNALLRSMAILLYVDRKGRHPRRFNPSEEELLSVFLWIASVKNHFTKCFPYLFRPPEQLEGEAFNMLELVNAEIRALTGGDITKEREVLQMDCWRALTELNEKAREAQELQQRYGCK", "MDANNLFDALSYFKGMCKKNKLAKAHAFYPCVCSGINSLEEVLQNLRRESAFFAVDDTNDGVTEKRSGGYFKKRTFTVFFMMRYRISDMAERQAALEVCRQLFRQVHSRMLVDRENLDNELVYLNTDNVYSRELGEYFISGCTGLYFMIDVSEPVSLIYDSDEWEE", "MSGRNENRPKSTAEDRAKYKKAWAEMMVTIWREKIMRLHVVDTVLLHNDITENVTMGSSELTVIQHKFMEYGIYQDCGTGRGYEIDGQLYNDGHRGHNKGDLKFLNPDLRGKNYMHRQKSGKITSGEPRKPREWFSRAYFASIMVLKEQMAYMYGEEFCGLLAEKIEEANHKRSTSMRSHLWGHHKKK", "MADIKDTLKKLAEQIRDERNAGANTALRVGSLLLAMIDAGADVDKLRKIFICKDQDDFTGFMLKLLGGMEVGEAVDSMVAGKGIVADRNGRMQLSRLEVRDSAVFKEIIYNRLNAQEGDTSYSENGVIESVALESDGTYTLKLRKRWENDFTAFQEGDIVYGIVNNLFSTGEYYASWMRVLSKNVPANSISVLSYPDSEVPGGKNYPPTELTIITRRGNAFNEDRQSYWYLSATTDKCLVWLEGVTKPVLEQNNYYMILGRLPNLDLFDNLPVNYKHSYIFARAGIFGELYRVDWQGLPVQELVDRGFWSAEVASSDNPYTNTQERADTVWHYGCKWKCLMTGTADEPQYAAAGWAMLEGNPEFTIGIGSTKGWYFDIETFSTTLYITGKLYNRDVTDHILDADVSWTRDTGNVSEDNAWAVKRAGAGKNLPLTIDDLGPNYTNMRVCTFKAQALLRDGQQFEVAENFVTF", "MATKQRKIEINYRLLQTSCNIEVVGSVPDMQVYQADKAEYTPDYTLTPLVLFPRCNATDPEAVTKIGAVNSRLTNMKWYERIGTTRTLITSTNTGYSITESGDSKGQITMKKNVTVLKPVTLEFYAEYADTRTGQLFTFQMSRLVRAVDGTDAIPVLTIDSPSTLDWNPVRDITAQTITAKLMVGDTDVTATGKCKFFWYRLLSTGALEAITTGAGDNDWEFVSLNKNVYKIDRNYIGDDITIVCKATYAASGTPASTPGTSDPAVSTVIRRRIPKIEADWEGVPTGVPDGTYAIFPRPVIRDTMGVIPNPSAMFNCHWYVKKSGDAGYAKVADGYSPRIPFSNGMMLKLEVEDRGPYVALTQGGKVLTQGGKAVVTRNFAASLFRNIKYFTLRKLYLCRKWMQKRLQKTITTGITLPNFDFLA", "MKSVISDNKIIPFLPCYKRTSQLHETKVSDLKTVYGCNGYAVYDYIENEIFRTGNHSLYWCKNMLCRVADYWALSPEEVEKIVEYCIQVDLFNAELYTKYHILTSAEIRQQYNNAGFFMAVNS", "MLIRCEMLKKLANAFIEVAKEENLPVNITMGRSYTDSGGSRQVGIILEFDSWNSKIINDKLADTINRIFELK", "MGRIARKGFEYYRAETDRFRDIKIRKLRKEHSCAGYAIYQYVLNEIYRVEGCYIRFTQDELFDCAEYWNMREEEVLRIINYCTETGLFNAGIWKQYGILTGHSIQIRYVSMCHAAKRKTVIPEEINLLSEEKSSFPVSRAQLPVEAASVPICGSVAVTSVPFTEPSEAPVPLKEGILPEKTGFIPEESVNIPEAYNIKERKENISSSSSFTSVQEKTDEEDNSIRTLKSDLEYLNLKDDQIRWACILKARYPAMPLEYAIAAVKDSIRNGDYRYSVGGCLTPLIENYIRKYNAECSGEKKQDGFRAALERLGISPAQQHEILHLSADSPRVLEAALKEIERSNGRIRSPLCFLRSRLMSVRTA", "MKSQEANAIPLREILEKYGHEPVRSYHGYLMYSSPFRNEETPSFMVNLHTNKWKDFGEDSSGGVADLVMRLERCDFHSAMRRIEKSDLSAPSDPLLVPTSAGDAGTSPRLTVDNINPLTNRMLLEYMGRRGIDADIAKAYCKEAYYHFSGRKDRRCFAVAFPNDKGGMELRNPIFKGCAGIKAVTCLDNGGDRCAVFEGFMDFLSYLQYAREHPGLPPMNFCILNSTAMAGRSGEFLSRHRLVHAFLDNDKAGMDALDKLEGNLGKDTVLVNESVRLYPRHNDFNEFLQACKKKAMTAGNEM", "MKEVSEKITERIRKLIRLKESATQIGSEGEAHAAAAAVHRLLMEYNLSLLDLAGENPQNRLTACESDRISYKDAAGNIWKRDLMRVLCEYNYCKMLLYAGTTHMVVIGTEENAATVIALFDYLRKTFRRLSEEKYSGYAQGRRGYWRTAKGKKDYIRSYLEGCIPGLRMQLEKSGQTPQETGLMICHQKLIGDYMGRFRLVRRKPVANRHQTNHKAYMTGVDDGRHISLSRQLKDNTFKRIKTWALSTD", "MEIKGKVLTLFPVKEGVGKTSGTPWKSREFVIETQDQYPKRICLQVMNDNMDRFPMEEGMEVSVKFDISARELDGRYFNTLTAWDITVLNSRPSNQEGENR", "MRKKFFFLGILLGVCLASPAQFYSARTNLIGLATGNINLEGSMTLNRRWSLHLPVQYNPFVFKDNRQFRNLTVMPGVRYWFVESYSNFFVGMNTLASGYSIGRIWNKKRYEGEGYGIGLSIGKAYPLSKTWNIEWELGGAAVWARYNEYRCRECGAFLGRKHGWYLIPSRAALNMIYLF", "MKKRNIPVILLLPLLSLSCSLSHKMERSISKVGLSQAGKRPAPDTTCYSVPEKITWKDSSGVEHIVTMAERDSVTGEEMTVLQLDEVTIVARNRNIPERAGKVNLDFVVTVPGRLIDDKWQVQLTPVAYKRGRRIELERIFLSGADFVKRQKKGYAQYQAFINSIIPDSAYLKEMFDMKGYRRAMAELEEEFYQAWKHDYIAREEWIDWTERWNRRYQHFNAKMERNRMAIAGHNTILSVLPAYWLRRDLDTTLIPSRYRMFSEGTPLKVRRVTPEDSARIAERYFDYKRIAENERKRDAVSRKYEELVRFPYQAARLDTVIRNRNNTFSYYYRQEMDVTDNTKRIDLTVDGRILAVNGSSANLPSSDTITYYISSMVQFLDHAPRYRKEKIYRKATANTTAYINYPAGRYLFDEEYGNNRSEIDKVLEAINKLTYTGELVMDSIDMTATASPEGSAAANRILSRQRAVALKEYLSGRTDDRTGIDTLIRPRWIGEDWQLLVRKVQQDTEMDDRSAVLDIMSSVKDPDAREAGIRKRFPDAYKHIRENYYPLARAVNFAFYLHRRDMIEEFKYTTVLDSAYMHGLNLMEDRQYKDALVILSDYNDYNTAICLMSLGYDARAAGILESLPESSNVCYLLSILYSRLKDDGKAVSSFMRSLELDSSKAYRGRLDPEINRLITTYNLNLSQYE", "MNKIVRILPGIFLSLLSLSSCDGLFTEDCIYKGYLHARNGFHHPSDMGTPEQAEMNLMVFPLTGNGVAEYDNLSIPFNGSGDAYGTLHIGSYEFLACNKDVNILEDAGSAATVRLRVPTEQGKITAEQGYAYSSSVTGTVMTDDTLHVICESKLMVQRIVFNITVTNTGILEYTGITAELDGVTTSRYVRTREKGSGFATLPFTVSPEKENFFRKEVLVFGINTGVGNVIRLHLDGDMPVDADLDLSDVFKDFTADGISVDITVRVSPSLHTASASIEDWQNVEWGQGIITY", "MKKMFLFAACLAVLACSCSENEEGTVKDVRASLKINANIGAPAVSRAEKTAWESGDKLGLYVCNGTLGTPYNQNAVYTNTPFTYSAAGWTSEEILLDENEATVFAYYPYDATLTTPSALPVDITTQTDHLYGQGDTKASILNRNVNITMKHALSQVVFRMKKTEGYRQEGILTGITLKNVGSATPLYTRATMDISTGSLTKTTAGNVEFSAGATLTDKAVSFSSIVVPVDATAGKDMQAVFTIDGKQLQFTFPAGTKWERSYRNIYDIVLGNNGLVIGGADGSGVTIEPWTDDVKGEIQLVPVI", "MKMKNILNSLFPWLAVTTLLSLQGCENEEGTAIHSRDTVSFEIDAGGARATETTFETGDAIGVYAAVRMSSAPATLKTSGNYADNKRFVWNGSQFVADGDANEIAAGYETDYYAYYPYREDMGNPLDYDFSIQGDQREGITLSDFMYAANRSGTTDKVITLAFSHRLSRLQVTYTPEAGEALSGVTIQRAKATANINLGTGTANTLGATSDIRMYNDGGTFTAVIPAQDRDADGTFLTLLFADGTKKDYTLTAKKEFLAGHTTVIPFMGKELQYTFTVSPETIGSGYSGGIYNYETVSNKYYSINGKPLPGTESPLDYTVSTTDVWITPDKAGKTIKVAENLNTAPRNGKVLFTQAESGRTYILPVQQSSATTRQTLQISTTAGNIPAAGGNKAVTAVLSTYYNDHRDPDKKENVTVSLSGTGTGFSLSGNQVLAVNNTTTNARSITVKGSYNGITSDNSLTITQDAGAKQYASWSDWSVTVSANPETVANTGGTSVITADAARTRAWTWNGVGGSGGTETDRATPSLSAAGSGFSLSGTTLTAGNNTTTSERSCTVTATHAGKSATCTVKQPAGTTGYGDWKVNISASPTTIAAAGGTSTLTCSAARDVYTNGVKTGTETATPVISGSAAGFSLSGKTVSAGNNTSTSTRSITYTATHAGKSASCTITQSAGNRQYASWSAWNVTVSANPATIAASGGTSSISAAATRTRTWTWNGVSGSGGTETDRATPSLSAAGSGFSLSGTTLTAGNNTTASERSCTVTATSNGRSAACTVRQSAGSQTTEYGNWTTGSLSVSASPSGIGSSGGTSRLSATASQSRPKYTKWNGITTGTTTEYRSVDVSSSASWSGSASGFSRSGTTVTVAANGSTSSRNCTYTASYGGKSGHVTIHQDGKPADVITYGYIFTLRAVSGDDVVSTGGTVTYSVTSQKITYTNGSETSRSNIGWSASANVSWISAGTNSATVSENPTTSDRSGTITLTQNESGRKLSITVYQDRKVSVDIN", "MDKPFNHLIICLTFVMPVAALSGCSGPNDSPEQDAVMSISSCTARGDDGQEMSVSEFGMFVTDTAGNLYPDNIRVLNRSGKWTFAEISLSDGDKGIYAYYPYNPSFSGGKMGLDARSQTDYLYSERTMVSGNAPSASITLYHLLSKVTFRMPAAVTAVRVADYSYSASYSLLTGSLEIKPEKGTISSGTGSLLLYPGDSPAMHVALVAGGHPYDFVMPAAAFRSGKEYVYTLKTAGNGVEIEDITITGWQPGGNYEGTITEKEQERQ", "MKKKIYTLSVLLAAVLPAGAQSIKSDINTVLNDIALPVFLGVMVMALAVGIGKNWRLINDENSEGNKKQGWMNVAYMVGYVLIAVTVISFCVGKIAGVSFSI", "MESVRNWLEQTIGMPIDYICIIIMGMLTLHVLIRIAIHGNMEFLKKYGKSGNDTEKKINDPSKERNDSSSA", "MLNELGDIYQKMERGIRYTIVSLAACTIIVYPFLFMYISSFSSYTFVVQLMLATGTAGAYLSLTTLVSCPVQPPPYAVFVPIPVLAFGELIYLYLIESKGIQISLACYWTRFLVLYSSVAYFSILLLFAKICLGKITLGGKHCWQLLKKKVESCRR", "MANEGYSILDVINDEYGVILTRNGCVSVAFRMYNPECYSLHRTDLEERNARLYQAFKHLPSGSFVHKQDVFLKREYVHELEGDSFIDKAEQRHFSGREYLEHDCLLIFTLSGLSSLAASYNANPFSYRERLHVSDREKLTEFLEGVNSAIGVINSIRDTRLERMAAASLREYVIRYINFFPRADCDRDIHFSGEITVDREKARCYTVCDGDYLPDRTVRSDVEDTTLPVSGCSLYMAELEGLGVHLHCNHAVNQILYFEGSDKLYEEFSRRVAVYRTNKGWDRAMLEPKADELENMQKEIMEERQLLCRANFSVMIWDDSPELLDRAEKKLREYLTVSDFKFYIPSYEHLANIYLASVPGQEKGLDSGFLFLTPLSLALCLFINYTTFTPDEEGVYFNDRIYQIPLKKDIWDAKKKRIPARNGIVVASTGGGKSVLTLNIVQQLIEQGYIVVVVEFGYSFGQLCKLYPEISLHVDYDGETPLGLNPFDLEGRSLDNNKIEVLSGIVQRFWRRMFGKDEEEQSVALTKFIQDYYATCLPPHSFPSFYRHVTEHYEDICRRKDVDPNYFDLSSFRLICSEFLPGERYANVCRTEGVPDFGNRRLVVFELTQIKQDKFLSDLVMALIFDVIHDKILSDRTRRGMIIFDEYAETAQMKSRGEDISIHSAVAFCYQKIRKENGAVMTIVQSPDQLPDDEFTKGMITNTQLLYILPTTDVVYRAVEKRFEMGGDPAQCNMMRSIRNDFSGERPHSECFIRFTGGQGRYAVVVRNELSREKFLAFQTDGETWAEIDGYSRTMPMEEAIGRYMERHPSKNRK", "MKGDRMEKGLKGYRPLLLLLLCGGMTLKAAAQAAITDPLNTAQSTLTAGNTAATVKQVTESVNRLQTALDYVQKVSATVRRARMFTDLIDRQNRLNSNCLRTLEEAEKMDMKELPGITSAVQDVVANNAAIISLTGDILSSDLKMNDSERMEQLDGCLQEVRRQEASLGTIRQIMSHTRTIRRNLGLVTE", "MDPASHNRQQGQFQRKGKQHLQDDIPRGVHDILADDGVRKLAGKDEGRYHQITDQQVLAPRMEIRPGTLVVETGYRHRGYKFQQEQEHVSADYEQGLRVHVERGGYDAGHIRQQIIERTADRIEQHAGNVHYRHVAELFLELCDYRLLEQVGHIIDLSAESGLQIVHQFAEHRFQGFQQHGEQCDGGYAEYILPHQVHVILHGGRAVLVGLHLPVYRIQTVDERDSHESRHYRIEGFHGDVPPDTFISIVDMLDRYFCY", "MKMDIKNMVRDFASLGEAKKRSSVYVKAVSLLCAAIVALVLFFMNMTVRGVTDRILVVNTGGEFLQLKSMETDKLYETLLKAHCNAVAYYVNSFDRMSISGNQAQAVFLVEKSELNAIWNKYLNDRAYADAADRGVIYKCVFEKLHRVGIRDDGYNVLFTSILSIHDAGGVKRIRIYSRGDAIRTTPSYPENVTGFFFRNYTQEYELLDTSAGNKERN", "MKKTQVIIVSVFGLLVLLVIWTISAMKGKEENQNTVRLSTDNKDVTITDVKAQLERTPLRKQAEGQGGDNPVDIERMRQLIINSEMPPEEEYGRKADTASYILPTQENLDSITRELRKKKKRKQEKKKVEKKEETKEAPEARTRFNTVALHKSSRRNAIRAYVHSDQVVMAGSTLKMRLGEDCLTDDGRRVRKDSPVYGEVRKIDGERVIVEIRTVNVAGNILPFKKEVYSSDAMEGIYVPGNAKAEINKDATAGAVDGTNPQITGGLDMGSQLIAGGVNGVVNATKQAASKNIKKVKVTIKTNYAVFLMEKKEPGES", "MKDSRTITGDLMEEGVFVWCVFMPDGKASLHMNSEQYPDSRTHSRGRLLSPDVSVLHEILTPDDADRFLSLPEYGISIRIRMRVRYPGTDDTEVEQSEYEPEACFPAWLVLKDGRTGLYLHEPADGRCLIPDMNGLPGLPGLKPDDAPVRVELLIPQSHWIFDLVDPALLKTGSCSGRLKPCLQEHPTLKK", "MEEFLSKDGSVTILLFCFLLTLTIVFHILGFWKSYREYSRKQEEGNRKMNRTNVKGTDNQIANVKNAGQEIHHESNSKAGCKDKHQTIKI", "MRQNRIYITGILLLFAFLSGRAQQPRIDELECRNLKIGYEKTLHMIFPTPVKYLNMGDENIIGEVIQVCPSVIRLKSTVRDFKGETNLSVVTEDSRYYTYCISFDEGAQAVYKEGGTMPETAVLPVSDEKLTHVIYPEKIVYVDFGNTTVQVEKAENVNNIVALRAVSPFALQTNLTAITESGRFYTFDLRYAPGCERFSFIVDKQDTKKKQVAILEGRERNTRQKALLEKEISRRPKLLTNIRDEVAGMRFCVTNIFVDNDILLFRFGLHNRSQIGYTIDFIRFYIQDAKKRKKTAVQQLEQQPLFSFNRPEEVAALSSCDFTVALPKFTIPDKKVLIIEIQERNGGRHFYYKLKNKQLINAEILFPEIVGKP", "MITKLVLFLCLFCPVPDKERAILEDALSRCSSLERIGEIMDIVERHHLEYRIPVHPPVHRFHRISSAYGWRSDPVTGQRRFHSGVDIAAELASTVHAAADGKVIYSGRKGGYGYCVMIRHAYGFVTLYGHLSACYVPEGEEVRSGKVIGFIGSSGKSTGNHLHYEVRKYGRPVRPYIRKRRGL", "MRILDYMERLQTLTRLLKKEHTGSAAKIAKEMGVHRNTIINYFLELRAMGAEIEYDNERNTYYFKKSFDIQLKIKI", "MKTNHLHYIVLLATIFLGCSCSEEIEKELTTGKTAVTIEVDGEEDADSRTVSFIGGTAYGEGLYDGKERPTVGAEASDGYEIDYFYGGPSDEPQKYNYTGSGSSVSYQVFLNGKDHKFKCKFKEKKRTLTLTANPTSGGTVTGGGTYKVKTNIPITAVAKSGYTFSGWTVTKGDAKIMNASSASTTVQLQSSNSTLQANFKQSVTIINVSFKDEVLMGQLTRSVSVTSGHSATYTVYGVEITEREDDRGNYDSWEENYTFAVTSGSPASRLDSYDRYNGEVSESMTSKEKSFTLVVDGVTVCSNEPISNVGKVDKVIGNYRYIF", "KTYQVVLTDKEGAESTVFFNEKGEILK" ]
[ "TGAAAGTTTCTTTTATTATAATAGTTGTTTTAATGTCGCTAAATATTAAAAAAAATGCGTCCATCCTCGTACGAGGACTAACGCATTTGTTCGTATGTCTATATTATCTTTGTTCCTGTAGGATACATAGCATTACTAAATTTTGTGCAAAGTAACGACTTATTTCCAAGATAACAAAATAAATCAAGATCTTTGTCAACACAAATTAAAATAAAAGTCTGAAATCCTCTCCGAATGTTCTGTTTTTTGTATAT", "CGAAACAATTATTATATGTGAAATAGCGGTTCAAAGTTAAGTGTTTGTGTCAGAATATTCCTATCTTTGCGTAATTTTTAATATAAGATAATATTTAAGAGAT", "AATAAATTCAAAAGGAAAAAGGGGAAACTATATATAATAAGGTATAGTTTCCCTTTTTTGTTTCTTGAATGATAAGACAGGATTTGTAATTTATCCTCTGTATTTCAGTGTGTTAAGTGCTTAATTGAAAAATAAATGAAAAAATAGTGTGCATAAAATTTGCAGTTATCGGAAATAGCCGTATCTTTGCAACGCTTTTGAAACAAGAGGTTATCGGGCGTTTAGCTCAGCTGGTTCAGAGCATCTGCCTTACAAGCAGAGGGTCGGCGGTTCGAATCCGTCAACGCCCACTCCATCTTCACCTCTTGTTTTATAAAAAGCAACCTTCGGGCGTTTAGCTCAGCTGGTTCAGAGCATCTGCCTTACAAGCAGAGGGTCGGCGGTTCGAATCCGTCAACGCCCACCGAAGAATGAAGCCTTGCACACAATGATGCAAGGCTTTTTTGTTTCAGTAAGTTTCCCTAGTTAAAATGTATGGGC", "CTCATT", "AATCTTTTCATTTAGTGGGTTCTGATTTTATAATGCAAAGATAGGGGAAGATGTTCATGGCGGAAATACCCTATTTAGGGTATATTTTATAGTGTTGTCTCCTGAATAATTCACTATCTTTGTCCGGAATTAACAAGTCTAATCT", "AATAAGAGTTGATAAAAATAGATATTC", "AGTTACGTAGATTACGATATGATATGGGCGGTAAGTGTGAAGCATCTTCTTTCTTATGATGGAACTATTCAATGGATCAAACAGGAACTATCTAGTTAAATAACCATAAAGTCTGCCCGGAAATACTTTCGGGCAGAATCATTACTGTACCTTTGTTCCCATAAAATAGATACAGGCCGTGAGGCCCAATTTAATTATTTCAC", "AGAATAACTTGTCTGCGTTTCATTATAAAATAGTTTATACTTGTTTTTATAACCTGTTTAAACTGAATGCATTTATTAATGCAGAAGAGTTCCCCGCTTTCCATCTGTTTGGAAAACGGGGAACTCTTCTGATATCTTGTGGTATTCTTTCACCGGTTTATCAAACTTTTGCATTATTCGTTGTTTATGCGGCTTTTCCTCATTTAACTTTATTGTAGGGTTTAGTGATAGTATAGGAATAGCTAATTCTTTTTGACCGTAAGAGTAAGCCTTTTTGAAAGGATGTGTTCTATCTCCATAGCCTTTGGAGTTAACTCCCAAGCTTATGGAGATAACTCCAAAGGCTATGGAGTTAACTCCGCAAGCTTGGGAGATAGAACATAAAAGGAAGAAAACGCTTAATGATTAAAAAGAAAGATTTTAATAATAAGAAAAAAGGATTGCATTTCATTTCAAGTCTTCAATAATCTTCTCCATATCCACAGGTTGCAGTCGG", "GCCATACATTTTCTATACGGGCATGTTCAGTTTGCGGGCGATGATACGTTACATCTCTTCAGGCTGGTTCCCATGCTTGTTGCCAATCTTTCCGCTTTCTTCATGATTGCTTGTAATTTACAGGTGGGGCGTATTTTCATGGGCATAAGAATTAGTGTGTTGCAAAGATCGGAAAATGTCCGGGTTGATAAAGGAAGAGTGGAAAATATGAAAAAAAAGAAGCCCGGTCCGTTTGGTGGTGAACGGACCGGGCTTTACAATCAATTTGTCAACCATTTATAGCCAATGGGAACTGCTGTGCCTCCATCGGACCT", "CATCGTTTTCGTTTTGCTGTTTTATGATTAAAACTTGAATGGTATTTATTATTTAATTTTAGACAGCAAAGGTAAATGTTCTCGGCCGATTTTTCTAATCTATTCGGCGAAAGCTGATTTTTTTTCGATAAATTAGGGGAATAAGGGGAAATTTGAGGAGATGGCAGGGGGGGGCATTGTCTCCCTGTTTAAATTCTCATTGAAAATCATAGTTTTTTGTTGTTTTGAATGAAGGGAATTGTATGAATGAAAATTTAAACAGGGATTTTTATGGAAACTTT", "GGGTGATTTGTATTTTAGTTTTTTCATTTTGTTCCATCCCTTGTGGGGATGGAGTAGAAGAGGCTTTGAATTGCTTTTCTCTTTCTGCCTCTTCCCCACACCGGATGGAAAGGT", "ATTATTTTTTATATTAAAGTTTCATTCGTTGTTTC", "GGTTAGTGTGAAGAGAAAGAGAGGCTGAATGTTGCTTGAAGAACAATCAGCCCCAGAACTAATTTACTGATGTTATATATAAGCTAATACCTGTTGAT", "AAGATGTTTATTT", "ACCTTTGCGTTGATTTAAATAGATTTTTTTACTTGAAAAATTGTTTTGAGTCTATGCCGGTAAGTGCGGCAACACTTATCGGCATTTTTCTTTTTAAGGTTAACTTGAGCTTTTCTTCATAGGCTTGTTGTTTTTAGGTTAGTATTGTCTGG", "TTTATTGCATTCTTTTATGCTTCTATATAAACAGCACTTCAGAATGGAAAATTC", "CTTATGCGTTGTTTGATTCATGATTAGTACAAAAATACATTTTTTTTATTAGTACTACAATTTTTCGAATGTGAAACGTATGAATCACCTGTAAAAAT", "TGAATTCAATTATTTTTTGTCCGACTTTTGTCCGACTGTTTTAAAAGTAAAGAGGATAAAAGATTGTGATTCAATCATTTATCCTCTTTTTAGTTGCGGAGGCCTGACCTTATTTGTCTTTTTATAAGATGAATATGATTGAAAA", "ATATATAATAAAAGTTAACATGATAAATATAAATATCATTCTATTTGTGCGTGATAAATATAGTTATCATATTTGCATTGTGTTAATAAATTCACGTAGCTAAGTTAGTAAAAAGTGATATTAAACGAATATAAAACTTTAATAATTTATCAATT", "AGATTATATT", "CCGAATAAAAATGAATGGAATGAATT", "TATTTAAAACTGATTAAAA", "TTCAAATCGCTAAAAACAAAGTTCTG", "TTTTGTACCGCTAATATAAGACTCT", "TTGCAACCTTGTATTACTGTGCCTATGGGCGTGTATGTTCGGTTATGGAACATACACGCCCTTTTTCTATTCTTCCTGCATCATGTAAAGATACATAACCGACCGTTCCTTTGCATTTTTCAACCGATGACGGCGGTTGATTGCTGTTTCTCCGTCCTCTTTTCCTTTTTTGTACTAAAACTTTGCAACTTTGTACCCAAAATAGGAAAGGAAAGATAAATAATTAAGAAATAGGAGGTTATGTCGGTTTCAAGTCGGCTACAAAAACGGTTGCGGATCGGTTTCAAACTACAAAAACTTTGCAACCGCTTAAATTAGGTTGGCGCAAAGATGCAAGATTACAAAGTTATGTACTCGGTTGCAAAATGCTTTTTTGTTTTTGTGTCTTCGTAATATGATGGTTTACAGTGTGTTGTTATTGAAAAATGCAGGGTTGCAAAAACGCATAAATTTCTGGGCAAATCAAACTATACCGAAGATACAACAAAAACGTACCGATATATATTCATGGGCAAATGCACGCGTGTTGTTAAAATACAAGAAATCCTGCCCCAGGGTACTTTTCCAAGCGCATTTTTCAGATTATTCTTTTTTATGTACAGCTGAAAATGTGTATCTTTGTAGATAAACTTTTGATT", "GTATTTTTATATATATAAGGTATAGTGTAATATTGCAATCAAAAATGTGAT", "T", "AATAAGGAGGTGAT", "TTCTTTAAGTTTATAAATCGGAGTGGTGGTGTGGCTGGTCTATGCCGCCACTTTTTTAATATTTTAATAT", "TAACT", "AGGTAATTACCTGTATCTCTGCCAATGACCGTGCGCCTGTTGCGTGCGGTTTTTTTGTGTCTGTACGGGTGTAGTGGGTGCAAAATCGGTATGGGTACGTTACATATTCCGCCGATATTTTTGTAAAGTAATGAGAAAATTCGATAGCGCGGTGGGGGGTACGCTTCGCTAGTTCCGCACAAAGTGCGGGTGAAAAAGGCTGTAAATGCTTGGTAAATAGGCAATCATTTTTTTTGAGCGCTGGAAAACTGAAATTTTCAGCGTAAAAACAGGGCTTTTTAAAATGTAATTCATTGTTTTCCAATATGCTATCACCCTATCGTGCGTGCGAAAATCCGCTGGCTATGCTTTCATTATAGCCGAATACCGGATTTTCGCACGCACGATAGCAGCGGTAAAAGAACACTCGTTAGTTCTTTTGATGTGGTTTGTCTCTTTCTCTCTTTCCTGCCTGTCGCCCTTGTTTGTCGCTCTCCCCCGTGATATGTATCTCCTTTATACTGCGAAGGTAAATGTTCCATGCCACATGCCAAGTTCAGGCTCTGTTCTGAAAAAAATCTCCACCCTGAAGGGTAGTATTCAAGCCGTTGGTTTTTCTGAAAACTTGTCTTTATGTGTCCTGTAACACCTTCTGATGCAGCATAAAGGCGAAACATACCCCGAGCGATAGCGACGGAATAAAAAAAAGCTCCAGGCAGGGAGAAAGAGGTTAAAGGCTCACACCCTCCGGGCTTCAAGTTCAAGAATTTAAATGATATGATT", "ATGGGT", "TAGGGAAGAAAGAGCCTGTCTTTAGGGGCAGGCTTGAAAATTTGCCGTCGGCTGCCGCCGCGCTCACAAGTTCACACGACGGCAGCCGACGGGACAGCGGAATTTTTTGTTTTTCCGTTCCTTCAACCACGGAGGGGATGATATAAAAAATAATATCATTTTGTGCGTTTTTTATTTGGTGAATGATATAAATATTTATATCTTTGTGGAGTCAAACAATAACAATAAACACATA", "CACCCTGCTAAAAAGATAAGTATGGGATT", "TTATTGTTTGACAGCATGACAGGCAACTTGATTAGTCAGGCTCCCACGGGGTGGGAGCCTTTTTATTGGAATAAAAGTATTCTTTTGTATTGTCAGATATTATTGTTATATTTGCAGTGCCCTCAAATTTAGTGACATAAATACTGGTAAAACAGGACATGAATCCCTTTTCAAGACGTAATCCGTAAAATCGGGTTAAGGTTACACTAATACCTTTGGGCGCGTTTTGATAAGGGATTCGCCATATTTGTCAAGATGGAATACTGTGACTTTGAATCTTTGATTGGTGACCTAAAAGAACTAAATACACAACAAAACTACTGG", "TTATGCTGGTAGATAATAGAAAGGTATAAGTAGAAAAGCAACCAAGACACCGATTATGATAATTCTATAATCGGTGTCTTTTTTACAATTCCAATTCCATGTCAGAAAACATACAATCCCTTGCAACAGTAACATGGTACTTGTACTGATAACAAGAAATGTGCCAGCAAAAGCTAATATATTTCTTATACAATCGAACATGGTTGGTATTGAATTCAATTGCAAAATTAGTATTTAATCTTAAAGCAGCCTTTGAAAAATGGTTAAATCTTCAATTTTCGGTCTTGAAAATAGGAATTTCATAAGTGTTTTCTTTTTTTACAAAAATAAGTTTTTTCTTTTGTCGTTTCAAATATTATTACCATATTTGTATTGCCAAATAAAAACCGATTTGCATCTCCTCATATCGTGTAACCCGTAAAATCGGGTTCCGG", "AGCTGATGATAAAGCACATGATGAATAGATATTTGTCCCTGCTTTTGTAATTGGCTTTAATATACTGTATTATTGTCATAATCATTTCATTTTTTACAAAGATACGATTTTCCTTTTATATCTTTGTAGTCAAAAATTATTAATTGTATAAGAT", "TAAAAAGTTTGGCACTCTCAAATAATTAGTTTGATAATTAAAAGAATAAGATAAAAGTTTAATGCCGC", "AGTACAAAGTATATTCGCAAATTATTTTAAATAAAGTTTTGCACTCTCAGATATAATCCTCATATTAGCAGTGCTAAAACAATTCAATCCTGTTGGTCAGGAACGTAGAGCGCGGTTAATGCTCATGATAGTTTAATGGGCTTTTTTTATACCCATACAGATCCATTTTGCTGATGTCAGCAAAATGATATATAGGAGATTGTAGAAGTCACAACTTGTTGTGCAAAAGTTACGGCTGCCTTTCCCATCAACTTAATTGCTCTACGGAGTGACTACGGATTGATTGTTTTAGCGAACTCGGGAAACGGCGGCCGTTTTT", "AATATTGATGTTTTAAAAGTAGATCGTAAAATAATGATATACTTTTCAATGAAACAAGTTTTTATTCAACTATTTAGGTATTTATTCTCCAAAAAGTTACCAAAAAGTTTGTGGATTAAAAAATAATCCCCATCTTTGCAATGACTTCCATTTTGAACAGGCGGACAGTACCGCCAACATAGCCGTTGGCATTTTTTATGCCCATGGATTATCATATAGTTCCGTCCCGTGTGGAGCGTTAATGCGCCCACTGCCTGTTCAAGGTGGAAGTCAACGGGGAGCGGAACTTTTTTTGTTCCCTTCCCGTAATAATCAACATATTGTTTCATTTTAAATGACTTCCAAA", "GAAACATTTTTTATACATTTTACATAGAGGGCATTCTGTTGCAAGACAGGGTGTCCTTGTCTTTTATAGGTTGTGGTATTTGCCTTATTTTTGAAATAAAAAAGGTTAT", "T", "TGTCTTTTTACGGCTTTTGGCTTTGTTGTTACTTTGGAATAAAAAAGTAA", "AATGGTTTTATACA", "GCCGTTCTCAAATAGCAAAGAAGCTGCAACTTCCTCCCGGCAGAGTCATGCGGCTTTCCCGATTAAATGTTGATGAGCTTCTTCAACATGGCAATCGTCCGCGCCCTTCTTATTCCTGCCGTCTCGATCCTTATGAGGAGTCAGTTAAGCATTTGCTGATAACCTGTCCTTATTATTCTTCCACCCAGATTCATGAATATCTAAAGGAGAATAATCCCTCTTTTCCAAAAGTCTGTGAAAAGACTGTTTTCAATTACGTAAAAAAAATACGTAAAAGATACGATATACCTGCAAGAGTATAATTTTTTGCAGCAACAATCTTTTGTTCAGAATTCAATAAGAATCTGAACTGCATCCTTATTACCGAAATTTAAATAAAAGACATT", "TCACTAATCCGAAAATACT", "TATATAATAGAAAGGGAGCAATATGGAAATATTAACGGCCCTGCAATGGGCTAAAAAGGGTTTTATCCCCAATGAGGGGGCCAAAGGTACAAAACAATGGACTAACAGGCAAGTCACCGCATTGTATGCAGGCTGGTGATACAGGTTCGAATCCTGTTTGTTTCACACTGAAAAAAAAATCTTAAAACGTATAATT", "TATTTTGACATTAGTTAGTATGTGAAAGAACATTCCATCCTGCCGTCCCGCTGTGAAGCCGGTGCGGCAGGAACTTAAAAAAACGAAAGATT", "TACAGCAAACAAT", "TCACATGGATCATGGACCGGCTTTCCGTGGAGGTCGATCCGGATGCCGAATGGTTTTAGCAGATCGTGAAAAGGATGGTTGCGGTTCATCCCCGTTCACTTGATTAAAAACACATAAACGAAAAAAAT", "ATGTACGGTCCT", "TACTGTTTTTTTATCATCAATTTAAAATTTTACAAA", "CTTTAAGGGGCGGGAAGCCTTTCTTCCCGCCCTGTTACAAACCATATCGGGGCTGCCAATCCCCCATAATCAGACAAAACG", "AAACTATAAAT", "CCGCCATGGAGTACAAGGTGCGCAAAGGGATCGAGAACCCGTGCAAGATACACGGGCTGCTGGTACGCGACTTCTATCTGTTGCTCGGTTACGGCGGATTCGCCGTGGCCGTGCTCCTGCTGAACGTCAAGAGCTGGCTGGAGGACGGTACGACAGGCGGTGAAATGCTTTTCATATTCGTATTGCTTGTCGGATGTGGTCTGTTGTTGGCAAGGAAGTTCTATAAGAATGCCTCAAGGAAGAAGTACAGGCCGCCGCATTGGGAGAAGACGGTTACAAACCGTGATGTGAGAAATGCTTTAATGAAAAAACAGATAAACTATTGACAGT", "ATCATAAGACAGATCAAGTTAGACAATAATAGTGTTTGATTCCTGTGCAAAGATACAAATAACAACCGAATGGATAAAAAATAAACAAACAATAAGAAA", "GAATATGGCA", "AACCTTTTTTAAAACAACGGAAGAAT", "ATAAAACAGAAGAAC", "CCGGAAACGGCATATTGAAACATTAAAATAAAGAT", "AGTGCCTGTAAACTATTATAAACGGACCTGGGAAGTGACAATAACGAATGATAATTAAAAGAACTGTACGAA", "TTTATCAAGAT", "AGAATACCAC", "TGAAGGGCAGCACAAAAAGGTTGTGCTGCTTCCCACTTACATTTGCATATTAAAAACTATCAAAATACAATTT", "TTTAATTTCGCATTACAGATGAGGTTATGTCAGTGTTTTGACACCTCATCTTTCTCTGCCAAACTATTGGATACTTAAAATAGATTTTCACAATGTACTGGCAGTTATGAACGGCAACATAAAAATTAAAAAGAGCAACTGTTCATCTCGGACAGTTGCTCCTGTAAAAACGGTTCAATATTAGTAAAAAACGACAATCTTTATGAATGAAATTATTTATAAAGACCCTGTATCTTTTGATAAGAACTGTTCTCTTCTTATGAGGGCAAATTCAGTTCTTTATCAAGAAAGACGCAAAATATA" ]
[ false, true, true, false, false, true, true, true, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, false, true, true, true, true, true, true, true, true, false ]
[ 0, 2, 4, 6, 8, 10, 11, 13, 14 ]
[ 1, 3, 5, 7, 9, 12, 15 ]
[ "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123277|-|88917:89603", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123278|-|89633:90478", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123279|-|91027:92823", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123280|-|92844:93077", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123281|-|93092:93871", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123282|-|93947:94078", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123283|-|94075:94344", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123284|+|94731:94928", "2510065017|RJ2H1_RJ2H1-contig-022.22|CDS|2510123285|+|94925:96544" ]
[ "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000067|+|89604:89632", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000068|+|90479:91026", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000069|+|92824:92843", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000070|+|93078:93091", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000071|+|93872:93946", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000072|+|94345:94730", "2510065017|RJ2H1_RJ2H1-contig-022.22|IG|IG_000073|+|96545:96584" ]
[ "LQANFSKAGKTFYFSIRTGRGKLDVFDERGETTLLPSASEIVLNLSPDDENLERGGYLISPEPESGWKYGEDEWYVNGNGIPSGQYGQYLFTYNDYSRYPDGSRFVYDFKADNPIKVTIQTGMWSNNSFSLYTHGDFRIGFSATGLSSGQQTREFSYGDRVTIYADGADYAVPGEGDRWRYNYLRGFFTTGWQALDDLGEYSQTSAGSYSFTATKDITINIVFMSTIR", "MEDKLISRYDILVNRYKELVSEKLSRKDFIEYNEILFSAHSCAIEGNSFSVDETRTLKEKGLGMIPKGKTLLEAFEILDHFQAYEYLLKNLDRPLTEELLKETHRLLTEHTLSYKTQYDEIPSNPGEYTTVDMCAGDTIFGDHEQLIKQVPRLLQSTQQVLDSGKIHPMIIAARFHGFYEYLHPFRDGNGRLGRLMSNFILLKKEQPLLIIPGSQREEYITALKYIKKERTDEFLIDFFFRTSIKRMEQEIEEKKNLTENFIRGMEFVRNVKSSNDDISEI", "MKTFGYLLMAVMACMTCSCRDEEPLPDPVPPVVEPENPGNPEEPDTGKVYLGIAPIIENMQEQRAVVENWKEGHCLGVTAAGDVNIPFTFDGRRWKAGKQVEVTAEQKVSAYYPYNVQYTDMTAIPVDITTQEDYMYGEGGVSVEKPSAALVMKHALSLVRILIKKNDYTGDGMVDAVTFGGVRLSASMDVTSGKLLPTGQPGEYKAGGNYTLDDASPVYVEAILMPVGTAEGITVNVHVDGRDFTYALPPTHVWNPGMIYTYTLNMKSGYNCEVDVDHVPMDEEYWSTFGKTDRIVMRDCGTDRIYIWPNYTFYGYDTYTGEGKVWGFFLRYRNRGGGEDFAGQARFVLMDGDRIVEQYQPFDIKCGNGAWDGYCKYCYVQAVPGTYRLAVLFKKNGESAWFKPYGYDQNSNDGEWMYEVRPATDMPALRMITLENQKCNTFLAYPVPDNDWFNIVYTLSNKSRKAMKGTVKVVWEREFKLESNSYRPSDKKENKIDDYEWRDELGSCTVDIAAGVRFWKGIVSCKFPIQRANPRDPVSGVGYCTPIAHLYYREEGSCEWKLLRCDTEYLFNRNYPGSEPAKMDEAFNYLGIIPQSW", "MGKFIGIDEILQGGTPNRPTHSTPEEGKKGEVLARTSLEYPLELHRKVKKYAVDAGMKEKQVIAQAIREFFERVEKE", "MVKIIAVHNQKGGVGKTTTTTNVGFELARKGYKVLLADLDPQATLTSALGVTDPEETVFSALQGAVDGKETRLPWIRLQENISLCPSCRKMADAEYLLQNEYGRENFLKELAARTDGGYDFVLLDCPPAVGLITVNALVAATDLIIPVQPEVASLYGLVSILDTVAVIRRKINRRLNLLGMLVTQYDRRTTLHAEILEAMRKQYGETVFSTVISRSIRVAESMSRKTDVVSYSRNSSGAADYRSLTREILSRLNMVDNK", "MKFIIEPSAAPGMIRLPLKKEMKVPSLWEIMVSKYFSVKIYKY", "MKQTVSIFAPDINIASKSSAIQLWLNRKNQFFSSVLEEGVSNRQVLLLGHASFVFSALICASFVSQVPALICLSWFMVALRLCVKGGLG", "MEEMELIHKVENGELDMLGYVMLNPELKEPFSDYARGNGITCPTAADAVRFLKEYEERLYQELLP", "MNGKVLERYAELMIDKIRQMSAGEWQKPWFTPRTGLPQNISGRPYNSMNRLMLYMEMDRMGYTLPVFMTFRQLKDENLMVIKGSHALPVTFYDITVKHKTTGEKISFDDYKSLPELQKQEYKVTPFMKHFYVFNIDQTDFKEKYPERYEGMRVRFSGPAVADNVKGNRNPWLDKMIKEQKWLCPIELKVQDRAYYSPSKDRIVLPTPGQFKDMESFQMTALHEMAHSTGHSSRLDRGLHHPFGTCGYAREEIIAEFTAAVTGRDLGIAVTPRKENAQYLKSWLSNLKEDPGYVMSVLREAGKASAMIEESVEKQHTLADTSAREEDISPLPIKEEGNNETVSSGILDMYDRSKEQYPDAMALIRVGDEYKAYNQDAERLHEVLGVCARKAVSEKDGTPVMTASFRHVDLDTHLRSIIKAGSKVAINHYEKAAAPDKSMTPPLLFKGGRREIEITSEGAVIRTNGNQYDATGILKGLEKAGIDVRNISGSQWESMLRGRGTVLNPAKQKMLFSIRKQPSGYGVRIADISGKISSSVQREL" ]
[ "AGTACTGTTCGGACTGTCAGAATGTAATA", "ATCAGTACCATTTTTTGCAAAGATACTAAATCTGACCAATATACCCCTGCATTCTATATGTAATTCCTTGATAAAAGTGTGATATCATTACTTTCCCCGCCCTACTGGTAGAACAATGGTAGAAAATAGAAAAAACATAACAAAACTCAAGATAAAACACCGGAACGGAGCGATTGCAAGACCGTCTGTCCATACTTTTTCTCCTTCAAAAGGGTGGAGAAAACATTTTCTACCGGAGTTCTACCCAAATACCGGGTGTTCCAGGAACACTATGGACACATCCCTCTTATTTGTCTTGTTTTTTCAGCCATGTAATAAACAAGCCGGAATCCTTCAGAAAAAGGCATTCCAGCTTGTTCACATTGCACATACCGGCTGTCATTATCATAAACCGCACTATTCCAAACATCAATACTAACAGCCAAATTTATTACAAGGAGCGTAAAGAAGATCTTGAAATCTTTCTATACGGTTCTCCTGTAAATCGGTACATTGACTATCATGTCTTATCTTTTTTCTTTAGGAAGAATGGGGCCTGTTATCGTCTT", "TTTCTTCAATTTTATGTTCT", "ATTCTTTTTTACTT", "ATCTATTTGTTTTTTAGTATGTTACGAAGTTAAGGAAAATAATTCTCTTTTCCAATATTTTGATGTTTTAATGCT", "AACTGTAATGGTATTTGGCATTTTAGGCAGAAAAAAGAACGGCTGCCATTTCCCGTGTCGCCAAACACCATCACAGTCACTGCCAGAGCAGAATTGTAAATCGGGAAAGACAGCCGTAGCTTAGTATCTTTATCATTTTCATAAACTCATGAAAATGGTTATATATAGGCATAAAAAAAGCCCGACTATTTGTGAGCAATTAATCGCTGCCCAGCGACAATGAAACACATTGAATGGTATTTGGCACTGCAAATATAGCAATAATACATTAAACTGCCAAAGAAAGAAAAGGAAAGCTCTCTTTTTTTGTAGAAATATAGATACAGCACAAAAAGGTTGTGCTGGTCCGCTTTTACATTTGTCCCATCAATTATAAAAAATACACA", "TAACCAAACGCTGAGCCACCGGCATGACGGGCATACATAT" ]
[ false, false, false, false, false, false, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 57, 59, 61, 62, 64, 65, 66, 67, 69, 70, 72 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 58, 60, 63, 68, 71 ]
[ "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123288|+|4034:5836", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123289|-|5897:6529", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123290|-|6653:8260", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123291|+|8521:9180", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123292|-|9337:10341", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123293|-|10365:12212", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123295|-|12765:13955", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123296|+|14126:15079", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123297|+|15222:16286", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123298|-|16551:19538", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123299|-|19717:21804", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123300|-|21831:22910", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123301|-|22914:23807", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123302|-|23788:24465", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123303|+|24676:24948", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123304|+|25041:28370", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123305|+|28542:29012", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123306|+|29054:30070", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123307|+|30218:31780", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123308|-|32582:34561", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123309|-|34585:36120", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123310|+|36408:40634", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123311|+|40767:42695", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123312|+|43135:46344", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123313|+|46364:48115", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123314|+|48140:49918", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123315|+|49992:51875", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123316|+|52250:53731", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123317|+|53919:55490", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123318|+|55487:56215", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123319|+|56223:57452", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123320|+|57474:57725", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123321|+|57725:58609", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123322|+|58623:59693", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123323|+|59690:60127", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123324|+|60124:60567", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123325|+|60552:62282", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123326|+|62288:62545", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123327|+|62542:63732", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123328|+|63736:64152" ]
[ "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000001|+|3968:4033", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000002|+|5837:5896", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000003|+|6530:6652", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000004|+|8261:8520", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000005|+|9181:9336", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000006|+|10342:10364", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000007|+|12213:12764", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000008|+|13956:14125", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000009|+|15080:15221", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000010|+|16287:16550", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000011|+|19539:19716", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000012|+|21805:21830", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000013|+|22911:22913", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000014|+|24466:24675", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000015|+|24949:25040", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000016|+|28371:28541", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000017|+|29013:29053", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000018|+|30071:30217", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000019|+|31781:32581", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000020|+|34562:34584", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000021|+|36121:36407", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000022|+|40635:40766", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000023|+|42696:43134", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000024|+|46345:46363", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000025|+|48116:48139", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000026|+|49919:49991", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000027|+|51876:52249", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000028|+|53732:53918", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000029|+|56216:56222", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000030|+|57453:57473", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000031|+|58610:58622", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000032|+|62283:62287", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000033|+|63733:63735" ]
[ "MQDIRNIAIIAHVDHGKTTLVDKMMLAGHLFRNDQTNGELVLDNNDLERERGITILSKNVSINYKGTKINIIDTPGHADFGGEVERVLNMADGCILLVDAFEGPMPQTRFVLQKALQIGLKPVVVVNKVDKPNCRPEEVYEMVFDLMFSLNATEDQLDFPVIYGSAKNNWMSTDWKTPTENLVPLLDAIIEHIPAPKQLEGTPQMLITSLDYSAYTGRIAVGRVHRGTLKEGMNITLAKRDGSLIKSKIKELHTFEGLGRKKTNAVSSGDICAVVGVEGFEIGDTICDFENPEPLPPIAIDEPTMSMLFTINDSPFFGKEGKFVTSRHIQDRLTKELDKNLALRVRKSELEDGKWIVSGRGVLHLSVLIETMRREGYELQVGQPQVIFKEIDGVKCEPIEELTISVPEEYSSKMIDMVTRRKGDLVSMETQGDRVNIEFDMPSRGIIGLRTNVLTASAGEAIMAHRFKCYQPYKGEIERRSNGSMIAMESGTAFAYAIDKLQDRGKFFIYPQDEVYAGQVVGEHVHENDLVINVTKSKKLTNMRASGSDDKARIIPPVVFSLEEALEYIKEDEYVEVTPKSMRMRKVILDETERKRANKS", "MLVGAGMFVLCSCTSQGSQQKEVVTDSVSVSQVDPVIETIMSRRSIRKYKPKAVEREKMQTIVECGINAPNGMNKQSWEVRVVDNPEFINGLTEIFKKENPKAAERPGFQNMFNNAPTVVFIANDPAYDMSQIDCGLLGENMILSAWSMGIGSCCLGGPVRFMKSPAAAEYMKQLGFSEGYELLYAIAFGYPDEMPAAKPREVSKVKFVD", "MANLDLSKYGITDVKEVLHNPSYDVLFAEETKPGLEGFEKGQVTELGAVNVMTGVYTGRSPKDKFFVKNEASENSVWWTSDEYKNDNKPCTEEAWADLKSKAVKQLSGKRLFVVDTFCGANAATRMKVRFIMEVAWQAHFVTNMFIRPTAEELEAYGEPDFVCFNASKAKVDNYKELGLNSETATVFNLKTKEQVILNTWYGGEMKKGMFSIMNYMNPLRGIASMHCSANTNMEGTSSAIFFGLSGTGKTTLSTDPKRKLIGDDEHGWDDEGVFNYEGGCYAKVINLDKESEPDIYAAIKRDALLENVTVDANGKIDFADKSVTENTRVSYPIYHIENIVKPISKGPHAQQVIFLSADAFGVLPPVSILNPEQAQYYFLSGFTAKLAGTERGITEPTPTFSACFGAAFLSLHPTKYAEELVKKMEKTGAKAYLVNTGWNGTGKRISIRDTRGIIDAILDGSIDKAPTKVIPYFDFVVPTELPGVDPKILDPRDTYADAAQWDEKAKDLAGRFIKNFAKFTGNEAGKKLVAAGPKL", "MKIINLSEGNSLLNQYVAELRDVHVQNDRMRFRRNIERIGEIMAYEMSKALTYSVKQVQTPLGTATASTHDDKIVIATVFRAGLPLHTGFLNMFDHADNAFVSAFRFYKDDEHRIVDVHIEYIAAPSLNDRTLLLVDPMLATGESMELAWKAFLTKGKPAKLQMACVIASQQGVAHMAELFPGDDVTLWCAAIDPVLDEHKYIVPGLGDAGDLSFGEKL", "MNEYTAKDFKKGQPRWCPGCGDHFFLASLHKAMAELGVAPHDTAVISGIGCSSRLPYYMNTYAMQTVHGRAAAISTGCKVANPKITVWQISGDGDGLAIGGNHFIHAVRRNIDLNMILLNNRIYGLTKGQYSPTSPRGFVSKSSPYGTVEDPFHPAELCFGARGRFFARAVATDGPGTVEILKAAANHKGAAVCEILQNCVIFNDGTHESVYTKEGRSKNAIYLEHGKPMLFGVDKEYGLMQEGFGLKVVKIGENGVTEKDILVHDAHCMDNTLQLKLALMEGPDFPVALGVIRDVEAPTYDDAVNAQIEEVAAKKKYHNFQELLMTNDIWEVK", "MADEMIVKELDQVVVRFSGDSGDGMQLAGNIFSNISATVGNDISTFPDYPADIRAPQGSLTGVSGFQVHIGAGKVFTPGDKCDVLVAMNAAALKTQYKFAKSTACIIIDTDCFQKSDLDKAAFKTDNPIEEMGIKQDVIAAPISQMVKDCLADTGMDNKSMLKCRNMFALGLVCWLFNRDLAVAENFLREKFAKKPQIAEANIKVIHAGYDYGHNTHASVDHTYKVETKSKVPGKYMDISGNKATAYGLIAAAEKAGLRLFLGSYPITPATDILHELSKHKSLGVTTVQCEDEISGCATAIGASFAGALAATSTSGPGVCLKSEAMNLAVITELPLVVINVQRGGPSTGLPTKSEQTDLLQALFGRNGESPMPVIAAASPTHCFDAAYDACKIALEHMTPVVLLTDGFVANGSGAWKLPDLANYPAINPPYVTPEMKDNYTPYKRNAETGVRYWALPGQEGYMHILGGLEKDSDTGAISTEPENHNLMCRLRAEKVAKIPVPDVKVQGCVEDADLLIVGFGGTYGHLYSAMEEMNHAGKKVALAHFVHLNPLPHNTAEVLKKYKKVVVAEQNLGQFAGYLRMKVDGFVPYQFNEVKGQPFVVSELVDAFTEILNK", "MYGKMKEFLAKELADIKAAGLYKNERIITTPQRADIKVNDGEDVLNFCANNYLGLSDNQRLINAAKEAMDTHGFGMSSVRFICGTQDLHKQLEAAISDYFKTEDTILYAACFDANGGLFEPLFTEEDAIISDALNHASIIDGVRLCKAKRYRYANADMADLERCLQEAQAQRHRIIATDGVFSMDGNVAPLDKICELAEKYDALVMVDESHSAGVVGPTGHGVAEQFNAYGRVDIFTGTLGKAFGGAMGGFTTGKKEIIDMLRQRSRPYLFSNSVAPAIIGASLEMFKILKESDALHTKLMDNVNYFRDRMLAAGFDIKPTQSAICAVMLYDAKLSQDFAAKMQKEGIYVTGFYYPVVPKGQARIRVQLSAGHEKAHLDKAIAAFIKVGKELGVIK", "MKNVLIIGSTGQIGSELTMKLRSIYNGNIVAGYIPGAEPKGELKESGPSAIVDITNEQQIAETVSKYNIDTIYNLAALLSAVAEAKPQLAWKIGMGGLFNVLEVAREMGCAVFTPSSIGVFGNNTPKDKTPQDTIRNPRTMYGVTKVSGELLSDYYHIRFGVDTRSVRFPGLISYVTPPGGGTTDYAVDIYYSAVKGEKFECPIAAGTFMDMMYMPDGLRAAIEIMEANPDKLIHRNSFNIASMSFDPEIIYNNIKKYMPDFHMEYKVDPLRQAIAESWPNSLDDTCAREEWGWKPEYDLDSMTQDMLAKLKIRFNK", "MNTRAITQNKKVVTFGEVMLRLTAPNFQRFSQTNEFIATYGGSEANVAISLVNFGIPTEFVTRLPENAMAQACVNSLQAYGLGTDGIIYGGKRMGLYFLESGAAFRNSNVVYDREGSSFATLRPGMIDWEKILSDAGWFHWSGIAASLSQEGADACLEALQTADRLGLTISCDLNFRKKLWNYGKSASEVMLPLVQYSDVIFGAEPEYKEIFGIPPVGFKAVDTSYPLDLSGFKVFGQKVSEQAPRCQKMFLELRNTITSNHNLLAAILYSKGTLRHTGIYDIVHEVDRVGAGDAFVGGMIYGLLTYPDNDQKTLEFALAASALKNTIYGDFNQVTVEEVEELMQGNTSGRVVR", "MQNKGFVKVFAVLLTLVCVFYLSFSFVTRYHMDKAAQDPKGEAHYLDSMQNEKVYLGSYTLKQCREMEIGLGLDLKGGMNVILEVSVPDVVKALADNKTDEAFNKAVAEASKQSITSQDDFITLFVKEYKKQAPNGKLAELFATQQLKDKVTTRSSDSEVEKVLREEVKAAIDNSYNVLRTRIDRFGVAQPNIQALEGKMGRIMVELPGIKEPERVRKLLQGSANLEFWETFDAKEIVPYLSSVDNRLRDILAVESGAASADSVATDTVAVAQASAISAADSLAAALKGETASNSAAMEQMKKEHPLASVLQLNPNGYGSVVGYADYKDTAQVNQYLAMKEVKEMLPKDLRLKWGVKAADFDKQGRIFELYAIKSTERNGRAPLEGDVITDAKDEYDQFNKPCVSMSMNTDGARRWAVLTKNNVGKAIAIVLDGYVYSAPNVNGEITGGHSQITGNFTPEVTKDLANVLKSGKMPAPARIVQEDIVGPSLGQESINQGIISFVVALILLMIYMCAMYGLIPGMVANCALVVNFFFTLGILTSFQAALTMSGIAGMVLSLGMAVDANVLIYERTKEELRAGKTVKAALADGYSNAFSAIFDSNLTSIITGIILFYFGTGPIRGFATTLIIGILCSFFTAVFLTRIVYEHFMNKDKWLNLTFTTGISKNLMQNVNYNFMGMMKRSFTVFGAIIVICIISFFIRGLAQSIDFTGGRNFVVQFEQQVEPETVRDLLKKKITEDNVQAIALGTDKKTIRITTNYRINEDSPTIDSEIEEFLYQSLKDGNLLGEGTTLEIFIDRDNRVGGSIISSQKVGPSIADDIKTSAVWSVLFALVAIGLYILLRFRNVAYSVGATVALAVDTILIIGAYSLCYGWVPFSLEIDQTFIGAILTAIGYSINDKVVIFDRIREFFGLYPKRNRMQLFNDSLNTTLARTINTSLSTLIVLLCIFVLGGDSIRSFAFAMILGVVIGTLSSIFIAAPIAYLTMGNKMPEETKA", "MKRLLFTLATCCVMCACEQKTEMNPFFTEFQTEYGAPDFTKIRLEHYEPAFLKGIEEQNAEIKAIVDNPEEPTFENTIVALDKSGGILARVSGVFFALTEADTNDSLTALNEKMAPVLSEHSDNIYLNQDLYKRVADVHQQEREGKITLTTEQHRLLDKYYKAFVRSGAGLDAGKQSRLREINKELSTLGIAFDNHILNENNAYQLVIENEADLAGLPEWVKAGAAEEAKAAGKEGKWLFTLQNSSRLPFLQYAENRELRKNIYEAYINRGNHDDANDNKEILGKIMALRLEQAKLLGFDCYSNFVLDENMAKNSQTVMDFLNNLWGYSLENAKKEAAELQKIMDKEGKGEKLAAWDWWYYAEKLRQEKYDLNEDEIKPYFSLEDVRSGLYTVANKLYGITLTELNDVPVYEPDVKVYEVKDADGSFLGLFYADYFPRAGKRGGAWMSNFREQAGEVRPLIYNVASFTKPAGNMPSLLTLDEVETMFHEFGHALHGMLTKCNYKGVSGTSVAQDFVELPSQIMEHWAVEPEVLKLYAKHYETREVIPDELITKIQNQGTFNQGFMTTELLAAALLDMELHNLTDTDNLNVVAFEKETMDKLGLIPEIAPRYRATYFSHIIGGYAAGYYSYLWAEVLDTDAFEAFKEHGVFDKNTADSFRKNILEKGGTEDPMTLYRGFRGADPSLEPLLKNRGLK", "MKYIGRFLGWILLGINLCMVVLLLVCAYSPYIDPVAHPVWSCAGLAFPAFLIVNLLFLVFWLVIYRKYALLSFLGLVCSIGAIRTYFPVNVFVSDVPEGAIKFLSYNTMAFEKNRANTKDNPNPVLEYLRNSNADIICLQEYIVGGRLTKKEVDYALRDYPYKNYHKISGANGLGCYSRFPILSAHPVKYASLNNGSIAYKIKVNGDTLLVVNNHLESNKLTEKDKEVYREMMKDPDKQKVSQGSRLLIGKLAEASAIRAVQADSIARLVAGYKGGGIIVCGDFNDSPISYTHRVVGEGLNDAFVESGNGFGISYNQNHFYFRIDNILLSKNLKSYRCTVDNTIKSSDHYPIWCYVAEK", "MGSFITDLKNSFNKGNIYIQFIYINVGVFIVTSLLGILWMLFNRNGAFVLQYLELPAWTLQFVKQPWSLLTYMFMHAGILHLLFNMLWLYWFGQMFLSLFSAKHFRGLYLLGGICGGLLYMIAYNVFPYFSDSLYYSYLLGASASVLAIVVATAVRAPEYRVNFMFIGTVRLKYVALFMVVTDLLFMTSGNAGGHIAHLGGALAGWWFASGLSRGHDATSWINRCLDCFSEGLSFRRQSKRPKMKVHYGDKAKDYDYNARKKQQSEEIDRILDKLKKSGYNSLTTEEKKSLFDASKK", "MNSIPTVTKNLLIINVLCFFGGVVAMKYGIDLNDLLGLHFFMASDFNPAQLITYMFMHGGFQHIFFNMFALWMFGRTLEQVWGPKRFLSYYMVCGIGAGLVQELVQYIQYVTELSQYDSVNTGIAVIPMAEYLNLMTTVGASGAIYGILLAFGMLFPNSQMFVFPIPFPVKAKYFVMGYAALEIFLGLGASTDGVAHFAHLGGMIFGFILIMYWRKKNNNGQFYY", "MNKADLISAVAAEAGLSKVDAKKAVEAFVSTVTKALQEGDKVSLIGFGTFSVAERSARTGINPSTKATITIPAKKVTKFKPGAELADAIK", "MTTLFKFLIGAAVCAYSTQVLHAEDTKLPYWKDIQTVAVNKEYPRTAFMTYDNRNQALTGEYENSPYYKLLNGTWNFYYADAYKDLPANIEQPDANIAWKEIKVPGNWEVQGYGVAIYTNHGYEFKPRNPQPPQLPETNPVGVYQRDIEIPADWDGRDIFLRLEGAKSGVYVYVNGQEVGYSEDSKNPAEFLINNYLKPGKNSLVIKIFRWSTGSYLECQDFWRMSGIERDVFLFSQPKTHIKDFNVVSTLDDTYKNGIFKLNVDVTNHTAANKEVTVAYELLDAAKKVVAEGNTPCPVTADGQKSISFEAALSNVKTWTSEHPNLYRLLISLKDGEKTSEIIPYTVGFRRFEIKPTDQIAENGKPYVCLFVNGQPIKLKGVNIHEHNPETGHYVPEELMRKDFTLMKQNNINSVRLCHYPQDRKFYELCDEYGIYVYDEANIESHGMYYNLSRGGTLGNHPEWLKPHMDRTINMYERNKNHPSVAIWSLGNEAGNGYNFYQTYLWLKEREVKGMNRPVNYERALWEWNTDMYVPQYPSAAWLEEIGKKGSDRPIAPSEYSHAMGNSNGNLAAQWRAIYKYPNLQGGYIWDWVDQGILETDENGRTYWAYGGDYGTNAPSDGNFLCNGIVAPDRTPHPAMTEVKYAHQNVGFEAIDPAAGKFLVKNRFYFTNLKKYMISYTVKANGKTIKGGKVSLDIEPQGSKELNINLNGLKPKAGTEYFVDFVVTTTEPEPLIPAGHDIASEQFRLPIEPLAMTGHKASGKTTVSTDGDIITVLSPRMQFVFNKRSGLVTSYKVNGTEYFAEGFGIQPNFWRAPTDNDYGNGGPKREQIWKQSSKNFNVADVTTTTDGNKTVLTVNYLLAAGNLYIMKYTIYPDGVVHAGITFTSTDMKAADTEVSEATLMATFTPGQDAQRLASSKLNVPRIGVRFHLPSDMNQVEYFGRGPGENYIDRNASSFVDLYRTTADQMYTNNYVRPQENGHRTDTRWVELTRKGGKGLLIRADSTIGFNALRNSVEDFDSEEAISRPRQWTNFTPEEVANHNEEKAKNVIRRMTHVNDITPRNFVEVCIDMKQQGVAGYDSWGDRPLPEHTLPANKEYHWGFTLMPVK", "MEQQGEIILYQPDESVRMEVRIEDETVWLTQAQIVELFQSSKANISEHLKNIYKSEELIQSSTVRNFRTVRQEGNRQITRNLEYYNLDVIISVGYRVNTKRGIQFRQWANSQAHDRFLIIDQSDIYHIGASLKDLGKKLFAFSKMDIPASILTKLL", "MSGGTDSSVAALLLQDAGYEVTGITFRFYEKENDTEYLEDARALCERLNIPHLTYDVRDTFRKTIIDYFINEYMAGHTPVPCTLCNNYLKWPLLKKISDEMGIYHFATGHYVRRRFINGCYHITTGADPDKDQSFFLWGLPQEILQRMLLPMGNLTKARVREIAAERGFLKAAHKRDSLGVCFCPMDYRTFLHKELPEGSILPGKFFDEMGNFIARHKGYPFYTIGQRRGLGIDLNRAVFVKEIIPAENKVILSDLKALEKTEMRLKEWRITNPALLLNKDDIIVKIRYRKQANRCTVTLQPDNTLHVQLHEPLTAIAPGQAAAFYRDDVVLGGGIII", "MKSRNYAGISLLASLAACNSATAETVQKNSTQAPQKPNVIVILADDLGYGDLKCYGAKNVETPHVDKLASEGIRFTNAHTVAATSTPSRYSLLTGEYAWRRPDTDIAAGDVKMIIRPEQYTMADMFKSAGYATAAIGKWHLGLGDKTGGQDWNAPLPAALGDLGFDYHYIMAATADRVPCVFIENGKVANYDPSDPIEVSYTKNFPGEPTGKDNPELLYNLHPSNGHDMSIVNGISRIGFMKGGGKALWKDENIADSITVHAIDFIKQHKDEPFFMYFATNDVHVPRFPHDRFRGKNPMGLRGDAIAQFDWTVGQLMETLDQLELTENTLIILSSDNGPVVDDGYKDKAEELLNGHTPSGPWRGNKYSAFEGGTAVPVIVRWPQKIKKTGDSDVLMSQIDWLASLGALINARLPKGSAPDSYDRLGNLIGTDKTDRPWIVEQSMNHTLSVRTKDWKYIEPNDDPTTFMKAEKIETGNLNVPQLYEMEKVSEQENVAEKYPEKVFELQTILRQVRNKRIKM", "MKLHRTLFTAWVFAAGVALHAQNAHNMVVQTNKLGAEIQPTMYGLFFEDINYGADGGLYAELVKNRSFEFPQRFMGWNVFGNVTLMDDGPFERNPHYVRLGNSGHREKHTGIENEGFFGIGVKEGAEYRFSVWARGENQKLRIELIKNDTMEERQAFESKELTVNSKDWKQYEVILKSPRTEPKAHLRIFLESAGTVDLEHVSLFPVDTWKERKNGLRKDLVQALYDIKPGVFRFPGGCIVEGTDEATRYEWKKTVGAVENRPLNENRWHYTFKHRFFPDYFQTYGLGFFEYFQLSEDIGAEPLPILNCGLVCQYQNDPDQQVSLSKLDSYIQDALDLIEFANGDVTTTWGKVRADMGHPAPFNLKFLGIGNEQWGPEYPERLKQFVEVLRKAHPEIKIVGSSGPQSEGKDFDYLWPEMKNLKVDLVDEHFYRPESWFLAQGNRYDNYDRKGPKVFAGEYACHGKGKKWNHFNAALMEAAFMTGLERNADVVHMATYAPLFAHVEGWQWRPDLIWFDNLNSVRTCSYYVQQLYSHNKGTHVLPLTMDKKAVSGQEGQDGLFASAVWDKDEKACIVKIANTSDKAQPVSVTFNGLKKSDKLVEGKCITLQSADLDKDNTVEHPNVITPKESDVTIEGNVLNVEMAPKTFVLYKFVKASNK", "MKQQFFPQRLFMDMKRLIINRLVGLGLLVVGALVSCNAPTAFVPVPSPNPWVDDYTALSSMENYKQWGTYNVHDPACKKIGDTYYMYSTDAIFAENRKEAEEKNVPLGFIQVRKSKDLVHWDFVGWAFPEIPAPAIEWVHSQAEGKGATNIWAPFLMPYQGIYRLYYCVSAFGRNTSYIGMAESDSPEGPWIQKGCVVKTGEGDAMNAIDPSVIEDPETGKWWMHYGSYFGGLYCVELNPETGMTMQPEDHGHLIARRANYRKDNLEAPEIMYQPELGKYYLFTSYDPLMTTYNVRVAYSDSPEGPFVDFYGEDIKDTTNNVPILTAPYRFENHPGWAGTAHCGLIDAGDGRYFMTHQGRLSPQNQLMDLHVREVFFTVNGWPVVSPERYAGTAPRSFTKEDLAGEWEIIRLQEPPLERSLEAGQIMWGEGDLRNGEQALSARVVLEADGSVGDATWDFNVKKQLLTIKTATEDINNLIIFAGHDWENETETILFTGLDAQGHSVWGKRIN", "MKRHSLLFALFIFLSSLSIQAEGTAGKWSERYNVTAITMDEGLPHNFVDDILKDSQGFLWIATRGEGIARYDGYEFTAFNMGSTHTKLRSNFINKLCEDNFKRIWAVSEMGIDILDIQTMQTVQVADTKDKLISLCNRPSHLILHSKAGNIWVCSENNLFKITFDKQGNIRQIIKICEVPAGESVRTICEVEDYLWINYKDGIYRIKESAMEVQEPTFISSALQLPGVSIQVICRKENEIWIGSAQGLFRYNMDTELMKHYMYDPNDNNSLSQNFITDIAETGEHTMLVATLKGINLYNALTDNFERINKDTGEGEIVQNTLNCDFVNCLLTDGDIIWVGTEVGGLNKMSRRMLLVQNYYHIPTIPGSLSQNPVNAIYEDPSGVLWVGTVEGGLNRRAPGSNTFEHYTTDAPAHLSHNTVSCFTSDNDGRLWIGTWGGGIGWIDMKNPQNKQFHHIEIPEYGDFSWGWAGSICYDHLNNAIWVGTSTNIYVYDLKTQTLTEPFKGMNLGGIEGCTGYYIDKDNHLWLGLTEGLCRIDLSSLKAPRLIYQLWRIKLDEPESKLKERVTYITQSKDGTLWIGSNGYGFYKSSPTENGEYTFRSFTTEDGLINNSVRCISEDKEGYLWITTTNGLSRFNPNNNSFFNYTRKDGLLSNQFYWNAICRAANGDLYVGSTKGLSVVKPVIDTNPKEAVPLAFTHVRVANEERLYTNGTLQLHERDKSLYIEFAALDYDASTFANYYYRLKGFDDKWIKVPANRRQAAYTNLRPGNYTFELRYAPDGKQWLEEMAELHIAVSPYFYKTIWFILSILVLLSFLIYKVLSWRLRSLKEQQEMLHIKVEERTRELEEQKKLLSTQASELYRQNQLLKQQNEKITKQKGQLIQMSKKVQELTVDKLAFFTNITHEFRTPLTLIVGPIERALKLSYNPQVIEQLHFVERNSKYLLSLVNQLMDFRKVESGKMEIVRNPGNFAKLLNELLVPFDAYASERGITIERRFRLPSCEIMYDEDAMHKVIVNLIGNALKFTPKGGQITIYATPFRQEEQEKLFICIRDTGPGLPEEEIDKVFNRFYQSQNKTHSSINGQSGTGIGLYLCKRIVQLHGGSICAKNNQSKGCSFRILLPLQYADANSLPAPTEQVKEPVESPLALQPATNGKLTILVVEDNKDMRAYIRSILAEYYNVLEASQGEEALTVLQSQNVDFIVSDLMMPVMDGMELSRRVKSNFAISHIPFLMLTAKTSNESRIESFRIGVDEYLLKPFDDTLLLARISNILENRKRFQQKFSYSMDVDALNIEKESSDKKFLDKAMQIVKENYKNSYYEISDFIEAMGVSKSLMNKKMQNLTGQSAGQFMRNYRLNLARELIIRNRLTHNMNISEIAYEVGFNDPKYFTRCFTKHFGTTPSSMMENGTD", "MTWLLAITTMSAFAQQATVTGPDSFLKVNVSVKQGIPIYSVTYKDKTILEDSPLGFVANVGDFSRDMTFTGQKENKIDKTYTQDRIKQSQIHYQANELTCTFTNKEKKNINIIFRVSNNDIAFRYEMPKYGDTGSIVIEKETTGFDFPSFTTTFLCPQSDAMIGWKRTKPSYEEEYKADAPMNVRSQYGHGYTFPCLFHVGENGWALISETGVDSKYCGSHLSDATADGLYTLAFPMPEENNGNGTASPGLALPGSTPWRTITVGENLKPIVETTIPWDVVEPLYPTEHTYKMGRGTWSWILWQDGSINFDDQKKYVDLAAAMGYEYVLIDNWWDTNIGRERMKDFIDYAHSKNVDVFLWYSSSGYWNDIVQGPTNYMDNPIIRKKEMKWLHNIGVKGIKVDFFGGDKQETMRLYEAILSDADDNGLMVIFHGCTLPRGWERMYPNYVGSEAVLASENLIFQQHFCDEEAFNACLHPFIRNTIGCMEFGGTFLNKRLNRNNDGGSIRKTTDVFQLATAVLFQNPIQNFALAPNNLTDAPQVCLDFMKQVPTTWDETRFIDGYPGKYVVLARRHADKWYIAGINAQKEPLKLKLNLSMCTKGDKVMLYQDDKKLNPHAEEITLKKNDEVSITMQAEGGFLLVK", "MRKHLLFSVMLSLCAMGGMMVYPTPAIATVAQSPAIKVRGQVIDEQGEPLTGATVRIKGGQGGTITDVDGNFQLEVAGNAILSISYVGYKEREVAVRNRAIIEAIQLQPDNQMLEQVVVIGYGTQKKSDLTGSVAVVDTEALKQTSHSNISTMLEGKVSGVQVTSDGQPGADPTVRIRGVGSFGDTSPLYVIDGVPMGTSIRDFSSNDIETIQILKDASAAAIYGSRAANGVVIITTKRGQKDQPLKVDYNGYVGMDYIPSSVYDVMDADQYSQYIGQACANSNTPLPGGYKLDSTTGKYHFQDNTNTNWFKEVFKTGIRQNHNVNLSGGGAHNTYNVSLDYYNQKGTLEGAGPNYERYTARVNNTMDTKFIKFHTSLVYSHSDQDNMGLSNASEYVQGLYGDVTNILRGTLLMQPTIKAYDNSTWVLDNLVGIANNFNYDSYGYGVYYDTVHGDISASNPLLVNNLLKRNTRVDRFVGTASADVDLLKMIGIGSKNHKLNYKVNLSYSKTHCKDFTWIPAWVQSNRVYLAKSNERLTKATRSYADALIENVLTYDATVGKHHFNLVAGQTYEEENTDLLTGWGVNFTEPYFLQLQNAANTYAESFEYKHTLLSYIGRINYNYDERYLFSATVRRDGSSRLSQNIRWGTFPSVSVGWRFDKESFFPFNRNIVNMFKVRASYGELGNENIGEYMYQAVMARNNMTYSFGNTPITGSAISTFVDNNLSWEKKKSYNVGIDLALFNNRLEFTAEWYKNTSEDLLYAVPVPEQAGVSNTTVTMNAASMNNSGFEFAATYRNRDHDFKYEVSANLSTVRNRVTSLGFGTDSYISGAYITNVGEEIGKFYGWVYDGIARTQADLDNHATQEGAQIGDCLYKDVSGPDGKPDGKVDANDQVVLGSGMPKINFGLNARFEYKRFDLSIATFGALNYHVSDDIHNSLNSCYGWGNKDVAMLDANRFSEDGSTYLSSVPRTYVTNSASLAWNDLFSDRKIQNAAYWKIANIELGYNFPNEWFGKYVSDVRFYVSAQNLHTFTGYKGYNVDYAGGTFTPGYNFCSYPTARTFMCGVHFTF", "MKLNKYALVLFLGLGTLTSCNDNLELLNPNQQTSNTFGFNADDLEESVIAAYNHIRMEGSYARVGYTIDVCRGDEAWNSSQVWYLPFDDLNAEVTSDITWWPWREWYYTVNVCNFAISRCDEDNSQLSEKMKRIKGQVLFLRGLSYYNLVGYYQNPPLITDYATYSSLDGLYTGNSTYDAVLDQVESDFKEAMELLPSRDQGGEWEKGRATCGATAGYYARALMVRHKFKDALTVLKDIIGKKYGTYELMDNYGDNFREGPAYENNKESLFEVQFLDLESQGTDDEWTPVNTSPNATQGSAIESNFAPGNYGGWADISASPWLYHLFKAERTTDGKLDPRLYWTIGTYESDWEDFEYGNVAYTSKLTATDNIVTNNTYGGLPIAKFTNLRTGLYSTVITGLHDGINLRLMRYSDVLLRAAECENEVNGPTQQAIDWINEVRNRADLPDLELADFPTADKLFEQIANVERPKEFGCEFGRGFDLIRWGFFYKNDRLQQLKEHSVVRRSVTGTKDPVDYNDIATDSELKSSFDTYLPGHEFLPIVQQLLNKNPNLSGNSANFSTDNSTYFSENGWTVHPVVDLSK", "MRILNKLVYACCSIAFGLMALPSCEGGELYDVNAPDWISEKVDSIANSKKDPEEEVLEGMQEDVYSFGNTDYTSGFWTAFSKYYVVPDGQKWHGVFNLNINPADNTYYKNFALVITNDADRGGEGYTEYGAYRFDTTNDTLAYNSQWGSHLFFKYTSSTLMLSPVDNLDEAVQKLGGKVTLTVDRTNENAFSIKIQNASATKTYKQPYKLPNLNADASNTNIRCFLVPEGSYINFLQSNIVPIGGLTSAEDKNPLSMVLQNVPDQVNAGTSLEEAVAGITAIVSFEEGVTKTVTAEELQFTAIPNMNELGTKTLVVIYNKTFKGENCNQPVVANATFEVVEKIVSIQVTAQPAHTQYYYYTSAATETMTDRTLAFLPEGLEVTATYADGSTRVVDNAKLHFSAIPAKAGTQTVTITADEVTATVEVKVAESVVAAVSNSAGIIGAEDNSTGWWTVFSDNFNVPAGETRSISFTNYTSQANNWSNFAIVLRKADLAEYAVVRADNYGWGAGYDGNASLVHNGTQGDWAAWLADMNGAKVTVYVTNCGNGTADIQAVMKGTSGTSYAQYYLGINKLDMNDLNFALTIEGGHLVF", "MKKLLPLWMFLPIFLVLAACSDNSEDIDRYYATVSTPKVTTTTPTSLTVTASVTGDLNQIVKKGFCYSAAASVPTIKDHVVDADENFSASLSTLTSGTSYYIRAYVYCDSRYVYSEVVTATTESLSLDDELKNYVAPTYSDDYTSISDWSKRSQWNLANVHDPSVVLAEDGYYYMYQTDASYGNVHTAGGHFHGRRSKDLVNWEYLGGTMKNLPEWVVPKLNEIRKEMGLAEINPNVNDFGYWAPVVRKVKNGLYRMYYSIVCPGTLNGANTWSERAFIGLMENNDPSNNDGWVDKGYVITNASDKGLNFNVKPDDWANCYYKWNAIDPSYVITPEGEHWLVYGSWHSGIAALKLNSETGKPAESLGQPWATGQAPAEYGQLIATRQTGNRWQASEGPEVIYRDGYYYLFLAYDALDVPYNTRVVRSKSITGPYVGIDGKDVTAGADALPIVTHPYKFSKGYGWVGIAHCAIFDDGKDNWFYASQGRLPKDVPGINASNAIMMGHVRSIRWTKDGWPLVMPERYGAVPKVAITEEELPGNWEHIDLTYKYGEQRTSATMTLAADHTITEGTWKGSTWSYDAAQQILTVNGVELYLQRETDWEASPRTHTIVYAGYANNKTYWGKKSK", "MENKLTIYNTLSRQKELFVPLHAPHVGMYVCGPTVYGDAHLGHARPAITFDILFRYLTHLGYKVRYVRNITDVGHLEHDADEGEDKIAKKARLEQLEPMEVVQYYLNRYHKAMEALNVLPPSIEPHASGHIIEQIELVEEILKNGYAYESEGSVYFDVAKYNKDHHYGKLSGRNLDDVLNTTRELDGQSEKRNPADFALWKCAQPEHIMRWPSPWSNGFPGWHCECTAMGKKYLGEHFDIHGGGMDLIFPHHECEIAQSVASQGDDMVHYWMHNNMITINGQKMGKSYGNFINLDEFFHGTHKLLTQAYSPMTIRFFILQAHYRSTVDFSNEALQAAEKGLERLTEAVKGLERITPATQTTGIEGVKDLREKCYTAMNDDLNSPIVIAHLFDGARMINTVLDKKATISAEDLEELKSVFHLFMYEILGLKEEAANNEAREEAYGKVVDMLLEQRMKAKANKDWATSDKIRDELAALGFEVKDTKDGFTWKLNK", "LRKLYKTAYHTNPMLAENKITLASLYKILFEEEPLTLSDQCMQKLEESFHFLKTFSNDKIIYGINTGFGPMAQYRIEDESLSQLQYNIIRSHATGAGQPLPELYVKAAMIARLYTFLQGKSGIHKELALLITEFINRGITPYVPEHGSVGASGDLVQLAHIALTLIGEGEVFYQGKKRDTASVLAENGLQPFKMHIREGLSVTNGTSVMTGIGIVNLIYARQLMHWAVGASVMMNEIAASYDDFMSEPLNEAKRHEGQQEIARMMRQWVEGSQCVRKRENELYNGKHEEKIFTHKVQPYYSLRCIPQILGPVYDELLNAEKVLINEINSACDNPIVDPDTQNVYHGGNFHGDYVSFEMDKLKIAVTKLAMLAERQINYLFHDRINGILPPFVNMGVLGLNYGLQASQFTATSTTAECQTLSNPMYVHSIPNNNDNQDIVSMGTNSALLAKTVIENAYQVMAILMMGIVQAVDCLEIAGRLSPRSQQVYKEIRAFFPVFREDTPKYKEIERMMVYLKKGRFQEK", "MKYALVTGGSRGIGRAVCLKLAEMGYHILINYQSNDTEAEATLQQIREQGSDGELMKFDVTDAVATHAALNGWMEKHPDTYIEVLVNNAGIRRDNLMLWMEQDEWSRVLDISLNGFFNVTQPLLKNMLVKRFGRIINIVSLSGIKGMPGQTNYSAAKGGMIAATKALAQEVARKHVTVNAVAPGFIRTDMTNGIDENEWKKQIPAGRFGEPGEVAALVGFLASEQASYITGEVISINGGIYT", "MRRVVITGMGIYSCIGKNQDEVKDSLFQGRSGIGIDPARKEMGYFSALTGLVERPDLKKLLDRKKRHSLAEQGEYAYMATLEAFRQAQIDEEFLLDHEVGILYGNDSSAAPVIEAIDVIRAKKNTAMVGSGSIFQSMNSTITMNLSVIFHLKGINFTISGACASGSHAIGMAYLLIKSGLQDCILCGGAQEVNPYSVGSFDGLGAFSTREDEPEKASRPFDKGRDGLVPSGGGASLVLESYESAVRRGATILAEVIGYGFSSNGDHISVPNVDGPRRSLQMAINDAGIPLEEIQYINAHATSTPVGDLNEAKAIAEVFGNHRPYVTSTKSQTGHEMWMAGASEVIYSILMMNNGFIAPNLNFEEPDEASALLNIPSRRIETEFDTFLSNSFGFGGTNSSLIIRKFKENN", "MTNEEIIEKIRTTLAEEFEIDVEQIQPDAPLMQTLELDSLDLVDMVVLIDKNFGFTVTAKDFAGIKTFQDFYNLVISHMQKED", "MSETWKGKTRGGIFGYMFFIYMIRCLGITAAYGFLALVVLYFIPFAPKATGNTWSYARNRLKYGRLKSVALLLKNYYRLGQILIDKVAIGNGMTGKYHFKFENYQAFLDVLNGNTGVIMIGAHVGNWEIGAPFFDEYGKKINIVMFDAEHERIKEILEKNASTRDYKIIPVNEDSLTHVFRITEALDRREYVCFQGDRYLNKEKLLTTSFMGKEAKFPMGPFLLASKLKVPVVFYFAMREAQRTYRFHFFIAETVVRTKEKRAEQALLEQYTQTLEKIVRQYPEQWFNYYPFWT", "MMHKRYTKEQCTAAEAQRLAQEIAFGPVVFQVSRLMLKFGIFQLLSGKREGYTLQEISGQTGLTRYAAQVLLEASLTIGTILLEEDRYVLAKAGWFLLNDKMARVNMEFNHDVNYQGLFHLEEALLNGRPEGLKVFGEWPTIYEGLSQLPEQVQKSWFGFDHFYSDQSFGKALEIVFSHHPKRLLDIGGNTGRWATQCVQYNKEVEVTIVDLPQQLEMMRKQTAGLSGSERIHGHGANLLDRDVPFPTGFDAVWMSQFLDCFSEEEVISILTRVAQSIGKDSKVYIMETLWDRQRYETASYCLTQISLYFTAMANGNSKMFHSDDLIRCIENAGLEVEEIQDNIGLGHSILQCRLK", "MSSKEIICQGEELFRLIPQRPPMVMIDRFYGIEENTSWSGLTVTPDNLFCRDGVLQETGIIEHIAQSAAARVGYIYMLRKEPVPLGFIGSVEKMKLFRLPSAGAELYTGITIVQEVFDITLITAEVKENDELLAECRMKIYLKKE", "MKRKTSPHQAALTDRTTIKVRFSEIDSMQIVWHGEYVRYFEDGREAFGKRYGLDYMSIYREGYVVPIVDLTCQFKQPLSFGEEAIVETRYIHSDAAKILFEYTIYRASDQNIVATGTTTQVFLNTNRELELVNPAFYIEWKKKWNII", "MEHHLTTYITHDTLISALGFGTQENLEAIRSYHSGITLQTDKRIADTPLLAATLSQERLQQQAEAIGVSGYPRMEQLFILTINELIRQSGQTLEDKTCGLILSTTKGNIDLLARHTEHPDEAVFLWKMAENIAGYFHAEERVHVISNACISGVSALIAGKRMIENGIYRRVIVAGGDLLSHFITSGFGSFRSLSSRPCRPYDSSRDGLNLGEACGAVLLSSEGTEEHVILSGGAVSNDANHISGPSRTGDGLYFAIRQAMQEAGTAPQDISFVNAHGTATLYNDEMESKALTLAHLEQVPVHSLKPYFGHTLGASGIIESIVCMHELKQGILFGTPGYETPGIPMPIPVYATHRSIPMKHCVKTASGFGGCNAAIVLSLPEYTPFKDEDNTLPEIRCTREVRIENSSVFINNELIFHSEEPDFGTFIRDTYKKTCGNNLKFYKMDDLCKLGYVAAEYLLEGKTFAPLEMGMLLANAASSLHTDIRHQQLIDREGDQAASPAVFVYTLPNVVSGEICIRHKIQGENTFFITEAYQPEKLERYARIVMQKGKLNYCIIGWCELWKNTYKAVFKLIEKQ", "MEELIDKLKVELIEALNLEEITPEDIDSEAPLFGDDGLGLDSIDALEIILILERNYGIKIENPAKGKEIFYSVRTLADYITAHQK", "MRKIYVTGLGVISGIGKGVEENLDSLKAGKHGMGKITLFPTALDVPVSEVKQSNEELKKLLSLPSGKTYSRTALLGLWAAQEAARDAELDFTSPRIGLISSTSIGGMDASERFYASFREDNRKGRLRDIISHDCGSSTEMIAAYLGVKGTVTTLSTACSSAANAIMLGARLIRHGLLDAVLVGGTDALCRFTLNGFNSLMILDKEHCRPFDRTRAGLNLGEGAGYLVLQSDKSLTKAPYCELSGYANANEAYHQTGSSPDGDGPFLSMSQAIASAGLTAGAIDYINVHGTGTPSNDASEGKAIRRIFDTRIPPFSSVKAFIGHTLGASEGIEAVYSVLSIRHGLIYPNLNFHLSDEESGLIPETVFRSGLPIRHVLSNSFGFGGNNSSLVFSTLTQ", "MCPVYINRIASIHAESRNEKPYFSAQEPDYKEMITNANLRRRMSRMIKMGVACGLECLKDIPSEKVDAIITATGLGCLADTEKFMNALMDNREQMLNPTAFIQSTFNTVGAQLALLLKIHAYNVTYVHRGLSFESALID" ]
[ "TCGTTAACAGCCAAATATTTTTTGAATTAAAAATCGTACCTTTGCAGCCAAATATATAGGTATAGT", "TCAATCTACCTTTAATATAAAAAATCCCGGAGACCATATTACCTTCGGGATTTTTTATAT", "TAATCTAAATAATTTCATTTGCTATCATATTTGGTTTGCAACAAATCTAGGCATTTTCAAGCATAAAAAAAAGTGGTTCCCGATAGGAACCACTTTTTATATGTTAAATCTGTAAAATTCAGA", "TTTTTAATGATTTAATTTGGTTATTGTATAATGTTATTTTTACTCTTTATTTTCTGAAAGAAGATGTTTTTCAACACCCCCTTGTCTAAGGCGCTACAAAATTAGTGCTTTATTTTAAAAAAAAGAAGTTATTAGAGAAAAATTTCCGTAATAATCAAATATTTATATTTGTGTAACAAAAATGCAATTCGTACTTTACAAATCTAGAAATTATTTGTTTCTTTGCAGGTCAAAGTCAACAATATAACAAAAGCATAGAT", "AGCTCAAACTATAAGGACAGTTTCAGGTGTGTTCTCTTACACACTAAAATTCTAAATTAAATGGTTAGTAACCGGCAATTATTCACTGCCTATTACTAACCATTTAATTTTTAGAACAACTCTGTAGATCCGGTTCCTACAGGGAAAATGAAAATA", "AGTCTTTACTTTCTTTACGGTTA", "AACTTATTATTTAGGGTTACAAAATTTCACTGTTTGCAAAGTTCGGAAAGTTCTGTCAAAGGACAAAATATTCCGCTATCAAACTACGTTTGAATATCAAAATGTACAAAATTAAAGGTTTATTTGTTTCCAAGCTAAGAAAAAGCAGAGATAATTACCTGTTTAAAAGCATAAATCCCTATCATTATGACAGCATCAAAAACGATTCATTTTTACAATTTATTATTTTTATCCATGTTTTATGAACAACATGAAAGTTTATAGCAGAATAACAACATTATTAGATTATAAAAAACAGACAAAAAACAACAAAACAACAAAGAAAGGATTATTTTCTTCCCACTTAAGACATTTTTCAATCTTTCATATTGCCATTTTAACAAAAAGAAGTACCTTTGTACCCATAAAGGGGCCTTGTAGTTCAACGGATAGAATAGAAGTTTCCTAAACTTTAGATAGGGGTTCGATTCCCCTCGGGGCTACAAAGAGCAGTAAACAATTGTTATACAACCGTTTACTGCTCTTTTTTTACTGGATAGCTTTCAAATCTGC", "AGCTTTGTTTTTTTATTAAGTATATAGTTTAAGTTTAAAAATCAGTCTGACTTGACAAAGTTCTTATTTTTTTTTGATATCCGATTAAATTATAGAGAAAATTTTGATAAAATATGCAGTTTTCTATCTATCTTTGCTTTCAAAAGAAAACTTAAAGCAAATAGCGAACT", "ACCACTAATATTGAATAAATAAAATAGCCTTCTCTATTCTATATGGAAAAGATAGAGAAGGCTATTTTTATACATTTCTTGCACTTATATAAAATTTTGATCGTATCTTCGTGCAAATTAACATACTGATTAAAAACATACT", "CTATTTTCACGATTATAGCCAAACAGAATTGATCTGCAAGATACAGCGTAAAAAAGCAAGTTAACTTCATGGGACAAGCAAGTTAAGTTGAAATGCCAAGCAAGCTAAGTTACTTACCCAATGTACTTAAGTTAATTTTTGAAGCCACAGAAGATTCATCTACCCTTTTTCCACAAACCAATTCCAATCGACCATTATAAAAAGAGGAGGATGCTCATGAATGAGCATCCTCCTCTTTTTATTTATTTCTTAAAAGAAACCTTC", "GTTACTTTGAAATTTATTATTTTTACTTTTTGATTAATTCTTAGTTTATACAAGGTTGCAAATATAGTTTTTTTTTCACATTCCCACGGTATCAAACACAAATATTTAGATTCCAAAAGAGAAAAAAGGCTGCAAAAGCATTTTTTGCAGCCTAAATTATTCTATCTCACAGTCTATT", "AATCTGTCAATTAAAGGTATGTAAAA", "ATA", "AAATATTCTTCGTCTTATAAAACATTGATTGCGCGCAAATTTACAATTTTATTCTGTTATATAACAGAAAACAAGAGTTCTCTTACGTTTTTTTGATTTTTGGAGCATCTTTTTTAGGCTATCTGTTTGATTTCTAATTTTGAAAGCATATATTTGTCACTGATTTTGGTAAAACTTTTGTGTTACATTTAATAATTAATCATTTTCGTT", "TTGCTATTTTACATAAAAGGACTTAAGGAAAGGGGCGCCGGTACTAGCGCCCTTTCTTCTTCATTTTTCTATTTGAACCTATGAAACCTAAA", "AAACATAGCAAGCATTCCTTCCTTAATAAGAAGAGTATGTCAGAACTCCGATGGCACTATCATATAGATTGTCATCGGAGTTTCATTTCCCATCCTACATTTCAATTATAACCTGAAAACAAGATCAGTCTGATTTATATTTGCTAGCTTTGTACTCAAAAAATCGAACAC", "AGATGAGAGAAACAGAGTCCAATAATAAAGTCCTACTAGGC", "TTCCAGACACTTTCAAATCATATTTCGGCCCTCTTTTTTGCTGCTTCAAGATTTTCTTGATAACTTTCCGTCGTTCTTCACCTTCAGGTCGAGAAACCGGAAAGTGAAAATAGACATGTATCCGGATCAAACCTTAAATAAATAGAG", "ACAGGACTCATCCAATAAGAGGATATTTCAAAAATCCGAAATAACCATCAAATGTTCCACCGTATGGGCAGGCCCATGAGCCCGCCCATACAACATAACGACAACAAAAATCACTTTTGACTCATTTACATAAAACATAAAAGCATTCCATCACAACAACTCACTCATTACCAAGAGCTTCCCGTGCGACAGTCTTTCAAAACCTCCTCAATCGGTATGCCGGTGGCGAAATACATCTGCTTCGGGGATGCTCTCGGACAGAATGTAAAATCAGCCCCTCGTTACCTCCCGGTAGCTCGTAGGCATCAGAAGAACCTATTCTCATCATACCTTCACCTCACTCCATTTGATATTTCACCCAGGTGAAGCAGTTATTCCACTTGGGTGAAAGCGGCTGTTCACCTAAGCGAAGCTGCCTCTTCACCTTAGTGAAGCAATGAACGCACCCGAACAAAAATACGCCTTATGACGCTCCCCTGCAATGGAACAACCGTAGCTATGACACAGGAATCAGAACAACCGATATCCGTCAAACCAAATTTGGCAGCGGAGAATGGCAAACCGTTTCGGGGGGATTTAGAATGTATTTTCACGGTACATTACTGATTTACAGCAAAATAACGTGAAAAATCCAAGATGACAATATACGTATAATCAAAAAAACTCCCTTGGTACAACCAAGGGAGACAGGTATACATTTCCTTTAAAAGTTCCGGATGCAGTTGGGATTATAAAGCAGCCCTTCGGCTGCTTTATGTTTCTCTATCCTCTGTGTTAGCATTTAAAGGAATGATTCATCTT", "GATAGTAATATTATTAATTTTTA", "AGTATTTTGTTTATTATATGACCATTTGCTAATGTACTAATTTGTCAATGGCCATGTGGCATATAGCGCAGCCAATTGGCACATTGGCATATTGACACATTGACTAATTATTATTAATGCAAATATAGCTGCAACCAAAAGCTCGCCAGGTGGACAATATCGCTTAAAGGGTGGACATTTGTACAGCAGAGGGATAAAAATGATTTGTTTGTTTTTAAGGAAAAACGAAAAGGTATATCTTTGTTTCCATAATGGTATATTCTTACGTCATTAATGAACTACACACT", "CTTATTCCAGAGCGTAAAATGAACGGATTGTATACCATAAATGCTCCGTTTTTCTACGCTCTCGTCTCGCTAAATAAATTATCTTTGCTCTACATTATAATAGCATATTTAATACCCATGAAAAAACAATTT", "TTCCTTTCAGAGAATTTTATTTGTATTTTATCCCTCATGTAGCCGGACAGTCCTTCACCAGGTTGTCCGGCTACATGACTTTATATAAAAAAAGCCTTCTCCTAAGCCTATCTCACCACCTCCAAAAGACGCAAACTATAAGCGGAATAAATACATTTTTTTCCATTATCCATTCGTCCACCCTATAAAACCGTTTGTCCACCCCTGTTTACGGTGTAAATTATACTTTTGTCATGCAATCGGTGCTCAATCTTTCGGAAGAGAACCCTGCTTTTAAAGTCTAATCCTAATCTTAAATATTATGAAGAATAGCTCCAGCATATTTATAAACTCTGTGGTTTGTCTACCTAGAGTATTCAACATTAGATTGTACAATAATCCAACATCTCAATTCAATACATCAGCAACAATTTAATCTACTAATTTAATACTTAAAAAA", "TCACTAAAAACATAACAAT", "ACAAACCTATTAAAATGTAAGACA", "TCCGAATCAGTAATCACACAAGCCATGCCGTTTTCCTCAGGAAGAAGCGGCATGGTCTTTAAACTATCAACAG", "ACATTCCCGCTCCGCACGCAAACTTCATATAGAAACACCACCACTGCCCCGTAAAACAACACCAAGGTTTATGAGGCAGTGGTCCTGTTTTACAAATCAATAGCAGAGATTAAAGAGCATAAACAGAAACAAGAGAAACCTATTTCATGAAAAGGAAAAAGAGTTAGGTTCCCTAATCTCCATACAAAAAATCATCATTCTGTTCTTTTACATTCAAAGACAACCTACTTTTATTTATTACCATAAATCTCTATCCTTTAAACCAACAAACACCATTTGTAGACAAGCAGAGGACATCAGCATCAGATTTTTTGTTAATTATTAGCCAAATACACACTTTAATTTATAACTTTGCAATTCTAATAGAATGAATT", "GATACATAGAGCGACACTATATTTTTCACCACAGACTATACAGATTCACACAGATTACCCACCTGACAATCAATGACTTAAGAAGCATCTGCGTTTATTTGTATAATCTGTGGTGAACCTTTCAGATAACCATCAAAACTGAAAAATCTCCCTTCGGATGGTAATACTTATTTTTAAATAACTATTT", "GCAGAAG", "TTCAAACCAATATATAAATTT", "AAATAAATCTGGT", "AGAAT", "CGT" ]
[ true, false, false, true, false, false, false, true, true, false, false, false, false, false, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true ]
[ 0, 2, 4, 6, 7, 9, 11, 13, 15, 16, 17, 19, 21, 23, 25, 27, 29, 31, 32, 34, 36, 38, 40, 42, 43, 45, 47, 49, 51, 53, 55, 57, 59, 60, 62, 63, 65, 67, 68, 70, 72, 74, 76, 77, 79, 81, 83, 85, 87, 88, 89, 91 ]
[ 1, 3, 5, 8, 10, 12, 14, 18, 20, 22, 24, 26, 28, 30, 33, 35, 37, 39, 41, 44, 46, 48, 50, 52, 54, 56, 58, 61, 64, 66, 69, 71, 73, 75, 78, 80, 82, 84, 86, 90 ]
[ "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123329|+|64249:64740", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123330|+|64854:65708", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123331|+|65759:66451", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123332|+|67195:67830", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123333|+|67805:68347", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123334|+|68349:68729", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123335|+|68838:69122", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123336|+|69195:70379", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123337|+|70501:71694", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123338|+|71687:75541", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123339|+|75534:77024", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123340|+|77056:78681", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123341|+|78683:79978", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123342|+|80029:80604", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123343|-|80722:83145", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123344|+|83243:83341", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123345|+|83344:84165", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123346|-|84254:85063", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123347|-|85060:86982", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123348|-|86987:88525", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123349|+|88640:89650", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123350|-|89660:93733", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123351|+|93918:97172", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123352|+|97193:99106", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123353|-|99014:99196", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123354|+|99208:100644", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123355|+|100648:102033", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123356|+|102040:104115", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123357|+|104134:105687", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123358|-|105832:106758", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123359|-|106761:108026", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123360|-|108045:108281", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123361|+|108434:109012", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123362|-|108984:109529", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123363|+|109850:112891", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123364|+|112891:114594", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123365|+|114840:115349", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123366|+|115419:116222", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123367|+|116219:118873", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123368|+|118880:119845", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123369|+|119852:119971", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123370|-|120010:121035", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123371|+|121140:122171", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123372|+|122172:123095", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123373|+|123098:124204", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123374|+|124288:125004", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123375|+|125020:125772", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123376|+|125787:126686", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123377|+|126914:127825", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123378|+|127825:128718", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123379|+|128715:129893", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123380|-|130980:131357" ]
[ "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000035|+|64741:64853", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000036|+|65709:65758", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000037|+|66452:67194", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000038|+|68348:68348", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000039|+|68730:68837", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000040|+|69123:69194", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000041|+|70380:70500", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000042|+|77025:77055", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000043|+|78682:78682", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000044|+|79979:80028", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000045|+|80605:80721", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000046|+|83146:83242", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000047|+|83342:83343", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000048|+|84166:84253", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000049|+|86983:86986", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000050|+|88526:88639", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000051|+|89651:89659", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000052|+|93734:93917", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000053|+|97173:97192", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000054|+|99197:99207", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000055|+|100645:100647", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000056|+|102034:102039", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000057|+|104116:104133", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000058|+|105688:105831", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000059|+|106759:106760", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000060|+|108027:108044", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000061|+|108282:108433", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000062|+|109530:109849", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000063|+|114595:114839", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000064|+|115350:115418", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000065|+|118874:118879", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000066|+|119846:119851", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000067|+|119972:120009", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000068|+|121036:121139", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000069|+|123096:123097", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000070|+|124205:124287", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000071|+|125005:125019", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000072|+|125773:125786", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000073|+|126687:126913", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000074|+|129894:130979" ]
[ "AMDEITPTSHIIQQRLGLLKGTTAGEGAQFFLLSAQKEEQTFAELKGVDTFITRMSAPEISNRMHHFLKSHGLAPEDIDWFISGKNGQEATDAVYTDLEHSLFPHALHSNFKEQCGEYQTASSYALWMAAKALKEEASSRYALIYNQYQGINHSIILIKRCTS", "MKKITVTNIDISISVRPDNNDYICLTDMAHFKDRERTNYIIQNWMRLRSTIDFLGLWEKLNNPNFKGIEFDAFKMESGTNSFTLTPKQWIEKTKAIGIISKPGRYGGTFAHKDIAFEFGTWLSPEFKLYLIKEYQRLKEAESHPLLSEWNVKRILSKVNYSIHTDAIKDFIIPKVEDFNQKLVYADEADLLNLALWGCTARQWREANPQYADKNINIRDVASINELVVLSNMESFNAELIKRKVDKSKRFTHLRQMAKEQLAHLNTIDTEKKFRILTDNDKQLE", "MHILVSVVIIVSVMAYFFYASYSIRACIYMRVFCCKKTEEKIIAITFDDGPDPIQTPKVLKVLREKHIPACFFCIGNKIKGNEELLRQIIKEGHRIGNHSFSHSGYFPLYTFKRMCHDLITCQQELEKVTGQPVRWFRPPFGVTNPTLAKAVRRLGYIPIGWNIRTLDTQQPTPEKIIKHIKKRLVPGSILLLHDRMPDSDRLLVQVLDFIEKEGYTVVALDRLIKDMTK", "MTYMKILLLLMFCTVLPMQAQQMKKLTDLKDFEARLLQEAKNIESIESNFTQIKYLDVFDEKITSKGKFYYKKSNKICMDYAQPMNYLIVINNNQLKIVSDGKKSIMDLHSNKMMNQMQDMLTACMVGDLSNMSPGYALEYFEDAHYYLIQIKPVSKAIQAYINQIQIYLDKKDMSVHKLRLSETATNYTEYEFSDKKFNSLKDEAKFTIR", "MRQSLRYASWLLLLFILLSCSHRVTGEATALPPILQAEAQSQKYNLQLDFMKHHFSGMLIVRQMPDNEIRILGSTYFGLSLFDFSLHCDTFIVNSCIEPMRKKKMLKILETDFKNLFLKSEKARIKKKSSTFEQRISGKGFGKTVFTLSGFVNGQAEKVQIKHPLIRLRIQLDKLNINNP", "MLLENFYKIIHIKEREDGKQAIEIELNPGHVLYQGHFPGQPVVPGVCTLQIIKESAEQIANQPLQYVQIASSKFLSAINPLETPLLQLFIRLEKTEEHLFKLQAEGICNGKEFIKLKAVLMASKYQ", "MKTKFTMIMAVIATFAISLNLHSSNEEVVFGAPAVQMPRGGPKYCAFEAEWENCKMAATGTVCISEDGKCGTGVEVGAPGEGSGEGGNHGAPTN", "MNRTLLFISLFSIFILVSCQSSPKNEAGKQNEVELVAEKQLAFPLDEQTYYLSKSMFQFEENGKEYLHFENTQKSLYDIVIFDIENQQIAKRIPLHKTGPNGLPAVFGSRPSPDSQYILVAQNNISRLSSINSQGEIIRNYNFQTPEGRFTPLSFGSYYNAPAFIKDSCIFLRQEILKPDMKKEDWPRTHMFASQDLRTGEVKWIPIFYPPIFKEEYDNIAGGYGFSYDYNYKESRLVCGFFGYDSLMVTDDLKHIRWYNAKSRYLKSMKPKLGNSMEGINAIIKLNENPRYWHIMYDKYRNVYYRFAEMPYKLASNESPYDEPKGKEFSVIVLNADFEIIGETKFPGKKYFYKKSFVGREGLYISENNLENPQFDENKLVFTCFKIKNASPNK", "MSTNTTSVWRKKLKELEIVVVIPTYNNGKTLAAVIEEVCRYADDIIVVNDGSTDDTANILEQYPAIRTITHPVNKGKGTALKHGLSQAKKEGFRYAITIDSDGQHFASDIPCFIEAIEKEPDTLLVGARNLASDNMPGKNTFANKFSNFWFRLETGLKLEDTQSGYRLYPLRKMNVQSCWYTAKYEFELEAIVFAAWGDVAVKNIPIHVYYPPQAERVSHFRPFRDFTRISVLNTVLVLITCLWIVPRNLLRKLSWSNCKRFFTDHVLNTRESNLKIVLAIMLGIFMGIVPLWGYQMLITLFLAHLFRLNKVIALVAANISIPPMIPLLLYGSYRTGCMVLGNPPDLHLGDLSLENVKSVLEQYLIGSIIFAMACSLLSGVISTVLLAICRRKNGYD", "MTKFFVGLYNYFERHKVLFYLSLSVCILFMALFAAQVRFEENVTSFFPDTKDSQNAINVFENLKIKDKIMIMLSGKDGVADADSLIEAAETIKQDLQQQAEGTLIKEIFSKADENLINSVGDFVYDNLPLFLSDEAYQRLDTLLTAGNIAALMQKNYSNLISPAGFALKNYIMRDPLGLGSQTLKHLQDFQLEANYELINEHIFSRDGSTLLMFITPVFNTGSTGKNDKLIRLIENELQKAEKEHPQLVAEYFGGPSVGVYNARQIKKDTLVTSSIALIIIIVFISLVFKHKKSIPLIITPVLFGALFALCLIYFIKGGISAIAVGAGSAVMGIALSYSIHMLAHQNHVSSVQQLIKEIAYPLTVGSFTTIGAFFSLLFTSSNLLRDFGLFASLALIGTTLFCLVYLPHFLKGQAHVKQGAVLRFIEKLNAYPYEKNKGLVGGILVLTIICLFTSQNVRFNEDMMSLNYEPAHLKQSENKLAELFDKKEKTVLFVSTGKDMGDATGQYAETNRLLAQLKEEGKIKDYASAGQFLIPEEVQEARLKQWHNYWTPEKKARLRETIRTEAARYHFREHAFEPFFQWLDRPFQPLDYQNEITTRLLNEWQTSADSLTMLITQVRMNETDKEAVYPLFQPKEKVVIFDRGYFASQWVSAVNQDFYLILYISSFLIFFALWISYGRIELTLMSFLPMLVSWIIIVGLMGMLGIEFNIINIILSTFIFGIGDDFSIFIMDGLQNKYRTGRQLLNSHKTAIFFSAFTTVIGMGTLVFARHPALQSISLISILGMIAVVLVAYTLQPILFRFFITAPASKGLPPYTLTGLARTGGLFLLFFIGCLFLRLLIAVMTLLPIRKAYKKQVLCQLIHVTCKSLIHIATFVHKERINRTGETFKKPAILIANHQSFIDILVLLALTPKLVMVTNHWVWHSPFFGAIIRYADFYYVGDGYELYVERMRQKVKEGYSIAIFPEGTRTYDGRMKRFHKGAFYLSEKLQLDIIPVILYGNCKIIAKAQPFNVRKGIMLTEILPRIPANDATYGTTYQERTKNISARMKKEYARICREQSTTDNPVFYENLVQNYIYKGPVEEWYIRIKVKMEDNYRLFNQLVPVKGQITDIGCGFGPLCYMLSQLSEEREITGIDYDEDKIAVAQQGWLRTPHLQFVYANALEYPLPESDAFILNDILHYMNYEHQRTLLLRCMEQLRPEGKLIVRDGNAANTRKHRLTRFTELLSTGIFSFNKTTEQLCFTSEAQIRSIAQEGGMQLEILPNDRYTSNTIYIFQKNKPEHE", "MSKYDIIIIGSGLGGLECGAILSKEGYHVCVLEKNELFGGCFQTYQRGGHRMDTGIHYIGSLDEGQIMNQYFRYFGIMDKLSIKRMDEEVFDRIYYKDAIYDYAMGHERFMETLCHSFPHERENLKRYVAAIRSVGNLISTDHLKKGRLSQEGMDFFATSAAGMIASVTTNRDLQNVLAATSLLYGGIKNKSTFYEHAMINNSYLESAYRFTEGSMQVSLELIHIIRANGGTVLNRKEVTRILVKDEAIQGVEVNHEEILESTYVISNLHPQLTLSLLDKNHSIKPAFVSRIKSLENSYGIFTLNLMMKKDCCPYQNHNIYLHGNEDVWYEKEMHKGNTPSCMISMQVPRGNSKFTEVVSILTPMYMDEVSKWTDTTPEHRGEAYRQFKEEKTEQILQFLRRFGFHWNHCIEKIHTTTPLSYRDYTGTIDGSAYGIVKNYQYPQISFVSTRTKLKNLFLTGQNLNVHGALGVTLTAMLTCSEFVGQEYLAKKVGNA", "MALLILIAAIMIGMSYLYLSADMMTPQFASTPETDRVIRKDSLRQYGGNYLRHSESGLWELKVSGPAYERGKAIGQLTSDLLYFQEKVFVDQIKEIVPSESYLKFLRFFIVLFNRNLGKNVPEEYRDEIYGISLSCTHEYDFIGTPYERQLNYHSAHDLGHAMQDYMLVGCSSFACWGENSADSSLIIGRNFDFYMGDAFARNKLVSFYQPENGYRFASVGWAGMTGVLSGMNETGLTVTINAAKSDLPAASATPISILTREILQYASTIEEAYAIARKRKTFVSESILVGSAKDGRAAIIEKSPEKIALFTGNGQQIICTNHYQSETFGHDKRNLENIETSDSPYRFARLQELLKENAPIDAPKAASILRNRKGVGEAELGLANEMAINQFIAHHSVIFQPEKKRMWVSTAPWQCGKYVAYDLNRIFSDSIDFNHEIYTENLTVPADSFLQQQEYQQLMTYKRLAPVLRKQIKKKERLDEQTLHAFQHANPHFFYVYELLGDYYHATGQQDKALRNWKKALLLPIPKRSESERIEHKINN", "MNKNPEIQFRSPEEIKAYQESRLAEELLYLQNHSRFYQHLFQAYHIDIQQIKTIEDLQQIPVTTKTDLQLHNEDFICVSRDEIIDYVTTSGTLGDPVTFVLTSEDLDRLAYNEYLSFTTTGCSRQDILQLMTTIDRRFMAGLAYYMGARELGMGVARVGNGIPELQWDTIRRIHPTCGMVVPSFLIKLIEFAEKNHIDYHHCSMQKCVCIGEALRNPDFTLNTLGKRIHEKWDSLQLYSTYASTEMQSSFTECNEFHGGHLQPELIIVEFLDDNNQPVKEGEAGEVTITTLGVRGMPLLRFKTGDICYHYTEPCACGRNTIRLSSILGRKGQMIKYKGTTLYPPALFDILDNIPHIKNYIVEVYTNELGTDEILIRIGSENRSEAFAKEIKDLFRSKVRVAPSINFESAEYIAKIQMPPMSRKIVKFIDLR", "MKQLLVLLFLVSSMACFGQNKDALKDISSVTFYGIDFTRAKVYGAKEGPMQFKYTFDDINKLFITEPKKYDIGKRLGVNVEVTSLEAVNDANKTINPDEIMTTNSGYTLDEKQIEEVIKTLPILSQEEKTGLIMIAMLLNKADARATYQIVFFNTKTREILYSAPTNGKARGFGLRNYWAGSVHSAMKKLD", "MGKIQENDARLQQLVSMARIGWWEVDFDEGVYYCSEFVADLLGIEGNKISAKDFANLICENYRERILEEFRSFRMMEIYEQVFPIHSKYGMMWVSTKVGEKRITKEGHVRVMGMLQCISRQRMNMQEQTVDRLNSLLSRLNGISKSLLDFLHSDDITLVINKILKEVLRQFQADRTYIFELDRKLHTEVCTYEIAVEGIKERKVLLSESSIDYASWWTGQILAGDPIILFTLNLLPDSAGADKRRLEEYGVKSTMVVPLNSKDGVWGYIGVDMVREHRNWCNEDYQWFVSLGNIISICMELRRSESEARLEKAYLQNIYKNLPAGIELYDKDGFMTDLNDKEMEIFGLRHKEDVIGLNLFDNPLLPQGLKDKLKAGAPIDMSFNYDFDRLDGYYSTSRTGTISLISKFAPLYDALGNLINILLINIDNTETTNAYSKIQDFEEFFTLIGNYAKVGYAHFNALKCDGYAVNSWYRNVGEKEGTPLNEIIKVHSHFHPDDRRMMLRFFDQVLIREASHLRRDVRILREDGTYTWTRVNVMVRDFRPEDGIIDMVCVNYDITELKETERKLIAARDKAEELDRLKSAFLANMSHEIRTPLNAIVGFSSLLTETEDMKDRKQYMAIVQENTELLLQLISDILDLSKMESGAFEFVKSDTDVNLLCSEIIRSLRMKVPAGVELVFEECLPGCHVWADKNRLNQVISNFINNALKFTFSGSITLGYYRQTDGYLRFYVRDTGMGIPKNKIKTVFDRFVKLNSFVHGTGLGLSICKSLVEQMGGTIGVESEEGEGSCFWFTYPYQEMAGSILVP", "MPEIYFNAVHLQINSELCIFVKELHYKPYKFV", "MKRNILLLIILTVISLEASGQKYTIYVDDFNSEIKIPNRAINLIRLAFIDGIRNTNRINVVDAVSAGSDLSLSPLEDARRFRAEYLLKGNLIQREATDDGSSHRRYHSRENSYKEKFTLRLDLIRTSDGVTISTRNYEETGSASGKDATQYSALENSLINVPYEMGLFVENHFKVYGSILKVVSTKRDKAKTIYINLGYDDPIKEGLRFDVVEDGILEGHNIETKIGEIRITEIMGPKISLCKVNKGGETILTALNEGKTLKLISRQAKLFDE", "MKKTILILLCGWFAIMATRAQCAAQNEAIQAGEELVYDLKFNWKFIWVAAGQAKMDMQAITYQGKPCFRSNLISVSNRQVDFFFKMRDTLTCITSSRLEPVYFRKGAEEGDRYTVDEVWFSYKNGKCIADQRRMRRERDTVKSKDQSDECIFDMLSILMRARSFDVSDYKVGDKILFDMATGTKVEQQTLIYRGRKNFKAENGVKYRCLVFSLVEYKKGKEKEVITFYVTDDKNHLPVRLDLYLNFGSAKAFLREIKGNRHPLTSIIEK", "MNWKKHKLPALLMLMIVVYSCASMGTPDGGPYDEEPPKFIRSSPKPYATNNKNKKIAIEFDEFIKLEKTSEKVVVSPPQLEQPDIKASGRRVLVNLMDSLKPNTTYTIDFSDAIVDNNEGNPLGNYAFSFSTGEAIDTLEVSGNVLAAADLEPVKGMMVGLHADLSDSAFVKKPFDRVSRTDSRGRFTIRGIAPGKYHIFGLMDGNQNYLYDSKTEMIAFCDSIIIPSMEAAVRQDTLWKDTATIDTIKTVGYTRFLPDNIVLRAFKGINNRQYLSKSERDKENHFILSFSAPADTLPVLKGLNFDEKDAFIIETTPRNDSICYWIKDSLVYQMDTLEVQLDYLYTDTLNRLVPKTDTIYLANKLTREQREKLQKKANEEKEKERKKREKKGDTIRVEPTKFLTMNVDAPSAFDIYRNIYLSFEEPVASIDTAAIHMEVKVDSVWQPAPFFFMADSLMPRQYQILADWQPEQEYQLTIDSLAFIGIYGLHTDKVQQTVKVKKMDEYGTILLNIKGTAPHAVAELLDANGNVLRQQPVTEEGTADFYFLNPSTKYYIRLFNDHNNNGVWDTGDYDKKIQPEEVFYFPKVWEMKANFEFEENWDVNAIPIDKQKLDEIKKQKPEETKKVQDRNKERARKLGR", "LQDITNRILRQMNSTRLISTLFKPRQKATALYATQAEALQHKVFCRLMKDAAHTEWGLKYGYKDIKRYQDFQRVPIQTYEEIKPYVERMRHGEKDVLWRGEVQWFAKSSGTTNDKSKFIPVSREGLHDIHYAGGMDAVALYLQQNPESRFFSGKGLILGGSHAPNYNVKRSLVGDLSAILIENVNPLVNLIRVPDKKIALLSDFEEKVERITRATMNQNVTNLSGVPSWMMAVLKHILEVKGTDNLAEVWPDLEVFFHGGVAFTPYREQYKQLIRSDKMHYMETYNASEGFFGLQNDFSDPSMLLMIDYGVFYEFIPMEDVGTENPHIVPLTDVELNKNYAMVISTSCGLWRYMIGDTVKFTNKHPYKFVITGRTKHFINAFGEELMVDNAEQGLAKACEATGAQIIDYSAAPVFMDAHAKCRHQWLIEFAVMPDSLENFSRVLDTSLQQINSDYEAKRHKNITLQPLEIIVARPNLFHDWLKEKGKLGGQHKVPRLSNTRDYIEEMLSLNQ", "MKIGILTSGGDCPGINATIRGVCKTAINHYGMEVYGIHSGFRGLLDNDVEPLTEKSLSGLLNLGGTILGTSREKPFKKRLSAASEDKPALMLKNIHDLGLDCIVCIGGNGTQKTAAKLAQAGVNVVSVPKTIDNDVWGTDVSFGFDSAVTIATDAIDRLHSTASSHQRVMVIEVMGHKAGWIALYSGMAGGGDVILIPELSYDIHNIGNTIIERLKKGKPYSIVVVAEGIKTMDGKKAAEYIAQEIEYETGFETRETVLGYIQRGGSPTPYDRNLATRMGGHATELIASGQFGRMVSLQGAEIGSIPLNEVAGKLKLVNENHDLIVQGKRMGICFG", "MNLKILSLVIIGVCILLPDVCYAFLSKDVHLLNMQNGLADNTVSAVYKDKEGFIWFGTRNGLSRYDGRRITNFERSRSYPSISNLKEVFDGVLAFVDNGVFSAFDLKKERFLSVVSSSGQGIPSRGMLQRNDSLVWVISGSELHLMKRCASKEGELRLRVQEKYTGWNNQDNLLVAITYSSDKKSICLIDEKGRIILLDATNLNSFRVIDLGRTGSLSVNSVLYDDGRIWISTIAHGVIYYNERTGKIKQLTYHVAPVSDRLSHTDVFGVIRLNENKYLAVTWNGYTVMTIDKNNQDEILTEVYSNTSSLMYRNLETRMIAAYYDSHGILWIGTDGGGVIWSDLRMQFYNCFYQDRHNEICSIVADDDHYLWLATYHKGIMRSRTAFGTSEKTDFFQVGDQDVKKQQTVLCSLKDEKGNLWFGNSDGSLTCYYKKERSFKILRLITEDGDLNKSSVWALFLDSKGRFWIGTHKGLWLFDRETNRGKKIHFKVSGLQNLSPLYIRAMAETDDHTLWLGTANYGICKVINEKELQTGYEKKYGMAENSVRSLLASSDGNLYVGYMAGLAVFSPGQDAITHVYTTRNGLCSNFIGCMTEDADGQIWLGSNSGISRYSRHQHLFYNYYIAGSNRSVLHWEDVLFWGNNKNLTYFNPDDIKAFTTSESVVITGLEVNNKPVEIGREVNGQTILSQSIFYTPFVRLNHANRDFALTFNNLSYSESQQKYSYRLRPYQPGWLVANGGEKVSYANLPAGEYVFEVKNIYPDERDSKITSLRVEILPHWSETFFFRFCMMVLGGIIVYMVMQRIKLRQKRLEHELRLEHEIFAATVERDKEKQIRMERENFFTNAAHELRTPLTLILSPLQELLGTVRPSDTVYTKLAAMYRNGTSLQTLVDHLLYVQKIEAGMIKLRISKVDIVVLAKQITDPFHELAETEGINFTVESLNEPLLLWIDVEKISSAIRNLLSNAFKYTSPNGKVIFKMNRIEIDGYSFCSIIISDTGKGIPEELRGRIFESFITGENTPLFSNKVGIGLRIVKNTMDLHHGTVNLDSTLGKGSTFTLLIPEGNAHFAEDRYEIVGAPEMEEYALPLPVMQAEGQEDKPSNKKTSLLVIEDNEEIRHYVCSLFCKDYAVYEAANGEEGVDVAMSKIPDLIISDIMMPVKDGFTCCRELREQPRTAHIPILMLTAKAEDADVLQASKIGVDDYMMKPFNPEVLKAKVRNLILQRERLKRIYTKTLMLKQQESEPEADGNNAPDDFIQQIIHVIEANLANENFNVKMLAEQLNMSQPTLYRKIKQRSELAAIDMIRSVRMSKAASLIMENRYSIQEIAEMVGYSDTRTLRKHFTEQFGVSPSKYMEKD", "MKKISILFLILLCNCTFLYAQQFSITGVVTDKKLNEPIIGASVVVKGTTNGTVTDLDGKFTIQASKSSVLIVSFIGYTPQEFTINGNQTFYQISLAEDTQTLDEVVVVGFGTQKKVNLTGAVATVDKKTLESRPVTSVSQALQGVMPGLNIDMNDKGGRLDYNPTMNIRGTGNLNTGSSASPLVLIDGAEGDINSLNPQDIANISVLKDAAASAIYGSRAPFGVILVTTKSGEAGKATIQYSNNFRWSRPTNIPDMLDSYRFAKYFNAAQKNSGSGTTFIFTDDTIDRIQKYMASEYPYTSDPNGSQGNNFFPFNVASNDNQNWPRNFIDKTSFGQEHNLSISGGGEKVKYYLSGAFLSQNGQMNYSDENKKRYNISGKVTGQVTKWLSLDFNARFIRSDIEMPTFVKLYGDRFFAETTKLYPMMPLYDNNGHYTRNPKLMQLTSGGRSNSSKDTYFTSGGFHLTPLKGLGIHGQATLRTESYRHQYNVNKVYLYTRDNQPVEEAWLGGDPDLAAGKTFVQSQTQQTSMMTTSLYADYEYSWNKHNFKVTAGMNTEYYYINELIGKRYDVINENVPSINTATGTSDLKGSSKEWATMGYFARLNYDYEGRYLLEGNIRRDGTSRFRGNQRWGTFPSVSIGWNIAREAFWSPAEAYVNLLKLRFSYGSLGNQNTDNYYPTYSIQNITVGSVDAGGRWLLDLANKSNIASSPGLVSSLLTWERVTSYNAGLDFGAFNNRLNGYVEYYIRDTKDMVGPAEEITPLVGASAPKMNNTSLRTKGWELQLTWQDRIGKVGYHASFNLSDAQTEVTEYPNPDKTFYTKDEDGNTIENYWKGKKLGEIWGFKTVGIAKTDEEMQSWIAQHDQSKLPNVGNNIWKAGDIMYANLDDNPAIEKGTSATDPKDLTIIGNYTPRFRFGFSLGADYKGFDINLMFQGVAKRDVWVGGDDRTAYSKGMIFWGINGGQWDSTGYEEHMDYFRPEGDEMGANLNAYYPRPIIGSKQNQQVQSRYLQNAAYIRLKNIQIGYTLPKAWIQKANLEKVRLFFSGDNLWTGTKMSKNFDPELIYQNGMSYPLSYTLSCGINITL", "MKLKYIILPIIATSFAISSCNDFLDREPLTDNVNEGFFTEPSQLQAYCNKKYELLPDFKDTNLFTNDQTSDNQAGTDPVDFFLPQRIKVAATGSYNRQGHLRDCNRLLYYALENIQKGELEDTRETQQYIGEIYFFRAYIYFEYLRKFGDFPIIKSELSADDYAANVEANKRKPRNEVARFILEDLNEAIARLLPRSNNLTNHRLNRECAYLFKSRVALYEASWETYHQGTARVPGGPGWPGGTFNGNLNTEIDFFLTEAMASAKEVAEAIQISDKIEDYMNMFNQYDLSSNKEVLLWRMYSADAKVNSLVEGNYHSIYNENGEGCVGQGGGYTRSMVETFLTTNGLPIYAPENTEYKGDKSIPDVMENRDLRLVESTFKPGDMVWRGGNMDQDGRMVYANLLQAYQNLSRTATGYLVRKGWRDSNVAPADNSPLAYMIFRASEAYLNYMEADYMKNKNLDDYSKKYWRALRKRAGVSENFQKTIDATDLSKENDLAVWSGSQMIDKTLYNIRRERRCEFIAEGMRKDDLLRWRSLDKMKNYQTEGFNWQEYQKEPYYVKQLAAGLVVSNSKYLRPHFANELIITNNGYNFEEANYLTPISYDVFRLSTPEKGGDISTSVVYQNPGWPVGANQYALQ", "MILVKGVFKGTIRIHCNELNYTLFELFYLNLLQSILIGTDRPAWILINNGSGNIASFLRG", "MKFFSKKTFFIFPFLTSIYLQAQEKPNLVFIMADQWRGDALGCLGKEPVKTPCLDQLAREGVNFTNAVSSYPVSSPARGMLMTGMYPHKNKVTGNCNSANAPYGVELPQDARCWSDILKANGYQTGYIGKWHLDAPHEPYIDTYNNHGAVAWNEWCPKERRHGFDYWTAYGTYDYHLKPMYWDTDAPRDSFYYVNQWGPEYEADKAIEYLNGHIDKTQPFALVVSMNPPHTGYELVPDRYKEMYKNLNVEALCANRPDIPAKGTEMGDYFRNNIRNYYACMTGVDENIGRIINELKRLGLFKNTIVVFTSDHGICMGAHEQAGKDIFYEESMRIPVLISWPEKIKPKTDRTTMIAFADLYPTLLSIMGFQQQIPEEVQTFDLASVLLYGKENNRIVQPYYYIQSSNPATGYRGLRTATHTFTIHATDGKVDKIILFDRRSDPYQMNNIAMQHPKLVNRLKSQLKTWLQKTEDPFFNYL", "MKILYTSLLFLMNCVLSVAQPEIIVPKPHQLKWHEAEMGAVFHYDLHVFDGIRYGQGNNRISPIEDYNIFNPTQLDTDQWIQAAKAAGCKFAVLTATHETGFGLWQSDVNPYCLKAVKWRDGKGDIVRDFVNSCRKYGIQPGIYVGIRWNSLLGIHNFKVAGDGEFAANRQAWYKRFCEKMVEELCTRYGDLYMIWFDGGADDPRGDGPDVEPIVNKYQPNCLFYHNIDRADFRWGGSETGTVGYPCWSTFPAPCSHHKRIESQKDQIALLKQGDPEGKYWVPAMADSPLRGANGRHEWFWEPDDENNIYPLTTLMDMYEKSVGRNATLIIGLTPDPDGLLPAGDEQRLKEWGEEINRRFGTPLAETQGRRQRLTLNLNEKQPVNYCIIQEDIAKGERIRQYKIEAKVNGKWQTVCSGESVGHKRIAHFEPVETTALRLTVTQSVAVPEIRHFSAYNVTLK", "MREYKAIFICILICNVFVCPKSFGQTDYTYEKGKSFKNTNALSMTDTIDLTSISSPIPYKKSIPGQTQTIACPVRLPGYVRGIFFSRDSRPGDFEWPNNTNRLLPWVFNDLKELTDTRYPGIPSNATPSTLGDALLLELTNGEYLFAKAVAGRNSLSWLQVNDNGSVTLYVSTLGKDYLKPEVPLLLIRQGKDIYSTIRQAYQALMKNTEAADLKSRTAKEYFEAFRYLGWCTWEHYHDDINESKIINDMKTIEASGIPIRYVLIDDGHLAHKNRQLTGFIPDKQRFPSGWKKIMSYKKENKIKWIGLWYSLSGYWMGLSPENGFPQVVRQALYPHAGSLLPGTDSTRIRSFYRYYVSTLKEQGFDFLKVDNQAFTLPLYMGGHESIRQATDCNRSLEAEIHRQNMGLMNCMAQNVINTDHTSYSNSTRVSIDYKKYDEDMAKSHLFQSYTNTLLLGQTVWPDHDMFHSCDTVCGTLMARSKAISGGPVYLSDAPGDFIKENIFPLIDKQGKLFRPEAPAVPMPESILTNPLWSGKAYRVAAPSGNGAMTLICYNLNVSPRHQQVQATIKKEDYSLRNSFEKMSATPEERVLLYNWESQKAEELSDSSTFELIGFTDKLFHLCPIRKGWAVIGIQEKYLSPATVQTISLTENRLVLNVLCTGTLKVWIENSGKQELRSISIDTPKKIVIEK", "MNKKLLVSFALASLTGISTQAKEKMSSETTQQRPNIILFMVDDMGWQDTSLPFWTQKTHYNEAYETPNMERLAKKGMMFTQAYACNISSATRCSLITGANNTRHRVTNWTLEKNKATDRPSNTIELPDWNYNGVSQVTGTPNTFVGTSFVELLRQNGYHTIHCGKAHFGSIDTPGENPTHWGFEVNIAGHAAGGLATYLSEQNYGHTRDGKPYSLMAIPGLEDYWGTGIFATEALTQEAIKALDKAKKYNQPFYLYMAHYAIHIPVDKDMRFFPKYIKKGLSDKEAAYASLIEGMDKSLGDLMNWLEKNDEADNTVIIFMSDNGGLAAEPGWRDGQIHTQNAPLNSGKGSLYEGGIREPMIVSWPGVVTPNTRCDKYLIIEDFYPTILEMAGITNYKTVNPIDGISFMPLLKGTGDPSKGRALVWNFPNIWGNDGPGINLDCSIRKDEWKLVYYYETGKKELFNIPNDISEKNDVAKQHPGIVKRLSKELGNYLRATGGQRPTFKATGKPCPWPDEI", "VFSNIKDRIRLLFRKDRESYLRFYKMLGFYPKDISIYEQALLHKSLSVKSEKGRLLNNERLEFLGDAILDAVVADIVYKRFEGKREGFLTNTRSKIVQRETLNRLAIEIGLDKLIKYTARQSSHNSYMCGNAFEALVGAIYLDRGYRACKYFMEHRIIGPYINLEKISRKEVNFKSKLIEWSQKNRFEVTFELITQSHDQGYNPTFESEVLVEGISGGKGTGYSKKESQQMAARVALGKIKNDSGFIECIFAAKTARELPQEEVTVSDSKPSDSGAVTPDLSLEEIKKTDVVEQIISEAEEKAFKENA", "MELKRVVVTGLGALTPVGNNVAETWDNLVKGVSGAGPITHFDASKFKTHFACEVKNFNGTDYIDRKELRKMDLYTQYAIAAAKEAVEDSGMDLEKEDLNRIGVIYGVGIGGIHTFEEEVANYTLNKDTVGPKFNPFFIPKMIADIASGQISIMYGFHGPNFTTTSACASSSNAIADAFNYIRLGKANVIVAGGAEAAIFEAGLGGFNAMHALSTRNDDPERASRPFSASRDGFVMGEGAGCLILEELEHAKARGAKIYAELAGVGASADAYHLTASHPEGLGAKLVMLNALEDAELKPEDIDYINVHGTSTPVGDVSEVKAIKDVFGDHAYKLNISSTKSMTGHLLGAAGAVEAIASVLAVKNDIVPPTINHEEGDNDENIDYNLNFTFNEAQKRTVNAALSNTFGFGGHNACVIVKKYAE", "MSEIASRVKAIIVDKLGVEESEVTTEASFTNDLGADSLDTVELIMEFEKEFGISIPDDQAEKIGTVGDAVSYIEANAK", "MKKIAILASGEGTNAERIIRYFLEKRTAEVALVIVNKAQAGVLKRAERLSVPSLILTAQDFADGKALEILHQYHIDFIVLAGFLLKVPDAILHDYPNKIVNIHPALLPKFGGKGMYGSRVHQAVIASHEKESGITIHYINERYDEGNTIFQATCPVLPTDTPDTLAIRVHQLEYEYFPRVIEATILGKNLSI", "MNLNDNRLIIKTLKSGDQKVFSLVYASYYKPLCLFCSSYVSLEEAEEIVQDLMMYVWEKRELLVEDLSLKSFLFTSVRNRALNSITRSHITRQVYEEYQSQQLKSLPALDSCYGTELFNTYMEALHSLPKELQRVYVMSRYKQLTHKEIADKLEVSVQTVNYRIGKALQFFRIRLKDFCLE", "MRRIFTFFLTFLLGVFVTALYAQTHTVSGTVIDKDANEPLIGANVLIKGTTIGTVTDLDGKYTLQAGDKDILVFSYLSMKTIEEPVNGRTVINVKMASDTETLGEVVVTAMGIKRQSETLTYSAQTVGGKDVNDIKSVNMINSLQGKSAGMMITPNSTGAGGSSKILFRGNKSISGNNQPLVVVDGVPVMMNITNSQVDSNYGGQRDGGDAMSTINPDDIAQITLLKGASAAALYGAVAANGAIMITTKSAQSGKVSVNVSSNTTMESPMVLPEFQTTYGMSDNGTFSWGEKLSSKAPNYAKKFFRTGFTTNNSISLSGGNENIQSYFSYANVYSQGITPQNDYRSHNLNSKVGFNILKDIHIDFTAKFTNQHITNQAAAGYLWNPLTGVYLFPRGEDWNGYKENFEVYDPARGCYVQNWTNTQQQQFGNPYWMLNRQTPITDRNRYEFGGSIKWNITPDLNIQGRMRYERGEEHWVHNAYASSVGNLYPMGRMKDNRYFSDQLYGDVLVSYNHTFNDFSLSATAGSSFTKTKTSHVDLWGEGSQFSQPGSGNIFYPNIFTPNNYYGNMSTVGKDDNWMTQKRLNSVFATAQLGYREGIFLDISARNDWSSALAFTESCSFFYPSFGGSVLLNKFVDMGKNIDLFKFRASYSIVGNDVPVFMSNLLYSLGSQGAITPPDKAPFRTLKPEKTHSLEIGFDGTFLQNRLNINLTYYKTNTKNQFFSVAAPYESGLRNRYVNAGNVENKGFEFNIGWYEQFTDNFSWSTNLNFSYNDNKIKELVDDLPNGLTLTDFGGAKVILKEGGHYGDLYVRHLMRDENGKPLQNEKGEPIVSGDSMDELEYAGNMNAKVNMGWTNTFRYKDFSLSFLIDAKFGGKVISMTEAALDGWGVSKRSGEARDAGGITVDGVKFDADKYYRTTGNNNFNSPYAVENYVYDATNIRLREVTFGYTFRNLLGAGKNLTAAIIGRNLFFFHKDAPMDPDVSAGTGNGIQGVDMFALPTSRSFGLNLKLNF", "MLETMKRNKILTYAFLMAIPMTTGNIFSSCTDDFEKLNTSNIQVDPADLPFAAQCTEPMTYCYPPQQNMFQFWTNLTIDLYGGYFMTPNGNFTNGDMGENRGHSGGMYENYYLHIFNNTRRIIAQCDASGERGLSGVMRIVQAYGTLMTTDAYGPIPYSSILSGENEVYFEFDSQKDLYKAMLEDLSTAITDISAMGADEIAKLKSFDCWCNGDKDLWVKIANTMKLRMALRLSKRETEAGNAGMNLKAIATEAAQNTLATVNKDILIDKSLENEMWLMFNWGDCGFNANLVTIMSGTKDPRQPLYMTLNTGDIKNEAGTTTVAANSQYLGIRFASGLPAKPNSWGNFSGWIQGNNGSSYSMPLPIMKAAEAYFLLAEAKLRWDIGSESVKNLYENGIRVSMTNELAYRGAYAGIKEYPEGAVDAYINGTSTQIDYTDPIKAELSTPAVNKLSVKWDESASNEEKLERIITQKWLALFPLSTEGWAEQRRTGYPRFFPAFVNESNGAVNTEEGVRRVIYSSQAYDANAKGVEGGIKLLDEENSSKFGISGDKGGTHLWWDNADKGNF", "MQLSPKEFEYQFRCLYRPLNMYALRYTENLDDAEDIVQQAFSDVWEKLVGGTSIQNLKAYMYQTVRNRSLTLITNRQTHCETTELTDLEDLTEEERIIRSERDARLWTAIDHLPTERKKIFLLSKRDGLTYQEIATELGISIKTVEHQISKALKTLRETAIKIYTFFFG", "MEKEEKDIRFVARFYRENRLDTTQAWQKLGIGKQRNNSILLYRLITIAAVTFLIAGFSWWWIYDRQDWIVIASSAHAVKEVTLPDNSHITLAENSALQYDRLAYGKKNRNVTLNGKAYFSVTHQEQCPFRVQTELANIQVLGTQFQVTANANQTSATVESGKVRFYNKEQKEAILTKGMYAFINQKGQMQINKQSDPNTFAWKTHVFVYNEAPLKKVVKELEEVYKVHIGGIPQKEYYLTTTFDNTPIEDIIEIINQTLDTKLDITQ", "MMQFHSKFSFLLLLCLMHICIEAKGTEHDEPLVTLDMKQTPIRKVLAEITRQTGVTFSYESSLTKHLLPIDITITAQPLSHCLRILFQKLPVEYIQSGKYIILKKKQKNIVISGFIRDKSSSESLIGASIYDAKSHQGTTSNADGFFSLTLEAGNDVYLNISYVGYDSFHRSFTQLEQDTLLPVLLNSHQQLAEVVVTGEYTSSPLVQTSDMGHTRLNKDLIQQTPVLFGEADIIKTLQTLPGVSAGTAGLAGMYVRGGNGDDNLYMIEGNPLYQINHVGGLFSSFNAEAVKDVEFFKSAFPARYGGRLSSVVDIHTKDGNMKEYHGSAMLGLTSGSLNLEGPLVKDRTSFNFALRRSWIDALSAPTIAIWNATRNKGETQIVARYAFTDMNFKLNHQFNDRSRGYAGLYWGNDFLKGGEKREGDNGYESRNTGRLRWGNIMAFTGWSYVFNNQLFGNVNAAFTHYSSTLKGDYYQGTEANYVSQESSTRNRIDDLSIRANFDFRPNASHQLHFGTHYIYHRFHPVDEKSHFSNGMTTQTRQNNDTALPAHELGIYMEEDWKMNKRIRLNAGVHLGLYTIDGKTYTSLEPRFSSRFLINPQLSLKASYTRMSQYVHQVNESYINLPTDTWIPVSRKLKPMQSDQLAVGAYYTTGNKIYSFSIEGYYKWMKHLMDYKDNYQFLPPSTSWEDKLTQGKGRSYGVELIARKEKGKITGWAGYTLSWNDRQFTEINKGKRFPAKFDNRHKFNIIANWKIKPKLELTGSWTYATGNRLTVSFENYQAVSPQHPFPGGSLVPPYIDPGGLDYYTERNNFQLPAYHRLDLGINIYRPKKKNRMGIWNISIYNVYSYMAPVSIRKGWWYNNDCFYTLGIVPIIPSVSYTYKF", "MKHLLYTLLLGVFLTSCYRKIDLDEYRTTPKIVINSVVSPDTVVMASITRTWFYPDKKPYVNLPHAHVELYINNQYIETMQWKTLNNPRNPDQPDTLFLSNTIPAEGDRIKIVASTPEYGTVTAEDIIPKKVPIKNASHTIKKGNGVYQGTISDYFEIYYEVTFDEFPEKNNYYLAKITQIKTGYYGYYETEIDYIDPVFKEQDAILDESMAFNGLEKRGGALFTDQSINGQTYTLQIKETTAELDETEQRIISIYSLSESYFLYLLSLQKIAGSTLEGGLGNIGLAEPLRVYSNVEGGTGILGGNQHSETTITLNNLSKK", "MDTLQQAPALWYWSEPASGYSIEDCEQIVAEEMQTAKAD", "MKIIVDNKIPYIHEAVEQIADEVVYLPGSGFTAGDVRDADALVIRTRTRCNRELLEGSKVKFIATATIGFDHIDVDYCDEAGIVWKNCPGCNAGSVEQYLHSVLLLLKRRKGVRLEESCLGIVGVGHVGSRIQRMAEALGMRVLLNDPPRADRGETGFVDLSVLARECDIITFHTPLNRNGKYKTFHLADADFFAGLQRKPFIVNTSRGEVIETLALLDALKTGRIRDAVIDTWENEPDIHPDLLQKVFLGTPHIAGYSADGKSNATRMALEELCNFFHIQADFKIVPPALPYMDYSSDPEEAFLQVYDPTRDSDALKRHPEEFEHLRGNYPLRREISFLP", "MSKILVICFSSINNVAMAIPVIHSLATQYAQHQIMVLSIDTFSPLFENVPDNVIFRGADFRGEHAGLMGLGWLYNDLKEEKFDAVAAFQPTFRSRFLCWRFRLAGIKAAHIKQNRRELQKLIRRKHKIYTEQDSFFQRCAHTLSQIGYPIRLSFLSLFGKNKGNISSLAPLTGEKNQETWIGIAPFATHVGKIYPLSKQEQILKHLSARDHTKIFLFGGGKKEIKVLEEWAQHFPNVISTAGKLTINMELALMSNMDVMLVMDAANMHLASLVNIPVVSIWGATHPCAGFAGWNQSAANTIQIDLPCRPCSLSGEKHCYRKDYACLQGITPEMVIEHINKVIS", "MKQINLIYYSVFFLWYLLSLLPLRFLYFISDLLFYPLYYCIRYRRKIIRNNLSNSFPEKDLKEIVQIEKQFYSFFCDYIVETLKLFSISKKQLMRRMTFEGLDEIVESMNKKNKDFCFIYLGHYCNWEWIASLPYWISKDISCGQIYHPLYNQAFDKLFLRLRNQFGGECIPMKTTLRRIIELKRTKQKAIIGFISDQAPKWNSIHHWTEFLNQETPVFIGTEKIGKQVDALIYYADVTRVKRGYYHCRLKPLCDTPRQVPDFELTDLFTRELEQTIKAHPQYWLWSHNRWKRTKEEWLRRQQEETK", "MEKYDYLIVGAGLFGAVFAHEAKRVGKHCLVIDKRNHRGGNIYCEDMEGIHVHKYGAHIFHTDNKEVWDYVNSFVEFNRYTNSPLAYFDGKLYNLPFNMNTFYQLWGVKTPAEAKAKIEEQRKEFDHIATPANLEEQALKLCGKDIYHRLIKGYTEKQWGRSAKELPAFIIKRIPFRFIYDNNYFNDSYQGIPKGGYNALIDALLEGTEVRLNTNYFSNRNELDALADNILFTGCIDQFFDYQCGHLEYRSLRFEHKQLETEDFQGNAVVNYTEREVPYTRIIEHKHFEFGTQPTTVITYEYPDDFAPGKEPYYPINDKRNTEMMSQYKKLASGRKDVLFGGRLAQYAYADMDDTVAAALALCKKTFK", "MKCLHIITPVKDSIDSTLETVKAIMESDILVPFTYTVYNDFSTEENTHRLEEAARQWNFRLVNLSDLTDHPSPNYLLVLQTAQKEAIEADAGLLIVESDVIVKKNTLQSLYNGALQQKQCGIAAAVTTDEKGVINYPYLHAKGHENQVYPEKKHCSFCCSLLTPGLLKAFDFQTLDPSKNWYDVTISHESLKRGFQNYLFTTLPVWHRPHSSRPWKQLKYKNPLKYYWLKYTKGLDKI", "MSKTERLFHPRTLVIHPDFKNLEEFIVSIPERFQRNEGTVIHQGRNELRKMEYNGKEYVIKSFHSPHLINRFVYGIFRPSKAKRSYDHAEMLLKIGVGTPQPVGYMNIRSGLLFDKSYYISLLSTCPYIYDNLFTQQFDYAEEVFRAIGKVTARLHEHGYAHKDYGRANILFQKTPNGITIEIVDLNRMYIGPIDMKTGCKNFERLPATPQMHRWMAEEYAKARNFDVEKCFELMRAYRSVQPGKIDNLY", "MNIIAVVVTYNRMELLKRNIRCLQQNKPISSIVIVNNGSTDGTTEWLAAQEGLTVINQTNVGGAGGFYTGIQYAYQAGADWIWCMDDDVFPRADCLEQLLPYTGKKDIGILAPRRLLEGEIFTHDFQAYNLSNPFVSMYSKKLAGRHITSPTEITGTAFEGPFIRREVVEKIGLPNKDLFIFCDDTDYCLRTIRAGYKILYIPDALMDKEKFFSNDTWNERSKKKKWKRFYQIRNSTYLNHHYGRNIAVKYLRGFNGVMGYIFIALFTSPFAKAYQWKDIPRLWKAYCDGIHEKLGIMS", "MSNLLLSKIGHVISEFPLAFKQTKEVKLFMTLLVKNEEGMLEENLQFHKAMGVDGFIITDNNSTDSTPDIIRKYKQKGWIKEVIEEKATNYEQKDWVDRMIWKAKTIYKADWIINADADELWYAPTGNLKDELYATNANVLNCEMRSVYPEEEKPFWQWDKTVKAVTEPEKYDLSLYSLFERQNKKVIHRTAGYLQISMGNHKVTMFPQNSADSHIHVYHYNIRGKQQFMEKMINGGKQLEQHKGRHGGRHWRYFYQLHKEGKLEAEYERVIGSAYFEALRKDGFIIPDVTIPNFFKRLKPDI", "MKHAFLIIAHNEYPVLEVLLSMLDDERNDIYLHIDKRATELFQQIKKVKMQKAGFYLIENPIKVYWGDISQVQVEYLLFETALSHGPYAYYHLLSGTDLPIKSQDYIHAFFQQNAGKEFVGFWQDAAHQRDLERKVFRYYFFTKRLKDKEHLLHGITALIRNLILAVQKISHYRRKQTFEFKKGGNWISITENAVKYLLQYKEIVLNRMKYTLCADEIFIQTILWNSPFRERMHCTNNANTGSMREIDWEHGSPYIWQDHDYQTLINSNKIFARKFNSNQMGVVYKIQKLYLKQVPK", "MNILFYTTNEVAPQYGGVERVTANIANALTTFYNVNCYSAYKYNIDKSFIKQQFVNTIKINSYHNLNNLIQFIEQNKIDVIINQGEHKLTKSLRLALNQSQNKKCKLMFALHVSPATEINFITLDNPKKELKEGKNIRKNISKFLSFPYQKIRKIIKLPILYRESYHFADKVILLSSHFKKPFLEYSHLKDDSKIRIIHNALSFHSFYDLANYNHKKKEVLIVSRLEEEPKRISLALKIWKEIETDHTLSEWKLKIVGHGKMESWYKSLVIHYGLQRVFFEGTKNPEPYYNEASIFMMTSSFEGWGLTLTEAQQYGCVPLAFHSFASLTDIITDKVNGFAIPNDDISLYIKQMKLLMTDEKLRKSMSANAIESSKQFSIEIIIKKWMEVINE", "PMAWCSDDATWAAFARHAGGVISLPGQPVCWRNVEGANISNSAGHDKDKLHATILFLRWMRNMFSDYVDDPELISALQCYIHTILRISLHKHYNICGLWGVSMALGRFNKRAAFTTFFRNFRLFS" ]
[ "TTTCTGTAGTCATCATAGTAAGCGTCATGGCCTATTAAAAAGATGCTTTTAAGATACTGAAAACAGGAATAATGCTTAACTTTATCCCTCATAAAATAAAAGTAGTAGAAATA", "TCTCTACAAGATCATTTATTAAAGTATCACTCAATCATCTTAATCAAGCA", "ATTTCTTCTATATTTTCAACAGCAACATCACCAAGTTCCAGAAACGAGACCTGGTTCACCCTTCATGATCAAACCGATAAAAAGATAACTTCGTCTTACGTAAAACATAAAATTCTTCCGCCACTTCATTCCACTCATTACCAACAACTTGCCGTGTAAAAAAGTCTGTAATACCCCCAAACCGGTATGTAAGCGATGGAGTGGAACATTCACCCGTTATTTGCAAGAGAAGCGCAGATATCAGATTAAATCTGACAGGATATGCTGTACTTTCGTTCAACTTAATAGGATGTCAGTGTGTAAACTTCCGATATATACTGTTGCTTCACCAGTTTGAAACGGTGGTTTCACACTGGTGACAACAGCTCTTCACACAGGTGAAAACCCATCTTCACCTAAGTGAAAATCATATCCTACCGTTCTCCTTACAAGAATTCCAACAGACCTTCATCCTGCATAATGACGCTTTCTGCCAAACTGACTCCGACAGGGAAAACGGATAAACCGTTTCGAGGGGGATACAGGAGGATTCGTCACTATAAATTATTGATTAACAATAACATGCCGTGATAAAACAAAAAAATATTGCAACGCAGAAATATAACTTTTTTATTCATTATAATTGCCCATGTGAACGATTGTAGAAACAGGTAAAAATCGTAAATAAGCGATAAAGGTATGAATGGTTTCTCAAAATATACTATCTTTGCTTCCCATTACAAACGGATAAACCTCTATAAAGT", "A", "AGCAAGTCCTTCTGTTAAATATCCATAATAGCAAGAACAATAAGTCCATTTATAGTTGTCAACACCCATTAAACATCTTATATTCAGACAATTATAAATATGACACCT", "CAACCAACAATTTATGTGGCCGGTTAGAAATCCGGTCACATAAATTACATACATCATAAAACCGAAAATATT", "TTAACGCCAGAATGCCCGCATCCGAAAATATACTATCTTTGTCACCCATTAGAAACCACATAAGTTCAGGTACGAAAACATTCATCCCAAACGTATCTGAATAGTTTGACTGAAAAACAAG", "GATTTGGAAGAAAACAATAAAGTACGGGGGG", "A", "TATTTTTCTACCTTTGGCAGCAGCAAAACAACACTTAAAAAAGAACTAAT", "CATATAATCCCGGTTCACTGTAGGGGTGAAATGCTCCCACCCGATACCACAAAATCAATGTATCCGGATGAACTCAATTCACCCCTACAGCTATAAACCTTTATTAATCAAACCCCT", "ATACAAGCTACCTTTTAATTTATGCACCAAGATAATCATTATCTGTCAATACCAAATACTTAAACATGAAAAAATAAACACCGGCAATAAATAATCA", "CC", "CATACCATTCATAATGAATATACAACAACACAACCGCCGACCCGGCATCTGCCAAGGCCCGGCGGTATTACTATATCAAGACAAACCG", "GTTC", "AAATAGCTTATTTCTATATTATAATGGCTGATAACATTATTTTTTTTATAGTTTTCTACATTACTTTTATTTTTTGTTATCTTTACGGTCGCTTACAACTTAACATCAAAGGCT", "GAAGCAGAT", "ATTTAATTCATTACCTGTAGCAAAGGAATAAAATAAAAAAGAGATAACAACAAAGATTCACCAAAAAATATAGAGATGCATTAAACATGCATGCTGAATGAAATGAACGTGTATTAAGCCACATCACTGATTAACATACATTTGCAATGTATTAACCTAATGTCTAATTAAAAAACAGAGAAGC", "ACTTTAAATAAATCATAATC", "ACCGAATTAAT", "CCT", "AAAATC", "CTTATAAAACTAGCCGAT", "TTCCCGTCAGTATATGAAATATGCCCGACTACATTTTCTTGATGTAATCGGGCATATTCCAATGTATTATGTATTCCTGCCGGACATACCCAAAACCACCGGAATAGCCAATTAATAAATTATAAACAATATCGATAAAATCCG", "GA", "ATTATAAAATAAGGATAA", "AACTTTAATTTTTTAGTTAATAAATTGAATTATTTTATTTTCTTTGCGGTTGCAAAGGAATAAATATTTCTTGGGCTGAGCAAATATTCAGGCAAATAAATGCAGAATTTTGCACATTTTTTTGTTTTATGTGCACCAAAATAGAGAACATC", "AGGTCATTTTTAATTTAAGGTTACACAAACATAAGTATTTATTTCAAAATAAGAAAACAAGAATGAGATAAAATACAAAAAATATAAGTTTTTGTTTAGTAACCCGGTTATGATATTTGTATTAATAATGTTGACCGACTTAAAGAGAGATTAACAGAAACCTTAATAAATAAACCTTGATAAGTTAACCTTAAACTGAATGCCTATGCGAAAAACTATCAAATCAAACCCATTGGGTAAACATGCCCAATTATCAACAAACCTATTATTTAATCTGAAAACAAAAATCTAATTAAGAACGAACCTCAAAATCTAACCTT", "AGGCAATATTTTAAGGTAAAGACTGTGATTTTTGTTTCAATAGGTATTCCATATTAAAGTTTCGGATAGGGCAGACCGTGAGGTTTGCCCTATTTTATGACTACCTCCGAAAAGCCGATACAATAACCTCCCGCACCCGAATTGTTATCATTACTAAATGAAATTAAAGACTTTTTCATCTCTTGTTGCTTGGCAAATAAAAGAAAAGCCGTAAGTTTGCCTTCCAAAAAAGAATAAAACACAGG", "AAAAATCGGCAAGCGAATAGGGGGATTTTGTCTTTTCCCTGTCTTAACAATAAAAAGAAAGAAGATCGC", "ATGATT", "TTGATT", "AAGTTCTGGCCACCGTAGGGGTACATTGAAATGTACCC", "CTTTCAAAAACTTGCTTGGGAACAAAGGTAGACACTTTTACCGAATAATAATTGGCTGATTAGAAAACTTTGCATAGATTTGTACATTCAATAAAACAGCTGTT", "TT", "AAGGAAATCCTTGGACTCCCCAAGGTTTTCCGCTAAATTTGCATGATATTCCTAAATTGACAAACAACATAGATAACAAACCA", "CACTATTATAATGAT", "AAACTAAATGCATC", "AAAACGGCAACGCTCTTACCAGTTAATGTTTCATAATAAACTAGCATTTATATACTATATTTACAGAAAAAGAGTAAATTTGTTATATAATTAAATATCAGAATATTATGAGAAAACTAAGGATTACGTTATCTATTATAATGAGTTCAGAATAAAACAAATTCTTTTGACAATAGTATTAATTATCTCTAATATGACAGGTAGAAATCAATAATCATTGGTTATTT", "TACTTTTATTTTTATCATACCAAATCAAAATTCTAATAATTCCATGCTCTCTTATATGCAATTACAAGATAATCAGCGCTATCCAAAATAAAATTTCATACCGTCTTAACATTATCCCCCCCCTTCAAAAAATCCTGGCTTCCTTTAGGATTCACCTGAAAAATCAAGGGGAGAACCTTTGTTCTTAAAGTATCATTTTATTCTTTGGATAACATCCGAAAGTATTCTTAATATATCAGCTCACTAGAAAGTTCGGAACATTTATACCCTCATGCCCTCACTACATATATAACAAGTTAATTATAAGATATTTATGTAGTGATGATAAAAAAAATTGCTCTCACTTATACCCTCATGCCCTCACTACATTTTAAAACAGAATTTCTCATAACCTACTTACTGTCAGTTATATATTCTTTCCTGTAAGCTGCTCCGACTTGATATAAAGTATGGCATTTTCAGACTTTTTCCTTGCAAAAGTGCAATAAAGAGTGTGTACTTTCACAGAATGACGGATAACGAAGGCATGGCAACGGTGAAAAAGATCAAAACGTTTAAAAGAATTCATTATCTTTCCTTCAAAATAAGACAATCAATAATACAGGCTATCTATAAATTCTTCCAATAAATAAGGGAATGAATGGATGTGTATAGCAGTCAGCCCTATTGCATAAGCTTTTTCTATCTCCAGATTAAACCTTTTTATATGCATCTATTCTATCTCCATAGCCTTTGGAGTTATCTCCATAAGCTTTGGAGTTATCTCCCAAGACTATGGAGTTAACTCCAAAGGCTTGCGGAGATAGAACAAACAGGAAGAAAACCCTTAACCATACAGCAAGAAATGTTTAGGGATGCGGATAAGATATTTTAAGGATGAATCTGATTTTAAAACCACACAATTCCTTACGGATGAAAAAACTCCCCAATGTCCTTCTTTAAAAAAGTGAAACAATATATGACAGGAAGATATACATCTGACTATACATACAGGACAGAAATATGAAGTGAGAGCATATATGCACCCAAACTTTTTATGAAAATACAGGCACTCTTGAGAAATCGCAAGCGGCTGCATAAATGAAA" ]
[ true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, false, false, false, true, false, true, true, false, true, true, true, true, false, false, false, true, false, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 19, 21, 23, 25, 27, 29, 31, 33, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 80, 82, 84, 86, 88, 90, 92, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 20, 22, 24, 26, 28, 30, 32, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 81, 83, 85, 87, 89, 91, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118 ]
[ "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123381|-|131467:131940", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123382|-|131960:133798", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123383|-|133856:135172", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123384|+|135419:136408", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123385|+|136587:138614", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123386|-|138645:139610", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123387|-|139629:140003", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123388|+|140090:141814", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123389|-|141898:143724", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123390|-|143740:144366", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123391|-|144367:146187", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123392|-|146423:146548", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123393|+|146797:149883", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123394|+|149899:151449", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123395|-|151737:152999", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123396|+|153150:154139", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123397|-|154235:155086", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123398|-|155263:156240", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123399|-|156231:157277", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123400|-|157288:158229", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123401|+|158321:160468", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123402|-|160531:160659", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123403|+|160769:161677", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123404|+|161828:162019", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123405|-|162099:162221", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123406|+|162531:163979", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123407|+|163986:166199", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123408|+|166215:167483", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123409|+|167532:168437", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123410|+|168413:168880", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123411|+|168885:169568", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123412|-|169965:172847", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123413|-|172905:173402", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123414|+|173898:174023", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123415|+|174077:174982", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123416|+|174992:175759", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123417|+|176220:179624", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123418|+|179695:180309", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123419|-|180364:181536", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123420|-|181633:182436", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123421|-|182473:183315", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123422|-|183486:184886", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123423|-|184886:186226", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123424|-|186276:186686", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123425|-|186762:187322", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123426|+|187478:189058", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123427|-|189069:189191", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123428|+|189308:191035", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123429|-|191084:191776", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123430|-|191773:192432", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123431|-|192449:194590", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123432|-|194859:197168", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123433|-|197188:199227", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123434|-|199240:202575", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123435|-|202661:202837", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123436|-|203105:205348", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123437|-|205360:207765", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123438|-|207836:210238", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123439|-|210275:212998", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123440|-|213386:214318", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123441|-|214335:214760", "2510065017|RJ2H1_RJ2H1-contig-023.23|CDS|2510123442|-|214785:216452" ]
[ "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000076|+|131941:131959", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000077|+|133799:133855", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000078|+|135173:135418", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000079|+|136409:136586", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000080|+|138615:138644", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000081|+|139611:139628", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000082|+|140004:140089", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000083|+|141815:141897", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000084|+|143725:143739", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000085|+|146188:146422", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000086|+|146549:146796", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000087|+|149884:149898", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000088|+|151450:151736", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000089|+|153000:153149", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000090|+|154140:154234", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000091|+|155087:155262", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000092|+|157278:157287", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000093|+|158230:158320", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000094|+|160469:160530", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000095|+|160660:160768", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000096|+|161678:161827", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000097|+|162020:162098", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000098|+|162222:162530", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000099|+|163980:163985", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000100|+|166200:166214", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000101|+|167484:167531", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000102|+|168881:168884", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000103|+|169569:169964", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000104|+|172848:172904", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000105|+|173403:173897", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000106|+|174024:174076", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000107|+|174983:174991", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000108|+|175760:176219", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000109|+|179625:179694", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000110|+|180310:180363", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000111|+|181537:181632", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000112|+|182437:182472", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000113|+|183316:183485", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000114|+|186227:186275", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000115|+|186687:186761", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000116|+|187323:187477", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000117|+|189059:189068", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000118|+|189192:189307", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000119|+|191036:191083", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000120|+|192433:192448", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000121|+|194591:194858", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000122|+|197169:197187", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000123|+|199228:199239", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000124|+|202576:202660", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000125|+|202838:203104", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000126|+|205349:205359", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000127|+|207766:207835", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000128|+|210239:210274", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000129|+|212999:213385", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000130|+|214319:214334", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000131|+|214761:214784", "2510065017|RJ2H1_RJ2H1-contig-023.23|IG|IG_000132|+|216453:216472" ]
[ "LAIVIPAYKGRFLKETLDSIAVQAHKDEFVLYIGDDASPERLDKIVESYQNKVNLVYHRFSENMGGKDLVAHWERCIQLSAEPFIWLFSDDDLMPADGVERVMEALSRPHHQRGYFFRFPLAVIDGENKRIRANRPLEEGSVSCYRLLLDKLQGKIDS", "MLKQFFSLLKRYILPYRKYLTWALILNFLSQWLNVFSFMAIVPILNILFKIDTKSYEYIPMDIHNLDKDVLINNAYYFVSNFVATNGAFYTLAMMGGILIFMTMLKTAGYFASAAVMVPLRTGIVRDIRIQVYNKVLSLPLSFFSEERKGDIIARMSADVTVVENSLTSSIDMLIRNPIALLVCFVTLFSVSWQMTLFVIFILPLTGWIMGVVSRKLKRQSSTAQAQWGDIMSQLDETLGGLRVIKAFIAESKMSARFSKTNNDFRDAMNEMIIRQSSAHPMSEFLGTCVIVTVLLFGGALILNTNYAPMDAATFIFYLIILYSIINPLKEFSRAFYNIPQGLASMERIDMILKAENHIVEPEQPLPLDAFTDKLEFKNVSFSYVEGRPVLNHINLTVPKGKTIALVGQSGSGKSTLVDLVPRYHDVSEGALLIDGKNVKDVSIHSLRSLIGNVNQEAILFNDTFYNNITFGVENATMEQVIEAAKIANAHDFIMETEKGYDTMIGDRGGRLSGGQRQRVSIARAILKNPPILILDEATSALDTESERLVQEALERLMKSRTTIAIAHRLSTIKNADEICVLYEGDIVERGTHDELIALNGYYKKLNDMQSL", "MRKKILFIIPYIPYPLDSGGNQAFFNMVEYLRHKMAVSVLLYPETGRRMQDVESLKKIWDNVDFFIYTPKTKTVRLPKIKHPFYYKWLQKIHASVTRKMNRQQIWTDETGEVVDLVRGKSTLFSSCFQPLDQGYVEYVSQVAHSGFDIIQVEFYELLSLGFLLPENVQTIFVHHELRYIRNEKEITLFREQTAGDRMLFHIAKDFERSALLKYKDVIVLTEVDRKIMEDFIGRKDHIYTSPAVVQVGDRLEQPFVPVQSGRLTFVGSEDHFPNLDAVAWFCHEVIPHLRKRHFSFTLQVIGKWRGECINRLQSEYPELKLAGYVEDLGSFLKGSVAVVPIRIGSGMRMKILDAVLSKVPFVTTAKGVEGIDFKDGEDCLIVDDPAGFAEAVIALSSNPQLQRQLVTHAEDSLRQVYNPGQMQERRLAVYEQILGDKVG", "MNALPLVSVVVPNYNYKRYLNLRIQSILQQTYQNIELILLDDASTDGSEEVLSDYQDHAKVSHILLNEHNTGNPFKQWFKGMQLAKGKYIWIAEADDLCELTFLEKVVPLMEKYQQAAVCFAGSKYIDKDGNVLSYDMNKWKSAIPPYAVFNGKTYAEHNLYWRSYIANASSAIFRKSMVNAQNMEQCLQMRYSGDWLFWFYMAMQGDIIERYEVLNYFRQHNQKVTVKAENNGGGKSEDIEIVRIMEQHLTHLSRYKRCIRRGMLYNRIAKLSTTDRVKLQLWELLRQKLNGTRKDGRLERLNRIARLFCPFVLTMKRDRLTPSREIG", "MKYLRSLMQQSVTACKNQAKLIQQFTLSLLYLLIIHIVALLFFFLFRLVLFTSIDYQFPPDIQNNFLMQATAFIKGLWFDNVIACYILLLPLVILWITALCNYHSKWVFRFISIFFILFYSLSFIISAANIPYFSYFFKTINSSIYNWFGYGATTAGMVLGETSFYFPIFLGLISILLLSGSVLRLSSYFYHLINSKSTSISPINRLCIFAAGAVCIGLCLFGIRGRMGYNPIRVSQAYYCTDPFLNQLGVNPVFNLLTSTLDDNRKENRYLHLMPEQEAITNMQSILQRKGIEGISPIAREVKCTAVPTQKNVVLIFMESMSANLMEHFGSTKKLTPFLDSLYLESLSFDHFYSAGIHTNHGMYATLYSFPAIMKRNAMKGAVVPVYSGLPTVLKDNGYRNLFFMTHESQYDNMNAFLRTNGFDEIYAQENYPKDKVVNSFGVQDDFLYQYALPILNKRAEERQPFFTVLLSISNHPSYVIPDYFKPHSTKLEDQIVEYADWAIRQFMQEARKQPWFENTIFVLLGDHGKLVGSPDCEIPQSYNHVPLMIYGKGIKPEIRQEPGGQTDVAPTLLGLLNMSYTQNDFGINLLTEQRPYVYFSADNLIAATDTTHLYIYSPHDRQEFKYKKQGNALQLVTGEDSTFYVMKKYCFSTLQSAEYLVKEHKTVNKATGQ", "MIVKNDMKKVTLLIPVYNEEAMLPTLYQRLIELVNRNAVYEWEILFVNDGSSDTTLECLRRLRQQDKRVNYVNLSRNFGKEVAMLAGFDYATGDCCVVMDADLQDPPELVDQMLEYWEEGYDDIYAKRRTRGEESWLRRQFSLAFYGILQRMSRIDILPNVGDFRLLDRRCVLTLRRLRECERYTKGLFCWIGYQKKSIEFDRGDRLMGHSSWNFLKLLNLAVEGITSFSIAPLRIATVCGVLCSISSFIYAIYFLIKTVLYGDETAGFPTLIIVMLFLGGIQLFSLGIIGEYVGRIFKETKGRPTYIASDYNEEKLGYDR", "MNKLKQIPEFLRFVMVGLFATGLHYGIYFVLQKFIQVNVAYTLGYVLSFVANFYLTAYFTFGQPPSWKKAFGFGGAHLTNYLIHIGLLNLFLRLGFSRPLAPIPVFLIAIPVNFLLVRFVFKQK", "MSDKKKAFWFIALLSTLVIIPFLGETIFYSKGEPREAIVAYSMLESGNWILPLNYGTDIAYKPPFLYWSIAAISAIFGGVSEFSSRLPSAIAFLAMQFVFFGFVARYKDTKTAVITSLLLLTSFEVHRAAVACRLDMLQVSFIVISLCLLFRWDEKGCKGIPWTAVVLMACGTLTKGPVGSIFPCMCIGIYQLIRGRSFGKTFLSLFGIGLLSLIPLGIWFYAAWLQGGQPFMDLMLEENTGRFVGKMSYPSHHNPLWYNFLTIIWGWTPWTLVLLISLFGLKWNEMHLLPAGNSFTGRIRKVWDNIRSQSPIQLFIWIVIIAIFVFYCIPKSKRSVYLLPIYPFMAVLIAQYLEALMQKGAKVFKISAYIFASLCLLLTAVFFAVRCQMIPDSIWGNGRHAAENIAFMQALESTSFSISKWLIIVLPVVAAICTLRLVIKKSSTGSLLYGITGCVLCLFVALDGVYQPTILAVKSDKHLAEDIRKQVPEGVVYSYTDRMIRFYCTNYYMNNQMRNFTLENPQEGYVILSANAQEEFLKNYNAKYQLEEVFHTDYRSCDLRNTVIMYKFNEKRK", "MKKRIAYISLYFFTVLLIFILQKPLFMLYNGSIEKGFGFADYMQVMIHGASLDAATAGYLTAFPFLLVLISIWFRKFPLKKILYGYYILAAALISIIFVVDMALYTFWGFKLDASVFLYIDSPKEALASVSVGFILLRVLAILLLIALNSWVLLKITPSVLTATRKRIAGTAGMLLLGGVLFIIIRGGVTESTSNIGQVYFSNEPFLNHSAVNPDFSLLSSMGKSQDFASEFNFFDEEKRAALFDGLYPTTDGDSIIQVLNTKRPNILIILMEGFGGAFVEPLGGLPDVTPHFNRLSKEGIFFTNCYANSFRTDRGTVCTFSGYLGLPTASVMKIPAKSRTLPAIAEGLSKAGYKTDFLYGGDINFTNMKSYLLSTGYQRLTANTDFSLAEQTSNAWGVNDDITFEYLYNQLRNRKEEGPWHTAFLTLSSHEPFEVPYHRLEDKIPNAFAYTDECLGKFVDRLKQTPAWKDLLVICLPDHGFYYPREGSNAMPRFYHIPLLWLGGAVKQPMQVDKIMNQTDLAATLLGQLGLEHTAFTFSRNVLGSDYKYPFAFYSFNNGFSFRDSTGVTVFDNNSGSILFDEPEADESRLDKGKAILQTVYDDLGNR", "MAKKQKYYVVWKGVNPGVYDSWTDCQLQIKGYDGAQYKSFETKEEAEHALASSAFHYIGKNAVKKEDTPKQLPENFDMNCLAVDAACSGNPGPMEYRGVYLLTGQEVFHFGPVYGTNNIGEFLAIVHALALMKQKNISMPVYSDSRNALSWVKQKKCKTKLERTPQTEKLFQMIERAEIWLKENKYTTPLLKWETDRWGEVPADFGRK", "MNIEEKLTTSIISAIKTLYGQDVPGKMVQLQKTKKEFEGHLTLVVFPFLKMSKKGPEQTAQEIGGYLKEHAPELVSAYNAVKGFLNLTIASDCWIELLNSIQAAPEYGIEKATENSPLVMIEYSSPNTNKPLHLGHVRNNLLGNALANVMAANGNKVVKTNIVNDRGIHICKSMLAWLKYGNGETPESSGKKGDHLIGDYYVAFDKHYKAEVKELTAQYQAEGLNEEEAKAKAEANSPLMLEAREMLRKWEANDPEIRALWKKMNDWVYAGFDETYKMMGVSFDKIYYESNTYLEGKEKVMEGLEKGFFYRKEDNSVWADLTAEGLDHKLLLRGDGTSVYMTQDIGTAKLRFQDYPINKMIYVVGNEQNYHFQVLSILLDKLGFEWGKGLVHFSYGMVELPEGKMKSREGTVVDADDLMEAMIETAKETSAELGKLDGLTQEEADNIARIVGLGALKYFILKVDARKNMTFNPKESIDFNGNTGPFIQYTYARIQSVLRKAAEAGIVIPEIIPAGLELSAKEEGLIQMLADFKSVVKQAGSDYNPSIIANYAYDLVKEYNQFYHDFSILREENEALKVFRLALSANVGKIVKTAMGLLGIEVPERM", "MVNLLKVKTIRNFINVVKIGIVLFKYWADRSNLFVYRIPVY", "MNRFSSLLCSLLFCMITYAQEITVTGKVTAGGEEMPGVTVAVKGQTRGTITSIDGSYQIQVNGNESLIFSFVGYETVTIPVNRRKVINVELKEAAQMVDEVVITVPYGTAKKSTFTGSASYIAAGTIEKAQVSSVSKALQGTVAGLQSFSSSGQPGSDATILIRGVGSVNASTNPLYVVDGVPYDGALSSIASSDIASITVLKDAASAALYGSRAANGVIMITTKQGNKDSAPTVELSAKYGFSSRARADYDQLNTNQYYELYWEAMRNYRMDNGYSAEEAAVWASSNVTGNLGINPYGSAYPEPIGHDGKLVAGAKPLWDDSWDDALSQDAHYTDLNVRVSGGSKTSKYFVSAGYMDDQGAYICSGFKRYTLRANVTSDIRKWLQIGLNVSGTHSVQDYPKQDDSTISNVVMFARSVPSFYPVYQRDLATGAYLLDENNERMFDYGEYRPNSYAKYNLLASMPHDKSEIKRDAASLRGFIQITPIKGLAYKMSLNIDYNNKTNHNYTNPTYGTGSISGGSVSKYNYRTTGMTFNNVINYQHTFNDVHDIRVMAGQEYYEYNTSNFGGSRSKVIMDGFYEPDAASSLGDFGGNSDQYKLLSFFGSAEYSYNQKYFLSASVRSDGSSRFHPDHRWGTFWSVGASWKIMQEEFMKDTSDWLSNLSLRASYGAQGNDQVGYYAYQALYSIRNNLGESGLHAYRLATPNLSWETNLNTNIGLDFGFWNNRLNGTIEYFERRSKDLLFSKDLVPSSGFSSMDENIGAIKNYGWEFQISGYPIMTKDWKWKLSFNATTYKNKITSLPAEEMWSGNKKWVKGGSLYDFYLVEWAGVNPENGNPTWYRYNTNGEKITTEDYSSTTPDDKVKCGNSLPDWTGGLQSDLSFKDFTLSFLFSYSIGGKIYNGDKVSLMSQGPTGTGWSVDMLDRWTPENPYTDVPRLTTSPKSSWTNSSNRFLVDRSYLRLKNITFSYNLPKSLLNTLTLKDASIFFQAENMLTLAKQQGLDPEQTFGGSTYYRYPAMKTISFGINVKL", "MKTILKYIFSFALGSTMLTSCDLDTIPTTYVDAGSVFGKTGDAEKVLNGGWNYLMETFNSYANPGYGAMLRANDAMGSDVVLNSKYGFRTHNEFSAIYGKGGTNTLSWLLAYRVINDCNGVLDNIDAAEGTQADRNRIKGQALALRGFLYLHLASCYSFAIDKDPDAVCAPVYTQSTDETIAAEGKPASSVSEVYAQSINDLEEALELIPETYVRDAKHKIDNEVVLGILSRACLYARQWEKAKTYSDKLLTKNNYLMTESEYKAGFNSVDNKEWIWGHAQTNDQSNASYQFHYLDTTTKGSYYYSFNVDPYFRDLFEDGDYRKEMLFWATDPGADVASAAYVWMRNSKFRFRDIENQLGDIVLMRVAEIYLINAEAKAHLNDPDAINKLNDLKTARGAKTIHTNLSQQDLLETIWLERRKELWGEGFSLIDIIRNQQTVVRKAYPEGPIDYIYTDENGQTHTLKKKTQGHRFFNFPDKSAFCPNSKYYLYRITDSEELANKNLYKDHPKLSIYTK", "MKASIKDFEIMAPVGSRESLAAAIQAGADSIYFGIESLNMRARSASTFTVNDLREIAQICDKHGIKSYLTINTIIYDEDIALMRTIVDAAKEAGISAVIAADVAVMAYCCEVGQEVHLSTQLNISNAGALKFYARFADVVVLARELNLKQVRVIYDTIQKEQIKGPNGELVRIEMFCHGALCMAVSGKCYLSLHEMNASANRGACMQICRRAYDVKDKESDIELEVDNKYIMSPKDLKTIHFMDEMIEAGVRVFKIEGRARGPEYVRTVVECYKEAICSYLEGTFTEEKKQAWDERLKTVFNRGFWNGYYLGQRLGEWSKNYGSEATERKVYVGKGIKYFSNIGVAEFLVEAAEMKVGDKLLITGPTTGAVFLTLDEARVDLKPVDVVRKGQHVSFKVPDKVRPSDKLYKLVSPEDLKKK", "MKIRNIDLGRYPIFLAPMEDVTDPAFRLMCKKFGADMVYTEFVSADALIRSVGKTMQKLNINDEERPVAIQIYGRDTETMVEAAKIVEEAHPDILDINFGCPVKRVAGKGAGAGMLQNIPLMLEITRAVVDTVKIPVTVKTRLGWDNEHKIIVDLAEQLQDCGIEALTIHGRTRAQMYTGEADWSLIGEVKKNPRMHIPIIGNGDITTPQRAKECFDQYGVDAIMIGRASFGRPWIFKEVKHYIETGKELPALSFEWRLNVLRQEVLDSVNLLDERRGILHVRRHLAASPLFKGIPNFKETRIAMLRAETVKELFSILDYIRGNYGMNS", "MRKLVSTLMAVTLMLVSATACAERITPSKNYVTKKVNVGSFNAISTSSSVDVIYTQSSGGQDVEIYAPDNLVNYIDVRVEGGVLKVGFKSPRNNFSINGKHKKEVRVSAPAVNSLKASSSGDIIIKNGLKTSGKVTVKASSSGDVTGSTISCDDFAATANSSGDVILEKVSCTNFSADASSSGDVSIKNLNAADVSADASSSGDVILAGICENASYRASSSGDVKAKGMKAVNVTASASSSGDVECYVTGSLTAKASSSGEVAYKGNPKDIDFSPKRGLRKME", "MALNLFKRVDSVKGLFAVESISLIYNALTTIMVLILFPRMDHPVIMLLERAGIVAITFALIYLYRKYPCKLTAFIRMAVQMAFLAYWYPDTFEFNRLFPNLDNFFASAEQFLFRCQPSVEFSEHFPSMWFSEPFNMGYFAYYPMIGIVTIYYFLFRFEWFEKVSFVLVTSFFIYYLIYILVPVAGPQFYFPAIGMDNVMAQHFPAIGDYFNNNDILLPGPGFDHGFFFNLVEASQEVGERPTAAFPSSHVGISTIVMIMAYRVNKKLCYFLAPFYVLLCCATVYIQAHYLVDVIAGWISAVCIYIVATYMYKRWFASVVFQLVLK", "MNNEEFAQKNTCCLKKVLVTGASGFIGSFLVEGGLEREMQVWAGVRKSSSRTYLKDPRIQFAELDFAHPGRLTEQLAVHKQLHGGWDYIIHCAGVTKCRHKDEFDKGNYVYTRNFVEALRTLDMVPRQFVYISSLSIFGPIHEDNYAPISERDTAMPNTAYGVSKLKSEHYLQSLNDFPTVIFRPTGVYGPRERDYFLMAKSIKQHIDFAPGFKRQDLTFIYVRDLVQAVYLAIEHGVRQRAYFVSDGNVYSSRTFSDLIQKELGNPWVIHIKCPLFILKVVSLLAEFSARCLGKVSTLNADKYKIMKQRNWQCDISPLVEELGYRPEYPLDKGVKEIIAWYKKEGWL", "MKRAIFVRSKTIVMTELDKKIREKEIYRVTLVGSFVNFLLVIFKFLAGIAGHSAAMLADAVHSLSDFITDVVVILFVRISNKPVDKSHDYGHGKYETLATAFIGMALLGVGFGILWNGATDILVFLRGGELRQPGMLALAAAIISILLKEILYQYTVRVGKRCHSQAVVANAWHHRSDALSSIGTAAGIGGAILLGPHWAVLDPIAAVTVSFFIMRVSIRLLVPCLDELLEKSLPDSVEREIEGIVLSFGGVSEPHHLRTRRIGNNYAIEIHIRMDGNISLHKAHETATGIEHRLKEKFGEDTHVGIHVEPVK", "MAKKKEKKAGKRMKKSEMSERLISLFHTKPNETFSLKQLSSSLNLTTHPLKMLCADIITEMIEDDFLQEVEKGHYKLNDHGLIMTGVFQRKSNGKNSFIPDDGGETIFIAERNSAHAMNNDKVKIALFAKRKNRNPEGEVIEILERANDTFVGTLKVEKFYAFLLTENRTLANDIFIPKDKLKGGKNGDKAVVKIVEWPEEAKNPIGQVIDILGKAGENTTEMHAILAEFGLPYVYPKNVETAAEKIPAEISEADYAEREDFRNVTTFTIDPKDAKDFDDALSIRLIKPGLWEVGVHIADVTHYVKEGGVIDKEAEKRATSVYLVDRTIPMLPERLCNFICSLRPDEEKLAFSVIFNMNEKGEVKDSRIVHTIIKSDRRFTYEEAQKVIETGEGDYKEEILELNKLAQILRKQRLVAGAIDFDRVEVKFEIDETGKPLSVYFKESKEANKLIEEFMLLANRTVAEKIGKVPKNKKAKVFPYRIHDLPDPDKLENLNWFINRFGYKIRTSGSKTEISKSINRLLDDIKNKKEQNLVETVSLRAMQKARYSTHNIGHYGLAFDYYTHFTSPIRRFPDMMVHRLLTRYLAGGRTVQEAKYEELCDHSSEMEQIAANAERASVKYKQVEFMGERLGMEFDGVISGVTEWGLYVELNENKCEGMIPMRDLGDDYYDFDEKNYCLTGRRHHKKFSLGDPVTIKVARANLEKKQLDFALVEK", "MVNKKNPFAFKAKQETKKKSGGKRIGKSKPDKANNQLNRRVK", "MTTFNYTNILTQAVDELSESQSYKGLFHQHKDGDPLPSAKSLYKIVELARAIIFPGYFGNSTVNSHTINYHIGVNVETLFGLLTEQILAGLCFGQENSKNATDDNEPCRETASLLAARFISKLPELRRILATDVEAAYYGDPAATCFGEIISCYPAIRAISNYRIAHELLILGVPLIPRFITEMAHSETGIDIHPGAQIGHHFTIDHGTGVVIGATSIIGNNVKLYQGVTLGAKSFPLDNNGNPIKGIPRHPILEDDVIVYSNATILGRVTIGKGATVGGNIWVTENVPAGSRIVQRKNKDE", "MELKSNEYQIECTPYGEYYAFLTDYHQCCTYGETAEEALETLSDIADEFFCEINEVYLAEEFA", "MKMELTDEKRKGYVVCALMILVSCLYLVYLGYSLYRMGHA", "MKEEFELIAKTFQGLEEVLAKELTELGASNIEVGRRMVAFTGDKEMMYRANFCLRTAIRILKPIKHFEAKNADEVYEAIKAIAWEDFLDKDKSFAVDAVVFSNEFRHSKFVAYKVKDAIVDYFREKTGERPSVRINHPDVALNIHIAENKCTLSLDSSGESLHRRGYRQEAVEAPLNEVLAAGMILMTGWKGECDLIDPMCGSGTIPIEAALIARNIAPGVFRKEFAFEKWNDFDQELFDRIYNDDSQEREFTHKIFGYDNNPKANEIATHNVKAAGLSKEIILKIQPFQQFEQPKEKSIIITNPPYGERISTNDLLGLYQMIGERLKHSFTGNDAWVLSYREECFDQIGLKPSIKIPLFNGSLECEFRKYQLFNGKFKEFRSENADREFKPRREEIRPRRNTEKVEYGERRERRSFDNRREGHGEYKGGERRERRSFDDKREGRGDFKRGEHRNFGDRREGRDNFKSSPRKFDDNKEKTEE", "MRKLINLIALLIMASSVTWAQDKKSFTLEDLMPGGNNYYNLLPQNLYGLQWWGDVCINADIEEVKTIQPANGKENVLITLQEVNELLANKELGKINHFRNASFPYAEKMMLVNTTSNKVLIDLTKKEIIWSQPLSPKAANQDWNKESRSLAYTLDNNLFVTTADGKTQQVTDEPKGIVCGQSVHRQEFGISKGTFWSPKGNLLAFYRMDESMVTDYPQVNTSTRIATLEPDKYPMAGMTSHKVTVGIYNPETQKTVYLKAGDPTDRYFTNISWSPDEKSVYVIELNRDQNHALLCCYDAETGEPLKNNPLYEEEHTKYVEPQHPIVFLPWDHTKFIYQSQRDGYNHLYLMDTKTSVYPESHGAAAGGSYRESYKTRQLTQGNWVVQNILGFNEKTKEVIIMSTEVSPLQSNAYAVNVKTGKRRLIGNKDGMHHVQLSGSGNYVIDNYTSFTIPRNIEIVPTSGKGKTISLLTATNPLEAYNMPEITVGTLKAADGKTDLYYRLIKPVNFDPNKKYPAVVYVYGGPHAQLIHNNRNYDARGWDIYMAQLGYVMLTVDNRGSDNRGLEFENCTFRQLGTEEMKDQVKGVDFLKSLGYVDNNRIGVHGWSFGGFMTTNLMLTYPELFKVGVAGGPVIDWAYYEVMYGERYMDTPQTNPEGYKNANLKLRAGNLKGRLEVIIGANDPTCVPQHSISFLRACIDAGTQPDFFMYPGDGHNMFGRDRVHLYERITRYFEDHLK", "MKLLLLGSGGREHALAWKIAQSPKIEKLYIAPGNAGTSNVGENVAIKADDFTAIREFVVKNNIDMVVVGPEDPLVKGIYDYFKKDEALKNIPVIGPSKAGAVLEGSKEFAKGFMQRHNIPTAGYKSITAANLEEGLAFLETLEAPYVLKADGLCAGKGVLILPTLEEAKKELKEMLSGMFGDASATVVIEEFLSGIECSVFVLTDGKNYKILPEAKDYKRIGEGDKGLNTGGMGSVSPVPFADKAWMEKVEERIIRPTVEGLAAEGIDYKGFIFFGLINVKGEPMVIEYNVRMGDPETESVMLRIKSDLVELLEGVATGNLNEKVLEIDPRSAVCVMLVSGGYPEHYDKGFAISGVEEIENSIVFHAGTALKDGQVVTSGGRVIAVSSYGANKEEALAQSFANAKKINFDKKYFRSDIGFDL", "MAKGRFTFPVAILICLLLRIITGNEWQDVINLLVCALTAYLLIEINTAFTLIRTRSTLHVSFYVFLSTACLFLHSFQYAVFAPLTFLIAISQLFSSYESPYPAGSIFHAFFFIGLGSLLFPQLLYFVPLFYLGMISFRSLSLKSFFAGLTGLCVPYWLFFGYAFYYDKMNLFYHPLQELIHFQPISYGTLGMDRIISCGIITLISLVSSVHYFHVSYLDKVRTRIFLFFLIAVEAWIYLLGILQPQHFDILLQMQVIVGSILTGHLFTLTHNRFTGIFFIITFVLLIVLTIYNLWMQFFNS", "MDAILQFLIDWGYWGLFLGSFIAGSVLPFSSEAVLAACVGPLGLDPVISITAATAGNVAGGMTCYWMGHLGNMEWIEKYFHVKKEKMDRAERFVHGRGAWMAFFAFIPILGSAISIVLGMMRANIWIVILAMTIGKILRYALLVWGVLEASALMH", "MKLEQTADGSYTLYVPELDEHYHSVKGALTESQHIFIEMGLKHSPVSEPHILEIGLGTGLNAFLTLLSAEETRRKVHYTGIERYPLAEETLKQLDYPRLIGKQHEEDYYAIHRAPWNTETEVSPWFTLHKIEDDFTRLFNPEEGSRPAVPLYDIIYFDAFAPEKQPEMWEQSLFDTLYKVLNEGGILTTYCAKGVVRRMLQTAGFTVERLPGPPGGKREILRATKSD", "MNKKFLSAVLFGALMVSSTGTFVSCKDYDEDIDRIDKELVDIKSALSALQAKVDAGKYVTNVVKNGDGITVTWSDNSTSTIETIKGDKGEDGKNGTVVTIIDGYWAFDGVKSEYPAKGDKGDKGDQGEPGDAAAAGHDAKISENGYWMVWDTEKAAYVETEYIAGGAVAAQVKGGWNITVKDENGDEQTIFIPSSATMGYMDVLNGANPMHALYGINEKDVEYGPAKKTLKKGLYTTLDRDLEVVVNPQGTDASAYSFNLMNSANVDTELPFKDAVPFKGVLTRATSENAVWVLPHDFVRYENIDDARTKNYLLFKANDGAKHALSLTATLNETIIKTPYDLSAQLKKIGEVNVGLRNLENCAVNVDYTPIVSYISPSVDAAAVYDYWITLEQSAKNLKNAQLYGVEIDKEGHSFKFTRETGVNNYIEFVYNYILMDGTIVQGDKDAPHFFAYQREEMANAHEITLERLYTPMDAKLIVENDKDPNYNPELRPTTGSWSANEKQVFALNTKAYSLDKIINEMSAIEKAVWKSAVEAGRIDFELIGGEGENNEYWDNWTKGYNVRYAIVDNTITFQFVVSEGYPYNFTLKNAYQLTLTVKDEDTNTPVASIILPFEFTQPTLDITRVNGEKAIWNDKKNVLSIYGDLVKEGDVEIMSVPFYEAFTTAYAKQYTVFGSTAQYYTLSHNENVDNWFTYSGYEVLGQDYATRLQNIPLTNIVYSSLAKEWNTRTLVGNVTTGEANLPIVANYRFYGVYPATKEQVSDFTLRFASLMGDAKKVEAKKEFTSNNVTREVVLTDADFTLVDALDDTFYLFDGVKADGNVDKRSDMNLRQGFEEGTEGFATNFTLANANASAYYYKNGNKVAIPVSVGTVNTNAKFVTNANTKTRAWEPGTISATDVIVTDLGANEAVKSKGYAAVPGGIMIQLPSSIGTTEPVTIEFKLKDVFGVTKTLKVVVKAAK", "MEYLFLRRKKTSSTSVRQKTLLYRAAGREWTARFSSLSDVRPADSLIYKGILYQLEIRRAFLDSSLSLKKLSMMLETNQTYLSNAVNRYFGCHLKELLNRYRVEYAKELLRNGGCPLGEVPSRSGFGSKSPFYLAFVRQTGMTPKRYAARERNLVNLEIENEVLL", "MPYRISYLKPRLLIQPQNSRMKSPNQTEIAYQLSNLGSICT", "MRTRHHIFSPLHPGKSILCSCCMVLIVCLFTACDSKQSTSTKPTLTVTLEPLRYFTETIAGDKFKIVSMVPKGSSPETYDPTPQQLVNLDKSTAYLRIGYIGFEQAWMDKLTTNAPHLKVFDTSKGIDVIHETGHNHGDHHHEGGIEPHIWNSARNASVIARNIYSALSELDSANEPYFKHRLDSLQQIIAQTDTDVRDRLQNADTTFLIYHPALSYFARDYGLKQISIEEGGKEPSPAHLKELIETCRRDNARVIFVQQEFDTRNARLIADELGVTVVPINPLSYEWREEMINVANALAK", "MTNPIIQLTDISASYDEKTVLSHVNLTVYERDFLGVIGPNGGGKTTLIKIILGLLKPASGSVRFYKNEKEVPEIAMGYLPQYNSIDKKFPISVYEVVLSGLNKQKSLFHRYTPEQHELVSRIIARMGLEGLESRAIGELSGGQLQRALLGRALVSNPEVVILDEPNTYIDKRFEAKLYSLLEEINKERAIILVSHDIGTVLQNVKTIACVNETLDYHPDTEVPTEWLEEHFGCPIELLGHGNFPHRILKCHHHDE", "MKQYKLVNNLVGWLAFVIAAYTYCMTIEPTASFWDCPEFITTGYKLEVGHPPGAPFFMLTANLFSQFTSDPSQVARMVNTMSALMSAACILFLFWSITHLVKKLICPDDKEMTLGKLITIMGSGLVGALAYTWSDTFWFSAVEGEVYAYSSLFTAVVFWLILKWESVANEPHSDRWLVLIAYLTGLSIGVHLLNLLCIPAIVLVYYYKKNPDANLKGSLLALTGSMVLVAAVLYGIVPGVVKVGGWFELLFVNSFGMPFNSGLIVYIILLAASIIWGVYESYTEKSRKRMNISFMVTIAMLGIPFYGYGWSSALIGIIILGILGVYLFADLNKKYQISARTLNTSLLCIMMIMVGYSSYALIVIRSTANTPMDQNSPEDIFTLGEYLGREQYGTRPLFYGQTYASKPALKEVDGGCVYDVTEGAPVYQRKEKATPDEKDSYEVVRHKTDYKYAQNMLFPRMYSDAHAQAYEDWLGGIKGVQVPYDQCGQMVMVKVPTQWDNIKFFFIYQLNYMYWRYFMWNFAGRQNDIQGQGEIEHGNWITGIPFVDKFLVGDQSLLPSDLKNNKGHNVFYCLPLILGLIGLFWQAYKTKRITTPNGEEIEEPVGIQQFWIVFFLFFMTGLAIVLYLNQTPMQPRERDYAYAGSFYAFAIWIGMGVAGIAQWLQGKLGEKPASVIATVVCLFVPIQMVSQTWDDHDRSNRYVARDFGQNYLSTVQEEGNPIIFTNGDNDTFPLWYNQETEGFRTDVRVCNLSYLQTDWYIDQMKRQAYDSPAVPIEWSRLEYVQGHNEGVAVRPEVMESINNFYKQNPEEAAKEFGDNPYELKNILKYWVRSPKEGLQLIPTDSIVIKLDKEAVKRSGMMIPDSLHGEIPDYMSISLKGKRMLYKSELMMLEMLANTNWERPLYMAITVGSDNHLNLGNNFMQEGLAYRITPFNTTRLNARIDSEKMYDNLMNKFKFGGINNPDIYIDETVMRMCQTHRRMFIQLATQLIKEGKKDKALKALDYCSEVIPSTTVPHDYIMSSSKEMADDYLALGEKEKGEAILNDLANKSVEYITWYLSLDDQRLQGSYEDCLRYFYILDEINKSLARANAAGGVQGEGEQQKKSDMASHYAKKFEDLYEVFNKRVGGGAGRK", "MFIEQPPLILRWLYPRAFWRMDKNEKAVYLTFDDGPIPEITPWVLDLLDKYNIKATFFLVGDNVRKHPKEFQMIVERGHRLGNHTFNHIRGFEYRSKNYLANTDKANELIHTNLFRPPHGHMRWMQYMVLRHKYQIVMWDLVTRDYSNKLNGRQVLRKVKHYVRNGSIITFHDSIKAEKNMKYALPRAIEWLLEQGYEFKVFDR", "MKKIFLAVATALAMFSCSQKEPVTVTITNPLSIDRNGEMVEISMAEITGKLQLPDTAQVIVLDENGLEVPYQITYDDMLIFPASVKGDASAVYTIAEGTPQPVDVVACGRQYPERLDDVAWENDRAAYRAYGPALQEKGERAFGYDIWTKSVSEPVVEDRYDGDLNRGISYHVDHGNGMDCYAVGPTLGGGTAALFPDSTIVYPYCYKDCEILDNGPLRFTAKLVYNPLVVKGDSSVIETRIISLDKGSQLNKTVVSFDNLQEITPVVTGIVLHKQNPMGYSFDADAGYIAYADSTENAANNNGVIYIGAVFPATVKGAFAQVFSEKERKERGDALGHVLAVNDYEPGAEYIYYWGSGWSKYGFEADTDWNKYLEEYARKIRNPLAVAIK", "MNQYLNFSLEGKVALVTGASYGIGFAIASAFAEQGATICFNDINQELVDKGLASYAEKGIKAHGYVCDVTDEPAVQAMVATIAKEVGTIDILVNNAGIIRRVPMHEMEAADFRRVIDIDLNAPFIVSKAVLPAMMEKGHGKIINICSMMSELGRETVSAYAAAKGGLKMLTRNICSEYGEYNIQCNGIGPGYIATPQTAPLREIQPDGSRHPFDTFICAKTPAGRWLDPQELTGPAVFLASEASNAVNGHILYVDGGILAYIGKQPK", "MKTNYEIRYAAHPEDAKGYDTQRIRRDFLMEKVFSDNEVNMVYSMYDRMIVGGARPVGEVLKLEAIDPLKAPYFLTRREMGIFNVGGPGVVKAGDAVFELDYKEALYLGSGDREVTFESKDVKNPAKFYFNSVTAHRNYPDKKVTKADAIVAEMGSLEGSNHRCINKMLVSQVLPTCQLQMGMTELKPGSVWNTMPAHVHSRRMEAYFYFEVPDEHAVCHFMGEVDETRHIWMKGDQAVLSPEWSIHSAAATHNYTFIWGMGGENLDYGDQDFSLITDLK", "MKRVLFFFLLILSIHFINAQPLSQRLDALLHEEVLKTSEVGIAVFDLTTGKSVYRYQDEKLYRPASVEKIITSVTALAQLGADYTMDTGLRYRGKIENDTLKGSLYLIGGFDPEFMDEDLDRLVDALASQGIRYVTDTLAADVSMTDSVYWGSGWCWDDTPYSFQPYLSPLMLNRGCVDVSVSPAQKDSLPKVVCTPVSDYYQVHNHGVSRNPQAGKLKITRNWLSNGNIITVSGNVSYPYTEKLNVYTSKDFFFHTFVSRLRSKGIEARTCTYADCPVTADSIVTLYTVRRPLKEVLERALKKSDNLCAESMFYHLAAKRSLHKRVTGEDGTDAIHAFMKTALGFNPENYKIADGSGVSVYNYISPRLLLEYLKYAYYHREIFLPFYESLPIAGVDGTLQNRMKQTKARGNVHAKTGSVTGVSSLAGYVKAADGHQLAFVIINQNVLKLSRARAFQDKFCDILSR", "MKYQIAIIGGGPAGYTAAEAAGKAGLSVVLFEKRSLGGVCLNEGCIPTKTLLYSAKVYDYAKHASKYAVNVSEASFDLGKIVARKSKVVRKLVLGIKAKLTAHQVNIVTGEATIVDKNTIQCGGETYECENLLLCTGSETFIPPIPGVENVDYWTHRDALDNKEVPASLTIVGGGVIGMEFASFFTSLGVQVTVVEMLDEILGGMDKELSAMLRAEYAKKGIKFLLSTKVVGVSKGETGITVSYENADGAGTVTADKLLMSVGRRPVTKGFGLENLNLEWTERRCIKVDEHLQSSVPGVYVCGDLNGVSLLAHTAVREAEVAVHHITGKEDAMSYRAIPGVVYTNPEIAGVGMSEEALQAAGIPYRAVKLPMAYSGRFVAENEGVNGVCKVLAAEDGTVLGAHMLGNPASELIVLAGMAIEDGKTIEDWKRYVFPHPTVGEIFREL", "MNRIFHVKIAGGTYLFLILLTAVMVFAFWCMKAMIGLVVALGLIITIERIIHSTYTLTADGKLVVYYGRFYKGKTIPLTDITDVELKRSSGFGGIMPSKYVLIHYEKKNLLSLVPVKPEEFINALVKRLEHRIEEE", "MENNKEIIHESEVKELIIELRGEKVLIDRDVAKLYGVETRDINKAVKNNADKFPENYCFSLQLSEKQELVENFHRLESLKYSTVVPKAFTERGLYMLATILKGPRATATTFAIIESFFKLRTLVRNVNIMATEYDEEKRKSLVQRSGELLNELLTDEGDITETESSIELNLYALKMKRTVKRTKKG", "MVKKFDFLVIGSGLAGMSFALKVAHKGKVALICKAGLEEANTYFAQGGIASVTNLKVDNFEKHIEDTMIAGDWISDRAAVEKVVREAPAQIQELIKWGVDFDKKEDGEFDLHKEGGHSEFRILHHKDNTGAEIQTSLIEAVKAHPNITIFTDHYAVEIITQHHLGIIVTRHTPGIKCFGAYVLNEKTGEVDTFLSKVTVMATGGCEAVYRNTTNPLVATGDGIAMVYRAKGAVKDMEFIQFHPTALFHPGDRPSFLITEAMRGYGAVLKNQSGEEFMQKYDPRLSLAPRDIVARAIDNEMKQRGEDHVYLDVTHKDPEETKKHFPNIYKKCLSLGIDITKDYIPVAPAAHYLCGGIKVDLDGQSSINRLYAIGECSCTGLHGGNRLASNSLIEAVVYADAAAKHALNVLDRYDFNEDIPEWNDEGTMNNEERVLITQSMKEVNQIMEAYVGIVRSNTRLIRAWNRLDILYEETERLFKRCKASRELCELRNMINIGYLITRQAMERKESRGLHYTIDYPHAAAEKK", "MRLKENSLLLPARFLLGRKKGRAKSHVYYLDLLAHPLGGF", "MMENIKEIPYGIANFVEVAEQNMYYVDKTMYLPLLEKQPRNLFFIRPRRFGKSIFLSMLRAYYDIAQKEKFQKRFGNLWIGSRPTPLQGKFQVVYLDFSRASGGSGSLEENFNNYCGMVMDLFGKVYEPYYFPGFAQKMEEQPDFVSKLNYLNLHAAESGMRLYLIIDEYDNFTNIVLNEQGKDIYHALTHASGFYREIFKKFKGMFERIFMTGVSPVTLDDLTSGFNIGWNISTDYQFNMMLGFSETDVRTMFQYYKDAGQLPIDADIEAMIREMKPWYNNYCFAEESLERDPKMFNCDMVLYYLRNYITLGKSPKEMIDPNTRTDYNKMKKLIQLDKLDGNRKGVLRKITEEGQIITNLITTFPAKEIAKPEIFPSLLFYYGMLTITATRGSRLVLSIPNYNVRKQYYEFMLEEYQDKRHINLNNLMDLFDEMAYEGHWRETLEFIAHAYKENSSVRSAMEGERNIQGFFTAYLSVNAYYLMAPEMELSHGYCDLFLMPDLMRYEVKHSYILELKYLSVKDTEEKARTQWQEAVKQINEYAAAPRVWQLVQNTKLHCIIIQFRGWELERMEEV", "MSVDYYFKNRLSKNSKELQQIMDKPWLADHIKNGHGPLCAAYPQEYTSEGDTPSFMPLIRNGLEQHTDYTLGGWGGRPEYKNGNHMQDGNDLKNGVPDSHYTFQRWLPAIQNDWAARADWCVADEYSKANHQPVARILGESVRTVRPGEKIILDASPSFDPDKNSLSYQWWQYREAGSVQTKVAIKHVDEKRTEIIVPDNPGKQLHLILELTDNGTPNLKSYKRVILNVN", "MKMPPVLCCIVFLFVSMLSAVARQQEKPRVIVTTDGEIDDQSSMIRFLMYSSDYDVAGIVQVNGVQKDGHSKDKWIESQIAKYAECLPNLRKHNPDYPDAEYLLSVLAVGNENREDLHKLPPLLSDSEGAQLIIRTLLDSDPRPVHILAWGGANTQANALWQIKQKYSAAEWAKAVSKARLYCIWYQDGGGKWIEQNLPEIIIYESGAPDHDGDMYGIT", "MKNTFKKVFIGFMAFAMATGSFAQQRAHKKDNESYPKEWKQIARMEQDSFFLTDEARRIAENVLAFQRCTGGWPKNIDMARRMNDKELAKVIKDKSRRDDSTIDNNATTAQMIFLARLYRQTKDIRYRDAFLQGVEYLLSGQYENGGWPQFWPGPRGYQVHITFNDDAIVNTLNMIRDMMNHKAPYEDDLIDKTLCVRLGKAFNKGIECILATQIIKDGEPSVWCQQNDRETLKPAPARAYELPSYCSAESAGIVRLLMELPAPDARVKRAVHGAMKWFDRYKLTGLKCERIVLANGERDTRLVEDPQAKPIWARYYDLKYCEPYVCDRDGLPRRHLEEIGTERRNGYSWYNSRPAELFAIYNAWADKYDPKHKVAISLATKGANENGLIEMYRRPMAERTAFDVVVKPGESIQAAIEKAPEIPTVPFKILLLNGTYHQKVIIDRPNIVLVGENRDSTRIVLAETAQTRAITEYHGRPVGNGVIVLQEGADDCVISGLTVYNNYGTAVENTTIHQMAIFGRATRTIIINSNVWADGNDALSLWAPGSNGMYYHADLYLRCPGVDFLCPRGWCYATRCHFYGDSRAMIWHDGRGDKNKKLVITNSSFDAKTPTLLGRYHHDSQFYLIKCKMSKNVLDGNIHYAYSDKVLDPCPWGLRTYYYGCTREGGHSGWLNDNLKEAENAPEFHGVTAKWTFNGKWDPEQRIRDLWNVLAY", "MKKIFLYALMLFSGFSCISCSDDEKGMANIDREWMTMFICDNNRGKGDDYAYNCKAEGPNGNDIHLYWYGVNNCAGYQIRQALQPNVSGGADAWGTSAENGLLLLDTIVGPEVLDLVIKDQQYSTDYRFAIRVLSTKDDNVTDFSHASKWYGHGDGRQWAEWMGITTSDRYATPFCVYVDASKTTQTTMRVMLNRAFKTVTEGVSDDDKAIYREKFQLDANDNFVYQWLEVDPSPNNPESTVNEKWRKYKLTDEDFEKGYVDIDGLQKNSVYVINVRNENVKVKWDAYYNTCSARSDGEPGEPILVTHDLSAPSRDRFDSDEAYQNALIQHEAALKYNAMRIDFLLTDFISDVNLAEGQTYYLEGGKTYCMFDNLTTCKGFVLRTRPEDVAAGKRAKVLLGGMHMTGTNVNSMNLMFGRQPQAGEGGEIYMKMLEFYDIDFDCPMALTYGDNVAGLGSATGNYFINMFSNGMAVHLESFVVKNCTFKRLVRGFIREQGPNYKIWDHVLIEDNQFFDCGYYSNGAGGYPWIAGSGNNANSNLYKDFVVRGNTFYDCPFPSFFSETKQSAWKGGAWNITFENNTLVNWNTRAAGNIFNMRNIPDGSTYTVKNNLIVLTKQDGDVRKMTMAGADIRKTMTMADGTAGHVTLNFDNNYSTNTFLSNGQIFSNNPWTATKNNFGTLVNNGSATLNGTLEVFVDDISPLELMVSPNPPHKATADNDQYMHRADALDGTAGEHGVNLYYNQTGKVMESKIYQLNIGAAKWRNGSAR", "MKLKNTLIIGLATLTLISCNDFLDVDSPSSYNEDFVFSQETEISRALNGVYASILVGDLYGSAYQKTFNLNSDVDMQMYTGNVATHNSYARFDCDDQGGEIDKYWRASYKAIEDANRFIRGVETGPLYNEENTAVMQMLGEAKCLRAMVYHDLVVMFGDIPFTFLPASQLGDNYVIPVMNREEIQNKLIEDLQDIAPKMSSTTTTTVERASKEFAWALIARIALTAGGYSLHPDKNNANSYGVMKRPEDYQKYYQIVKEYTSLVIASGTHSVGTSYQDIFTKESNFEIIAKGDPIFEIPFAKESTGNTGYSQGPTSTVNEGKTLGKNVWGESKGDIRLSAFYRYSFDENDKRRDFINGLWYYGNSANNATQDSCMIRADYTVHNNKWSKLWANAGQFTNLSASNTGINFPYMRYTDVLLMNAEAVNELEGPTATAQESLRQVHARAFDDQSVVSAYIAQVASSKETFLKAVLDERKWEFAGENMRWRDLVRNNLYGQEIVYSFLRYLSVGMSNAGSSTGFEDDIAEHDGSAYLDDLPESMYYHVLPQTVEWRVDVNQVYGYPYPNKILDMLYIYNPYKSANQPATALVAVDGATWKMAEFYQWSNDSEPTNQCKYSFYGYIRHTEQGMIVLVKDGTESPLDGNSVPEVNDLPPVRYILPYPNAAIQRSAGVYKNYYGYK", "MKFTNELRGVKSRLLLFSVLLLIPVMMFAQSITVKGTVLDSAGEAVIGASVVEKGNPSNGTITDFDGKFTLAVSKGKRIVISFVGYETQEVDAVTGKELTITLQDDSQALEEVVVLGYSSRARKDLTGSVGSVSGVKIAAVPVTSAAVALQGKIAGVQVTTVDGAPGADINIRVRGGTSVTQSNDPLYIVDGFQVDNINDIPPTDIASIDVLKDASITAIYGAKGGNGVVVVTTKSAKAGKIQVSFNAHLSTSHLSKKLDLMNSAEFARYQYEWSACNGSRSSNAKFFRANFGNPQDLDMYYSLPTHDWQDEVMGENPINYSTNVTIGGGTDKMRFTASLTQSEDKGIIMGSGVRRTNLNIKTAIDITKNLTLHINPKFTFRRDQGAGGDNIGTGGIIDVLRYRPTNGLREFGYIDPSYADPDEEALFTYTNPKSDIAINQQNKYAYNYTNAISLEWKPVKGLVLRTEATLSLNWKDQYRFWGALTGEGTKNNSLPVASIQKDQSFKYIWTNTASYGFSIKDKHNFSMLLGQEIYHSQNKKNFQKNRYFPRAFEAGQAWDNMGFGTPQESTSSLSTPDRTASFFGQASYNYNHKYLLSVTMRADGSTKFAPGNQWGYFPSVSGAWVLSEEKFMEDIKWIDQLKLRAAIGLAGNNRISDDMWRYLYTVNSTGGPGFGEATQFGEQWYGNQGGTTFANKNIKWETTLTRNLAADITLFNGRLTVTPEIYWNTTKDLLYKSDIPSATGYVSQMQNIGQVTNKGVELTISGDILSGRDYVLSANLSLGMNKMKVDKLNGTDNVIWDQNNRWKSSYNDYCLKVGDQVGLIYGFVYDGLYSMDEFYFDPTNNLQAVPWGSTAAENGTSKDAPIMDADGVEHPKTIINQISGDSNSGIATLPGKVKFKDLNGDGYITEDDRTVIGNTNPKVQGGFGLSGQWKGFDFAMNFNFMLDFDVNNATAYQLSSSESNKNKFYNVLSTFADKGWRYTRDGDGECLYKCYYIDGSLDMYRELNEGRTLWNPTDVTKKITHSYFIEDGSFLRCQDLTVGYTLPGNLTSKWGISKARFYVSASNLFIITGYSGYDPEVDIQTGLTCGMDYNRYPRSRSFVLGTNITF", "MENITVNVFDDVLYLAWEFVYLIFFTRFVCVHGNYKNACAILISIYYSHKNYNCFLLE", "MKVGKLGWLVAMFLSGGMAVAQGTADDYRRAYALKEKFSADKVFYSNVNPQWIEGTHQFWYVRNTPDGRLYVSVDADKKARKELFDSHRLAKALGAASGKEVKPEALALGHLSVSKGLDTLRFVFNNQRWMYASRKNQLVNEGAVPLLIRQKHWMEVDDEKTASPVPSPDGKWIAFIKNQNIYVKEVATGKEKQLSLDGTLGNYYSAYIRWSPDSKKVASCKIRPVEKRYVYYVESSPADQLQPKLHKQEYAKPGDELPFKVPCIYEVESGRSIIPSTELFDRQYEVYGPEWNPDSRAVTFEYNQRGHQVYRVLELSAETGKVRPLVEETSDTYVNYTRHFRHDLKDGKQMIWMSERDNWNHLYMYNRITAQPDYQITKGEWYVREVLRVDEDNRQIYFSANGMEAGEDPYLIRYYRIGFDGKGLTCLTPEEGMHRAWFSGDMKYLVDVYSMVDKVPVAVLRSARDGKVVMPLETADITLLEAEGWKAPEVFVAKGRDGKTDMWGLIARPTNFDPNKKYPVIEYIYQGPGDQYVPKTFRPYDWNMTSLAELGFIVVMVDGMGTSFRSRAFENVCYKNLKDAGLPDHIAWIKAAARKYPYMDVDRVGIYGCSAGGQESTNAVLLYPDFYKAAYSACGCHDNRMDKIWWNELWLGYPVGDQYKEGSNVENAHLLSRPLMLVVGELDDNVDPASTMQVVNALIKANKDFELVVIPGAHHTMGEDFGEHKRYDFFVRHLMQVNPPKWDEIK", "MKKLLVTALLTATVAGGTAQVKNQSHGYPIDPVPFTSVKVTDSFWGQRLNASREVTIPLAFSKCEETGRYTNFVNAAHPSDTIKVGGLAFDDTDVYKTIEGASYLLQTYPDKKLAKYIDSVLVIVAAAQEPDGYLYTSRTMNPKHPHEWAGSKRWEKVEELSHEFYNLGHMVEGAIAHYQATGKRNFLDIAIRYADCVCREIGTGEGQQIRVPGHQIAEMALAKLCLVTGQQKYLDQAKFFLDQRGHTTRTDEYSQAHKPVVEQDEAVGHAVRAAYMYAGMADVAALTGDTAYIHAIDRIWDNIVGKKYYITGGIGATSNGEAFGKNYELPNMSAYCETCAAIGNVYVNYRLFLLHGEAKYYDVLERTLYNGLISGVSLDGGGFFYPNPLESIGQHQRQPWFGCACCPSNICRFIPSLPGYVYAVKGKDVYVNLFMSNTSNLKVEGKAVSLEQATHYPWNGDVTIGVNKNNAGQFTMKIRIPGWVRNQVVPSDLYTYSDGKRLSYTVKVNGEPVQSELKDGYFCIDRRWKKGDKVAVHFDMEPRTVKANNKVEADRGRIAVERGPIVYCAEWPDNDFDVLSVFMNRTPQFEVVEKPDLLYGINQLKTDAQILGYDDRGRLTATDVKLTLIPYYAWAHRGAGAMAVWLPQELSASRPTMPATLASESKVDASHKVKSISAINDRLVPKDENDRSVPYYHWWPKQGTTEWISYEFPSEATVSSATVYWYDDAPWGGCRIPQSWKMYYKDAQGQWQPVSGADKYGVEKGTGNTVNFDPVKTKAVKLEIVQPADNSSGLFEWEVK", "MNKIRLVVASLLLGAATGFAQKPFNASGTGNPIIPGYFADPTVKKFGDTYYMYATTDGSGAGFGPAQVWTSKDFVNWTLMPMNWPDSHWIWAPDVMKHTDGNYYYFYCQPCMIHCGVSETPRGPWKNILGESEAVLVPDRFVTNAITLDGQTFVDDDGSVYLYWGTWGIYKGFGCGAGKLASDMKSFTETRLIPNTEATDFFEAPFVMKRKGIYYFMYSSGSCHDHTYRVQYATSDKPMGPYTYRGCILETNTDGTIHGPGHHSVLKEGNEYYMVYHRHDNPHSNRGFHRQLCVDRMEFAEDGSIKPLIPTHDGIGALASSVVKSKNLALGAKVRASSFYDADFRPEYAVDDNNGTLWRPRGMGQEWIEMDLGVARQIQTIWTQFEYGTQFYQYLIETSVDGKHWSVFADKRNNRLAGSPMVDFGKVKARYVRLTFTGGQKNGFGGAVWNLKIFEGVEASAPQQWLGLTAADWNGREWQNNEGMLGGAFTLKEGSARIQRIGGRDALVLEPGTTLEYSHPLLSSSKEHTVSGLVYRSGKWQSYEAGSCLSSGAITLHSSTEPLVITNFRYYNWKQEAAEKAYDAETDIVRLPVADQQKHGLVVSLSADDFVVNDTVPYLENRGVKGYFEARKLPLVVKEVKGKKAFHFEGTQVYTSSFMLPATLQDNAPYTLEAWVLNDSISENECVADFTTSHDELEKIMLVNGTEPRCGVINHYGWYEDAGYKDMKELAGKWQHIYICFDGRMEQVYINGKLVSEKDIQLLVKPSQFITLGRNAEGDWPFTGYLHSLKLWDEYLPLKE", "MKNNKKLYLAILSLLLLIGNASFAAKEKKYVLSSPDGTLKVEISAGNELAYQVMHGNDTILSHSNIGLVLENGTIVGKTPRITGERRRKIKDNIESPFYRFKEFVATGNELDLKLKGGFGIIFRAYNEGVAYRFYTTQSSDIIIKEEQAEFNFKEDYTAYLPYTTNDKKPMAMAYQNVYDIIPLSKAQPKLAFLPVTVDCGSVKLTLLESDLEAYPGMFVQSQQGKYGLKGVFAPYPAKTDFYPWRKQEYVTETTDFISRSRGSRSYPWRVLAITEKDTDMPVNNLVYALASPNRIGDTSWIKTGKVAWDWWNDWNLKGVPFKAGINMDTYKYYIDFASRNGLEFIVLDEGWYDPKSGDMLTVIPELDLTELIAYGKSKGVEIVLWTVFNVLDSQLEAACKKYADMGIKGFKVDFLDRDDQTAVEMVYRIAEMTARYKLTLDLHGIYKPTGINRTYPHIINFESVFGMEEVKWTDIKNNMPLYDVTFPYIRMMAGPVDYTPGAMRNATKADWRAMYYTPASMGTRCHQLAAYIVHDSPFTMLCDAPTNYLNEQECVDFIASLPVEVDSTFIASGELGKYIVTVRKKDVNWYIGGMTNWDERDVQLDFSFLPEGMSYTAVLFKDGVNANKQAEDYRKETIRIDKDSRLTLHLASGGGFAMKLELCPVHGQVTSIPEGKNIPSFYQKYIETEGLYVTSSGKVSDEALLKACDIISLMLAKRPDVKAHMVKKGCHVMVIGKDEETCDLPEFAHICNCEDSIKYWNWRARGFGGAPEDEFSSSCGEENLLALPQDKYVGENILIHEFAHLIHTVGIVGVEPDFNERLEALRQNAIRKGLWEKTYAVSNKEEYFAECVQSFFNCNRYAEPANGVHNWVNRRTKLKTYDPDMYRLLQEYFYEIEIPIHNVVHE", "MKKNFIYALIACFTLSLAACSTDPEDATSKHVYGENENPYLKTNADAVVSTKAEFPISRLEAKTVKLTDYAEKFHTYLGMTVDETLAALSNGSVVFYPINISKNCWNRTAPTKGTNGWYYNTAGGVCDAASGIASIELDATKKELVLNVLETASVGTIMSINVGFAINNGADFDDYIRFSFDVTVTDPSKIVISGTLAAGDYAGFSINFADYADAIEPCIGLSVDEFSKQVKNSGDARGDSSITPTIAMYPVKEDGTWDETSEYTANGLGYWFDGKSNVSSYGDNCVYFIESGEGSVLWDAMLILPQEPL", "MRKYILFIYMVCHLLHVYAGNLENKINSSYPHLVRVSMIKTLGSHQTPVTIEVSSPVRGIISNYNGINSIVETPVIIGPNLVMLSFRISGPFESGVHYRSLHITNSLGYDKIVKISSIDTFQDVIPLDPNATTVITIMITD", "MKTIFNKALWASAIGACTLSLGSCTDLSETIYDTIASEKYEFTEKDRAAMFAPVYSSLRDVYWGWYSYADMMDQSSDSWCIPYRISIGWGDLYVSMHKHQFHSQIAHFNDTWNRNYAGINACNKLLADEVIAADITTSSQLRAYRALYYYILFDLFRNIPLDTQYEHEDGWLPEQATPQQMWDFLISELTDVKGKCGTKVEMGKLNDYAINMLLAKMYLNHNAWFNDYSDNSYYGKAIDEVNEVINSGKFSLAPNYSDNFREDISGSPEIIFGIPFEFKYAGGNYMANMWMHVAGRATWQFNGWATGGAAGLPQFLETYDEKDSRYKDCWISGQQYDYAGAPIYVDSEPLVYTRELHSIDNPGCYPFESERLVKYEILSGDYGTSYDDVPFFRLADAYFIKAECLLRLGGYNGESEQVAADLVTAVRQRAFKSDPGKATVTVAQLKGGSRYNYGHRENQGIMGEADNWIITEEGGDDIELGGLLDELAWEFVAEHHRRQDLIRFRINGTNQNVYNGKSWFCKDAKTDKTDRHCDIFPLPKSALDGNIKLKQNPGY" ]
[ "TTTCTTTATTTGCTCCACT", "AATTATCAATTATATATAATGCCGCAAAGGTAACAAAAAAGAAATAATCACCCAAGA", "ACTAATTGAATTTAAACAATAGTCTAATGTCTAATTTAATTTTTAGTTTAATACAGCAAATGCTATGTAGTGTATAATGCAAAGGTACAAGAAAGACTGCATACTAAAATGTTAATTATCGTTTCTTTTTAAAAGTTCAACAAAATTCCTGTTTTTTGAAAAAAAAAACAAAGAAGCAACTTGTTTATTTACCTGTATAACATTACATTTGTAGAAATAAAACAAGAACTAACTTAACAATAAAGC", "GAAACGGAAACTGCTTGCCCATATTTTCGGGCGGACTCACATGTCTGCCCCTAAAGTGAACAAATTGGCAGTCAATTTTACTTTTGGCATACCCTCTTTTGATATTTCTAAAATTCTGTTTACCTTTGTTGTCAATCATCCGGATTGATTCAAACAAATGCAAACTTTACTAAAACAA", "GGTGGGACGGGTTTCACCGCCTTGCCTTCC", "AAAGACTCCTCAAAGATA", "TTTCATTGATTTAAAATGCAAAGTTAGGTATTACTAAAGAATTATTCGTACTTTTGCGACAGTAAATATAAAAGACCGAAAAATAT", "TTATATCCGGCAGATTCTGCACCGATTGAGACGCGGACTATATGAATTCCATACTGATTCATATAGTCCGCGTCCAAGTTTTA", "ATCTTCAGTTCTTTA", "AATATGTACGTACACTTATTTGTTATTGGATTGCAAAGATAGACATTTTAGGCGAAATCCTTCCTATCTTACCTTAATTTACTATATCTCCTCTCTGCAAACGATACTTTTCCGACTGAAAAACGAATAGCCCACAAACGAATAAACATACAACAAGCAATAAAATATGTCTAAAAAATATTTTTATTCATTTTGATATTCAAGTAGATTTACTGTTGAAATCAAATTAAACAAA", "GAAAAAAAATATTAAAATATATTATCCTATTTAAATCAAAATACATATATTTGCGATTGTTATTGAATATCACAATTATAAAATACACAAGCAAAAAGAGACCCTAATGAGGTTTGGATGGCCATAAAACCACTATTAGGAAATATGATAATGATAAAAAAGGTAGCATCACAATTTTAGAAAGAGAGTTCAATATATAATGCCTGAAAAATGGCAATGTACAAACCCCTAATAAAAGCCAAGTTTAT", "TACAGAAAGAAGAAT", "CAGCAAACTCTAACAAAGTAAAAACCCGTAGCAAAATGAACTGTTACGGGTTTTTTATTGTTCTCAAACAAATCAAAAGAGAGTATTCATTAATAAATTTATAGTTCCAAAGGATAACTCAAAATAGATTAATGAAACAGCTTTATAATAATCTGTATCATCATGATACCCTACCCTTTCTTACTTTATTCATTGCTACATAAAATAAGAAAAGGGAGCTTCTCTTCGGATTTTAATCATAAGAGATTTAAATTTCAATATCTCTTTAGAAACACATTCTTTCTGTT", "TATTCTTTTGATTAATATATGTGCAAAGGTAGGCTTTTTTCCGTATTTTTGCAGCCTGATTTCACCACAGATTACACAGATTCACACAGATTATAAATTAATACTCAAATGAATCTGACTTTTCTGTAACCCAAAGATAAACATACAACA", "TGCTACAATGTGCTAATATGCTAATGTGCCAATTGCCATGCGGAATACAGCACAGCCAATTGGCACATTAATATATTGTAGCATTAACACATTAT", "ACTATTTTTGTTTTATTCATTAGACGTTATTTCTATGCCAAACGTTGCAACGTTTAGCCATTATTTTTAAAGCAATATTCATGCCAGCAACATTTATCACTGAAAATCAACAAGGTATAAACAAAACAGGGTGTCCGAAAATGGACACCCTGTACGCTTTTATATACTTTTTAAAA", "TCTTCGTATG", "TTAGATTCAACCAAATTTAATGTATCTATTGAACCGCTATTCCAATTATTTTGTTTACTTTGTAGCACATAATACATAAATATATCCCCTT", "AGATTAACATCCTGTTGTAGGGGCAGACTGGTTCTTCCCCTACAACAAGACAATAGTTTCAT", "AAATATTCCCTTTTTTCTGCAAAAATAAAGGATTAACATCAATATTCATTCTATTTATTGGCAATAATATAGAATTTACTTACTTTTGTCCTTCTAAAGCATTCAGTTC", "AACCCCTTTGCCATCATAGAAATGCTGACATCACAAAATGGTCTAAAAAGTAGTATGGCAGCAAACTTTTTAATAAAACAGTAACTTCCTGTACACCTTCTTGTAACAGATAAACAAGATATTTGCAGTACGATAAAAAAGGAGGTATAT", "AAGTCACTCAACCTATTAATCATAGAATTTCTCTTACAGAAACCATACCTGTGTGATACAGATATGGTTTCATTTTGTC", "TCTGATTTCTATTTAAGTTTCTTGATTCAGCAAAAATGGCAAATTCCTGTGTAGAATTTATTTCTATAGGATAACAATTACATTGCCGGATACATATTTTGTCAATTTATTCCACAGATATACCCAATTCCGTTTTCAAAGAATTTTTATAATCAAAGGTAAATAAAGACCCCGGCAAGGAGCGTGGACGCCCCGATTTCATCCTCTTTTTTGCTTCATCAAACAGATTAATTCTCTAAATAATTGATTAGCAAACAGAAAATCATTAAATTTGTCCCATCAATTGAAATTACGTAATTATCATTTAAG", "ACAGTT", "ACAAAAGATAAGATT", "TCAGCGGACTATCAACCCATGGCAGGCTATGACAAATTCCAAATAGAT", "AATT", "ACTTCTAGGACCGAAACTGATGAATGGTCAAGTTTCACCTAAAACAGTAAAACCGTTGTAAAATCCTTCAAATCCGTCATATACGCATAACTGCATATTTATCAGCAAATTACCATGAAACATTCATCGCTGAATCCGTCATAGATTCTTCATATTCTTTCTCCACGCTGAGATGATTGCCGTTATATACATAAAAAATCTCCGGCTTGTCACAAGCCAGAGATTCTGTTTTATAAATCTAAAGTTTTTATTATATAAGATTCTCCTGTCGGAGAAAGTCTTATCTTGCTGAAAAAATGAACTTTATCCGAATTGGGATTGTATCGTTTCCTGATAAATTCATTTGTTTAGAAGGAACCGCTCCCCCACGAGAGGGAAGCAGTTTTTTAACCAAGT", "AAATAAAGATTTAAATTTATAAATTTAAAAAACTAAAAATTGGTTATACATATACTG", "CTAAACTCGTTATTCGTTCTTATTGGCCTTTTATGCAGATCTCTTAGTAAGAGATCTACAAACAGACGATAACAGGCTTTAAATCAAAATATTAACTATACAGATACAAGATTAAATCAGAGCCGGTAGAACCAGAAGAAAAACTTTTTTTCACAATATTCCATATTTTTTCCGCGAAAAGTGTACTAGTTATCAAAACTTTCCTTATTTTTGCACGTGAAATGTCGATCTCTTACTAAGAGATTTATACTTTTTTCATCTTCCATACATACAATAACCCATTGATTTTCAATCATCCACAATCAGTTCCTATTCCTTCTCTTAACATATAGTAAGATAAATACATGAACAAAGATTGAAAGGATTTTTTTACAAATCTTATTCTTCACGAATACAAGAGCCTTATATGGCATCTTAAAAAGATTGACAAACGACAAGACACTTCCCACCCAATATAAAGCGCATATTTATAGAGAACAATAAAGAGTACATAGA", "TTAATGAGCAATTTGCGTATATTTGTTGTTGTTAAACAGAAAAGATAACATTC", "CAGAGCCTT", "ATCCGATTATCGTGACCTAAGCCTTTTCATGCGGCAGGTTAAGCCCTATCCACCTTATCATTAAGCATTTTCTTACGGCAGACTTCTACAGTCGCCGGCAGTGCTCCGTACAGTCCACTGCAACAGACCGTACGGACTACTATAGCAGACTGTACAGTCCACTGTAGCGGTCTATACTGGTTTACCGTATAAAAGACCTTAACCTAACCATCAATCAAGCTTAACCATAGAGTAGAAAAAGGTTAGGAATCCAAAGGTTTCCTTCATCTGTACAAACTGAAATTTCAGAACACAGTATACAAACCAGCAATAAAACATAGAAATTCCGTTAAGGACTGAAAGAAACATTTTCTGCCGCATTCCCTAAGGATAACACTAAATAACAGACCATTGCCTCCCTATTCCAACTTTCTTTCGTATCTTTGCGCCCATTGAACGTTAACGAAACAATAATATATTC", "ATAAAAGGTGTGGATTCTGCGGTGAATCCACACCTTTATATTTGTATAACCAACAAAAGCACACACATTC", "AGCCAAATACCTTGTTTTTTTCGTGGCGTATACGCATACCCTCTTGTATATGTA", "ACTATTTATTGTTTGTCGAACTGAAAGACAAAAATACAAAGAATCTGCAAGTATCAACAAAGACACAAGCAGATTCTTTCTATTTATATCTACTAA", "GATACTTATATTATTAAATTAATGAAGTTATATGAT", "AAGCAGCTATTTTATGTTTTTATAGTATTCCGAATTATTTCGACTTGGGCAAAGTTACGAAACAATCTTGTTCCGATGCTGCAAAAATCGTTCAGAATAGGTATAAATTTTGTCCTATTATATGCAAAATCTGCTACTTAATACGTTTCAGAACATTCAAAAACTCAAAT", "GGTATTTATTTTGGGTTAATTAGTTTTTATCTGTTCAAAACCTTCAACA", "CATCTTATTGTAATTTGCCCGAAAGATAATAAATTTATCGTTTATCGACCTCATTACGGCGCAGAATAAGAGAAT", "CCTATTCTATTTAAAAATGCAGAAAGTTACACTTTTAATTTCATACTTGCATTATCTATTTCGTTTATTCTTCACGAAAAAAATAGTAAAAACAAGAGCAAAAGGTACAATGAATAAAGGTTTTTCGTAGCTTTGCACCCATAAAGCATATTATC", "GAAACTGATT", "AACTTACCTTGAACATCTTCTTTCTATTAAAAACTTTATTTCCTTTGTTCCTTCAGGAAGAATAGACTATCTTTGTTGTATTGGCATCCGGATACGAACTAAAGACAACAGAAGCA", "GCCTGTTCAAAACAACATGACAGTACGGATGAAAATTCATACAGGAAG", "ATTACAAACTGTTAAA", "TATTCCTATATGTTTTGATAAAAACCAGCAAACAAAATTATGCGTTTTCCAATCTGAATACAGAGAATATTTGGTCTTTTTCAGGCAGATTTTGCACAGACATCATCAAACCTATACAATTTTTGATACTCTGCCCCTTTACCTATACATAAAAAAGCCTATCTATCACAGATCGGCTTTTCTATCAAATATCTGTCTTTCAAAATCCAAAGATTCTCAGAAGACATTCACATTATAATAACTATAAAACTTATCAAACCCCATTCTA", "CATTCTGTCCTTTTATAAA", "GTGCTTTCAAAT", "AATAAAATATTAAGTTAAACAAAAATTTAAAGTCCATTTTTTCCGTGAATGTGAAATAATATAAATCACTATTGTTATTTATATT", "TATAATTTAATGTTAGTATTAGAAAATTGGTTTATTTTTATACTATATACGCCACAAATAAAAATAATATTGTTGACTGAACCTAATTTTTGTATTGAAAAAAATTAGTTCAACCAATAATTACAACATAATGAACAAAAAAGACACATTCATCAATGAAAACACGGAATTACATAAAAAAGCAGTGCTATGGACTTGTCCTCCATAACACTGCCACCTCTATTTATCATAACTGACAAACTCCTACAGCTACAAACCTCACTCCTC", "TTTGAATTATT", "GGTTCTATCATTTAATTGTTTATCATAGTTTTTGCAAAAATACATATTCCGGTCATAGGAAAAGGGTGAA", "CTCTATATAGCAAAATTCTATTTGTCCCTGCGACGC", "AATCTATCGGTTGTATACATTGTTCACTTGCAAAGTAAAATAAAAAAATTACAAGAAAGGGAATATATAATATAATGTAATGGCAGAATCGTCCAATAAATCTCATTTTATGGATTTGACAGTTAGGCGGTTGTACTATTCTTCCATTCGTTTAGACTATTTTTTTATCGGGTGGAAGAGCGAATAGGAATACCTATTTTCAGTGTCTACCCTAAATATCCGGTACCATATTATCCAAAGTCCTCCTGACTTAATACTATTAATGGAACCTGTTCCAATGAAGAACAGATTCCATTGTTTACCAAGTATATTATTCCATTGTTCCCGAGACAATAAATTCAACATATCGGCTATGATCCTCTATCATCGCATATACAAAATGCGCCT", "CGTACTATTCTTTTTA", "AATTTTAATTTTATAATTTTTAAT", "GTTAGTTCTTCATTTAAAAG" ]
[ false, false, false, true, true, false, false, true, false, false, false, false, true, true, false, true, false, false, false, false, true, false, true, true, false, true, true, true, true, true, true, false, false, true, true, true, true, true, false, false, false, false, false, false, false, true, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 87, 89, 91, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 115 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 48, 50, 52, 54, 56, 58, 60, 62, 64, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 88, 90, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113 ]
[ "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123453|+|2766:4385", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123454|+|4525:7881", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123455|+|8022:12008", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123456|-|12102:12680", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123457|+|12861:13130", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123458|+|13229:14911", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123459|-|15822:16598", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123460|-|16644:18716", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123461|+|18879:19385", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123462|-|19416:19952", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123463|+|20675:22993", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123464|+|23096:24088", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123465|+|24085:24210", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123466|-|24343:24924", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123467|+|25084:25611", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123468|-|25710:26606", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123469|-|26688:29522", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123470|+|29574:29714", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123471|-|29819:33043", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123472|+|33176:34702", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123473|-|34799:37393", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123474|-|37579:39072", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123475|-|39110:39460", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123476|+|39798:41168", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123477|+|41168:41569", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123478|-|41579:41815", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123479|+|41983:42849", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123480|+|42852:43721", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123481|+|43734:43979", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123482|+|44017:44817", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123483|+|44822:45529", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123484|+|45541:46596", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123485|+|46615:47061", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123486|-|47185:47979", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123487|-|47960:49483", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123488|-|49568:51004", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123489|-|51041:52474", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123490|-|52505:52873", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123491|-|53056:54933", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123492|+|55030:55161", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123493|-|55292:56434", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123494|-|56449:57087", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123495|-|57333:58709", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123496|+|58987:60279", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123497|+|60394:60981", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123498|+|60978:61790", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123499|+|61795:62544", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123500|+|62647:63039", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123501|+|63036:63257", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123502|+|63272:63901", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123503|+|63981:65273", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123504|-|65371:68625", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123506|+|69291:69878", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123507|+|69891:71309", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123508|+|71327:73072", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123509|+|73096:74103", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123510|+|74162:75364", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123511|+|75380:78715", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123512|-|78992:79588", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123513|+|79804:80205", "2510065017|RJ2H1_RJ2H1-contig-027.27|CDS|2510123514|+|80193:80381" ]
[ "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000001|+|2740:2765", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000002|+|4386:4524", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000003|+|7882:8021", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000004|+|12009:12101", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000005|+|12681:12860", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000006|+|13131:13228", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000007|+|14912:15821", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000008|+|16599:16643", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000009|+|18717:18878", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000010|+|19386:19415", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000011|+|19953:20674", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000012|+|22994:23095", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000013|+|24211:24342", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000014|+|24925:25083", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000015|+|25612:25709", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000016|+|26607:26687", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000017|+|29523:29573", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000018|+|29715:29818", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000019|+|33044:33175", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000020|+|34703:34798", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000021|+|37394:37578", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000022|+|39073:39109", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000023|+|39461:39797", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000024|+|41570:41578", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000025|+|41816:41982", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000026|+|42850:42851", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000027|+|43722:43733", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000028|+|43980:44016", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000029|+|44818:44821", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000030|+|45530:45540", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000031|+|46597:46614", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000032|+|47062:47184", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000033|+|49484:49567", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000034|+|51005:51040", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000035|+|52475:52504", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000036|+|52874:53055", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000037|+|54934:55029", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000038|+|55162:55291", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000039|+|56435:56448", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000040|+|57088:57332", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000041|+|58710:58986", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000042|+|60280:60393", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000043|+|61791:61794", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000044|+|62545:62646", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000045|+|63258:63271", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000046|+|63902:63980", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000047|+|65274:65370", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000048|+|68626:69290", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000049|+|69879:69890", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000050|+|71310:71326", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000051|+|73073:73095", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000052|+|74104:74161", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000053|+|75365:75379", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000054|+|78716:78991", "2510065017|RJ2H1_RJ2H1-contig-027.27|IG|IG_000055|+|79589:79803" ]
[ "MKLFKYGVYALCASAMLSISSCFNLDEEPYSEIIEEDYVPTEADEIALLATTYSQLRFVMDWYGLFDLQEESGDVIVTPTRPNGWDDGGTYKQMHKHTWDNQQGQPQSIWDYCYKGIANANKILKRADEGFFTEESKPKVVAEVKGVRALWYSILCDTHGNIPIQTSFSEEIPVQSTRKQVFDFIINELKEVMPNLPTEVNKSTYGRLTQWGAKCLMARMYLNAGVYTGTPMWNECLEQCNDIINSGLFSLETNYTDIFKTENSGCVETIFAIPYDEVYNEGDNNGPVFGAHMKFLSSNSRKVFNMQTTPWGGSAANPQFINSYDPDDMRLKYTWLQGDQYSPDGVLITTFPNKLPSIYKTDTDDGYRVGKYEIKVGAKSLLSNDFPYFRYTEVLLMKAECLLRLGQNETEAAHIVSQIRERAFRESAHPEKATVDAAWLKGDTHINYGTLDEKGQIDDAGNTEVVELGGLYDEWGWEFAAEARRRTDMIRFGTYQKKSWFNHTPTANDLNGNSILFPIHLDHLNTNPNLQQNPGYAGK", "MLMEMNRYLSFTLFTGLSLLTTIPIEAYTLNPNKTATSILQTNVIEVRSITSVQPIVIYCPVGTVPQLPYQVWVTYSDGQGEYRQTKWSNSALSTEQSEADDKVYPIGSQYTINGFIIGDDTTENGYPITAKIEVVDTKNTISPKLIAHTIPLNNVKINGNNRLTSNRDLAIKEIISWDVSQQLYNYRDTYGLSTEGYTRSDGWDSPETKLKGHGSGHYMSALALAYAAATNPSHKEILRRNITRMVNELRECQERTFVWSEELGRYLEARDFAPEEELKKMKGTWEAFDEHKTKWATYGYGYLNAIPPHHPALIEMYRAYNNSDWVWAPYYSIHKQLAGLIDIATYMDDKSIADKALLIAKDMGLWVWNRMHYRTYVKKDGTQEERRTHPGNRYEMWNMYIAGEVGGMGESLARLSEMVSAPEEKARLIEASNCFDSPAFYEPLSKNIDDIRNRHANQHIPMIIGALRSYLSNNDTFYYHVSHNFWNLIQGSYRYSTGGVGNGEMFRQPYTQIVSMAMNGVSEGESHSNPHINETCCAYNLLKLTKDLNCFNPDDARYMDYYERTLYNQIIGSLHPEHYQTTYQYAVGLNASKPWGNETPQSTCCGGTGSENHVKYQEATYFVSDNTLWVALYMPTTLHWEEKNITLQQECLWPAKSSTIKVTAGEARFAMKLRVPYWATDGFDVKLNGISIATHYQPCSYAVIPTRQWKENDIVEITMPFTKHIDYGPDKLPAEIASKDGHQLETAWVGTLMHGPFAMTATDITNWTEATLNIDSRLASITVVEPNGPQTGTTGNLYTLMQGGRTFQPDYYRHDHTTHYFRINHIKDPTVELKMALSAKLRETTAFSKSHYTKASFAKLTTAIQEGEKLMKISPLTETTISTCVDNIDKAIESLVASRLDKSNLEASIHIAKKCNPDLYTTDSFKTLQATLESAHEVMDNIDLQTVIDKQTLSLQDATASLVLANNVDKTELKELLNIAMERQTNQEKWNALAVKIPEFAPWAHFGFTRLKRTLEHAQNVYFNKDKNYSQGEVNAIVASLNTVINTMRPGNLPEMEDLRPLSALLRRVGTIDDSTDPTLKDAVAFTEMVIKYVADGSGTHDMIETAISRLKSAAGL", "MKRLVLLLLNLLMPMILFAASNDLCFRHFSVEDGLSSNSVRALMQDKYGFLWIGTDEGLNRYDGTTVKLYRLKDRGANEAISSLYSTLNKIWIGTDEGIYIYDYETEDIMPFVLATSQNIHIETNTNHIVEDKDKNLWFTTVGQGIFKYNTITNHLEQYEFKNANGLMASVLVDSENQIWAITNWGNSGLFKLNKAENKFETFPLSYESGKHDSNALVMLEDSEHTLWLGTWECGLQKIDKYSGKATTYLHPTDGKGATHIHSIMEYAPHQLLIGSDDGLLLFNTITEEYQLFTEDETNPHSLSNRFVYPIIKDHEGGIWIGTYYGGVNYISPNTGQFESFVHSRFSNSVNGTVIGRFCEDSNGDVWIASDDGGLNCFSPQNRKFSHYLPLEGKNSLSYHNVHALCMDDDNLWIGTYTGGVNVLNLQTGTFKTYSAYQDNPASLDGTSSYAIFKDRKERIWVTSMSGVNLYNREKDNFTRIKDLDALTIDIDQDTKGNLWFSTQGKGLFKYHPEKQIWKNYIHDHKNPNSLANNQVNCVLIDTNGEMWVGTMNGLCKYNAEEDAFETLPLEIPSHNICSIIEDQRILWLTTTKGLVRYTPGEGCRVFTKSDGLQSEQFLPNAALKASDGKIYIGSVNGFNAFYPHQIKTNVMLPPVIITELEIDNKEIQTGNKLLPKSLNQLEELELSYKENAFSLLYASLSYCTPNKNKYAYKLEGFDKDWNYVGSQNKATYTNLPAGTYLFKVKATNNDGIWNEKGTSLKITIHPPFYWSTASKLLYFVLVCIAFGFVIRFIIKRTEKKHTAEINKLNANKEKEVHEAKIKFFTMIAHEIRTPVSLIIGPLEKIMKSPVSLPSTVRDDLNIIDRNSQRLLFLVNQLLDFRKVEQEGMKMKFASQNIHQLLKAVCERFEPFIAQHGARLTVKYPEADFTAIVDSEAVTKLVSNLLTNASKYTKDEVTLTCIVQPEQHTFIIRVTDNGIGISKEEQKKIFHPFYQAMDNKPGTGIGLSIVKSIVESHNGCIEVESEVNKGSSFIVTLPIEQAQVLPQDTGTSLLNNPAIPEGILQEDLSGSPIKHKPTMLIVDDNEEMLNFLSSSLADKYSILTAEDGIEALNKLKENEVTLIVSDWMMPRMDGVEFCKTIRTNQATSHIPFILLTAKTDTNSKIEGMDCGADAYIEKPFSMQYLEACIKNLVDLRNLLRQKFSKMPLVPLNSIANNSMDDKFLTRMNEIIEENFSNPELSVDFLAEKLCISRSGLFAKIKTLANITPNELIQVVRLKKAAILLAENKYRINEICYMVGFNNPSYFSKCFQKQFGMKPGEFVNGKREE", "MAKSVKGTQTEKNLLTSFAGESQARMRYTYFASTAKKEGYEQIAAIFTETADQEKEHAKRMFKWLEGGMVEITASYPAGIIGTTMENLKAAAAGENEEWTTDYPHFADVADQEGFPAIATMYRRIAEAEKGHEERYLALLKNVEEGTVFKKAEETVWQCRNCGYIYVGTEAPEVCPACLHPQAYFEVKKNNY", "MKNIIKLFTFPLLTIIGFNSCASIPLKIGESENNSTYTVSYLFEHDGCKVYRFYDKGNYVYFTTRGDVTSITNDSTAQRTITINKTPYE", "MKAFEFKPKLFTTLQNYSKESFMADLMAGIIVGIVALPLAIAFGIASGVSPEKGIITAIVAGFIISLLGGSKVQIGGPTGAFIVIIYGIIQEYGISGLTVATLMAGVLLILLGVFKLGAVIKFIPYPIIVGFTSGIAVTIFTTQIADIFGLNFGGEKIPGDFIGKWMMYFRHFDTVNWWNAIVSIVSVLIIALTPRFSKKIPGSLIAIIVVTIAVYLMKTYGGITCIDTIGDRFTIQSQLPDAVVPELNWEAIKNLFPVAITIAVLGAIESLLSAAVADGVIGDRHDSNTELIAQGAANIIAPLFGGIPATGAIARTMTNINNGGKSPVAGIIHAVILLLILLFLMPLAQYIPMACLAGVLVIVSYNMSGWRTFKALLKNPKSDVTVLLITFFLTVIFDLTVAIEVGLLIACVLFMKRVMETTEISVIKNEIDPNNESDLEVHEEHLMVPKGVEVYEINGPYFFGIATKFEEIMSELGDRPKVRIVRMRKVPFIDSTGIHNLTNLCEMSKKENIQIVLSGVNEKVHQILEKSGFNELLGKENICPNINVALEKAKAIIEK", "MSTVIYPSPIFGPVHSRRLGVSLGINLLPEDGKFCTFDCIYCECGFNEDHRPQKKLPTREQVREALEARLIDMQQNGPKPDVLTFAGNGEPTAHPHFAGIIEDTLALRDIYFPQAKVSVLSNSTFIHKPEVFDALNKIDNNILKLDTIDTDYIRLTDRPTGHYDVRKIIKGMKAFKGNLIIQTMFMKGKYQGQDVDNTSDRYVLPWLETVKEIAPRQVMIYTIDRETPDHDLEKATHEELDRIGKLIKDSGIPVSVSY", "MNYKMNRLLRVMLIICITILLSVHNLCAQEVWEELAEQLMDEDENSSFQWDTHFEELSELRENPININTATKEQLERFPFLSDQLVENILYYLYKYGPMLTRNELWMIEDIDRQTIHYLLPFICFETPEKEQYKPNIKRILKYGKQELSTRVDIPFYTKAGYQQYPAETLKKNPNKQYLGYGYYHNLRYSFHYRDQIYAGITAEKDAGEPFFTGQNKKGYDFYSLYLLIRNIGHIKTLALGNYRVSYGYGLVINTDFGMGKTATLSTLGNKSRGIRKHSSTDEYNYFQGMAVSYKLAKRWTLDGFYSYRKMDGIVDNQFIRSLKKDGYHRLYREFEKKNTLTNQLVGSNLNYNGKYCELGLTAVYNVFNKPLNPEKKYYNIYYPRGKDFYNVGGDYKFFWKRFSLLGETAIDKCGTWATMNMLRYSPKGGTQLIVMNRYYDAKYQSVYARSIGEGSTVQNESGFYIGLETSILKYIKMTCYGDFFYFPWKKYLVSKAGTKGLDGLLQLSYSPTYELEMFIRYRYKKKEKDFTAADKTKQTIPSIQQKCRYQLNYSVKDKLTLKTIADYVRINFRGQSASNGFLVSQSAAYTFHLLPLQLDLSAAWFNTDDYNSRLTIYEKSVLYAFSMPSFYYKGMRVAVNARYELNKHIILQAKYGTTHYFNRDKISSALEEIDGSTKSDLYLQLRLKF", "MVPVCVYKGDTIPAVQLPNVYIFRPLKFKNEKERREYYRLVRNVKKTLPLAREINRAVIETYEYIETLPDKKAREKHLKLVEKGLKEQYTPIMKKLTFSQGKLLIKLVNRQTDSSSYELVKAFMGPFKAGFYQTFAALFGASLKKEYHPEGEDRLTERVVLLVENGQI", "MRKLKITELNRLTVDEFKKADKLPLAVVLDEVRSLHNIGSVFRTSDAFLVDCIYLCGITATPPHPEMHKTALGAENSVEWRYKKNTLDAVQELHDQGFTVLAIEQVEGSTLLDKLELDADKKYAIVMGNEVKGVQQEVVNACDGCVEIPQYGTKHSLNVSVTTGIILWEFANKLMKFR", "MIKRILVATSLLCMCCILFAQTVQVKGKVVSENEAVEFANVVLQTKDSIFIAGGVTDSKGRFMMENIQAGSYLLCISGMGYTSRIISLDHLAVSKDLGVIMISPESILLKEVVVTGASVINAADRKIILPTAHQLKSAGNGLSLLQQMKLSRIQVDQMRNKVTSSGEGDVQLRINGVNAEIQDILVLRTEDVIRIEYHDAPGLRYGDHTAAVIDYIVRRHETGGYVALDAQDSPHVLFGNNNFIVKINHKKSEFGLNYNNVYRSVDNYWRNNWETFRYEDGSSFTRVEDGIPSRISTYGYNIGLNYSFQDQGKWFFNSTVRWAFNKNKQNNQSSLYIWEKPEEILMMKEHSTGRTSRPSVDLYFQCKLNNDQSLIINAVTTYIDTQSDRSYTEGKSNEPLTDIYSTVSGNKYSFIGEGIYERKVTKKSRLSAGVKYQQSLADNTYGGNESSETKMHETHATAYVEYSGKMDRFNYSFGLQGSYSRFKQKEEGYNRYSLLPRLRLGYQFSDNVFVRYRGQISRKSPGLSDMGNVRQLIDSLQVRSGNPELKIFTVYKNELEADFRKGLFSGNFHLSYQYQHRPIMEETIRDKNNSFVRTNANQLSWQKLNPELELKLGPLKDILTFSFSTGINYYDSRGLDYHHTYTNWYYRAEVMASYKRWSGFFQMENHRNNFYGETLSYGESFHTLGLSYRYKRLNIGMMTLNPFVDNYRMGGEMFSKVAPSKNWWYVKESCRLFVVKVSWNISFGRKYETMQKRVNNEDSNAGTLKSGK", "MNKEKWLKKGYVTEPVDKTLDLKTEIDKLRKEKNALILGHYYQSGEIQDIADFVGDSLALAQWAAKTDADIIVMCGVHFMGETAKILCPDKKVLVPDLNAGCSLADSCPADEFAKFVQEHPDYTVISYVNTTAAVKAVTDVVVTSTNAKQIVESFPADEKIIFGPDRNLGNYINSITGRNMLLWDGACHVHEQFSVEKILELKKQYPDAAVLVHPECKGAVSKLADKVASTAGLLKYAIASDKKDFIVATESGILHEMRKKCPEKNFIPAPPEDSTCACNECNFMRLNTLEKLYNTLKYEWPEVTVDEAVAKEAVKPIKKMLEISEKLGL", "MTFVKDNKMWLIKDVSVILKSKGEFFWKGFIIGIGVTFLFH", "MKKKLVFATNNAHKLDEISSILGEKVELLSLKDIHCHVDIPETADTLEGNAMLKAEYIYKNYGLDCFADDTGLEVEALNGAPGVYSARYAGGEGHNAEANMQKLLQNMQGVQNRKAQFRTAICLILDGKKHLFEGIVKGEIIKEKRGSSGFGYDPIFVPEGYTKTFAELGNETKNKISHRALAVEKLCRFLKA", "MVYIETPHLLLRDWKEEDILPFVRMNSDKEVMEFFLTTLTEEETMAFYCRIRKEFEEYGYGLYAVECKETQNFLGYVGFHNIAFESDFTPGVEIGWRLCRDAWGKGYATEAASACLDYASDNLPFKTVYSFTAIPNKRSERVMQKIGMHFEKEFDHPLVEQEHWLCRHILYKIEI", "MDQILKIKLGREVKDYLSITFGLICYALGWAAFLLPYQITTGGVTGISAIIYYVTGIEIQVSYFIINAVFLGFALKILGPKFSLKTIYAIFMLTFLLWLFQALLKNPDGTLPQLLGPGQEFMACVVGAGLLGFGIGIVFCNNGSTGGTDIIAWIINKYKDVTLGRMMMYCDIVIISSCYFIFHDWKRVLFGFCVLFIMSIVIDYVINSSRQSVQFLIFSRKHDEIAEGITKQIDRGVTLLDGTGWYSKQGIKVVVVLAKKSQSLDIFRLVKDIDPNAFISQSNVVGVYGEGFDKLKIK", "MEYNFREIEKKWQQRWAENHTYQVTEDESKKKFYVLNMFPYPSGAGLHVGHPLGYIASDIYARYKRLQGFNVLNPMGYDAYGLPAEQYAIQTGQHPAITTVNNINRYREQLDKIGFSFDWNREVRTCEPGYYHWTQWAFQQMFNSYYCNDTQQARPISELTEAFARYGNEGLNAACSEELSFTAEEWNAKSEKEQQEILMNYRIAYLGETMVNWCPQLGTVLANDEVVDGVSERGGFPVVQKKMRQWCLRVSAYAQRLLDGLDTVDWTDSLKETQRNWIGRSEGTEVQFKVKDSDIEFTIFTTRADTMFGVTFMVLAPESELVPQLTTEAQKAEVEAYLDRTKKRTERERIADRRVTGVFSGSYAINPFTGEAVPVWISDYVLAGYGTGAIMAVPAHDSRDYAFAKHFNLPIVPLVEGCDVSEESFDAKEGIVCNSPRKDVTPYCDLSLNGLTIKEAIAATKEYVKAHNLGRVKVNYRLRDAIFSRQRYWGEPFPVYYKNGMPYMIDSSKLPLELPEVAKFLPTETGEPPLGHATKWAWDVEKGEVVENNLIDNVTIFPLELNTMPGFAGSSAYYLRYMDPHNAQALVSEKADHYWQNVDLYVGGTEHATGHLIYSRFWNKFLHDLGVSIKEEPFQKLVNQGMIQGRSNFVYRIKDTNTFVSLNLKDQYETTPLHVDVNIVSNDILDVEAFKAWRPEYNDAEFILEDGKYICGWAVEKMSKSMYNVVNPDMIVEKYGADTLRMYEMFLGPVEQSKPWDTNGIDGVHRFLKKLWNLFYSRTDEFLPVEGEPTKEELKAIHKLIKKVTGDIETFSYNTSISAFMICVNELTSLKCRNKEVLSNLIILLAPFAPHYAEELWEALGNTTSVCDAQWPAFNEDYLKEDTVKYTISFNGKARFTMDFAADADNNTIQTTVMADEQAQKWIEGKTPKKVIIVPKKIVNIVL", "MKVGEIYGKNRNKKLLVMYIDFFLMTLFGGIYNIYTSKNYYIYLYK", "MNKKLLFSLLLAGTAFTGHADEARLLRFPATNGSDIVFSYAGDLYKAPLNGGEAQKLTSHIGYEMFARFSPDGKSIAFTGQYDGNTEVYLIPTDGGEPQRLTYTATNSRDDLGDRMGPNNIVMTWTPDGKNIVYRNRISDGFDGKLWSISKNGGMSEVIPLPEGGFCSYSPDGKKLAYNRVMREFRNWKYYRGGMADDIWIYDPAAKKVENITNNIAQDIIPMWIGEEIYFISDRDRTMNIFVYNIRTKQTEKVTNYTDYDVKFPSSNGQIIVYEHGGYLYKLDPKTRKSEKISITLTSDNIYARKEMKRVADNLTAASLSPDGHRLAVTARGEVFDVPAEKGVTRDITRTPGANEREGEWSPNGKQIAYISDRTGETEIWLQSVEGGDPIQLTQNNDTYIRQLMWSPDSKKILYTDRKNRIVEVDIASKAKRTVMQNPEGEFYEVNYSPDSQWITYTKSGANNMSVIYVYHLTSGKEYPVTEKWYNSSSPVFSTDGKYLIFNSERDFNPIYSQTEWNHAYNRMGGVYMAMLANDTPSPLLPSDEMVSIEQQATDAVNKKTEATNNAVKIDPEGLLGRLIKLPLQAGNYDNFYSDGKKVWYASGRSTKVYDLTEQKEETVAEGAYMDVAANHRKALFFKGNNLYICDFPCTKASLEENVNLDDMIAPIDYSQEWAQIFDETWRAFRDGFYLENMHGADWKGIKEKYAVLVPHAKTRLDLNYIIGEMIAELACGHAYVNPGEIKGPERIPMGLLGAELSRDKSGFYRIDKILPGAIYSQKLRSPLTEPGIGVKEGDYITAIDGISTATVDNIYSLLAGKANVLTELSINRTASSKGARKVVIKPLDNEYPLYHYNWVQNNIKKVEEATNGRVGYVYIPDMGPDGLNEFARYFYPQLDKEALIIDDRANGGGNVSPMIIERLLREPYRLTMRRGSTKIGTIPDATLVGPKVLLINKYSASDGDLFPWSFKANKIGKVIGTRTWGGIVGISGPLPYMDGTDVRVPFFTNYDAKTGQWIVENHGVDPDILIDNDPVKEQSGEDQQLNKAIEVILQELKDRKPLPSVPAPRTYKDLGVE", "MKNRNLFLLTSGLAFPLLGAYAQKTPKPNIIYIMCDDMGYGDLGCYGQPYISTPNIDNMAKEGMRFTQAYAGSPVSAPSRASFMTGQHSGHCEVRGNKEYWRDAPVVMYGNNKEYAVVGQHPYDPGHVIIPEIMKDNGYTTGMFGKWAGGYEGSVSTPDKRGIDEYYGYICQFQAHLYYPNFLNRYSKSAGDTAVVRVVMDENINYPMFGKDYFKRPQYSADMIHEEAMKWLDKQDGKQPFFGIFTYTLPHAELAQPEDSILTGYQKKFFEDKTWGGQEGSRYNPSVHTHAQFAGMITRLDYYVGEVLNKLKEKGLDENTIVIFTSDNGPHEEGGADPTFFGRDGKLRGLKRQCYEGGIRIPFIVRWPGKVPEGTVNDHQLAFYDLMPTFCDLAGVKNYVKKYTNKKKDVDYFDGISFAPTLLGQEGQKKHDFLYWEFDETDQIGVRMGDWKMVVKKGTPFLYNLATDIHEDHDIAAGHPDIVKQMKEIIKSQHTPNPNFFVTLPSFE", "MRNKILYLFASLVMLSGCNNQPAYKDSSLSPEEQAEDLLQQLTLEEKVALMMDNSKPVERLGIKPYNWWNEALHGVARSGLATVFPQPIGMAASFEPDAIHTIYTAVSDEARAKNAAYSAAGSYERYQGLTMWTPTVNIYRDPRWGRGIETYGEDPYLTSVMGVNVVKGLQCMDANQKYDKIHACAKHFAVHSGPEWNRHEFNAENIKPRDLHETYLVPFEALVKEAKVKEVMCAYNRLEGDPCCGSDRLLMQILRQDWGYDGIVLSDCGAIDDFYREKGHKTHPDAESASAAAVLSGTDLECGSSYKALVESAKKGLISEKDIDVSVKRLLKARFELGEMDDPDKVEWTKIPYSVVCSAEHDSLSLDIARKSMTLLLNKNNILPLKRGGQTIAVMGPNANDSVMQWGNYNGTPKHTITLLEGIRSAMGENDKLIYEQGCSWVERSLIRSVFSQCTSKEGPGFSAHYWNNKEYEGNAVATAQLTTPFRLCTSGATVFAPGVNLTDFSAVYQSVFTPQETGEVIFNFYSCGATQLLINGEEVKKFTNKHGGRGQAYAMHAEAGKPYDIEIRFQYFSGDAQLNFDLGFKEEVNIKNTVAKVKDADVVIFAGGISPSLEGEEMGVNLPGFRKGDRTDIELPAVQRELIKALCDAGKKVIFVNFSGSPIAMEPETKYCQAILQAWYPGQSGGKAAAEVLFGDYNPAGRLPVTFYRNITQLPDFEDYNMTGRTYRYFKGDPLFPFGYGLSYTTFNYGNIKLEQTIKVGETAKIIVPVTNTGNRDGEEVVQVYLKKQEDAEGPVKTLRAFKRVQIPAGKTVNVELELTPKQLEWWDAQTNTMRTIAGNFDIMVGGNSKDAELQVKTLTLQ", "MGFNIISAAEAASYIKHGYNIGLSGFTPAGTPKAVTPEVAKIAEEEHAKGNPFQISIFTGASTGDATDGILSRVKAIRYRAPYTTNPDFRKAVNNGEIAYNDIHLSQMAQEVRYGFMGKVDVAILEACEITPDGKVYLTAAGGIAPTIARLADKVIIELNAAHSKNGMGLHDVYEPLDPPYRREIPIYKPSDRIGLPYVQVDPKKIIGVVEVNTPDQARSFTAPDPITDQIGQNVADFLAADMKRGIIPASFLPLQSGVGNIANAVLGALGRDKTIPAFEMYTEVLQDAVVDLIRQGRVKFGSTCSLTVTNECLQGIYDDIDFFRDKLVMRPSEISNNPEIIRRLGVISINTAIEADIYGNVNSTHISGTKMMNGIGGSGDFTRNAYISIFTCPSVAKEGKISAIVPMVSHEDHSEHDVNILITEQGVADLRGKSPVERAKAIIENCAHPDYKNILWDYVKMSSKGQTPHCIPAALAMHDTLAKKGDMRLIDWAEYK", "MDIIQVIIFIIIIVAAIVQQISKTGKEKKTPSPKKVLADIFPEIEQENIEEDLPVSSVQTVRTPQTPRMQHQPMVKKPTDSPRKENKREDRTPIRLSTKEEARRAFIYSEIFNRKY", "MKETTGADFKSATDSENKKLFIETYGCQMNVADSEVIASIMQMAGYHVCETLDEADAVFMNTCSIRDNAEQKILNRLEFFHSMKKNKRRNLIVGVLGCMAERVKDDLIENHHVDLVVGPDAYLTLPDLVASVEAGEKAINVELSTTETYREVIPSRICGNHISGFVSIMRGCNNFCHYCIVPYTRGRERSRDVESILNEVRDLADKGYKEVTLLGQNVNSYRFEKEGEIITFPMLLRTVAEAVPDMRVRFTTSHPKDMSDETLQVIAETPNVCKHIHLPVQSGSSRILKLMNRKYTREWYLERVAAIRRIIPDCGLSTDIFSGYHSETEEDHQESLSLMRECAYDSAFMFKYSERPGTYASKHLPDDVPEEVKIRRLNEIIELQNRLSAESNARDVGKTFEVMVEGVSKRSREQLFGRTQQNKVVVFDRGNHRIGDFVHVRITEASSATLKGEEVF", "MGRKEEYKEKNLQYLQVLSTQEGICPLPCNMFYKVLQTGTGTVSPTIRSIVTVHYRGSLINGKEFDNSYDRNCPEAFRLCDVIEGWQLALQRMHVGDKWVVYIPYTMGYGNRTSGPIPAFSTLIFEVELLGIA", "MENKKLTRSNNRMLAGVCAGLADYFGWDVTVVRIIYSFATVFTAFSGIIVYIILWIVMPEKKYRDGYEDRMNDRLHNR", "LCGGKHLGHAITCTDHYASGEQFNLVRCDDCGFIFTQGAPVEAEIGRYYETPDYISHTDTRKGLMNRVYHEVRKYMLSRKAKLIKRTSGLSKGTLLDIGTGTGYFSNAMKERGWRVKAIEKSPQARSFAKEHFELDVDTEDALAGYADHSFDAITLWHVMEHLEHLNETWKKLFKLLKERGVLIVAVPNPSSYDAEKYKEWWAAYDVPRHLWHFTPSVMQQFGVKHGFKLAEQHPMPFDAFYVSMLTERYKGSRLSFLKGMWTGLLAWFSSLAKKERSSSMIYVFRKK", "MGKKSGSFFDMQFITSSISTMLVLLLLGMVVFFVLSANNLSKYVRENISFSVLISDDMKEADALKFQKKLNEEPFVKETSYISKEQALKEQSEAMGTDPAEFLGYNPFTASIEIKLNADYANSDSISWIEQKIMQNKKVMEINYPQDLLDAVNSNIRKISFLLLGLAALLTLISFALINNTIRLAIYSKRFLIHTMKLVGASWGFIRRPFLVRNIWIGILAAAMADAALIGMAYALVRYEPELIEIITPMTMLMVMGSVFVFGVVITFMCAYISINKYLRMKASALYYI", "MDRQKFAFDKTNFILLAIGMAVIIVGFLLMTGPSSTEGYFEPDIFSVRRIKVAPAVCFFGFIFMIYGIVRKPKDKTEVVNS", "MEWFEALILGVLQGLTEYLPVSSSGHLAIGSALFGIQGEDNLTFTIAVHVATVLSTLVILWKEIGWIFRGLFKFEMNAETKYVINILVSMIPIGIVGVFFKDYVEEIFGSGLLIVGCMLLLTALLLAFSYYAKPRLKENISMKDAFIIGLAQACAVMPGLSRSGTTIATGLLLGDNKAKLAQFSFLMVIPPILGEALLDGMKIVKGAAAGTSDISVLSLVVGFLAAFISGCVACKWMINIVKKGKLIYFAIYCAIAGAVTIACTLM", "MNFKEGEVLYFDKPLKWTSFAVVNKIRYHICRKLGVKKIKVGHAGTLDPLATGVMIICTGKATKRIEEFQYHTKEYIATLQLGATTPSFDLEKEIDATYPTEHITRELVEEALQRFIGRIEQIPPVFSACKVDGKRAYDLARKGEDVELKAKTLIIDEIELLECNLPEIKIRVVCSKGTYIRALARDIGEALNSGAHLTGLIRTRVGDVRLEDCLSVESFPEWLDQQEIEEVINE", "MKLSQFKFKLPEDKIALHPAKYRDESRLMVVHKSTGKIEHKVFKDILDYFDDKDVFIFNDTKVFPARLYGNKEKTGARIEVFLLRELNEELRLWDVLVDPARKIRIGNKLYFGDDDSMVAEVIDNTTSRGRTLRFLYDGSHDEFKKALYALGEAPLPSFIRRPVEEEDAERFQTIFAKNEGAVTAPTAGLHFSRELMKRMEIKGIDFAFVTMHAGLGNFREIDVEDLTKHKMDSEQMYVNADACRIVNNAKDEGKNICAVGTTVMRTIETAVGTDGHLKEFDGWTNKFIFPPYDFSVANSMVTNFHLPLSTLLMLVAAYGGYDLVMEAYHTALKEDYRFGTYGDAMLILDK", "MRVYLGLGTNLGDKESNLHVAVRKINERIGEVTALSAFYVTAPWGFSSENSFLNAACCVESVLPPLDILRETQEIERELGRMKKSAGGNYSDRLIDIDILLYGDLILHTPELEIPHPLMTERRFVMDPLAEIASDVVHPVLGKRLGEL", "MTFKRNNLSQDVIHALTLTLVLCLTLLSGCSDKEEILPPEPEEGTDIVSEYKAYVGHPFNASLGEQTNGYTIKNNDPEKLKTEYFGIEHFGVVCIFPLCEGESSIHVLDKNNKLVKIIKIQTTMWGSKDVEVENGAHPYVKPEVRVEAQDTQTKQNIEKELMQELKNRNGTRYTFDNQTRLFTMTFPDGRKGYEGTYKCRVDSLIMQTQSIIKKYGYEVSYKNKFLIIREDRTEEFCQRYPDSGVTSVTTQEIWRDYSILDIFP", "MKKVILSQLILITSILISCTDKLENTEVNNNPTNQVVLTSNEYASIAYDNPKELSEDEITNVIYDFKRINSEFKKQFITTKEDKPKISIINKYYLTNSRNHSRSADSIQINVPIFEVELTNNSGTKDMIIVCGDERAPKVLLFTENYQFSQPMNIDMRYLVEIAKLNILSDIKYIEKLKSEKRSSTLDKISQSLNISKEQITEDIIKRNITIIDNSNSREYNPINGIDISKIPSRIVSMVPPISDIVWHQEAPYNDQMPIGNIWDGHMGTYQGHYLVGCGNIAVATLFSILKPVMVGETAAGRQILIDWDYLTAQKTITYYSSPDLIEMTASLLRAIYNKTRSFPNYVDFNTYDEDNNPIIKRGIASTSTPTEGMLEYLQTMTTYSGSTGFNPELAKQSLQNYNPILLYGNGHYVDNNRLPITKDPYKDKPGHGWIIDGYCTTKKSSSPNSDLYWSVNMGWGKGSSAVYFKANNGINCDVIFHTDTEDVNIVYYTQEQQMIYDIQKK", "MKKKIIFCLLSLSLMIAGCQSNEIEDITPPSKEVQLSPEELLSIRYKSSPELSQTEVFNLLTTFQSSELKNNAHSRITFQPNIFQIKEKYYLSKNKADARNIESTEEQIPIYKIEFTSETGTGMAVVSGDRRAPHILAYIDNIKENQDSLYTSPNALLQWSEMYIRNEVTKFDEIKDSLYESAVLKISKELYITSKDIDYEAIKNQIIINNSSISRSKPITEVPSNLKVKVAVFPMCPVAWGQWEPYNCMLPKANCDRYGPGWSEYTNYPVGYGAIVVAHILASLEPTMRPASLQINWSYLTENKEIKAPDYFNSGDPLAKREMVGRLFKNIYDYTKSSVVKDSKGIVTGTTCLMSDVENYLASYFNYSKKTSWNINTVKNSLKATKPVLIYGKPDNIATDGVTPFILDGIKECYGRIDNVPSDVDVCYLHANFGFGNGYQDGYYLMDIKTSTITFETAIPLIFKDNAMTIMADFRKK", "MKIIPLSLFFAGITLFSCSNESTNNLSTNSQKVKLNKNEVLSIAYDDAKELTDKDIFNMVSSFANMNNNGVSRSTSASFKITKRTYINKEGEFQNKEIASRTALPEDDIISEICEVEFQNDTVNGLAVVATNAELPSIIAFIPNKGNDDAMQLSGANELLYAAKASYLYKAIKTKELVDSLKQPTLEKISKKLNIPINEINYQKIQDNIILTDTYSSRSTAVQGPPEGIQKLPSSISPLVKTNWGQDDPYNWAFREENKVDWIRTENNGKKMDALPVGCVNVALAQIMGYTHQKYTPPLTFTLPNSTMTYMPNFIKMTQKASINDLQGQAQMQVQYLMLNFYNMNKTTSKKDWDGAVLESGVSEENMLNTMNKFFKYNPKAPFDGDQVWASLRNNNPVLMLTTNHAFIISGLLITEKASQTRQMVKTNDLYWHANLGWADKNTGYYQLDGNARTFFEAGGVKEWCYKMDCIKNIRAK", "MNKLKMSLALIALIIPLLLCAKKRNIEFIKHGNHRIPVESFIPVKAFFDDCNKELTIEFAQDWEAVTIEIKSKEGYVVYRNLYIPHSNSSLSTSLENIPAGIYELTITDEKGILAGEFIYEN", "MKRQKNKIQQTDFTDKTKSFHAFPPHFQRRSHGKHKKLTFPSIRYKLPGFMTIFAKSEHILMKALLLTGLLFILILPGCRKETSILPLLQSVEELIPMYADSASVLLDSIQAPDELTDKDFAHWCMLCGKVTDEAATGLLPIYQWQRAQQWFTEHGTAEEQAQIDLYLGRAYVEDGEYDKAMQIYADALQLAKEHQAYNVAGYICAYMADLYGFRDITSERLEKRKEASNFFKKARNYKSYAYALKDLACECTLTDSFNYTIPLLQKADSISQLLHNKDLTADVANAFGVIYEAQEKYKNAERYFLKAIETGSKESYKDSISLLHIYIKDNQLAKAHEWIETITKHNDIAYYFNQAYYLLYKAEGKYKEALHYKEICSDMLDSLTLVQNETKVLEIEKKYNNAKIREENELLKITQQRNTIIIIIAISLFLLSVAGYIIYRQRSKAKIYYQQTILDKMKIELLHLSAELEEKKQILQKALADKENNAHKLQQEIEVISYKYDRLQKQSLETSTVGKKLISLTKKNRLEDSQLPTDKTWHSIMTEVDKIYPQFYSLLKEAFPNLTESEYQYCYLHIFGFDANDEAKLLGINPASVRMKRTRIYQEVQLKHNKETFLRDYIIKNLLK", "MKRQRLGENTGGITQVTGTEFILFAPFITVVMLCFLLKYPMTK", "MEINKRENIGWIDLLRVTACFLVVFAHCCDPFVARFDTDRPTFLQGCALGSAVRCCVPLFVMMTGVLLFPVRNGMSEFYKKRIGRIVVPLIFWSVMLPVLYFIYLNYITTTDNPTIDMSAFTLEMTITKIWTFIFNFNYDTTPLWYLYMLVGLYFIIPIFHAWLERATRKDIKLFLSIWGISLFLPYIKMAAPALGYIGNWGNMDILGVCDWNAFGSFYYVSGFIGYLILAHYLVKYPLQWSWRKTLAIGIPMFVTGYAITFGGYLIMQEYFPGNYAYLEIVWLFGGINVFMMTFPVFVCIQKLKIPSSPVLSKVASMTFGIYLCHFVFVQMGYDLFASLLPQGIPAIIHIICMAVTAFLISYLVVRGMYACKWTRRFVA", "MDDVCDFQRIADVINHIHPEVVALQELDSMTHRSGQKYVLGEIAGRTQMHAYFAPAIDYDGGKYGIGLLTKEIPVSLKTMTLPGREEARALIMAEFDNYIYCCTHLSLTEEDRMASLKLIKDFAAAHKKPFFLAGDLNAEPESAFIKYLQQDFQILSDVNQHTFPAPAPTETIDYITALKQNMKGFTVTSAQVVNEPVASDHRPLVVVLEQK", "MSKEKTAFIPKQYFAVVAVLLAIMMSVLDGTIMNIALPTLAHDFDVTPSNAIWIVNAYQLVITMTLLSFASLGDIYGYRRIFLTGISIFAGASLACALSDSFWMLTVSRIIQGFGAACVMSVNTALIRLIYPPQILGRGMGVNAMVVAVSAAAGPSIAGSILALGSWHWLFVINIPLGLAALVIGHRFLPHNPASDTKHKFDKISAIANALTFGLLIYTLEGFAHAENRKFIAIQLVLLIIIGTYFIRRQLKETTPILPVDLLKIPIFALSIGTSITSFTAQMLAMVSLPFFMQNILGYSAVQIGLLLTPWPLATILTAPLAGRLVEKVHPGLLGGIGMAIFATGLFTLYLLPPHPAEWNIIWRMALCGMGFGLFQTPNNVTIVSSAPTHRSGGASGMLGTARLLGQTLGTTLVALLFRMFAEGHRAQACLLLAIFFAIAAGVVSSIRMTQASPAGKK", "MGYLFTSESVSEGHPDKVADQISDAVLDKLLAFDPSSKVACETLVTTGQVVLAGEVKTKAYVDLQRIAREVINRIGYTKSEYMFEGNSCGVFSAIHEQSADINRGVEREDPMNQGAGDQGMMFGYATNETENYMPLSLDLAHKLLMVLAEIRREGKVMTYLRPDAKSQVTIEYDDNGKPVRIDTIVVSTQHDEFVTPADSSKEAQLKADEEMLAKIRQDVIEILMPRVIAGIHNEEVLALFNDRIVYHVNPTGKFVIGGPHGDTGLTGRKIIVDTYGGKGAHGGGAFSGKDPSKVDRSAAYAARHIAKNMVAAGVADEMLVQVSYAIGVARPINIYVNTYGRSNVKLSDGEIAKKIDELFDLRPKAIEERLKLRNPIYEETASYGHMGREPKVVTKTYESMYHEAKTLEVELFTWEKLDYVDKIKEAFGL", "MNDIKNVCVYSASSTKIAKVYFDVAEELGRLLAEKKINLINGAGCIGLMAATSDAALAAGGTVTGVIPHFMVEQGWHHTGLTRLIETETMHERKRMMADLSDGVIALPGGCGTLEELLEIITWKQLGLYLNPIIILNINGFYNPLLEMLQRAVEGNFMRKEHVAIWKVASTAEEAINLLYTTPVWNKEIRKFAAI", "MSETCHPGIAYILQLYTEEQSRVDTALTHSVCHMSKEDGMRGMELPYQLRSDWMVTCVLFLCFILVSYVLAHGKKHLEQQFKNFALSKERASLFDDTTASDVRYTLVLILQTCILSGFCVYDYFSDHDLLLFRTVPHCLLLSIYIGYVVFFFVIKWLLYSFVNWIFFNKTRNIIWLESYFNVVIGAGFLLFPIVLLIVYFDLSPQIAPYFIGFVIIIAKILLFYKCFSNFFNKFYGAFHLILYFCALEILPDFVLWKGIILANNILILNF", "LKIKKVLVSQPKPTSEKSPYYDIAEKYGVKIDFRPFIKVESLSAKEFRQQKVSILDHTAVIFTSRHAIDHFFNLCTELRVTVPETMKYFCTSETIALYIQKYVQYRKRKVFFGATGKFADLVPSIVKHNTEKYLVPMSDVHNDEIKTLLDKNKIQHTEVVMYRTVSNDFTPEEEFDYDMLLFFSPAGINSLMKNFPEFDQKEIAIGCFGPATAKAVKDAGLRLDLEAPTVEAPSMTAALDMFIRERNKD", "MVEYTLGKKERLNSKTLIERLFSGGSKSFPAFPLRVVYMSVEPVEEDMAAASILISVPKKRFKRAVKRNLVKRQVREAYRKNKHLLLDALASRNKRLIIAFIWLDNHIHSSAEVEEKVKKLLFHIVERLE", "MKKILSYLLLLPVYFYRGYISPMTPPSCRFVPTCSEYAIEAIKKHGPFKGLYLAVRRILRCHPWGGSGYDPVP", "MLLDIHTHRNAAVPGECIFNVEPAWFEPVEGCYYSVGIHPWKVLEAGPEDWTTLEKAVCHPSVLAIGEAGLDRLGPADILLQKEVFVRQILLSESVGKPLVIHCVKAFNELIELKKKYRPQMPWVVHGFRNNLHIACRLMQENIYFSLGERYQPDVLRHVPLECLLAETDESTQDIRMVIGRMAETKNVEVSFLCDRIDENARKIFFRQ", "MNFVEELTWRGMVHTMMPGTEELLAKEQVTAYLGIDPTADSLHIGHLCGVMMLRHFQRCGHKPLALVGGATGMIGDPSGKSQERNLLTEETLRHNVACIKKQLAKFLDFESDAPNKAELVNNYDWMKDFTFLDFAREIGKHITVNYMMAKDSVQKRLNGEARDGLSFTEFTYQLLQGYDFLHLYETKGCKLQMGGSDQWGNITTGAELIRRTNGGEVFALTCPLITKADGGKFGKTESGNIWLDPRYTSPYKFYQFWLNVSDEDAARYIKIFTSLSQEEVEALTAEHAEAPHLRVLQKRLAKEVTVMVHSEEDYNAAVEASGILFGNATSEALKKLDEDTLLAVFEGVPQFEVSRDALAEGVKAVDLFVDNAAVFASKGEMRKLVQGGGVSLNKEKLSAFDQVITTADLLDEKYLLVQRGKKNYYLVIAK", "MKKLLTCLALSFVTASSYAATPLWLRDVQISPDGTEIAFCYKGDIYKVPANGGTATQLTTQTSYECSPIWSPDGKQIAFASDRNGNFDLFVMPADGGAARRLTTHSASEIPSAFTTDGNYILFSASIQDPAGSALFPTSALTELYKVPVTGGRTEQVLGTPAEMVCFDPSGKTFLYQDRKGFEDEWRKHHTSSITRDVWLYNSENGKHSNLTHHAGEDRNPVFAPDGQTVYFLSERNGGTFNVYSFPLDAPQSLKTITDFKTHPVRFLSMGGNGTLCYTYDGEIYTQKQGSKPQKVKIDIIRDDQHAIADLSFSSGATSATVSLDGKQIAFIVRGEVFVTSTDYSTTKQITHTPAREAGVTFSPDNRTLAYASERNGNWELYMAKIARKEEANFPNATTIEEEVLLPSDKIERTYPQFSPDGKELAFIEDRNRLMVLNLETKKVRQVTDGSTWFNTGGGFDYSWSPDGKWFTLEFTGNRHDPYTDIGIVSAQGGKVVNLTNSGYTSGSPRWVLDGNAILFITERYGMRAHASWGSLNDVMLVFMNQDAYDKFRLSKEDYELQKELEKEQKSTAEAKKNDKKKGDNKDKPEEKKEEKTKDIAVELDNIEDRIVRLTPNSSDLGSAIITKNGETLYYLSAFEGGYDLWKMNLRKKDTKLLHKMDAGWANMEMDKDGKNLFLLGGSSMQKMSTDSESLKPVSYQANVKMDLAAERDYMFNHVYKQEQKRFYNLNMHGVDWDAMTAAYRKFLPHIDNNYDFAELLSEYLGELNVSHTGGRFRPRLKGDTTATLGLLYDWDYTGKGLLVSEVVEKGPFAHARSKVKAGDIIEKIDGQEITPESDYSVLLNGKAGKKTLVSLYNPQTKERWEEVVVPVSNGVMSGLLYARWVKQRAADVDKWSNGRLGYVHIESMGDGSFRSVYSDILGKYNNREGIVIDTRFNGGGRLHEDIEILFSGKKYFTQVVRGREACDMPSRRWNKPSIMVQCEANYSNAHGTPWVYSHQKLGKLVGMPVPGTMTSVSWETLQDPTLVFGIPVIGYRLPDGSYLENSQLEPDIKVANAPETVVKGEDTQLKAAVDELLKEIDRK", "MKIKNYAILLSFLFCGSAFVKAQNVALKSNILYDASGTVNLGAEVGLAPKWTLDVSGNYNGWVRSHGRTWKHWMLQPEARYWFCDRFAGHFIGIHAHGGQYNVGNLKNDISFLGSDLSKLSDRRYQGWFVGAGVAYGYSWILSRHWNVEAEIGLGYAYTRFDAYPCADCGTKLIDGKSHHYVGPTKAALNLIYVF", "MKKLLCVLLLLFCITGVNSQTILTLGRVKVDGLNVARSGENLLVSMDIDVAGIDMPSSREISFAPVLRAENNELSLSPVVLAGRNRYYLHLRNDAVSGEGTSLFRAGRDRVIHYSATVPYAEWMADATLELGDEVCGCLCEVLYADRSPLTTLDFGPKVFSPVFVYRAPKAEEVKTRELKGSAYIDFPVNRTEIYEDYRRNPVELAKIRATIDTVRNDADTRITSIRIKGYASPEGSYANNTRLAQGRTETLRNYVQRLYNFPFGIMSMDYEPEDWAGLERYLETCTLPSRYGMLELARSGGDPDAREQKIKARYPQDYQFLLREVYPGLRHSDYTVEYVVRAYTDVEEARRIWRTAPGKLSLNEFYRVAESYQAGSDEYNEVFETMVRLYPDDVTANLNACNVAMARGDLVSARKYAAKSGDTAEAVYARGVLSGFEKDYAQARMLLTRAQGMGVKEAADALEQINKIDKK", "MKKQQNQLEHYFYRMLFCLLGVVCLVGCSDKEVSGIEQNTDADKLKMVFNVILPNGQNGRSTTGDPEDDYVTSDDGIEIGKDYENHVGEVLLVLADQSDKCLMARTATMTTNNDAQLWEVESKASDIEGFGEVNVYVFCNPSEELKNIKKGDDLATMKYTLNADSKTDPAWNKDGKHFFMSNAVPHSYTFNGIIPEDRTYDLGTVKVERSVARFDYKAKNASNLYDIMLKGENSDTETKDPEYAGIQIQLTDVALINMSKSFYYLRRVSNNGLNDNATICGTEVSNNYVVDTDAAFKKAYNSDDSNDKASYFHYNLEKPNEWKNTFSSLADIANNEEDEDEEWNDPNEHGEYHIWRYATENTIPQPDTQQKNGISTGVVFKGQVVVAANANSSLAKKLKGDGGKWYRLYAFKGRLYGSWTDVQNAAQHNAELSASVQAVESKSMSLREAGFSVFYPQYLDAEKTKIGYQVLYYYWNRHNDNRRTTGFNHMGPMEFAVVRNNVYKLCVEQIYTFGYAFNDEGPDPDPDPENPDPEDPDPDPDPEYPDPGTPDEPDPDDDPNVTFKVSVEVLPWVVRINNIEF", "MKQNILSSIRVAFFAAWMAALLASCHGIYDDLAPCSRGVRLRFVYDYNMEYANSFPKWVDCLTLFVYDEQGNYVGTYTGMGDELKDENYRMTIDLEQGNYHFIAYGGLSCAQSSFSFRSVPVPGLRWQDLRVEMHDAGRVSTTNLHPLFWGTLDINIDADTYKEETVHLMKNTNNIRVVLQHISGKPVDDKDFTFSITDDNTLFAADNSLIPNETQVYAPWAQGCRTTGVTESGDAEVTVAYAELSTSRLVRENRPRLVISRKNDGKPVVDIPLNEYLLLLKSDRFHEMSDQEFLDRESDWSMVFFLDDSNNQEWIRTHIVVNDWVVRLNDIGFN", "MLCVGLALSFPFLVGGCKDNDDAGAGNRKVLLSINTALVNKVTSRAGEGWETDPERMHNLRVIIVDADGKVEYNHPVDFNRVDLDKYNNGETGNDERGMSYGKFGELEFPVTPNQTKSIYLFANAENLECKNAPWDWTKIQRQDVFPKDDIEALVLAGYGSKGSLPMSAVHQVKVGSEDVDLTKEPLYIVPVANKFTFTFQNKRTGNYGGDITVTGWKLDCVADNSYLLPHVDVESFTQNKNTADWMIWMKENAGENETAGVWLTEYAVPEDVNYNLFTHNYAEDEYIVLKSDATGATEETTPVYVPESQYMDGGEQKYTLTIFTREGSDDAKTEKAYSSVLPNLGSLFRNTHVKVNVTFNMATIDLVVDLYPYTGVDLDPGFGIDVPTEGETETPDEQN", "MKKVLYYILLGCMLVAGLSACTDEPLMDGGGEIPEGETTLSAVINFKPFGEALTGKSRSAGNAIKTIDNLCVLFYADEGEGENKVQKLVNCQYYDANGFTVKDEPRTDTPSTSDKEHQAETSTPQATISGLVIPYGRYYVYVVANMGDLNENYSEAIQTPEGLKNIQLTWKYTPKESTSTPGDETETATKDETASGDETEKVKTNNQMFGYFTIQKEGESVSTSRPSDFDAPLLTIDKSVSTLHAWIRRAASKVTIAYDATNLRDNIRIYLKSVRIKDIPKKCLLGAENFVTDGSYPSSKLLDGETIDYTNGEQNYEKWPYLRKGDIYGLGNTTKEIDVEKQKKAHHAEDVEALYFYENMQGEGVAGTASDKRQDVTGSNKVISYPNGNTPPDGTEDTEPSKTGFKDAKPYGTYIEVEAYYYNGNAGDIGYGKIIYRFMLGKDDHLDYNAERNYHYKLTLRFNGNANDVDWHIDYEEEEPEIYLPNPYYISYLYNHGMTFPLKINTGGKTIEKVTAEITDNRWAPRNSGSFSYWSAMDLEGKNLWNGFLSLHKTVDTHLSLPAGETRYTIESNKSYYESEPKRGERTYIIPENLSVGTSYKNKGEGEKVEPDDEYTVTKEDENTFHLEVPMYTRAKQLIKGTAYTGNNPYIAYQRSAKVKFTVWFENQENAIDTLQKEIEIIQARRIVNPKGVYRSYDNKASFHAVLKVLEGEEQTGFVSLKSDGSWKAYVVAGDKNLVSLKPGSEDATLKDGVVYGRTDSYMDFHINFNPTVSVLEDESKYAIVRVEYNNYTCQHLIFIRRGYAPDDLLPGGAKWHTTNMVTETQEAATPLEEGSLFKFGNWDQPIAASNNVNPTTKGNYWINVKPDNFVAPGSTPLTLATSREATWSNITSQKSNGSGFGTESKVATVEDYAVLYKSNVIEQGYGVLYGDDATETLEDLNQVYGVDKVKGHGMRGCFVYNRDMTLGYTHSGKNVFFPIGASGYGHRKAKEDGMLRYSCGRTGYFLTNNEGTVSTPPSNGMYAGVGIDAAPLFYDLYMRPGAIYWAKERHTDIDFNEGGASTDGNNIVGWDFNYFTFDFYPIVPSNVWKTANNSEVENGSDACFIRCVDR", "MADFRNFIVANQIVMNQIKPLDPVLSIGTDAHSDITKVVNKFGSRDSILYKLKEFSSSVPDFRRSDKGNIRHRLDDIIMLMILARASKCVERTKIIEFGRHNLNKFRKLGMLKNGGPSEATLYRVEHGIDDRTFADKMQEFAKLFHRRLSKADGDKEIICVDGKAERGTVQDNGRNPDIVSAYSYNTGGVLMISAEVL", "MDLENRFRRTWKRFQEVLKVDYTCSLADVCREQHTTFGGMSSWMSRRGYSVKQAKADVVRDYYGGVEPSPLTTTSPSFTQIAPAMLSEEEFSLSGITITFNSGTTISVKRATPGGIIKMLRDYERKEGDPCIL", "MYSLTSANRYYLYQGFVRMNLGIDGLFKIIRSQMKELSPISGDIFLFFDKNRQSVKILRWDGD" ]
[ "ATCTAATTAAACAAAAAAGAACAGTT", "GAAATAATGTGATAGAAAGCAACCTTCTTTCTTCTCAATAGTTGCTAATTATTTAAACAAATATTTATTTTCCAGCCCGGTTTAAGTTATTAGAACCGGGCTTTATATTCCCATCTCTTATAAAATCATTACTTAAAAT", "TAAGCTAGCTTTTAGAGAATGTCTGAAAAGGTCTATTGAAAGATTCATCTGGAATCCTCACTTTTTCTTCGAATTTTCTCTTTTCTTTCTCAGAAAAATAGTAATCTTGCATAAGATTTAGAAAATAAGAAGAAAATACT", "AAACTAATCAAAAATCATTTCCTAAAAATAAAAAATCCGGTTTCCCCTTTTCAGAGAAACCGGATCCATGATGAACGAGTGGTTTTATTTCAA", "AATTGTTAGTTTTATTAGTTAGTATTATTTGATCATCTGTTGATGACACAAAGATATATATTTTATTTCAAAATTGTATTTATTACAATTACGTTTTTATATTTAATTAAACGTTCACTTTATATAAAATAAACAAGAACACCTATATTTGTGTTCACAACAACATTAACATTTTCCATT", "CAAAAATACGAATTTAAATAGAATAATACCTTAATTTATACATTAATTTTATCTACTTTTGCAACTCGTTTAAAATCATAGCATAAAGTAGTTGACAA", "AATAAGAAAGGAGAGCATTATGGACTAAAATAAATGCTCTCCTTTTTGATTCTATTCTGCTAATGAAGGACAGGAATAGAAAGCCAATATCCTTTTTTCAGTAAATAAGTGTAAAATGCCCCCATACTCCTCACTGGTGTTTTAAAATCTTGAAATCAGATTTATCATTAAAAGACCTTATCCGCATCCCTAAGCCCTTCCTGCCATATAGCCAAGTGCTTTCTTCGTGTTTGTTGTATTTCCATAGCTTATGGAAATAGATAAATGAATATTCAATGCCTCCAACAGCATATTTCCTAAAAGGCTGTTACCTCCCCCATTATACCGCATATCAACAACCAGCAAAGAAACTCCCCTTTGATTCATATCCTGAATCATGTGTTCCAAAAAATGGAGTATAAATAAAAATTCCCGTTAAAATAGCGGACAGAAAATGGATATAGCGACAACGGTTCTATATTCACAAAAGTATGGGCATCCTGCAAAAGAACTAACAATTCATTAATTCTCAGCTGGAAATCGGCTAAAGTGATGTTATTTATTCATATCACAAAGCTCATCGCAAGCGGACAGAACAAACAGACAGCATTAAGCTTTCAATGACTTGTCAAGAATAAAAGCTGGATGTACCTTGGTCAGAAAGATGAAAAAGTTCATCAGTTCGTTCTGATAAAGATTAAAGCTTCCATCATTATAATCAGGGATAGAAGTATATTCCATTATTATTCAAATCCATTCAATTGCAAGTATGTGAAACAACGTATCTCCAGTCTTTGAAAAATATCCAGGAATAAATTATATGTTTTAATAGTGACCTGAAAAAGTAAGATATGTTCATGAGCAAAGTAAGCTCACTTCATCGGCAAAGTAAGTCAACTTACTTGCCCTTTGAAGTCAACTTACTTTTTTC", "AGTTCTTTTTTCAGTTGATAACAGTACAAAAATACAGAATGTTTT", "TGGCGTGTTTTAAGTTAAATAGTTTTATCCACTATACAGAGTAATCATATTTCTTGCTACTTTTGCACCAAGATGAAAAGGAAACTCTTTATAGCATTGTTTTTTTCCTTTATAGCCGCTGCTGCTTATTCCCAGCAACAAACGACTACTATAAACGGATAC", "CTGATTCCTACTGTTTGAATTTTACTCTTA", "ACTCTATTTTCTACTTTATTATTAACGGTTACAAACTTACATAAAAATCTATGATCTTTACGCCTATATAATGAAAAAGAAGTCTCTCCTACTCTCTTTTTATTTTTACTTCAGTCCTAAACTCAATTGTAAAACAGATGGATTTCTTTATACAAGAAAAGATAATAACTAATTCACATCCCCCTGTTGATAACGGTTGAAAACATGTAAAAAGGATGAGGATATCATTTCAAAAGTATTTCTTGCATATTTCAAATAATGGTATAATGTACACTTAAAATCAACAATCCAAAAATTAATCACATAACTTAAACAATCAATATCAACACAATTTAATCACTATTTCAGGCGTTCATAACCATAAAGTTTTTAACTCATATTCTTATCAACAATATGTTAATAGAATAAGTAAAACCTGTATTATCAGTATTTAAGCCGGTTGATTATTTATTCATATCTTGGTATTATATATAAATAACTTATCAGACAAGAGAAAGAACTCTTTTTTACTAACAATATAAACAGCCTATTATTAATACCATATTTCTTTTTTTTGAAAGAAGGAGAAAAAAAATAGATATAATAGATACAAAGAAATTGTTGGTAACTGTTCATTGTGTTTCTTGAAATGTAACCTGTAGTAATTTCCTGCGTCTAAGTAACAGAAATAAAAGGTAGAAGATCGCGGTCTTTTTATTAACTAATGCACTAAGATAAAGAAT", "AAATCACTATTTTGGGTGGTCATAACCATAAAGTTTTTAACTTTGCATATTATCAATACCCATATTTCTTTTTTTGAAAGAAGGAGAAAAAATATAGATATA", "AGATTTGTTTTGACGGAATAATGGTTCTCATGGAAATCATTATTCCGTCAAAATTGTTTTCAAAGGAAAACAGAATACTTGTTTTTATGAGGCTCAATAGAGGTAAACAAATGATATAACTAATTTATATAG", "TTTATATCATATTAATTGAATGCAAAGATACTGAGAATGAACCAAAGTAAGATAATTCCTGACAGAATAATATAGGTGAAAAGGTAAATAGTAATTTGTTTGCTATGTGTATTTTCAATTTTTGATTATTTTTGTTGGTATCATTTGATACTTGATAGT", "GAAAATAGATTAACCGATATGTAGTAATCTTATCATTGCTTCATCGTTCTGTTTTTCAATGCAGAAACAGTGAAGCAATGGAAGAGATTATTTTTTAG", "GGTAATGAGGATTGTTTTTAATGTAAGTAGAAAGGTGTGTCAAAAGTTACTGCTTTTGACACACCTCAAGGATAGTATCGA", "GATCTATTTTTTGTTATTTAATATCTTTGTTATTAAGTGATTGCAAAGATA", "CAATTGTACGATATAGGCGGTAAGATTAGAAGATTTATAATGATGCAGCCGAAAGAACTATAATCTTTCGGCTGCATCATTTATATGTTTAATTTACGGGCTTT", "TTTATTTAGGGTTTGATTTTCGTCGGAAACAAAGTTAGGTATTATTATTTAGATTATAAGATGGTAGTTGTCTCTTTTTTACGTAAATTTGTCATCTGTCCTGATTAATGAACTAACTTAATGATATATGTT", "ATAGATTTTTTATTCTGATATTTTATTAAGTGCGGCATTTTTGTTTTAAACTCTATATTTGAAACAAAAATGCCGCAGATTATTTATTCGGAGTAA", "AGTAAAATGGTAAAAATGATTAGTTACACGGCAAAAATAGAATAATTATGAAAAAAGTCCGTGTATTTTTTGATTAAAAAGGTGGAAGATATTGTTTTTTATACAAAAAGGTGGGATAGATATAAAAAGTTTCCGGCCGGATACATCGTACCGACCGGAAACGTATGAGATACAGCAAATAATGA", "GATATTCAATGTGTTATTCTTATTAGTATAGTTGTTA", "ACTTTCCTTATTATATAATGAATGCTCAAAGTTAGAAAAATATTTCATAACTAACTCTATAAAAGGGCATAAAAAAAGGAAGAGAACTTCACAGTTGTCTTCCCCTATTTTTTTAACCTAAACCTTAACTATGAAAAAATCTAATGTTTTTCGGAAGCAAATATGCGAATTATAATAATACGTTGGTAACGTTAACGGTTTTTTTTGTTCACTGGTTATAATTATTTAACAGTTAAATGCATAATTCTCTTATAATATTCAATTTTCGGCTCTTTTATTCGTTTAATTTGTCGGTAACTCCGTATCTTTGCCTACGAAACCATAAAACAGAGAAAAT", "TGCCTCCGG", "TTTCGTTTCTTTTTAAGTTATCTGCACAAATGTAGGAAAAGTAAAAACGAATTATTAACTTATACTCTTAAAAGTACTTAAAGGATAGTCCTTCTCATTCAATTTATTTGTTATTTTGCAGCAAATTAGGGCAAAAAGTGGATATACTCAAAATAAATACTTGTCCG", "TT", "TAATAAAAGGAT", "TTTTTTTAATTTTTAATTCTCAATTTTTATTTAAAGA", "TTGG", "GGAGAGATAAG", "TACCGGTTGGAGCAGCGT", "ACCTGTAAGAGTATATTAATTAAAAGAGGACGGAAAATTCTTCAAATCCATCATTGTGGTGTAGATAGCTGATTGATAGTGTATTGTTATGAAGGATTCGTAATAGAATCCTTCATAAATGTT", "GGCATTTTTGTTAATGATTGAATGATAAAACTGGCTAAAAGGTTGCGTTATTGATATCTTTTAGTCAGTTTTGATATATGAAAA", "CTTGTTTCTTATTGTTAGTTCTGTAAACCTATATTT", "AAGTTTGTTATTAGAATATTATGTATGAGA", "AATCGTTTTACGTTTTAGTTTTGTGCAAACTTAGGTATTATAGGATTATGAATGACAAAAAGAGGGAGTTATAGTATATACAAGATTTGTTGATTATCAGCGAGTTACAAAAATTGTTATATACAGTGATGTATATGTAGTGTTTTATAATTCACTGATAATAAGATCTGTATGAGAACTAT", "CAAGTTTTATCACTAAAGTGAAGAAACTGTATCACTTTAGTGATGAAGCTTGATTACCTTAGTGATAAAAGTTCATCACTAAGGTGAAACGATTAA", "TGAAGAATTTATGAATAAATCAATCATGGTAAATAATTGGTTATCAACACTTAATAAGTAAATGAAGAATTTGAAGGAAATAATCAGCCAACAGGATACTGTAACTCCTTGTGTTTTGAATCCGTTGTTT", "ATTCTCTGTTGGGC", "TCCGTTTGCATTTTTAATGTTATAGGTCATTAGCCGTAACGAATTTTGTGATTGTGCCGACAGGGCTAAAAGAAGGGTAAAAAGTAAGAGCAATGTCTTTTTCATATATTCATCAGGTTTTGATTGTTTAAATTCTCTCCAAAGATAGATAAATTCATTCTTTTTTTCTTTGCCCTCAAAGATAAAAAACAAGAGAAAGTTTTTATCAGAAAGGAAATGTTTCTGTTCAACAACTTGCCGGGGCT", "GATGCAAAATTAACGGAAATCGGTCAGATTAGGTTTAATTTCTTCTAAATAATTGCTATTCCAATGTTAAAGAACCGGGAATTTATAGAATGGTTAAGGAATAATGCTTATCTTTGCAGCCGTTAAAAACAGTGGAGAGATTTGAGTAGCTTGCAACCACAGAAAAAAATGCTAAAAAATACATTGACTTTCTTATTTACATTTATCTACTCTGATAACTTCCCAAGGTGGTTAACGAATTAACTTTTAATTTTTAATTCTTAATTTTCAATTTAGA", "GAAACGGATTCGAATATGGTGATGTATACGGGCGAATTCAATTCGTTCCTGCGGCAATGAGCGTCATTGGCTGGCAGGGGAGGATTGGATCCGCCCGAAAACATAAGGAATTAT", "TACA", "TTTTTAGTTTATATCCCAAAACCGGGGGTTTTGTATCAATAAAGTTGTAACTTTGTGATTCGAAACCCTCGGTTTCTTTATTTTAATAAAAGAAAGAAGATT", "AATCTGTTGTCCTT", "GAGTTGTTTCTTCAGATAAAGAGTCGTACTTTTGTGGCATTTAAAGTATAAATCAAACATTTAAATAGATAATCATTCG", "GAAAAAAGAGAATTTCTTTTATAGATACAAACAAAAACCGGAAGAAGAAAACCTGTCATTTCTCCTTCCGTTTTTTGTTTGTATCTTTTCTTGGGTC", "GTCTTTATGTCTTTTAGTTTGTACGCAAAGTTAAGCATTTGCATTTTATTGCAAAAAAAAGTGCGAAAATATTTGGACTATATCACAGGAAAAACGTATCTTTGCACCGCTTTCCGAGGAGAGCATGTAATGATTGGACTATGGTGTAATGGTAGCACAACAGGTTTTGGTTCTGTTTGTCCAGGTTCGAATCCTGGTAGTCCAACAGAAATCCCCAAAAAACAAGCTGAAAAGCCCCTAAACCTATACGTTTAGGGGCTTTTTCGTAGTTTTCTGAAACGCTTACGAAAAAACGCGACACCCATTCGGGAGCAAATCGAGATTCAGACTCCCCAAAACCATCGCATTGTAATCATTCATAATACCTGACATTGCGGCATAATAGTATTATAACATAAAAAAGTGACGCACTATGTAGATCTCTTATTAAGAGATATACAAAAAAACGTAAGTTGTAGATTTACAATGAATTATAGGGGTGTAAAGTGCCTAAAACAACGGCATAAACTTAGATTAGATTAACTTCTTGTTTATTAAATATAATTTTTCTGTTATTTTTTATTGCTTATTACCAGCACTTTACGTACTTTTGCAAAGCACTATGTATATCTCTTAATAAGAGATATGCCCCAAAATAGCATGAATTGATATAAACTATAGATACA", "AAAATACCGCTC", "TAATAAAAAATACAACA", "GTGAACATAAAAAAAGACTGATT", "ACCGGTTTTTTAAAACGATTGATGTATGAGAACAAAAATAAAAAAAAGAATATGGCAA", "CAAAAACGAAGAAGG", "TTCGATAAGCTCTCTGTATGCCCAACTTCTTGTATAAGAAATTGTTGGCGTCATAGTTTGGCAAGAGGCTGTGTTGCAAATGAAACTCTGCGACACAGTCTCTTGCCGTTTTTCTGTCCTTGTCTCATTGCATCCTGTCATATAAAGCTTTTCCGCCCTATAGGCAAGTTTTTCGGCAAAAAGCATTCAACTGGTTTTCCCCCGTTCCCATAGTTCTTTTTGTCTTTACGGAAAGTTGTTTGCGGCTCATATACTTCTTAAAACACCGTCGGCGTT", "TCGACCTATTTATCCAACGTTCCTTTCCTCCTTTCATCTACTGTCGAAACGCCCTGCCCATAGGCGTTCCGGCTATGAAAGGACATCCTGTCATTCTCCTTTCAGCCTTTTTTCACACCTTTCAGCGAAAGGGTACAACGTAAGCGTCTCCGCGATTCTATATTGCTGTCCGTTTTTAGCTCTCATATCTTTGCGAGGTATAAAAATGCAGCATT" ]
[ true, true, true, false, true, true, false, false, true, false, true, true, true, false, true, false, false, true, false, true, false, false, false, true, true, false, true, true, true, true, true, true, true, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 20, 21, 23, 25, 27, 29, 31, 33, 35, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 22, 24, 26, 28, 30, 32, 34, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77 ]
[ "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123519|+|426:968", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123520|-|1298:1825", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123521|+|1974:4559", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123522|+|4609:6588", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123523|+|6795:7382", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123524|+|7425:9092", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123525|+|9201:10856", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123526|+|10973:11218", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123527|+|11527:12225", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123528|-|12461:13117", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123529|-|13118:13939", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123530|-|13936:14847", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123531|-|14967:16070", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123532|-|16271:16657", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123533|-|16684:16896", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123534|-|16967:19882", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123535|+|19974:20948", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123536|-|21129:22076", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123537|-|22083:22430", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123538|-|22403:22855", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123539|-|23013:24833", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123540|-|25063:25587", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123541|-|25647:27518", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123542|-|27665:29365", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123543|-|29391:29924", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123544|-|30151:30567", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123545|-|30612:31844", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123546|+|32452:33183", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123547|-|33206:34003", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123548|-|34006:35025", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123549|+|35233:36171", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123550|+|36986:37480", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123551|+|37525:40548", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123552|+|40632:41111", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123553|+|41669:44437", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123554|+|44448:45419", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123555|+|45483:46445", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123556|+|46520:47656", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123557|+|47687:49564", "2510065017|RJ2H1_RJ2H1-contig-028.28|CDS|2510123558|+|49582:50037" ]
[ "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000001|+|206:425", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000002|+|969:1297", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000003|+|1826:1973", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000004|+|4560:4608", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000005|+|6589:6794", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000006|+|7383:7424", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000007|+|9093:9200", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000008|+|10857:10972", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000009|+|11219:11526", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000010|+|12226:12460", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000011|+|14848:14966", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000012|+|16071:16270", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000013|+|16658:16683", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000014|+|16897:16966", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000015|+|19883:19973", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000016|+|20949:21128", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000017|+|22077:22082", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000018|+|22856:23012", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000019|+|24834:25062", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000020|+|25588:25646", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000021|+|27519:27664", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000022|+|29366:29390", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000023|+|29925:30150", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000024|+|30568:30611", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000025|+|31845:32451", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000026|+|33184:33205", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000027|+|34004:34005", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000028|+|35026:35232", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000029|+|36172:36985", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000030|+|37481:37524", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000031|+|40549:40631", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000032|+|41112:41668", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000033|+|44438:44447", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000034|+|45420:45482", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000035|+|46446:46519", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000036|+|47657:47686", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000037|+|49565:49581", "2510065017|RJ2H1_RJ2H1-contig-028.28|IG|IG_000038|+|50038:50039" ]
[ "MGTEGIQDKYVNPYTDFGFKLLFGTVMDKGLLISFLNALLFGEETVKDVTYLNTEHLGTQEYDRRAIFDVYCENEKGEKFLVEMQRGEQQFFKDRSLYYSSFPIREQGKRGPWDYELKAVYVMTSSRLFIWKCRSSANRRRSWRRCSTSGFSCSVTFLRCSSALAPCRNGCSTICLKLPR", "MKEVRINELTDNLFEAISKEWMLVTAGTKEKFNTMTANWGGTGFLWNRPVAFIFIRPERYTFNFIEQNDYLTLSFLGEEHKEVHKICGSKSGRDMDKVKATGLSPLFTENGNITFEQARLTFECKKLYADLIKPRNFIDKSITDRWYGESHGGFHKMYVVEIVNVLTPSFANAHE", "MMKQFFELKAKHPDAVMLFRCGDFYETYSEDAIVASEILGITLTKRANGQAKSVEMAGFPFHALDTYLPKLVRAGKRVAICDQLEDPKMTKKLVKRGITELVTPGVAINDNVLSYKENNFLAAVHFGKASCGVAFLDISTGEFLTAEGPFDYIDKLLNNFAPKEVLFERGKRGMFEGNFGSKFFTFELDDWVFNESTSREKLLKHFETKNLKGFGVEHLKNGIVASGAILQYLNMTQHYQIGHITSLSRIEEDRYVRLDKFTVRSLELTGSMNEGGTSLLDVIDRTISPMGARLLKRWLVFPLKDEKPVNERLDVVEYFFREPDFKEFIEEKLHLIGDLERIVSKAAVGRISPREVVQLKVALQAIEPIKNACLNADNESLRRIGEQLNLCLSIREKIAKEVKNDPPLLVNKGGVIADGVSAELDELRQIAFSGKDYLLKVQQRESELTGIPSLKIAYNNVFGYYIEVRNTHKDKVPADWIRKQTLVNAERYITQELKEYEEKILGAEDKILVLETKLYNDLVIALAEFIPAIQINANQIARLDCLLAFANVAGENNYIRPVVEDSDVIDIKQGRHPVIEKQLPVGEKYIANDVFLDSETQQIIIITGPNMAGKSALLRQTALITLLAQMGSFVPAESARIGMVDKIFTRVGASDNISVGESTFMVEMNEAANILNNLSSRSLVLFDELGRGTSTYDGISIAWAIVEHIHEHPKAKARTLFATHYHELNEMEKSFKRIKNYNVSVKEIDNKVIFLRKLERGGSEHSFGIHVAKMAGMPKSIVKRANDILHQLETDNRQQGIAKPTAEIASGRSGMQLSFFQLDDPVLSQIRDEILNLDVNNLTPLEALNKLNDIKKIVKGK", "MKHLLTLWMLALCLCVSAKETCPKVIPALQEWKGGSGKLVLPAEGSIVVAPADEAALKSVATVLAQDLKDLLDWNYTIRTGKPGKNDIYLSLMKPDKQLGKEGYVLTAGRYAGIEAPARQGVFWGTRSLLQILYNEKGQLPKGVARDWSQYPSRGFMLDVGRKFFTMDFLRQYVKILSFYKLNEFQIHLNDNGFVQFFDNDWNKTYAAFRLESERFPGLTAKDGSYTKKEFTDLQRLGMEYGVNVIPEIDIPAHSLAFTHYKPEIGSDKYGMDHLDLYKEETYRFVDSLLDEYLSGEKPVFIGPDVHIGTDEYNAKEAEKFRYFTDRYLKYVEKYGKNVRMWGALRWLKGNTPVKADNVTINAWSYDWIDPNASLKDGYKIINTCDAYLYIVPAAGYYRDFLDTKWLYEQWRVGKVNPKEELPEGTPGLLGGMFAVWNDHCGNGVSQQDVHFRTFPAAQVLAEKMWRGKNEMVSYEEFEELCKQMPEAPGVNLLGRVQGEVVLPGQNEELSLNGTDSIATMLPEVGYPYVVEFEINPDKDQNINGILFKGPHSTVYANWENKGKLAFSRDGYTFVFHAATLPAGAWTKVRIEGDHKGTTLYINGEKAERLEGRVKQFYNYTHKRKDKMYMQETLVFPMRQIGDVQNGFRGKLRNINCTQ", "MKIKQILLCMLLACFILPASAQNSWGIVGGGLFSKSLSRDARFSLGGYAGGMYDVHVKNSWYVQPQLLFTYEEFRSKTRLGTDSFFSKFNLELPILASYNVALNNKWNLRINAGPYLSYAMFGRDKSIDYAYGNGMHSSLGWWHQDFPDHFTYGGRAGVSLESEHFIYTIDGKCSLRKRPFGRSVSLSAGVGYKF", "MYVYIKQLGIVCLLCWLGLSCTLQRTEHPALRQAGYLMEEHSDSAFSLLKSISSLTGMSPEDKAGYALLLAEAADKNGYSLLPCDSLLNFALHVYHEEAKEHAIALLYKGKVQQEMENYADALKSYRMALEILSAYPCEFYWKGFVYNMLGGLYGKQNLYAQAKDMYVKACYNDSLARHNRHFISSLSNLGVAYIGYGNIDSAFICQQRALQLSLSTDSFLLHSLYGNIGDLCGRTGRNSIAIICLKRAYDACRLREDSLQCLWNLGEFYYNNGQLDSALYYLNRSKEAVDIHIRYLSFFDLYAIAKQQGNVEKALEYLEISTQLEDSIYSTNVATELEKKTYRWNADAQVRKEQFKAKRRIYTIAMIAVVLLLVIVIIYQQILKNKKIQQSNYKYLLQKLKQNLMDMQQNIAQHEEVIAELKQKQESRVEEIEEKERAIEAMKMEKEKLRNWLFRQSALYTKIDKLANQQKHHKERIAVLTNAEQRQLRVIIGQIYADYIEQLHTRYPKLNEDDVLLLCLQLADLSPFAIALCFGNNDAQIVAQRKYRMKSKME", "MRSFIIYLNFVSLLAVCLFACNHHSSNPMLQQVDSLLEMKPDSALTILKNISVLEDLPEVDKAYYALLLAEATDKNKLPLLPCDSLLNFALDYYGDDDREKAVALMYKGRLLAEMDDEKAAIEMNLKALEILQDYPVDTKYRRLIYSALGLWYGNCELNDKALEVLHQSLHYSFDAKDTAIAYINIGYIYGMRNMQDSAITYQRKAVKYAMRSKDRSMILTSWHNLSICYRHFENVDSAVVYAHKVLQHLSYGNGKADAYYNMGDLYVDLEQYDSARHYLEKSLFLSPSRSIPYWSLAVMEAELGNFKSAYHYLDTFVMVQDSLDNSELLTEVQHLVYKHQTELRVKDEQIKSKRIIRWIVFVSVIICFVVALIYQRWINKKNNQQALYRQSLQYAHEKQDMMQQRIEENELTLALLQDRENQNLDEIDKKERLIAQLKQEKLELRTWTFWQTPIYKKVMSLSEQKEVDKKARKVMTDVEREKLKKTVFEIYADYISPLQAQYSQLTEDDLLVLCLQEAGISPLAISLCFGHTDTVALNQRKSRLKKKMSE", "MKAKLLLMLFLVSLSLGVFASEHHQKKPHAVCKVYEKVATRIPFIFSVQTEDINDCLYLTFQFSLDDADITMLDKDGNEKV", "MKTKMIVVVVLAAMCVLPLSAQVKVGVEGGMNLSHYLVSGSDGYKAEQVGGMKPGFQLGVTVDYEIGKHWMLMSGLSWLQNRSTMKMMDHMVTYFPKTEIKMNNLILPLKMGYNIRVSDKLSLIPSVGVYASYGFGAGNCSLDVIHQEGDNITTEPAKWKPLDGFSYKAGEPNNSGNLQAFRRWDYGGIVGMKAVIADHYTISFDYRVGIKKIQAQNGLRNSTFQFSVGYRF", "MKHIIDIETWERRDNYNFFRNFHNSWISITSEVDCTEAFPAAKAAKRSFFLYYLYAVLRAANEVKEFRFRTDKNGQVVYHDQVDIISPIAVPGKTFYTVRIPYHADFERFYAEAYHIVHNIPEEGDPYGAEKVIKEQGDFDIIQLSATPQLYFTSLTYTQMAPDHPLDYPLMNAGKVVPREGRLVMPIAFTVNHAFVDGAHIGQLFQKIEEILKELAQ", "MNTLSAILWNPDIEIFSIFGISIRYYSVLFVTGLSLAYWVIYKLYQDQKIPYEKFDSLFVYCLLGIVIGARLGHCLFYEPGYWLSHPVEMLLPVKITDSGIKWTGYQGLASHGGTIGLMLALWIYSRRVKLKFLTVLDNIAIATPLAGCFIRLGNLMNGEIVGTVTHVPWAFIFPHEDMQPRHPAQLYEAIAYLLIFIIGLRLYKKYKTTLYPGFYFGYCLTTIFTFRFLVEFIKASQEAFEDSMILNMGQWLSIPFILLGAHFMYHSFKPVK", "MEKYLIVGTGGVGGSIAGFLALAGKDVTCIARGKHLEAIREKGLHLRSDLKGNHFLPIQACTAEEYNEKANVIFVCVKGYSLDSIKDLLEKASDKDTLIIPILNVYGTGPRIGQLVPHVTVLDGCIYIVGFVSGPGEITQMGKIFRLVFGARPQQGVAPERLETIADVLRKAGIKADISDDINRDTFIKWSFISAMACTGAYHDVPMGPLQHPGAERDSFIGLSKESSEIGRKMGITYAEDPIGYNLKVIDKLDPDSTASMQKDIAKGHESEIQGLLFDMIALGEKMDVDMPTYRKVAQKFKI", "MALQCGIVGLPNVGKSTLFNCLSNAKAQAANFPFCTIEPNVGVITVPDERLTKLAELVHPGRIVPTTVEIVDIAGLVKGASKGEGLGNKFLANIRETDAILHVLRCFDDENVTHVDGSVDPVRDKEIIDTELQLKDLETIESRIQKVQKQAQTGGDKQAKQMYDILVKYKDALEQGKSARTVQFDSKDEQKIAKELFLLTSKPVMYVCNVDEASAATGNKYVEQVREAVKEENAEILVVAAKTEADIAELETYEDRQMFLQEVGLKESGVNRLIKSAYHLLELETFITAGEMEVKAWTYRKGWKAPQCAGVIHTDFEKGFIRAEVIKYEDYIKYGSEAAVKEAGKMGVEGKEYVVQDGDIMHFRFNV", "MKKINFLKGMLVVAILFIANLTVFAGNPGDNLIYNAEEVNGVVVSETIFKMEGTMLTNYMKHNYKYDANNQRTEDEAQKWNSNKNRWENNLCIRYTYGNKSMTTEYYKWNSKKKEYILVPEMTVTMDK", "MVFSNPLSFSFAIPDISGKHKDKTIITNSHYTILNICLISDILQKYHHFLAEMLGYSIFFRTFAPLLKTI", "MDKLFLLDAYALIYRAYYAFIKSPRINSKGFNTSAVLGFVNTLEEVLKKENPTHIGVAFDPAGPTFRHEAYEQYKAQREETPEVIRLSVPIIKDIIRAYRIPILEVPGYEADDVIGTLATEAGKRGITTYMMTPDKDYGQLVGGNVFMYRPKHTGGFEVMGIEEVKAKFNIQSPAQVIDMLGLMGDSSDNIPGCPGVGEKTAQKLIAQYGSIENLLSHSAELKGALKTKVETNRKMIEFSKFLATIKIDVPIALNMDELKREEPNEEELRKIFEEMEFRTLIDRVFNRNKKSAFAGTYPDATGNDPQGRNRTLGGSARQGNTPNGSGQLSLFGEPASNGESPASPSSPQGNLFAEFTDGGTESEKYSNLACLDNLKYDYQLVDTEEKRTELLQNLLTKEIFSLDTETTGTDPITAELVGMSFSYAENQAFYVPVPADRAEAQKIVNEFRLAFEKEGVLKVGQNIKYDMLVLGNYGTEVRGPLFDTMVAHYVLQPELRHNMDYLAEIYLHYQTIHIEELIGPKGKGQKNMRDLSPEAIYKYACEDADVTLKLKNILEQELKTNDAEKLFYEIEMPLVPVLTYMERNGVRVDTEALKQTSEHFTARMNQIEEEVHQLAGTDFNIASPKQVGEVLFDKLRIVEKAKKTKTGQYVTSEEVLESLRGKHEIVGKILEHRGLKKLLGTYIDALPLLINKETGKIHTSFNQTVTATGRLSSSNPNLQNIPIRNEDGKEIRKAFIPDDGCEFFSADYSQIELRIMAHLSGDTNMIEAFKEGDDIHAATAAKVYKISIDKVTREQRSKAKTANFGIIYGISVFGLAERMNVDRKEAKELIDGYFETYPQIKEYMDKSIDLARGQGYIETIFGRKRYLPDINSRNSVVRGYAERNAINAPIQGSAADIIKVAMVRIYQRFQSESIKSKMILQVHDELNFSVLPEEKEKVQKIVIEEMENAYRMQVPLRADCGWGSNWLEAH", "MDKLQQIKLPIDKEFEEFRRLFDSSLQSSNSLLSEVLSYIKQRNGKMMRPILALLIAKLFGEINDSTLHAALSLELLHTASLVHDDVVDESDKRRGQSSVNAIYNNKVSVLVGDYMLATSLKHSAMTREITIVDLVACLGQNLSEGEIIQLANINASEFSEEVYYDVIRKKTAALFTASAEAGAISVHASDEMVKNARLFGEMIGIAFQIKDDIFDYYSSDEIGKPTGNDMREGKLTLPALYVLNMFDDEEMRKLALRIRALDASDEDIARFIEYTKVKGGIEYARQAMVDYRNKALALLPQSAGQAVKDALTAYIDYVIERDK", "MEHNHEFEGGHEHRHDHDHGPEHSKYEEALAKYNIRLHDEDVKAKTALLIEKHVAENNTPDVKKFLFHCIDLTTLKCTDSDESVMKFTGKVNEFVDKYPDLDNVAAICVYPNMAEVVNDTLEADHVNIACVSGGFPSSQTFTEVKVAETAMALHTGADEIDIVIPVGKFLSGDYEGMCDEIEELKAVCGEHHLKVILETGALGSASNIKKASILSMYSGADFIKTSTGKENPAATPEAALVMCEAIKEYYMTTGRKVGFKPAGGINTVHDALVYYTIVKEVLGEEWLTNELFRLGTSRLANLLLSEIVGQETKFF", "MYGHQKQGIMTLEEAQKEVDRWIKTYGVRYFSELTNMVVLTEEVGELARVMARKYGDQSFKQGEKDNLADEMADVLWVLICLANQTGVNLTEAFRQNLEKKTNRDKDRHKNNPKL", "MRVVIQRVSHASVTIEGVCKSAIKEGFMILVGIEEADTQEDADWLCKKIIGLRVFDDENGVMNKSILEVGGNILVISQFTLHASTKKGNRPSYIRAAKHDVAIPLYNYFCQELSIGLGKEVGTGEFGADMKVELLNNGPVTICMDTKNKE", "MEKEEITTNDYLKGIVLNLPDSPGIYQYLNSEGTIIYVGKAKNLKRRVSSYFNREHPNGKTRLLVSKIADIRYIVVKTEEDALLLENNLIKKYKPRYNVLLKDDKTYPSICVSNEYFPRIFKTRQVIRNGSSYYGPYSHMPSMLAVMDLIKKLYPIRTCKLNLSPENIRAGKFNVCLEYHIKNCKGPCIGQQSHEEYMKNIGEIKNILKGDTQIVSDLLMEEMQALAAEMKFEEAQKIKEKYDLIENYRSKSEVVNSIIHNVDVFSIEMEENSAYINYLHITNGCINQAFTFEYKKRLNETKEELLQLGIIEMRERYKSTSREIIVPFELDMEMNNVSFTVPQRGEKKHLLDLSVMNVKQYKVDRLKQAEKLNPEQRSVRLMKEIQEQLHMKKLPNHIECFDNSNIQGSDAVAACVVFKKAKPSKKEYRKYIIKTVTGPDDYASMKEVVRRRYSRAIEEGSPLPDLIITDGGKGQMEVVREVIEDELHLDIPIAGLAKDRKHRTSELLYGFPPLTIGVKQSTPLFHLLENIQNEVHRFAITFHRDKRSKSQVASALDNIKGIGEKRKTALLKTFKSVSRIRQASLEEIAAVVGEAAAKNIKENLTE", "MSKETLKANLREIPDFPIPGILFYDVTTLFKNPECLQEILDTLYEMYKDKGITKVVGIESRGFIMGGALAARLGAGFVMARKPGKLPAEVVEETYAKEYGTDTIQIHKDAIDENDVVLLHDDLLATGGTMAATHRLVQRCGAKKIFINFIIELGGLNGRKAFPEDITVDTLLTL", "MDFKYDVIVIGAGHAGCEAAAAAANMGSKTCLITMDMNKIGQMSCNPAVGGIAKGQIVREIDALGGYMGLVTDRTAIQFRMLNRSKGPAMWSPRAQCDRGKFIWAWREILENTPNLHIWQDTVEELIVENGEATGVMTCWGVTFHAKCIVLTAGTFLNGLMHIGHKQLAGGRMAEPASYHLTESITRHGITAGRMKTGTPVRIDGRSVHYDLMETQDGENDFHRFSFMSEPRKLKQLQCWTCFTNEEVHEILRKGLPDSPLFNGQIQSIGPRYCPSIETKIVTFPDKPQHQLFLEPEGETTQELYLNGFSSSLPMDIQLAALKKVPAFKDLVVYRPGYAIEYDYFDPTQLKHTLESKIIKNLFLAGQVNGTTGYEEAGGQGIIAGINAHINCHGGEPFTLGRDEAYIGVLIDDLVTKGVDEPYRMFTSRAEYRILLRQDDADMRLTERAYKLGLVKQDRYEHLCSKREAVNQIIDFAKTFSIKAALINDALESLGTARLTHGCKLIDLLNRPQITIENIAGHIPAFKAMLDQITDRKEEVIEAAEVLIKYQGYIDRERMIADKIHRLEAIRIKGKFDYNSLNSLSTEARQKLMKIDPETLAQASRIPGISPSDINVLLVLLGR", "MDEQILIYAAILVAVIVLTIVGILSRYRKCKSDEVLVVYGKTGDKKSAKLYHGGAAFVWPIIQGYSFLNMKPMQIDCKLTGAISKQNIRVDVPTTITVAVSTEPEVMQNAAERLLGLNIEAQQELIKDVVYGQMRLVIADMTIEQLNSDRDTFLENCRKNIDSELKKFGLYLMNINISDIRDEADYIVNLGKEAEAKAKNEALANIEEQQKLGAIKIAEQQKERATKVAETNRDKNTQLADTQRDEEIKVAIADKERESKVAEENAEKESRIAKASASMEVNKEQARTEQESRTAELQSDMEIKQAEAQKKSAIGQNNAAKEVAESNAELEVTKAEASRKAGEAQARTQAAVLTAQENAQREIEEAKARKVEQALKADKIVPAEIAKQQAILDADALAEQIKRKANAEAEAILAKAQAEAKAIQMKLEAEAEGKKKSLLAEAEGFEAMVKAAERNPEIAIQYKMVDQWKEIASEQVKAFEHIQLGNVTVFDGGNGTTSNFLQNVVSKVAPALGILDKLPIHDTYQNIVNPSSKGNAQNKPEKEDFEPVDKDGKKRPTDTPPAPDKK", "MEANVYFFAALVSTGIFLLQFILSIFFGSMDTDIDVNADGNADIDMSSVLSFKGLIHFCMGFGWFMYLCQPPYIVLHYLGAVISGSFFVFVLAWIYKLCYKLKQENKPEQGEELIGRKCEIYTRCQEQEQAGTDYVVYIAINGAQRELTVRSIQGKSYREGDILTLKNYKEGIYYID", "MISYQTEGVKMPDIKKKETTGWIKEVAACYGKRVGEIAYIFCSDDKILEVNRQYLQHDYYTDIITFDYCEGDRISGDLFISLDTVRSNAEQFEQPYDRELHRVIIHGILHLCGINDKGPGEREIMEAAENKALALISL", "MVLNYIWIAFFLIAFVTALMRLVFLGDTQVFPEIINSTFNSSKTAFEISLGLTGVLSLWLGIMRIGEQGGVITLFSRLLGPLFSKLFPDIPKGHPVTGSIFMNLAANMLGLDNAATPLGLKAMEGLQELNSKKDTASNPMIMFLVLNTSGLTLIPISIMVYRAQLGATQPTDIFVPILLATFFSTLAGIVAVSIYQRINLFNRTILLFLGGMSLLVAGIIYFFNTLSRDQIDIYSTTFANVFLFLIIIGFIVAGFRKRINVYDSFVEGAKEGFSTAVRIIPYLVAILVGIGVFRASGAMDYLIDGIGNAVKLCGIDSDFVGALPTALMKPLSGSGARGLMVDAMTTYGPDSFVGRLSCIFQGSTDTTFYILAVYFGSVGIVRTRHAVPCGLLADAAGVIAAILICYLFFG", "LYSLLGYTQWRNFETIINKAKAACTNAGEDVAYHFADVSKMVSVGSGVEREIDDIYLTRYACYLTAQNGDARKPAIAFAQNYFAVQTRRAELVEQRLLDYERVQARTELAETEKLLSGVLYERGVDSKGFAIIRSKGDKALFRLDTALLKRKLGAPDSRLLADFLPTISIKAKDFAAEMASINVQQKDLYGQSSIEKEHIENNTAVRNMMLSRGIYPEQLSAGEDLKKVERRLKSEEKKITKK", "MCVTLHPLSSFSIRMQKAFLLLFLFLFPSSNVSTRTATPEKKVATSVPAPQKMDGEQLFEDMQLGGVVNFLAFRQAVAGYSLIKQKSKSILTLIDFTKPSTEKRLFVFDMEQKKMLYSSVVSHGKNSGENYATSFSNEVGSYKSSLGFYLTGNTYQGRNGYSLLLDGLEKGINDRARERAIVVHGAAYANPSVCKSGRLGRSFGCPALPQALTKPIINTIKGGSVLFIYANNKEYMAKSSILPNQTSQELFTEACESEQTVSVHL", "MKRIEFIYLLTGFCTICSCTSKANSEIKEVITEVHNTVTEAITEIVEKDIKPEDIRLDKELLYDKHTLKDTYPYKDTTRHFQWEKIKERLALLENIRKKPAQWCILQNYKNRNGEAPLVKSFKRDAYKRIADTLGVERYQGIPLFLTDDTLTAERYGLDGLLTRHLGEEGKFTKVEPVFIGGEWYAPTKYIKLIPDSVVFNKAIFIDRHNQNITTLERKEKGYWLIRSMNPATTGQHRPPYAQETPLGMFVLQEKKTKMIFLKDGSAATGGFAPYASRFNNGGYIHGVPTNAPATGIIEYSYTLGTIPRSHMCVRNATSHAKFIFEWAPVNETIIFVLE", "MVESTRVRSKRLSFSGKKTLSSFMQVNIASLRQSGKLRTSETYRATLNSFMKFMDGKDVLLSNMDAELMMGYETYLKEQGASMNTVSFYMRILRATYNRAVDKGVIRQRFPFKHVYTGVEKTVKRAISFKVIRQLKEMDLSHSQSMEFARDMFMFSFYTRGMSFVDMAFLKKTDLNNGMLTYRRKKTGQLLSIRWEKCMQDIVDKYPGNYSTYLLPIIIHIRKDERLQYKNSICLVNRRLKEIGKKLGLVHPLTMYVARHSWASVARGKHIPLSVISEGMGHDSEKTTLIYLAALDTTVIDKANMVVLREFL", "MDYLFLRRHRRTATSNRQKSLLLKAAERQWELQFANKGTEGRKVDCALYKCILYNLEIKQVFLDSELSLKKFSVMIDTNQTYLSNVVNKYFNCNLKELLNTYRVEYAKELLHAGKCSLEELPQRCGFASRSAFYASFSKIVGMSPLRFLAREQNNSLLESMIYV", "MNKKFLSAILFGALMVTSTGTFVSCKDYDDDIDSLNEKVDKLTKDLSELQAAAGKYVTAVKYDAATGKLTVTGGNGETFQLPMPAELPTYSLKVVDGKIQLLDGDKVVSEAPLPTTDAPAAFDPTLLKWNNGYLYYGDVKISGVEKPQSVGSITEVKDEETGEVIGYVIELDGKSATFSLVADLKALVFQPAFYYQGIEAMEAIGLNYKTLTVAKVDADGNYDKDAPVVGEATTMIPDLVADYHVNPTVAKVPGDVKAYTFLRYDKNYTRSAATAPKVVKTNVTNGKAPKGILTVNAHFTDADIKNIENDEQVTVLALQVSKGDTVVTSDYAAVKAAYYKDLVLAAVDSIHANDRHLFTTAAEAIADNEHVCKIVWNSNGIDIARLVNTHRTNIDKEGTHSKWDVRAADGTVEKYGFKYSYELVGYHKGNNETSESAHAALKGSVIRPQMTSGGKQQPWGFTQNKATKDREPLVRVILTDTISGKIASVGYLKFKITDTANQNEVVLTPNFPFANGYTVDCTETETKLGLSWHQVEEQILAQLEEIGISKDEFHKNFKLDGAVEDVEQQALVNATQYDGITVDSKAVTTPVGVVSQTNWDPADEMTEVLTWVVKNNQAYHIFKAGAKSIAVNVRYSYEVSEGVYQYVYVTFTWTPSPLNFTPNGTIENSAKIDKYWYEKNGAVAGSGYSDIHANVHQVVSDNSNDCTFDSEILNTFTGNVITVTSDATYPAFANDKLTKEVVFANPQDHLTKKGTAYVVNGASGAQYDITVSADGKTLQATNNAVTKAVVVLAGTVMEYQENDFAKDILNNADHNLLGQDQTFTAKLQINAANCDYVDYALGNNVFYAKYLRPVSVDPSDVDELIDATNGASIAGLTLNLIDWRDKYFDKAEDTTGDDGKKYNFYGFYGVTKVAADIENATTTLNGGTLGSTKLSSVTKNVKLYFGEDKSTTNVFTALKVGDNGKFYYYNNGTTLGKFTIRVPLEVTYKWGTIYTEVDLNINKTIQN", "MKKLKFILLLTVLLTTFSCQSGKQKVQSKEEKSINEFVAHLTEVDTVLITNLINQFMEYAKNGQLESAAAMLYKADSADVWNEPIQLDNNELHQVAKMMESFPVLSYKIDYIKFYTPVKNEVKCTIVMQKGESGTPIATSSWYFKLMNYLGGWRLCMMN", "MKKVVRAVSLMLLMAGVCGNAFAEENENIVRQGAIRGRVIDNGKQILPGASIFVENLKTGVISDVNGFYTLPNLKPGTYTVKVTYVGYSPIEMKVTVPEGKTLEKDIVMNEGVELQEVQVKGAFQGQKKAINTQKNNLGITNVVSADQVGKFPDSNIGDALKRISGINVQYDQGEARFGQVRGTSADLSSVTINGNRVPSAEGDTRNVQLDLIPADMIQTIEVSKVVTPDMDGDAIGGSINLVTKNSPYKRTINATAGSGYNWISEKAQLNLGFTYGDRFFNDRLGMLLSASYQNAPSGSYDTEFMWEQNEDGKVYVSDYQMRQYFVTRERQSYSAAFDFDINENHKLTFKGIFNNRNDWENRYRTNIKDLDENGKGTVRIQTKAGTPDNRNARLERQRTMDFALGGEHLWGAIGMDWNASYAKATEERPNERYLDFQLKKQEFDMDLSDERQPLATPGTGSTLTLSDKFSLKELTEQQEDIKEEDMKFSANFKASLNNGAKLKFGAKVVRKTKEKEIDFYEYTPKDEDAFMTNSLKNTVDQSTDKFMPSDKYQVGTFASKEYVGGLNLNDASQFDKEQVQAELAENFEARETVSSGYVRFDHKFASDINLMAGLRMEHTSLRYTGRNYDDETDKTTKTGRMTNSYVNFLPSILVKWDVNDDFKIRGSYTQTLSRPKYSALVPSVNINRGDNEIKIGNSDLKPTISYNFDLSADYYFKSVGLVSAGFFYKKIDDFIVDQVLTNYEYQGTEYTRFTQPKNAGNANLWGLEFSYQRDFGFIAPALKYVGFYGTYTYTHSRVEDFNFEGRENESGLSLPGSPEHTANASLYFEKGGLNVRLSYNFASDFIDEMGPSTFYDRYYDAVNYMDVNASYTFGKKVKMTFYAEANNLLNQPLRYYQGTKDRTMQAEYYGVRMNAGLKISF", "MKTLMMIRRMKEVLTWVWIAVIGTAICLNVCAQTPQDWKGLEKQLNFYMANDLGRNGYYDQKPIAELMGEMADVIGPECVFAAGDVHHFEGVRSVNDPLWMTNYELIYSHPELMIDWFPILGNHEYRGNTQAVLDYTNVSRRWSMPGRYYTKVFEKKGTAIRFVMIDTAPLIDKYRNESETYPDACKQDMDQQLAWIDSVLTVAKEDWVVVIGHHPIYAETSKDDSERSDMQKRLDPILRKHKVDIYACGHIHNFQHLRVPGSDIDYVVNSAGSLSRKVKPVEGTLFCSPEPGFSIFTADKKELDMHMIDKKGKVIYTVKRTK", "MLNTLLLIGGLALILAGANGLTDGSAAVAKRFRISDLVIGLTIVAFGTSAPELVISVLSALNGSAEMAIGNVVGSNIFNALMIIGCTALVLPIKVGEGTMSKEIPLVILSSLVLFVCANDMMLDREAVNVISRSDGFVLLAFFLIFMRYTFAIARNGADEAGEEQKIKEMPVWKSVLYIAGGLAGLIFGGQLFVDGASGLARSWGVSESVIGLTLVAGGTSLPELATSVTAALKKNPGIAIGNVIGSNLFNIFFVLGCSATVSPLPMGNINNLDLSVLIASSLLLWLVGWFFRKRTITRLEGALMVGCYVVYTAYLIAQQ", "MAITIKKVSSKKELKTFIRFNYELYKENPYSVPDLYDDMLNTFSPKKNAAFEFCEAEYFLAYKDNKVVGRIAGIINKRANETWNKKEVRFGWIDFLDDIEISRALLDAVAQWGKSKGMDTIQGPLGFTDFDAEGMLVEGFDQLSTMATIYNYPYYPQHMEKLGFEKDADWVEYKIYIPDAIPDKHKRISDLIQRKFNLKVKKYTSGKKIAAEYGQAIFELMNEAYAPLYGFSALSQGQINQYIKMYLPIVDLRMVTLVTDAEDKLVAVGISMPSLSEALQKAKGRLLPMGWYHLLKVLFLHKYPPMLDLLLVAVKPEYQNKGVNALLFSDLIPVYQQLGFKYAESNPELELNGKVQAQWEYFKTEQHKRRRAYKKSIL", "MEENNELINNPAVEYTDDNIRHLSDMEHVRTRPGMYIGKLGDGSHAEDGIYVLLKEIIDNSIDEFKMQAGKKIEIIIEENLRVSVRDYGRGIPQGKLIEAVSVLNTGGKYDSKAFKKSVGLNGVGVKAVNALSSRFEVRSYRDGKVRIATFAKGDLLTDTTQDTTEENGTYIFFEPDNLLFENYSFRPEFVETMLRNYTYLNTGLAIIYNGQRILSRNGLVDLLNDNMTAVGLYPIIHLKGEDIEIAFTHTGQYGEEYYSFVNGQHTTQGGTHQSAFKEHMARTIKEYFNKNMDYADIRNGLVAAIAVNVEEPLFESQTKIKLGSTNMAPGGPTVNKFVGDFVKTEVDNYLHKHTDVADVMLQKIQESEKERKAIAGVTKLARERAKKANLHNRKLRDCRFHLNDAKGDKKEESCIFITEGDSASGSITKSRDVNTQAVFSLRGKPLNSYGLTKKIVYENEEFNLLQAALNIEDGMEGLRYNKVIVATDADVDGMHIRLLLITFFLQFFPDLIKKGHVYILQTPLFRVRNRKKGVYETVYCYSEEERVAAIERLSPNPEITRFKGLGEISPDEFKHFIGKDMRLEQVSLRKTDLVKELLEFYMGKNTMERQNFIIDNLVVEEDIA", "MRRAIFPGTFDPFTIGHYSVVKRALTFMDEVVIGIGINENKKTWFPTEKRVEMIEKLFADDPRVKVDAYDCLTIDFARAKEAQFIVRGIRTVHDFEYEETIADINRKLAGIETILLFTEPELTSISSTIVRELLQFGKDVTPFLPEGMKID" ]
[ "TCGTTATTGAGCCAGAAGATGCAGCACGTTAGTGAAGCTTGCTGCCAGTTCCTTTATTATTTCAGGCGTGCCCTCCGATTTTTATTGCAAAACCAAGCGATGGACACTATTTCTGAAGGAAAAAGCCGTGCAAGTTCTCCTCAAAAAAGACCTTTAAATTTTCATTCCCTCCATATATCGTTGTATCTTTGTTTCAACCAACCCGTTAAAATACTTCGCT", "CCAAATTCTCTCGCAAGGAATTGAGTGAATATTGGGAAAGTTTGAAGAATTTCCGTGATTGGTACAGTGTGATGAAAACCCAGCTAAAGAAAGGCAGGGAAGAAGGCCGTAAGGAAGGGCTTGAAAAAGGCCGCAAGGAAGGACTCGAAAAAGGCCGTCGTGAAATGCAATGGATGAATGCGTGCAAAATGAAGGAAGACGGTATGTCCATTGAGATGACAGCCAGGTATTTTTGAGGGTGGTAGTAGGATGGAAAACTGTTTCTGAAGAAGATTCTCTAAGGGGAATTCTGTTGGAAAAAGAAGGGAAAAAAGGAGGAATGAAACGCC", "TGTTTCCATATTTTTAGCTGGCACAAAGATATAATTTTTTCTTTTACTGTTTCCTATTAAATCTGCTAATTACTATCTTTGCGGGAAATATTAATTTATAAAAAAGAAAGGACGAAACACAGTGGCAAATGAAGTAGTTTTAACCCCG", "ATGCCTTCATTTTTCATTAACCGTTAATTACTAACCATTAATCATTATC", "AAGATATTTCTTTCTCTTGCTGTGTAGGGGCAGGTTTTAGACCTGTCCCTATGCTCAATAGGAGTGAAATTTCTCCGAATGTTATCTTTAATAGTGTTATATTGTTTATTATCAAAGTTTTATATGCGGTAAATGTTATCTGTTTTATTTTCATGAAAAGGGCTTTATACCTTACTTTGCATTATTAAACTTAAGCAACAAACCCG", "GTTTTCTGTATCTTTGTCACCGGAGAATAAAAATAGAGTTGC", "CTGTGTGTTACAGAATTTGCATAATGTTTTTTTAATAGATGTTTGTATATCAGGTTATAAAGGCATTTTGCTATCTTTGTGCGTAGAAAGCTAAAAGACAAAATCACT", "TAAACTGAAATATATTGTTTATCAGTGTTTTATAGAAATATTATGTCACAGCAAATAGTTGTACGTTCTTTCCTTTCCCTCTAACTTTGCGGCATCATTTTTAAACAAAAAGAAGT", "TTATGGATAACGCATTTGAAAAAACAGCTGTACTTCCTAAGTGTGATAAAGGAAGTGCTTACTCGACTCAGATAAATTTAAGTTTTTAAGTAATTCCAATTAAGGCTGTATGATAATATTTTAGTTGATAATCTAAGGTTATTGATAATGTGAAGTTCATATCTGAATCTTATTTTATTGCTCATGTTATGATAAAATATGTTATATGGTTGATTTTTAGTGTTGTATAGGTGAGTGGATGTTATATGGATAGAGCTTTATGCTTATATCTTCTTTCTAATTTTGCAACATCAAATAAAAAAATAAGT", "ACTGATTTAATTAAACTGGCAATCTGTCAAAATGATAATGCCGGTGTATGAGGGTGTCCTGTTTTAAAGGATACAGTTTGTGTATTTTCATCGTATTCTCGTGCATCGGCATTTTGACAGATTGTCTTCTTTTTCTGGTTATTTATTCTTTTATGGATATATGTGAACGTATATGTAGGGAAATGCATACATACGTGTCCGACAGGGATCTTTATGCCTTTTGAGATCCCTCTAT", "GTTAGTTGTATTTTGTTTTAGGAAACAAAACACTGACTTATCGGTCTGCCATCAGTTTTGCTGGTTTCCTGATGTTTTTTCATGCATTGCATGACAAGTCTTTTGCGTTTTGATTTACC", "TATCTATTTGTTTTTTTGATTATTATCTATCTATTTGTTTGCAAAGATAACCATTCTTTTTGTATCAGACTTCATATTGAAGTCAGATTTGGCTTTTTTATATGCGAAAAGGGGGGGCTTGTTACGAAACAGAAAGGGCAACCGTCACGGCTGCCCTTTCGTCGAGTTTTTATGAAAAGTGTTAGATTGTTAGAATAGAT", "GATATTGATATATTAGATTGTTACTT", "TGAGCTTTTATGATATAAATACTTTACATATAGTACTATCTATTTTGCTAATAGTTGGTTTATGTATGCT", "TCTTAAAAATCTTTAGTTTTTTCATTGTAAAAGTACAAAAAAATACCGTATTCCGTCTTTTATTACTACTTTTGTGCACAAATTAACCTGC", "TACGATTGTTGAAGATATGTACCTCAAAAAGTTCGGGCGTGGTCCTTTATACAATAAGGACCACGCCCGAACTTTTTGAGGTACGCCTTTCTTTGGTAGTTTAAAATAACCGGAATAGATAAGGCTCATTCCGGTCACTTTAAATGTGGTTGTTATTTAAATAGTGAAACAGTTCTTTGT", "AATTCT", "ATTTATATTCTTTAATTTCTTGTTAGAAAAATTCTAGTTGTTTATCGGTAATGTTTCCGCTTCTACAATGGACAATATGTTGCCGGCTTCTTGCCTGGACCGATACGAGGCAGAGCATGTCCCTGTAGTAGATGTATGCAAAGGTAGGAAATCCCTT", "ACATGCTTATTGAATATTGCCGGTTGGCAGAACTGTTTCTTGAGTTGATGTTGCAGCCGCAACATCCTATATCTTTCGGTTGTAACATTATATATCTTCAAGCTGTAACATTATATATCTTACAGCCTGAAGATATATATCTTTCGGTTTCATCGTCCATCTCATTCCATAGATCTCTTTTTATTGTGAACGATGATGGGGCCCGTTTTGATGGACATGTTGTGTGACA", "AACCAGTATTTTATTGTTAGTCTATTAAATTACTTGTTTCACGTGAAACATACCTTGTT", "TGATTTATTTCTTTTTACCGATTGCAAAATTACGAATTTTACTCTGTAAAGCGGTGATAATACGGTTAAAAAGAATGTGTGGCGGCAGAAATCTGTTTCTGCCGCCACATCATACAAACGCTATTCTTAAAGTAAACTATTTCCTG", "AAATGATTGCGTTTTTAGGGTTTAT", "ATATTTTGTGTTTATCTGTTTTTCAATTCACAAAATAAAGCAATTAATCCGAAAAAGCAAGAAGATAAGGGAAGAAATGTAGCTGTGCATGTACAGCTTTCGATGTTTTTTATCCGTCCGGCGGAAAGTTTTCCTAATTATGGGCATGTCTTTAAAAGGTTACGGGCAATCATTCTTGCGAACTATGCCCGTAGCTTTTATAGGTTGTTCTGCCTTGTATGTCCTG", "AGGCTGATTATTTTTTTATAATGTACAAAGATAAGGAAAGTATT", "ATCGCTAGTTTTATTAGTAATAACTCGGACAAAAGTAGTCAAATTATTCGTTTTTGAATTAGAAAAGATTCAATTCTCTTATTTTTATTTCCAATCTTTGTTTGAACACGATAGCAAAATAGTATGAAATGATTTTAAGGGCAAACTACTCTCTCATTTGATTATTTCGATGAACGGGACGAGAAGGAAGCGTTTAAGCGATTCTCGCGAGTTTAAAGAATATCAAAAAGAGTAAAAAAGACGTTTGGTAGTTTGCTATTTGTCGGGTATATAAAGAAAAATGTTTTTTATGGATTTAAATATGCTTTGAAATGGATACTAATGAAATGTAAAATAACTCTTGGAATAAGTCTGTTCTAGAAGAAACGGATAATATAAGAATCGAAATAGTTAGGAAAAAGAGTTTTGTTGGTCGGATCTGATAATAAAAGGTTATATTTGTGCAAATATGCAGTGTGAATTCTGTAGTAGAAGGAGGGCATTGACGTAATAACAAGTAATAAGGGGGGGATATATGATGAAAACCGAGGAAATAAAAGATTAGTTCAAGCAGTTTGAAAGTATCGTTTGTGATTATCATGGAGTAAAGTGTTGGAGTGTACGCGAG", "TGAAGGAACCGTCTGGGAGAAA", "TT", "CATAGACACAGGTTTGTGGCTTTCTTCTACAAATATAATTCTTTAAAAGGAATAAACATGCGTTTTTGTTTTTAAATGCAGTGAAAAATTCCTGTTTCTTTGAACAATCTCCCCTATTGCATACCTTATAAAGGACCGCTGGGAATAATCGTTTATCTTGGCTTGAGGTTTTTACAGAAAAAAAGAATCAACTTAAAAACAAGTATT", "TAATAGGGTAAAGATATTGTTTCTTGTCAAAAAGAGGATATCATATCACAAGGTATCCTCCATTTGACGGGATCAGTGTGTCTAGTATTTTTTTAATAAATGTGTGTTTGTTCTCTCTAAAGATGATAGCACTTTCTGATGTGGCTGAGTTGAATATGTTTGTTGCAAGGTCGGCACAGCCTATGATCTCTTTTTATTGTTATCTTTTGTCAGAAGATACCTTATAGTAAAGGAGAATCGGATTACAGATAGATTTTGAAACAATTGCCATAAACCATTATGCTGTTTTCGTCCACCTATTTGTTATGAAAAAAATATCAGCTTCCAAATATTCAATATTTTTCCGTAATATGACAATTGTCATAGGTTTGTTTTTTTATGTGAATTATAGCTGATACAACTATTTTTTAATAGACAAACTTGAATAAAAGAAAAGGCAAAGTTTACTTGGGTTTTATTCTTTGATTTTGGAGATAATCCTCTTATTACAAGAACTTTATAGAAAAAATACTAAGTTGGAATATTATATATCTCTTATTAAGAGATATATATTTTGTCGCAAATTTAAGCAAAGTTTTGAGAATTAGAACAATTTAGCAAGGAAATTTAATAATTGATTCAAAAAAAACGTTTTCTGAATACATGATTTAGTCTTTCAAAGTATTCTTGTGTGAATGTATTTTGTTGATTTATAATGTGTTATAGATCTCTTATATATCTCTTAATAAGAGATCTATCGTATAACTGATGACACGCCATATAATAATGTATGGTTGTGAAGATGTTAAAGCGAAGATTAAAAGTAAATAAAAAT", "TCAATTTTAGTTTTTTAAATTTATAAATTTAAAGTTTTTCTATT", "TATTGTAATAACTTTGAGTTTAATTTAAATAAGGGCCGGCCATTCATAGTGGCTGGCCTTTTTTAATAGGAGGAAAGAATGAA", "CTAATGATCGATTTATATAAGCAGTCGTGGGAAAAAGTGTCATTTAAAAATTATTGAAGGGGGTGTTTGTTTAGGATATTTATGTGATTTTCAATACAAAAGATTATAGTTATAAATTGTAGCAGGGTCAAAAGAGAACGGTTTCTCAGGCTTGTAGTCGAAAAGTTGACAGTTCAACCAGATAATCCGGACTGTCAGCTTCTTTTTTTGTGTCCGGAGAGATTTCTTCTGTCCGGTAAGTTCCCTATGGATCCAGATAGCAGTGCATTTACCCATAGCAAGGATAACAGCATTACGATAATCTGTGAAAGTATTTCTTTCTTAATCATATTATACCTGTTCCTTTTCAAAAGTTACGAAAGAGTGATCGCATGAGTAAGAAAAATCTTACTCTGCTGTTTTGTAAGCCTTGTCCATGCTTTGTATCCGTCGTCTTGCACCGAATATCTTGTAACAGGAATGAAACTCCTTTGTCACGAAACCTTTAATAGAGTGTAACTCTCAAGAAACCTGACCCATCTACTTTTGCAGCAGATTTATAACGCATAAAAAAGTAT", "AAATAAGTAT", "GAATAGTTATTTTAGGGAAAAAGAGTACATTTGCGGTATAAAACTGTAAATGTACTTTTTGTT", "AAAAGCCATAAAAAGAATAAGTTTTCTGCAAAAAAACTTCTATCTTTACACCCCGAATTTTATAAAAATACAGC", "CAACGATATATAAAAATGTGATAAGTCAAT", "GTGAATCACTAAAAAAT", "CC" ]
[ true, false, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 12, 14, 16, 18, 19 ]
[ 0, 2, 4, 6, 8, 10, 13, 15, 17, 20 ]
[ "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123561|+|961:1647", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123562|+|1682:3640", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123563|+|3661:4416", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123564|-|4481:5341", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123565|-|5840:6823", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123566|-|6872:7867", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123567|-|7834:9201", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123568|-|9629:9748", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123569|+|9796:11679", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123570|-|11760:12875", "2510065017|RJ2H1_RJ2H1-contig-029.29|CDS|2510123571|-|12872:13279" ]
[ "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000001|+|771:960", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000002|+|1648:1681", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000003|+|3641:3660", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000004|+|4417:4480", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000005|+|5342:5839", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000006|+|6824:6871", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000007|+|9202:9628", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000008|+|9749:9795", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000009|+|11680:11759", "2510065017|RJ2H1_RJ2H1-contig-029.29|IG|IG_000010|+|13280:13337" ]
[ "MWLSNSSIGRKVVMSVTGIALVLFLTFHMAMNLVALFSEDAYNMICEFLGANWYALVATAGLAALFVIHIIYAFWLTMQNRAARGSERYAVNVKPKTVEWASQNMLVLGIVVIAGLALHFANFWYKMQFAEIIGVHDLGAFGPTDGAAYIRETFGCPVFTVLYLIWLAALWFHLTHGFWSALQTLGWSNKIWFERWKTIGNIYSTLVVLGFAAVVVIYFVKSLACGAC", "MATIDSKIPEGALAEKWTNYKAHQKLVNPANKRRLDIIVVGTGLAGASAAASLGALGFKVLNFCIQDSPRRAHSIAAQGGINAAKNYQNDGDSVYRLFYDTIKGGDYRAREANVYRLAEVSNAIIDQCVAQGVPFAREYGGLLDNRSFGGAQVSRTFYARGQTGQQLLLGAYSALSREVQRGNVKLYTRYEMLDVVLIKDNEGVERARGIIARNLVTGKIERFAAHAVVIGTGGYGNTYFLSTNAMASNGSAAMQVYRKGAYFANPCFAQIHPTCIPVHGDKQSKLTLMSESLRNDGRIWVPKKLEDAKALQAGTKKPTDIPDADRDFYLERRYPAFGNLVPRDVASRAAKERCDAGFGVNNTGLAVFLDFKYAIDRLGEDVVRARYGNLFDMYEEITDENPYKTPMMIFPAIHYTMGGIWVDYELMTSIKGLYAIGEANFSDHGANRLGASALMQGLADGYFVLPYTIQNYLSDQIQVPRFSTDLPEFVAAEKAVNDKIQKLMNIKGHRSVDSIHKELGHIMWDFVGMGRTKESLTTALAKLKEVRKTFWSDLRIPGEANELNVELEKAIRLADFIEIGELMAYDALNREESCGGHFREEHQTPEGEALRHDDKFSYVACWKYTGEGKEPELIKEDLNYQFVKVQTRNYKS", "MDKNISFTLKVWRQKGPKDKGHFDTFQMKDIPGDTSFLEMMDILNEQLINEGKEPIVFDHDCREGICGMCSMYINGHPHGPATGATTCQIYMRRFKDGDTITVEPWRSAGFPVIRDLMVDRSAYDKIMQAGGYVSINTGGIPDANAIPIAKPIADEAMDAAACIGCGACVAACKNGSAMLFVSAKVSQLALLPQGKVEAARRAKAMLSKMDELGFGNCTNTRACEAECPKLVSISNIARLNREFIAAKLKD", "MSGLPCNERMDCTQCPKAVENSIHYTHNKKGFHLPPHKCETNILFFLLKGQILINSEEYAGTIINSGEFVLQAIASKVEILAMTDSEGILYTFDDPKAFCYDRYTYILKNVPPPLISEPLKIKPEIKLFLEGVASYLNADKICKELLEFKRKELYYLLAHYYTDYELSPVVHSLSQYTSSFEYFILKHHKQIKSVEEFAQLGGYSVTTFRRIFKAIFNEPAYEWMMKQRKESILYQLRYTDASISEICFGHGFESLSHFSNFCKKSFGDSPRNIRKKEKEETSPNT", "MKKILFAALAALAITSCSQNEEIEAPTQKTEINFRSVVGKSSRAAEATTENLESQGFILYAYNTKTITMDKVTAGSLTTTFINGKKATCTNSNWSVADGPYYWPIAENLQFFAYSPEAGGITNYATTGTYPSFTYTLQTIQTDLLAACVTDKAKTNSATSVGSVDLTFNHILTQINFKLQGKDTGFKYNVTSIKLSGVANSGTYTYNADKGAWSAQTGNEEYTYNATYSEIDGTATSEIATSSNALMLIPQNDLSSVKITVTYSTTNDNGKVFEGTKEATLTGTWDIGKKILYTLTLPAGAEELTFTAQADGWTNEDPQPSPIEPNK", "MGDNKEERKKMKKTRYVALVLLSSLLTLVGCSRREILDDYPVTGINIRLDWEGVTGRLPEGIRVIFYPKDAQGRKIDTYLPAKGGEMKVPPGHYLAVIYNYDTEVVQVKGEDSYETIMACTGNCTGLGNSETENMVWGPDNFYVATLDDVEIGKGEELPTLEVRPKSVVTTYIFSIKTEGLKNVSSIIGSVSGMAECYHLGKGAGLCRFAPIYCETGKGNGVIKGSFTCFGHPELTQARADITQFLNLIIVRVDGSRQEAKVEITEAVKPPEDEPGEGDEKPQESEIEIELPDDEKIVVDDVEVPPDESGGGFDGSVSDWDDETNVELPVG", "MEKRTTIFSIFVFLCLLTNSTYARTTEYENPRDTILDMMLYFDLKARQADKDYQGNGKTLATLDSLLAHPARSRHLYSIILVSPDSYNGKKEPDIAPGLKRSEEVKAFLCRKYPQVNAAQICIRTGEEYGDALRKLITDDRLVPDREDVLALIDYHHDNPVKMQDFLQHLDAGIPYQYISNRLLPELRRTKIRVCLSYPEEKEGKNSSTEQAAMIEETEFIPIDRETTIAPMAVQALPQKERRQVITETEKTVTKAEKEKETKAKRGKKPKEKAARRDKTAGNTVLALKNNLLYDLALAPNIEIEIPVGKRWSVNLEYKSPWWSNSSKEICYQLISGGIESRYWLGNRELHHRLNGHFFGLYAEGGIYDFQFKGDGYQGKYYGAAGFTYGYSTSVSRHLALEFSLGIGYLTTEYQKYTPYEGSLVWMSSGNYTFIGPTKAKISLVWVITKKRGRK", "MDENKKLNILKDRKLDRQEKNKSEGLKKGKGATLCSNLC", "MKRHANLLCLGAAVALLNACAPAVNAPEPVFPIPEKKQVDWQKMETYAFVHFGLNTFNDREWGYGDSDPATFNPVKLDCEQWVKTFVAAGMKGVILTAKHHDGFCLWPTGLTEYCIRNTPYKDGKGDIVRDLSEACKKYGIKFAVYLSPWDRHQANYGTPEYVDYFYRQLYELLTNYGPVFEIWFDGANGGDGWYGGAKDSRTIDRKTYYDYARAYEMIDKFQPQAVVFSDGGPGCRWVGNENGFAGATNWSFLRAGEVYPGYPKYRELQYGHADGNQWTAAECDVSIRPGWFYHPEEDDRVKTVEQLTDLYYRSVGHNATLLLNFPVNRDGLIHPTDSANAVDFYKNVQKQLANNLLKGVLPVVSNERGGKYTAKAVTDGEYDTYWATEDSVTSAVIEFEWPAPQKVNRMLLQEYIPLGQRVQSFVVEYNKEGEWLPVKLNEETTTIGYKRLLRFETVTTDKLRVNFEKSRACLCINNIEAYYAGETLDVFTAKAEELKTYPFTLPKVDEAEAGKCADKDAATTCFVDGNTLLVDLGTEQTVSSFHYLPDQSEYNKGLIAAYEISVGTEAGAVNQVVSSGEFSNIKNNPILQSVYFSPVTARYVLLKAVRMVENDGPMGFAELGVQ", "MTQDEQICSLALTRIPGLGPTGAFRLVSSLGSATRVFEHRKELSQLVPGVSEKIITALNNSEAFRRAEQELTFCEKNHIRCLTLNDEGYPGRLRECDDAPLALFYRGNADLNALHVINMVGTRHATPYGQDICTRFLADLSVLCPNALIVSGLAYGIDIHAHRAALQNHFKTIGVLAHGLDRIYPAEHRKTAVSMLEQGGLLTEFTSGTNPDRQNFVKRNRIVAGMSDATVVIESAAKGGALITAELAESYHRDCFAFPGRCNDEYSIGCNNLIRKNQAVLITSAEDLVKAMGWESSPKTEKTVQRELFPDLSEEEERIVKRLGKMSEGLQINTLVIDTNIPVNRMSALLFELEMKGVIRALAGGVYRLIM", "MEKYIYELKMKVRDYECDLQGIVNNANYQHYLEHTRHEFLTSVGISFARLHEEGVDPVVARLTMAFKTPLRSGDEFVSKLYLKKEGIKYVFYQDIFRLPDMKVTVKATVESVCVVNGRLGDSALFDETFAPYLSE" ]
[ "TTAGTTTGGCATTTGTTCAGTGCAAAGATAGGAAAATAATGTAATTCGCATTTACCCTTCCCCGAATTAAAGAATAATTTCCATAATTCCTTGTATTATCGCTAGAATTCCTTTACTTTTGCATCGTGAATACACAGCTTTTAACAGAATTTTTAGTTCTAACAATTAAATAATTTAAATTCAATCATTT", "TCGTAAATTGTAAATGATAAAATTGTAAATGAAT", "TTATTAATTGTATAGAAATC", "TTACGGTTAGTTTTACTTGATATTTGAGAGTGCCCTGCCTTTTGGCGGGGCATTTTTTTTATAA", "ATATAGATGTTAGAAACGCTTGTGTTCTTTTGGTTGATTTGACAAATGTAGGTCAAATATGGATAATTTGCAATAAGTTTCAGGAAAAAGACCGAAGAATCCTGTTTCCACTTGTTTGTCTTCTGCCGTTACGGAAAACGATAGCCTGTGGGCGTGAGCATAGAGGGCTAAATTTGTGTGGCCAAACACAAAGAAGTTACAATTGTTGGGAAAGTAGCAGAAAGAAAGTATCTTTGTGTGTATCCATGGGGAGGTATACCGGCTAAAACAGCATAGATTATGGAATGTGTAGTATCATCCTCCAATTTCTCCGGTATCATTGGCGAGCTGCATAACTATCGCATATACTTTTTGAGGACGGTTGATTGACAACAGGTAAAAATGCTCCGCAAATGAAATCGCAAATCATGGTGGGGATGATACGGTGTTTCATTGCGGAGCAGGAAATTGTTATGTTCTTATCAGGAAATTATAATTGGCCTGACAAATGGATGTGAT", "ATTCTGTGTGTTTTAAGTTAATAATTCTATGACTCTTTATTTTATATC", "GGATTACCATTATGTGTGGAGCAAATATAGGTGATAAAATCTGAAAAAAAACGTATTAAATGTTCTATTTTCGGACGTGAAAGGGTTAGTGAAGCGATTGGACAGAATTTGCAAGTTTGGGCAGCCGGATAATGAGAAGGGCAGGGGAGCTTACTTTTATTTGGAGTGAATAATCAGAGGAATACTTTCTTTTTGCTTTTTACTTTCCAATGGACAGAAATGAACGGTCTTATCATATGGATAGGGCAATGTTTCATATTCCTTTTTTAATCCGTGTTTTTCAATCTAATTGTAATGTTGTGTGCGAAAACGGTTGTATTTGTGAAATAATACTAAATGAAAAGCGAAATTGAACTAAATATTTGGAGAAAATGAGTGTAAGTTGTACATTTGTCATGTGATTTTTTTCATAGTATTAGATTTAAGG", "CGGATTTTATGTTATCTTTGTGTCAATGTAATTAATTGACAATCTAA", "CCATGCATCAATATACTCATGTACCCGTTCACGGTACAGCTACCGTATGTCAATGGGTATATGAGTATCTTGATACATGG", "AAGGCAAAGGTATAAGAAAAATGTGTTACTTTGCAGATAAACTTAAAAAAAGAAACAA" ]
[ true, true, true, false, false, false, false, false, true, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 69, 71, 73, 74, 76, 78, 80, 82, 83, 85, 87, 88, 90, 92, 94, 96, 98 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 70, 72, 75, 77, 79, 81, 84, 86, 89, 91, 93, 95, 97, 99 ]
[ "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123574|+|1801:2955", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123575|+|2989:3678", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123576|+|3717:6863", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123577|+|6878:8362", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123578|+|8388:9389", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123579|-|9548:10813", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123580|+|10897:11652", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123581|+|11656:13080", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123582|+|13118:13981", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123583|+|13981:14637", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123584|+|14642:15454", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123585|+|15494:16669", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123586|+|16884:20105", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123587|+|20110:21972", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123588|+|21995:23569", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123589|-|23626:27513", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123590|-|27719:30175", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123591|-|30274:31527", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123592|+|31596:32132", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123593|+|32150:32752", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123594|+|32863:33783", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123595|+|33823:34860", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123596|-|34871:37393", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123597|-|37397:38491", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123598|-|38524:39303", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123599|+|39895:40386", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123600|+|40435:43737", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123601|+|43813:44160", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123602|-|44291:46915", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123603|+|47482:47697", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123604|+|47748:48791", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123605|-|50415:50615", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123606|-|50645:51076", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123607|-|51283:51894", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123608|-|51897:52241", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123609|-|52228:52452", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123610|-|52570:53874", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123611|+|53908:54297", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123612|+|54294:54473", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123613|-|54767:55150", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123614|-|55172:56404", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123615|+|56987:58600", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123616|-|58728:60044", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123617|-|60041:61036", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123618|-|61334:62944", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123619|+|62992:63213", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123620|-|63210:64325", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123621|-|64414:67539", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123622|-|67942:69060", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123623|+|69160:70215", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123624|+|70219:71664", "2510065017|RJ2H1_RJ2H1-contig-030.30|CDS|2510123625|+|71667:73031" ]
[ "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000001|+|1588:1800", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000002|+|2956:2988", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000003|+|3679:3716", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000004|+|6864:6877", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000005|+|8363:8387", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000006|+|9390:9547", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000007|+|10814:10896", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000008|+|11653:11655", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000009|+|13081:13117", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000010|+|14638:14641", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000011|+|15455:15493", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000012|+|16670:16883", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000013|+|20106:20109", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000014|+|21973:21994", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000015|+|23570:23625", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000016|+|27514:27718", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000017|+|30176:30273", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000018|+|31528:31595", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000019|+|32133:32149", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000020|+|32753:32862", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000021|+|33784:33822", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000022|+|34861:34870", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000023|+|37394:37396", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000024|+|38492:38523", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000025|+|39304:39894", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000026|+|40387:40434", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000027|+|43738:43812", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000028|+|44161:44290", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000029|+|46916:47481", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000030|+|47698:47747", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000031|+|48792:50414", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000032|+|50616:50644", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000033|+|51077:51282", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000034|+|51895:51896", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000035|+|52453:52569", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000036|+|53875:53907", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000037|+|54474:54766", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000038|+|55151:55171", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000039|+|56405:56986", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000040|+|58601:58727", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000041|+|61037:61333", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000042|+|62945:62991", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000043|+|64326:64413", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000044|+|67540:67941", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000045|+|69061:69159", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000046|+|70216:70218", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000047|+|71665:71666", "2510065017|RJ2H1_RJ2H1-contig-030.30|IG|IG_000048|+|73032:73035" ]
[ "MINRFVLNEVSYFGPGAREVLPQEIKRLGLHKAFVATDKDLIKFGVADKVLKVLENAGIPYEIFSEIKPNPTVSNVKAGVEAFAKSGADFILAIGGGSSIDTSKAIGIITNNPDFSDVVSLEGVAPTRKKSVPIIALPTTAGTAAEVTINYVITDEENHKKMVCVDPNDIPAIAIVDAELMYTLPKGLTASTGLDALTHAIEGLITKGAWEMSDMFEIKAIEMIARYLETAVFEPTNAEARNGMAVAQYIAGMAFSNVGLGVVHGMAHPLGAIFDIPHGVANALLLPVIMEFNAPAALSKYVDIAKAMNVYKDGMSREEAAKAAVEAVKALSVKVGIPQHLSELGIKEEDLPRLAASAIADVCTPGNPREVTEEIILELYKKAF", "VWMCRAYPHTFFISFLFFELLCEFHLFVFGLKCAVRFFAAENNKLKVMKKKFILTVAFLSISLFAQQMWAMPFYPVRDKKENVKTDASSAKVETWEFYSTVETPSSEELAALVSNHKLGKKVAFLYDSFKDTYVVKEEVVPGDPTRRTVIRKPEIYNAVRTIEKELNRQVRKDLLPQDKAEQEFTGVLKVALAAIDSDTESFETALQENKKDVSHLLGVFRRVSLKSIY", "MKTKQCLVIVMTLLCLCVKAQNLRVTGVVRDKSDVIIGASVMVKNSTVGTVTDMDGRYSVEVPANGVLIFSYIGYTPVEKQVDGQTVINVTMNDDVQAIDEVVVTAIGIKQQKKKLGYTTQQVNTEALDQPGTVNVGNALSGQVAGLTVNNPTGIFQAPSFSLRGKTPLMVIDGVPVESDLFDVSPENIESINVLKGTAAAALYGSRGKDGAILITTKLAKEDGLTVTAGLSSMVSAGFTVFPETQTEFGSGSNGQYAFWDGADGGISDGDMTWGPRFAGQKIAQWNSPIRNKETGETIPWWGDVSGTIYDDQSKYERVPIAWEPHDNLRDFLRTGIITKATFSVASKSKKANYNFNGDFSNQRGQVPNTSVYTGGLNFNSMYNLSNSVTLSANLSYNKVYSPNYPRYGYGPKNHMYTILLWMGNDVNGKELAEHYYRPDFEGTRQANYNYAWYNNPYFAANELTQKHDRNTMNGQLKLNWDVIPGLSLQGRAAGRLESTFEDMSVPKSYMNYGDSRNGDYKTWNTDQLDINADFLATYTRAFSRNFTLTVNAGTSLYYRQIRKQSQSTDGLIVAKVYNLGNSLNPVSATNSMNEKAIESVYGSVNVDLFESLFLTFTGRNDWSSTLAKGNNSYFYPSVSLSTLVNEYVKLPSWMDYLKVNGAWAQVSSDLDPYSLYATYSNGTLYGSIPSVTYPGTLLNANILPQKTTSYEVGVSTSFLHNRIGIDLTYYHMLDENSIIELPISSASAFTKRYVNGNEYTTNGFELIVSATPVKNKNFTWNVATNWSSNIRKLTGIYGDQEKFGDLKKGDRADAMYATEWEKTPDGRLILDANTGLPTQSAFKTKVGNQSPDVRFGLQNTFKIKDFTVNIDMDGAIGGTLISTTTQKMWWGGKHPKSTMYRQEEYDNGGKPVYVPEGVNIVSGEVTYDVNGNIVSDTRVYKKNETAVNIQTWAQNYPYRAVVRTEESELFANTFSRSFLKLRRVAVTYDLTKFIQSQFIKGLDVTVFGNNLAVLKKTPYLDPDFGASDGDLQDPSARYVGVSANIKF", "MMKKYIKYMASLLAVSFAMSACIDLEEMNVDPNNATTTNPSLLLTGVAYSAFNQTSSDACHAAKMLILTSGESKYQVYKWTRGDFDYYSNLRDVTKMSEEAGEGSAYQALAHFFRANYFYQLTLDFGSIPYTDALKAETDANYQPAYDSQEVVLAGILKELEEADKMLEGSDEIISGDIIYNGNLVNWRKLINAYRLRILMSLSGKEKVGDIDVKSEFSKIVADGPLMESLSDNGQLIYLDQQDNRYPYFNDSDFGSGRFMDSTYIAALATRQDPRLFAVATQTPNAEKAGKAINDFSSYDGGDPAVPYSLVNDKAVAGNCSKPAPRYYQTPTNEPMVLLGYVEQQLILAEAVVRGWIQGDDKIYYESAVKASFEFYQKYAVSVADYLTQDAAAEYLRNDKVAYSSSLSTDEKIERIIMQKYLPTFLQGSVWLPYYEALRTGYPDFRRAAGVSLPYRWMYPQDEYNNNATHVEAALNEQFGGSDKTSDKPWWLQ", "MKKIKTLCGVLALLCVGMMPVSAQNVLKFNADKKFKIVQFTDVHWVPGDSASEEAAERMNEVLDVEKPDLVIYTGDLVFGKPASEALSKALEPVVSRRLPFAVTWGNHDDEQDMTRIELLEYIKDMPGNLTSTTAGISGVTNYVLPLKSEDGKKDAAVLYVFDSNAYSSLKQVKGYDWIKPDQINWYVESSVGYTERNGGKPLPSLAFFHIPFPEYNEAAQDENALLIGTRKEKACAPLINTGLYAAMLNAGDIMATFVGHDHVNDYVVDWKGILLCYGRFTGGNTVYHDIPGGNGARVIELTQGVRSFKTWERLEGGKIINEVNYPSDFQRE", "MEQLLHYVWKHKIFPLKELKTTTGQQVEVIDTGLANTDAGPDFFNAKLKLDGVLWIGNIEIHERSSDWFKHGHHADAGYNSVILHIASEIDTEISRSNGERIPQIQLICPEAVRTNYKELLETDSYPPCYRIIPSLPPFTAHSWMTALQMERFEQKATLLNERLKRCQGNWEDAFFITLARNFGFGLNGDAFETWAHRLPFRAVDKHRNDLFQIEAIFFGQAGILEDSDGDGYYLRLKKEYTYLQHKFGLIPMDASLWRFLRLRPANFPHIRIAQLACLYHRAYGLLSRIMETETLQGVRDILKGGTSEYWLTHYTFGGSSPSRPKTLSNTSLDLLIINTVVTFLYAYGLHKGNRVLCARAGSFLEELKAENNYITRMWEQCGMKASNAADSQALIQLKKEYCDKKKCLYCRIGYEYLKRS", "MKIALIGYGKMGKEIEKVAVARGHEIVSIIDVDNQDDFNSEAFKSADVAIEFTNPMVAYDNYMKTFAAGVKLVSGSTGWMDKHGDEVKELCTKGGKTLFWSSNFSLGVAIFSAVNKYLAKIMNNFPAYEVSMTETHHIHKLDAPSGTAITLAEGILENMNRKSKWVKGTMVAPDGTVSGTSECAENEFPVNSIREGEVFGIHTIRYDSEADSISITHDAKNRKGFALGAVLAAEYTSRHEGLLGMSDLFQF", "MIRATRTQWIKFAVVLALYLIFLVWLRSWLGLVVVPFIFDAYITKKIPWTWWRESKNRHVVTVMGWVDAIVFALVAVYFVNLYFFQNYVIPSSSLEKSLLTGDYLFVSKMSYGPRVPQTPLHMPLAQHTLPFFNCKSYLEHPQWDYKRVKGLGDVQLNDIVVFNFPAGDTVATGVPNDDIYRLSYGAGKELAKPVDLASMTPEQQRVVYDYYYQLGREYLKENPQNFGEIISRPVDRRENYVKRCVGLPGQTLEIKDRIIYLDGKANKEPDNVQYRYLVKTKRPIPDDLAHELGISKEDMMMYYTDASVYNMPLTEKAKAGLLARKDIVVSIENTPADDAGGLYPLNMYKNWTTDNYGPVWIPKKGETVKLTIENLPVYERPIHVYEGNELAVKDGKIYINGKETDEYTFKMDYYWMMGDNRHNSADSRFWGFVPEDHVVGKPIFIWLSLDNDRGWLDGKIRWNRLFTFVDNIK", "MLTAVILVLLVKTFAFTSCTIPSTGMENSLYQGERVLVNKWSYGFRVPFSIWRWLGKTAGKGDIVLFNNPNPRSPQTSVGNREVFISRVVGVPGDTLMLNDELWVTDEQVLSPDSKSLYVYSHTEEETMQAAMQQVNIQGNRLVGYAEGKYIRTFSHYEYYLLKQKLAGKVDLIPLYHKDISKSHPFVIPEKGKPVKVYPWNVTLLCNTIVRHEGRVASVRGDTLYVGGKPVEAYTFNKNYYWMASNNPVNLCDSRLFGLVPDDHLIGKAWRIWFSSRKGRIFQRVQ", "MENTIYLSSAYLAPVEYYTKLFACEKAYVEQYDNYVKQTYRNRCVIAAADGPLALTIPTEKSGTPKCLMKDVRISDHGNWRHIHWNALVAAYRNSPFFEYYADDFRVFYEKKYAFLWDYNQEICSLVCDLIDIHPHMEGTTEYCMEFVPGEVDFRETIHPKRDWREADADFCPKPYYQVFDAKYGFLPNLSIADLLFNMGPESLIVLRDSVKGINHKE", "MNNLFSVKDQVVVITGGTGVLGKAIAAHLAEEGAKVVILGRKAEVGNAIVNEIKAKGGEAMFLVTNVLDEAILEQNLKDILAAYGRVDALLNAAGGNMPGATIAPTGNFFDLKVDEFQKVLNLNLTGTVLPTQVFLKPMVEQKKGAIVNFSSMAAFRPMTRVCGYAAAKAGISNFTAFMANEVATKFGEGIRVNAIAPGFFLTEQNRTLLTNEDGTYTQRGNDVIRQTPFKRFGKAEELCGTIQYLISDASSFVTGTVAVVDGGFNAFAM", "MILCEQTWRWYGPNDPVSLWDIKQAGATGIVNALHHIPNGEVWTVEEIMKRKKLIEEAGLTWSVVESVPVHEHIKTQTGDYLRYIENYKQSLRNLAACGIYIVTYNFMPVLDWTRTDLAYTLPDGSKALRFERAAFVAFDLFILKRPGAEKEYSAEEIEKAKARLAQMDEEEIHRLTRNMIAGLPGSEESFTLEQFQNELDRYKDIDADKLRNNLIFFLKEICPVADEVGVKLVIHPDDPPMSILGLPRIMSTEADFKLLVEAVPNPSNGLCLCCGSFGVSAQNDLPGIMERWGDRINFVHLRSTQRDAEGNFYEANHLEGDVDMYHVVKNLLKVQQRRGISIPMRPDHGHQMIDDLNKKTNPGYSCLGRMRGLAELRGLEKGIAMSMETK", "MNKKTKLLSILGLVTAILFLFSSEVLAQSLSISGKVIDKNSQEPVIGASVLIEGTSNGTITDLDGNFMLSNVPSKGNLVVSYIGYATQTLPINGRTSFSVVLAEDTETLDEVVVIGYGVQKKVNLTGSVSSVKGDALERRPVADATQSLQGMVPGLLVSNSNTGRPGASGTLTLRGQGNLDNNANPYILVDGVEMSLSDVNPNDIESISVLKDAAACAIYGARAAYGVILVTTKKGEEGKMRVNYQGNVGWSTPTVLPDMVDSYEFAQYWNAGCINAGSPRLYSEEKMGLLQQYIKDPSSVDPWFELPKNSNMNPAFENSELGVGNTNYFDLHYKDWAFKQNHNLSLSGGGKKAQYYISGGYYSEDGILRYADMDFSRYNFAANISSQITDWMKVKVNTKFMHSDEDTPFGDGGLSEGFYHSLARFRPTVAPIDPNGHFTELTMIPYLQSGTYTNTQRDRFSLTAGLDIQPVKNWFIFFDYTYKLMDLEYEALNVSPLIYGADGVSTSKGVRDELGVSPDGKFTRYYAHTRYQSINLYSNYLFTLGDKHNFTVMAGYQEENNDYSYMKNSITGLYSTSNPNVGMGTGDKTVVDTRNGWATRGFFGRVNYDYDGRYLLELNGRYDGSSRFAKGNRWGFFPSASLGWNITREQFMMPIADVVSNLKLRASYGLLGNQAGAALYTFAATMDLNDKLGNYIFSDGRHIFTKAPAVVNPNTTWEKVESKNIGLDFGFFGNSLTGSFDVFQRDTKDMLGPTVDFPDFFGADAPKTNNARMRNRGWELVLNYRGKIGKDIDYSIGGSLSDATAEVTEYEGTRTNPKDNWYKGKKAGEIWGYRADGLIQTQAEADEYNNTYDLSFISGKPWTPGDVKYRDLNGDKKINNGLNTLDDMGDMTVIGNTTPRYQYTINGSISWKGVTVSAMFQGVAKRDWDPGTGAYFWGSGPYAQVTVFKEHLDYWSETNTGAYYPKPYIHTAGGVVPFRNKTMTLSDRYLQSGAYCRLKNLTVSYDIPAVWTKKVGLQKVQVFFSGENLLTFTTLKGMFDPEAIFTKNDYTAEGGKNYPMNKVISFGLVVNL", "MNIMKKRNIFFGLVLMLGLTGCYDLDKMPEGVLSTTIPFASTGEMRNYLDQFYQTGNYKYDYVSFGDGMRAQGFDAGGGQYIAGADTHSDNMASSSVSTRLAGETTLSSAVKLQNYTAIRNLNFMLCNLDNCVEKGSADYNQYVGEAYYFRAWYYYQMFISYGRLTWVNTPLDPNMEEMKLPRANRTIIADSILADLDKAVMYLNTQNNSATMRIHKDVARALKSEVALFEGTWEKYHKAKNDKFFDSTVTDEKIRDYFNQAVAAAKEVMDRGVWAIYNTGNKLDDYRQMFQTTDLSGNPEVLWYKQYDGDQIGNNVNRYLNQGGGSVGVTASLVDDYLTIDGKPFVGDERIEAKKVFGNELQPTLRDPRLSQTVCMPGQQLRPDDKAPYYVVPPLIGTSSYNQNMTGYSLLKHVQIDYTGSLDAEFKGATPAIQFRYADILLNYAEALAELDGVGNAQKIIDALQPLRDRVGMPPVDFDREYNQEADYGFRNLDKYIQAVRRERRVEKACEGRRQEDIMRWAAADELIVSKWPKGALFVGSNLENHPVYGDKLIYDQASGNNLFLTGKPGDPLRYIIPTNPAGYESGWKFDVNRDYLLPIQTRMLGDLTGGMWEQNPGW", "MNRVTPVLLYSLTGAAVLSSLSSCKEKKSEEVKKPMNVVYIMCDDHSYQTISAYDQRYMQTPNIDRIANEGVRFTNSFVANSLSGPSRACMLTGKHSHANGFTDNTTTFDGNQQTFPKLLQANGYQTAMIGKWHLVSEPTGFNYWDILIGQGDYYNPKFIKNGERVQREGYATNIVTDLAINWMDSIRDKSKPFCLFIHHKAPHRTWMPDLCDLDLYDDVTYPMPENFYDKYEGRIPASKQEMSIIKDMDLVYDLKMADKENEIHTTTGLEEAGRNMYNALNPEQKAVWDKHYDPIIAKFKQDKLTGKALAEWKYQQYMHDYMRVIHSIDRNVGRVLKYLEENGLMENTMIVYTSDQGFYMGEHGWFDKRFMYEESFRTPLLVRLPGGKKGDVDEMVQNIDYGPTILDLAGVEVPADMHGVSFLPLLKGEKVPDWRKSLYYHFYEYPAEHAVRRHYGVRTERYKLMHFYNDIDCWELYDLQEDPMEMHNIYGQPGTEELVKELKTELLRLQVQYDDPIRNIYKD", "MRKTVYVILVLCCFIIKAYSINRPYYHFKQLSIKEGLPTSIISLYDDKNGSLWVGTTQGIYRFNGEKIKKYNLPDLLRKNSHYINDIFGDNEERIWAVTSQGISYYEYEKDSLQIFLRHNQPVKASIITTEGSKLLIPVTDTLLVYEKELKHSKAIPLKTTGIHIIKMEPFNSTHYLIINNAWEIKLLNKHTGEITDSPFGESSNAYDLYKDSSGRYWVSFYGQGVKCYNQDGQLLTSYNTRNSNLSNDIVLDITEWDKAIWLATDGGGVNIIYPDTHDIQILSNKENRQFPANSVTCLCHSNNHMWIGMVREGVLGAEKGFITTYTKAAQNPASGLSDKCPLCLWEDKDGRIWIGTDGGGINCFDPQTERFTHYPQTLGEKIVSICPLSETELLASSFSKGIFRFNKKTGNYQRFSLPDKDAEAKLASSSAPTNIRVNDRNEIELYGNAFYRYIPGSQQLIPIHFKNKQLQYSWIYIGKYRTYPFFHDRNNVFQYNKEKNEYETIAYEKNNQILAASIDSLGTLWIAEPNGVTRIHLPSNRKEPLKLPDGNDVITSLVIDHEGIVWMGSLGIIYAYNPHKNHFVIYNEMDGILPNDFLAKPALVASDGNIYMGGSEGLVRINKALKPASAPPPITLKLQEIALNGTTVHFIPRSTMEIPYNFSSLKIHTQLEGGNVFHKRIYRFRIKGLNTEYTETSRPHLVLHTISPGDYKITVQCTQNDGSWSPEFTLLKFTVLPPWWQQSWFILLCAAIIILFIIYIIKAHDRQLKRKYKEQERTIYKEKVKALININHELRTPLTLIYTPLKQLTNSKQIPYELRGKLYGAFKQARQMKNIIDMILNMRKMEVEKNILRMSSTPFNEWLQSILNDFKDELSLRNISLAFTPDTTIETMYFDRSQCEIVVNNLLTNAYKFSEENSTVTVSTYLEGNGSRVRVTIKDEGIGLQEEDIANLFTRFYQGKHSFQGNGIGLSYAKQLVEMHGGIIGAQNNETKGATFFFTLPYRQEAADIQSTPQTYLNDALHLSADIDYKQPQQDSIEKFHSILIVEDDRDLCNYLICNLQVLFEEVYEAHDGMEALPILTSQRPQIVLSDVKMPRMNGFELCRYIKQKPDLNYMPVILLTSCVDDASIEEGYKTGAEAYITKPFDMDLLSIQIQNIMHNHNIVKKHYATIDIPIPKQENLNHINEQLMLQFSRIVNENISNVDMDVNFIAKQMGMSRASLYNKTKGMMDIGISEYIIKCRLEYARKLLDATTLSISEVAEQSGFKHSRNFSTVFKNAMGMSPSDYRKKDSRPS", "MKKLQLCILGSLFAASLQAQSTDYTKGLSIWFDTPNNLDGRASWYSPATDKAWENNSLPIGNGSLGGNVMGSIAAERITLNEKTLWRGGPNTEKGAAYYWNVNKESAHLLPEIRQAFTDGNQKKAEELTCKNFNGLADYEPSRETPFRFGSFTTLGEAYIETGLSEIGMTDYKRILSLDSAMAIVSFRKDEVNYERKYFVSYPDSVMVLKFTADRPGMQNLIFSYGSNPEAIGDIKTDGPNRLLYTGRLKNNQMKFALRIQAINKGGSLNTTDGKFIVRNADEVIFLLTADTDYKLNFNPDFKDPKTYVGPDPDQTTLAMLDAAAAKNYNELCERHKTDYTQLFGRVKLQLNPHAPMTLQYPAVTDLPTHQRLARYRKGNPDYRLEEIYYQFGRYLLIASSRPGNLPANLQGMWANGVDGPWHVDYHNNINIQMNYWPACSTNLNKCVWPLIDFIRTLVKPGEKTAQAYFGARGWTASISGNIFGFTSPLTDENMSWNFNPMAGPWLATHIWEYYDYTRDKKFLKEVGYDLIKSSANFAVDYLWHKPDGTYTAAPSTSPEHGPVDQGATFVHAVVREILLNAIDASKALGVDSKDRKQWQYVLKHLVPYQIGRYGQLMEWSTDIDDPKDEHRHVNHLFGLHPGHTLSPITTPELTNAAKVVLEHRGDGATGWSMGWKLNQWARLQDGNHAYKLFGNLLKNGTLDNLWDTHPPFQIDGNFGGTAGITEMLLQSHMGFIQLLPALPDAWKEGSVKGLCAKGNFEIDIIWQDGKLKEAVILSKAGEPCNLRYGNLTFTFKTTKGKTYKVMVENEKLKKIPL", "LPTETYLKQKTMSYNTFTLPNGLRIIHAPNQSNVAYCGFAVDAGTRDENEQEQGMAHFVEHLIFKGTQKRHAWHILNRMENVGGDLNAYTNKEETVIYSAFLVEHFSRAAELLADIVFHSTFPQHEIDKEVEVIIDEIQSYEDSPSELIFDDFEELIFPNHPLGRNILGKPDLLRSFKSGHALNFTSRFYKATNMIFFIQGNIDFKKVIRTIEKVTADIPFSITERQRTEPFLYIPKTLTLNKETHQAHVMIGSRGYNAYNEKRTGLYLLNNLLGGPGMNSRLNVSLRERRGLVYNVEANLTSYTDTGVFCIYFGTDPEDADRCIGLVHKELKKLRDSKLSSSQLSAAKKQIIGQIGVAGDNFENNALDMGKTFLHYGKFEGPEEVFKRIEMLTAEHLWDIANEMFDENYLSTLIYL", "MMDKIAIFCSASENIEPVFFEKARELGVWMGQNKKTLVYGGANIGLMECIAKAAKDNGSMIMGVIPTKLEERGRASDLVDVTFRTDNLSDRKDVMLNESDVVIALPGGVGTLDEVFHVMAAATLDYHRKKVIFYNINGFWNGIIDFLAGLERQHFAHHPLNSYFAVANDLRELTELLK", "MMTSIHELLQKEAQAVLNIPITDAYEKAVELIVEQIHRKKGKLVTTGMGKAGQIAMNIATTFCSTGIPAVFLHPSEAQHGDLGILQENDLLLLISNSGKTREIVELTQLAHNLNPNLKYIVITGNADSPLARESDICLCTGHPDEVCALGMTPTTSTTVMTVIGDILVVETMKRTGFTIEEYSKRHHGGYLGERSRELSK", "MRKVIGIGETILDILFKDSQPTAAVPGGSVFNGIISLGRLGVNVTFISETGNDKVGDIILKFMRENGVSTDHVNVFPEGKSPVSLAFLNEQNDAEYLFYKDYPRLRLDVTMPEIHRDDIVMIGSYYAVTPQLRDKVKELLDKAREKGAIIYYDVNFRSTHKNEAIKLLPVILENFEYADIIRGSVEDFENMFGLTDADKVYKSKIEFYCPHFICTHGGRGIRLYTKNIKKHYEVDPLQTVSTVGAGDNFNAGVVFGLLKYRIRRDDLDELSEDDWDHVIRCGKDFSADVCMSLNNSISKEFAAGYR", "MRTFKLIAGLSFLLLVVACQDKKRSSQIEVAENESKYVVLAYVTSWGESTPDPACLTHINYAFGHVTDNFKGIRIDNEPRLQMVVGLREKKPSLKVLLSVGGWGSSRFSEMAQTDSTRMAFAADCKRVIDQFDLDGIDIDWEYPGIGTAGVSFSPEDTDNFSLLMKDIRYAIGKDKLLTIATQAGAKYYNLKAVEPYVDYVNIMTYDMEESPNHHSALYRSEMTEEWSCEDAVAAHVAAGFPVGRLVLGIPFYGHGTNEAPELLDYRHIIALDSLQSCWDSAAQVPYMINSQGHVVVNYENAQSIAFKCQFLHQKGMLGAMYWDYDSDDEKGTLRHAVYQGVMNP", "MKTYIGYLIFMCSLLLCSCQQTTSNPRFYDEGVSQELATLRKQEIKELKYKLYFSIPEQKSVPVNGEITIEFNLDAPQEIILDFREKPEKIKSVSVNGQTARYEFHNEHIILPEKNIVEGKNNITIKFIAGNQSLNRNDEFLYTLLVPDRARTLFPCFEQPNLKATFSLRLDIPTEWKAVSNTYITKEETKGNCKTVTFAPTEPLSTYLFSFVTGKLEHQEYIEGNRKISAYYRETDSKKVAQLDTIFKQVTASLNWLEEYTNVPYPFAKYDFIILPGFQYGGMEHTGATLYNDTQMFLSENPTPDEELRRTQLIAHETAHMWFGDLVTMNWFDDVWTKEVFANYFAALITEPLFPQVNHQLNWMKTYTAASLSEDRTPGTTAIRQPLDNLQNAGLIYGQIIYNKAPVMMVKLVESMGKEAFREGIQEYLKTYAYANATWDDLIQILDSKTEQDLAAFSDVWVNQKGMPIIKFEISDKQLTIHQQDPYQRGLNWPQKFNITLCGTRDTTIEASLTDSLCRITLPFTPTRILPNTDGRGYGLFVPDNNSLHWLLEHWQEIDNETTRQAVLMMMHENYQAKGIPNTAWMNALLNGIHCEKNPLIASTLTNYLSSPLQEISSAERDKIENELYKLSHSHPIPSCRIQLLRLLITEAASPTMIQCLYSLWETERVQQLNERDYTTLAYELALRIPEQGKEILQTQRQRIHNPDRLRQFDFISRAMTADTLKLDSLFRSLLQAENRRIEPWAATTLSYLNHPTRQDYAVKYIRPALEKLTEVQRTGDIFFPRNWVGALLRNHDSEAAYKEVEAFLSVYPDYPPLLKNKILQAAYPLYRKYADKKK", "MSGGIDSSATCIMLQEQGYEVVGVTMRTWDVPSRFSTPGQDEPDDVLEARALAERLGIEHHVADVRKEFKQIIVKHFIDEYMQGRTPNPCVLCNPLFKERILCEWADQTNCAHISTGHYCRLEERKGKLYIVAGDDQTKDQSYFLWKLPQEILQRFLFPLGTYTKQEVREYLRQKGFEAKARGGESMEICFIEGDYRNFLRSQCPDIDTQVGPGWFVNSKGVKIGQHKGFPYYTIGQRKGLEIALGHPAYVLRINAEKNTVMLGDAEELKAEYMLVEDYHITEMQDLLQCKDLSVRIRYRSKPIPCQVLVLDEKQLLVRFLSEASAIAPGQSAVFYEGKRVLGGAFIASQRGIRKIAADNQDKF", "MKTYTSNHIVFFSPTHTSAKIARAIGESIGMGRRIEIDLTTDENSSPIEIKDSITIIAVPVYAGRVAPIALQRLRRLKGNNAPAILVAVYGNRDYEDALVELRDETIQLGFTPLAAGAFIGEHSYSRPNMPIAEGRPDVTDLQIAEQFGKDCLTKLEKDETLSDFYLKGNIPYRFVGPSTPAAPVCTEGCFACGECIEVCPTHAIALSDEGKIETEITKCIKCCACVKECPNGARVFDTPYTPMLHQKCAARREPELFI", "MDVLFQTQSNELSTTTQKEKLFKDAERQWNTLSTEKGAPGRKVDFEIYKSILYNLEIRKVYLDSKLSLEKFSSIVGTNTTYLSNAVNNYFGCNFKQLLNKYRVEYAKSLLLEGTYSASSLFRKCGFASQSVFYAAFHKIVGASPLQFLYKAPSGHRKRFKVLL", "MNKKFLSAVLFGALMASSTGTFVSCKDYDDDIEDLQEQLNKKASLEELTQKVSTMESSVADAKKAAEEAKAKAEEALKKAEEGGSNSGVTESDLENLKKEIQTQIDKLASLESVDKKISALKEELKADFITSESLQALNDKVDKLSAEIMQVIGHRLTSLSLIPTDHINGIAAITLTTLQYTPQVYKPMAKHESGPDKHTNRPVLDHVAAGEVRYISTEKNEAYFHVSPSMGVRTEDIELPSFDCITSNNTMKRSAEVKTNSPIVPTGKEIKDGVLTVTYKKSDDFLGKPITTTTNGTTETFYMASLKAPVTESNYTETEKADKAAGKINGVYVNSEYSRIEEIVAIPYLANSKTDFTKALEDKFADEIQKNAENGKDIYVHYHDSVCLYESGNNELVDVEWAYNQPLDLNTLVTVCTTTTNNHVNHKELKNYADYGLEFRFSLATEKYLQGDRDTDEQEFAKILSGNRLKSEVYDVDLDDNQYSRASIGREPIVRVSLIDTKHDNALIAQRYIKVRWIDKGEEQVLSPFNFANDTISCHDMYQQLFSKDMNEAIYHQVKFNGGQSMSKTEFHKVFTSLKIKSLKKDGVEIDLTKLNLSVNADADWSEGDSKQIKDGKEELKNNVDLLFSFLKDAQDNTSYNLVWAMNEKTVGTLKDNKDGNYASKFEIEVEYIDELGTVGNIIQKFNQEIVVPKQEFAYQGTYWKNGIGEGTFNVNPIVFNTAEDSWANNNGLTPDHAHEYNGNDCALKDYSHISADLVNGYIYKPENAKPANLAQFIKYIRNCAEVRFVFDQSRFNKYDYLAGYNVSADGISLWKGAAPTSWDQFDYIQADKKTLAATIQNVMGATADTNKDSKFLPWNFDETLGSTYDECTSTIRLHEIDKLNGTPAAQALVGKSVPVNLVVEYNSYNVIPVQEFEVFFIDPLSIDGAIKGNFVDAEIDGSFLNVADGFNFTDWNGNKVAAQDIKDVKNGEYAHELYDYYGVHNVKFLTDKVTTSLSYDAATNTYKHTEGVKDGKLPTNASLKQMKATEANGVVDKANAEKVDKDPTHLAYFNNNGTPVNVDYKMYISVEVAHKWGVLKKEALEVNVAKAGGTPSGK", "MKIFIYGMIFYFLFVFSSCGNVDKPSSSHVFNGIFKTETGIKFELKEDSTTFIQFNDSIHYKGVWAIHRNDENTEYVTIEFAGNPNYYYLKDSQLYHSEREMINNNFGTKIQYLN", "MNKKFLSAILFGALMVSSTGTFVSCKDYDDDIDAINKELTDIKSQLAALQTEVDGGNWVTELVDVEGGFKVTFSNGKTYTIVNGKDGAQGEPGTPGKDGNGTIVEVKDGYWYLDGKKTEYVAVKKDDLGKVKVPFVNEAGMWVFYDKDGNEVVSEYKALGATYVVEADGVYTLNVPDADGKMQAIKLPTAGATLTDVELLGWAALDKMDKGLSQGSDIRTTLNIEYAFVKKIIQTWDHNKETTWSAQKTVAKGQVLTTLAPNNTYLMARIDAGADAADMSFSLKNSKNAVLPVSLSAATEYKGLLSRGANGMYAIALDNTDAVYTGAGKYTGQFTNGLYALVEKSGFISNYNLDVTTSEAIVVEGKVATVDGKEIVVDSEDSSKKYYEVNLNKDNVITFDENEKFVYDYYVEAVDPTIAKFFGFSADKSNGTFKVTKLSDEVTYATFDINVYKLHIDGKIYKETITIKPIRTLGNEVVYDLGNVQIKKSMKLNVSLDKMFTALGSDAEIWKNTALGVNGADATIVKDADKSEGPNITYTYKKADGSELANVNGTATQFDAVFSALDGTAATLTPGTGYTITINYKHDSNVLNTIKVKFTPVMPALSSYIAKREALWIGNTLMAYFVDPIKNQTDFASKYEMEKGFTTLGSDVDADLTEITFSLDREQKINNVQVQNLANIASDNTITLVTDRDFNQDGNVTEADRWAYGQELNVKVAATYLGGAYKFTDEEIAAAAFKINVQSALKAGKIVPAAGASITLPAAAAGETAKLTADMITGYTYNNQPYSLFKEAAGNYKYSYIDKVEFFTTDAEIYTVTKDATAIKDAEGNVIGYHAEITSKNISQTTNTKIIVKVTDKYGYTKTAELPLTITVGK", "MKFYNRENELAELKRIQRLSFEENSRLTVITGRRRIGKTSLIMKAVDEMPTVYLFMKLLSVVSLSPSFRAL", "MMEIAGQRAFNLVIDEFQEFYNINNSIYSDIQNIWDRYRKETKMNLIVCGSIYSLMNKIFQNNKEPLFGRADIIIKLSPFSLPVLKEIMYDYYPTYTNDDLLALYTFTGGIPKYVELFCDNRILNINGMIDFMVRDNSPFTDEGKNLLIEEFGKNYATYFSILSAIANGKNTQAEIEAALGNKSIGGYLRRLIEDYNIIVRQRPILSKEGTLAIRYEIQDNFISFWFNYFDKNRSLIEIKNYMALRSIIKADYPTYSGKMLERYFKQKFAESFLYKDIGSWWELKGDAYEIDIVALCLEKDKAVAVEIKRQKKNYKPDLLEKKVEHLKHKVLPHYAITKMCLSLEDM", "MGLNKHFDYANNYNEIVHTTQPISAGELADKFFCAVPWIITLMKLRTTDLLRLLFACEHTSRIIEV", "MKTKFFFGMLLMAFLAVAGLTACSDDDNDNLTSIAGTEWYGSHVVSTTTNEVMEETHTAILGFIFSEDGTSCTVETGIETLMSANRVTKYVSYSPLTHSVTLTESPNSSTTEYHAVIEGESMQVQRCVDGKLSDEIIRLFKRK", "MSNEILYLVLPDYAAHEVVYLSQAVASDDFALKENPKYVNKVVAPSLEPVKSISGFRTLPDYSFDTMPDDYAALVLIGGFGWTTPVTEKVAPIIRQAIERGKIIGAICNAASFMAKCGILNTVKHTGNGLEQLKLWGGDNYTNPGGYVHAQAVSDRNIVTANGSATLEFAKELLLLLENDTPERIEMYYQFNKQVFCSLFSKE", "MKAIKADRQLIAACGLYCGACRKYLNDKCPGCHRNEKASWCKIRQCAMGRGYNTCAKCETEVKDCKIYSNLIGKIFALLFNSDRPACVRYIKEHGEQAFAEEMSRRKCQTIKRK", "MLILLFVNVGNSETDARDSIVVLTHIAWATIVTSASRVSYDLQTKTWRCSPRFCIHNHALSDWGAKVENEYESD", "MGFVVLHMEKAHGSDSGTTAHIERSIIPKNADPTRTHLNRKLIEYPDGVKDRSAAIQRRLEEAGLTRKVGSNQVRAIRINVSATPEDMERIQREGQLDEWCTDNLQFFADTFGKENIVAAHLHMDEKTPHMHVTLVPIVKGERKRRKREEQAKKRYRKKPADSVRLCADDLMTRLKLKSYQDTYAAAMAKYGLQRGIDGSEARHVSTQQYYRDIKRQTEELKAEVVELQEQKDTAREELVRAKKEIQTERLKGAATTAAANIAESVGSLFGSNKVKTLERENTALQNRIIELEEEARQRERQQAKQMQEMKSTYEQQNSKLSEFVDFVKCYFPYVEKLIPTINFLRDRLGFDDGIIRRLCAFKDVAIKGKLYSSEFNQSFETKRSVCAIKENENGKFDFNIDGVPHVSWFRKKMSEFRESIGIPKPRQNRGIKL", "MILRIFGDGKKPFSMGCAEGLAPCLIGEFSALFAMRLGKFPNKLRYFLPVNTRAPSVPACLLCPPCLPALHTSQCEHRVGSPSALQPSQTPLVVFPFRRRLPGRSFPFAEALLRGLSDARFMGRILPEA", "MRVEIVPMTALPLDLAADTEAHATFASENGNDCSGCSKWELSLLSHFPAGLMTDENPMI", "MKEERNIITMDEHGNIIMPTDTANVWMSEPELVGLFGVTAPTVRAGIRAVYKSGVLKEYDTKRYLRLENGYGLDVYSFEMVVALAFRIGSCGAERLRNALLKRMYRRKEKDEYFLTLHIGKSVVMPS", "MRSTFKVLFYVKKGSAKPNGNLPLMCRLTVDGEIKQFSCKLDVPPRLWDVKNSRASGKSVEAQRINRAVDKIRVDVNRRYQELMQTDGYVTAAKLKDTYLGIGVKQETLLKLFEQHNAEFAKKVGHSRAKGTFTRYQTVCKHIREFLPHTYKREDIPLKELNLSFINDFEYFLRTEKKCRTNTVWGYMIVLKHIISIARNDGRLPFNPFAGYINSPESVDRGYLTQAEIQTLINAPMKNGQHELVRDLFVFSVFTGLAYSDVKNLTTDRLQTFFDGNLWIITRRKKTNTESNIRLLDVPQRIIEKYKGMTRDGHVFPMPSNTTCNKILKEIGRQCGFKVRLTYHVARHTNATTVLLSNGVPIETVSRLLGHTNIKTTQIYAKITAQKISRDMEALSHKLEDMEKNICRAI", "MNVFATLKTVFFGSKFLSSPVPIDGTPRRDLVSALNGLMPLCRTIPGVRLALDIREGQVVLALNWTPRTDGNAPTGSYHYIVSDEDGVREMADSQVLLTENGKDNLPGSMDDSRTHPTVSTEKTEKDSAHLKKDVQKKAGPTSPEDTGKKAKSHTLMQEVTAFLISRYRFRFNVLTEETEVASVENNIPDTHLRYAKVDERWMNSLSLEAIETGIDCWDRDIQRFVRSRRISEYHPFTAYFEQLPEWDGTDRVSALARRVSDDPVWVNGFHRWMLGVSAQWMQLNPDNNRANSVAPLLVSSRQGLGKSTFCRLLMPDRLKSYYTESYDLSSPASAEAKLAAYGLINLDEFDKLGASKMPLLKNLMQASALNIRKAYKHSASSLPRIASFIGTSNREDLLVDRTGSRRFLCVSLKHAIDCTTSVEHKQLYAQLKTELLSGERSWFNKEEEQTIQQHNALFYKHVPEEEVFRLCFRFATEEDNPQEVLSLSATQLFERMKAAHPSIMRGMTAYSLSRILPQLGERVHTTKGNVYRVVEC", "MNYRLTELKVLLFLLLLSAVSCQRPMYDEEDDEDGVSQTNLPLKISARSSGESQINYPAYIYAFAEDGSCSASLKMTDNAQIEMKLPPARYTIVAIAGLGEEYIVPKDPSLDDVIVMKENNRSSRALMMGTSTVTIANKKNISVSVTLYYAVSLVNISLEDIPANVKKVSLQISPLYSSLSFTREYSGKDKSTEIICESEPGGIWSAKPFYIFPGSGSQTVFSITLEDNSQTKTFGYTFKGKPEANVPLNIGGSYSGDVTVGGSLISGEWKAPVDIKFNFGGADEGNGGSEPPPINPDLSGLPEIGGIWNGGIVAGIENASSTGADILLMSLDEWSGFASDVRNIIQEEEADGWHLPTEEEAKILHKTFSGSSLDELNETIEGLRNGDPLLDIEKRYVYDHNGSIYAFGFKTSSKFLQAGSTVKYKIRLVCSAHYDAG", "MKRSLYVSLLTIMYFSACQGSEELEQSQEKEYTVSIMARIGKTVSGARYLQDHENAIASFSKTDDIGVFMDNDSAVRWIFDGTSWTTEKSVFWKDKNQEHTFYAYYPHSGSKAESKENIKMPSLDSQNGTWENIDQYDFLVASRKLSYDTDLGNVAFSGDYSFKHVLSLLKINIKGEGDMAQAVIDKIRLEGNGLTTQGYYSFETNSITISETPKETFQITPSHTMNNQDISFYFILNGGENDGNIDPKAVKNHSVNLTIEYTRNNKYYITRRDDLSPGLLSGCIHKYNIVVKDGNVIITGGSISGWTPGNEEEDIVINGEEINPQTNNML", "MKYPIGIQSFDQIREDGYVYVDKTGLIYNLVTQGKTYFLSRPRRFGKSLLVSTLACYFQGRKDLFAGLEIDGLEKDWYEYPIFRIDFNGGLYTQPGILEATIEGYLGNWEDIYGKNPNYTTIGTRFIEILRRAYEQTGRRAVVLIDEYDKPILDVLDTEACFYDDYGKQVLLENHNREILKSFYSTFKGADQYLRFVLLTGVTKFSQVSVFSGFNQPKDISMDERYEALCGITQNELEAFFEEPTIQLAAKYQYTVKEMKELLRRQYDGYHFGERMTDIYNPFSILNAFDSMAIRDYWFSTGTPTYLVRLLQHSREQINELAGRYYVPSLFVDYKADVEQPLPMIYQSGYLTIKEYNRRMGTYLLDFPNNEVRKGFLSVLAAHYLKPGGGEVNSWIIDAVTCLEQGNTSAFCDSLTAFLASIPYDSHASLKELDMTEKHFQYTFYLILRLIGVYCRAIHCENRQSFGRVDCILEMDEYVYIFEFKMDGTAEEALQQIAEKGYARSYLTDNRKIVCIGVNFSSLTRTVEEWKEIIYR", "MTNKANKGFVFSAYDKFFRFLLMDNHLFLSIYIGILSFKGYFEKYLESIYSAIRYTSSTEFAISLFVSVIHIL", "MKYIYAVCFLLLVCGCHKENDTPVVLPARTLLVYLGGDNNLDAETYDKLVQIKNGWEDGTDGNIIVYQDTPFKDSPRLMEIDGKSEKGYITIHTYDQENSASPQVLKRVINDVTRLYPAKSYGLIVFSHGSGWLPPHTLVNGSRSIIIDNDNEMEITDFAMALPDHLFEFIIFEACNMAGIEVAYELRNKAAYIMASSAPVVSPGFTPIYAGSISCLLEENADLQRFAENYFHYWNLMEGDKRSATISIIKTAGLSNLANLIRQINTEISGSFLPVGNLQNYDGVLKAPFYFFDFAQYYQSLSDENTYNVLQECISQCVVYKRNTPFYATEEGTFPITAFSGMTTFIMQRELNDLNEEYTKLQWYKDINTH", "MNKKFLSAILFGALMVTSTGTFVSCKDYDDDIDRLDQEISNVKDAIKALEDKVGSGKYVESCTPFTDGNGGYEIKFSDGETIKLYNGAKGEQGEPGKPGTPGTPGTSADDYVVSVEEGESAYELVITYADGTKKTISMPKATSVVSGVKFIPTFLSEGEAARIHFPTIVTDSVAGKTEITGADWGTNNFSTFKIVYSGKADVKYQVNPNSVSFKDMEVVGFVRDTAEIWNYNTNNKNWELFNAGQKLYTDGKITVESYKEGHGMLNFRVKDWAFAEQSNLDERTVYSLRIKNNDQIVHSDFVPAKHEVILQKNVHLVKVADAFDQTNAPAQIKNAIAPNKVNYREFIKDEYYLNTLNPTGTEEAKLKAYQADKAKFEANAENQLYKGDAESKDDAERIVVELNYTGDGHSKNLKDIVTSFFDKRMGRAEAAQYPLMENGFDDYSLVFEPVDFYYDGVNQTKRYLDVTKDGVATVKQTPDPIWGAETDASHTAAIDRTPIVRVKLVAPGEDNGNVVKTAIIKIHIVRKTVVPEINITETKDVTLKHINQVLDMDMDKIFNHKDVQLSKDEFRKTYDFVPEFATADEAKQHAVYAWDGKDNADYSLDPNFKPEEYNQLFVTVKNTAFSRHDDHDVYTVKGTYKPNADHKGLSDIHVTYTINVKYPDVVAPEKTKLNWVDDSYFIAHGRYDNFDDPKTYEMVAALNDEFDLINYNPYKGQTHDKDWARATLSFELVDQHNNASKIGDGHNSGIRLYQGKDNKWYIALEATDEGRAWINAADAKDLKKIKMRAVVSFNEDVDGLYGTCNKEANAHNKYAKEDYNNFSCDTINMVNNTPWAKDHTTTYTGAPAPENGRSTVVIDEFEVAFVTPMVFHAYEAGPLYDKYQEAENTVSLKDAFFLSDYLWSEGAQAQFNNNHVIYDHKDMAKNNTLVQTGDQAGWSKKLVVDHNVFDVNTTVNFKVSKAYFADGSELDAENMKKIKLDNANQTITWINNGEDIAQPFTIDVEVCVNHKWGGICDHTWKNDRGHSVGTIQIQVKKHNDK", "MGITLGKKQLNKGRVSLYLNYSYNGKRRKEYLGIILDAPTSAERRAENRNKVLIARQIRAKKELEFLSVEYHLNDIENTFDDILPTDKSNVPDFYILIGQYLDTYHKKDKKMVRACITHLRLFTRKKSLPVTLLTKDFCINFLEYLRDHLRGNTPIGYFKKFRMCINKCIEKKLMTSNPTDGIRLMQFDEVTKAILSLKEIQKLAITPCPNNEVKRAFLFSCYCGLRWCDVHQLQYKDIDFSSNRLTILQQKVQSHSKNAILHLNLNHTAIKLLQRHKGINEELVFGLPSYSYTLRILNKWVKRANIHKHITFHCARHSFITNIMANGANIKTAASLAGHSTTRHTEKYVHIIDELKQKAVDSLPDIIVNYK", "LYLCVINKKMEENFDIREQQLTTKERDFENALRPLNFEDFSGQDKVVDNLRIFVKAARLRAEALDHVLLHGPPGLGKTTLSNIIANELGVGFKVTSGPVLDKPGDLAGVLTSLEPNDVLFIDEIHRLSPVVEEYLYSAMEDYRIDIMIDKGPSARSIQLELSPFTLVGATTRSGLLTAPLRARFGINLHLEYYDDDVLTSIIRRSATILNVPCDVKAAGEIASRSRGTPRIANALLRRVRDFAQVKGSGRIDVEIARFALEALNIDRYGLDEIDNKILCTIIDKFKGGPVGLTTIATALGEDAGTIEEVYEPFLIKEGFLKRTPRGREVTELAYMHLGRSIYNSQKTLFDD", "MAGLKALAKETAIYGMSSIIGRFLNYLLVPIYTNALPVESGGYGIITNMYAITALLMVLLTYGMETGFFRFANKGVDDPMRVYSTTLLSVGATALLFLIVCLSFLHPIAGFLGYGEHPWYMGMMLIVVAMDAFQAIPFAYLRYKKRPVKFAALKLLFIFASIALNIAYFVGMKGENVGVAFAINLACTSLVMLCMWKELVGFRYVLDRELLRRMLHYSFPILILGIAGILNQVADKIIFPFVYPDKAEATIQLGIYGAASKIAMIMAMLTQAFRFAYEPFVFSKSKEKDSREMYAKAMKFFIIFTLLAFLAVMFYLDILRYILGRDYWVGLKVVPIVMAAEMFMGIYFNLSFWYKLIDETKWGAYFSLVGCSIIILINIFLIPVFGYMACAWAGFAGYGVAMLLSYFVGQKKYPINYDLKAIGNYVALALVLYIVSVYLPIQNIFLLLGVRTLLLLLFVAYIVKTDFPLQQIPVINRYIKK", "MSDLKNDLKNVPYIKQFFGEYLDLRKDKDNEALIVESIRNGVEFKGTNLWILIFAIFIASLGLNVNSTAVIIGAMLISPLMGPIMGVGLAIGQNDFELLKRSLKSYLVATVFSVITSTIYFSLTPLDEVQSELLARTSPTIYDVLIALCGGLAGIIALSTKEKGNVIPGVAIATALMPPLCTAGFGLATGNLLYFLGAFYLYFINSVFISLATFIGVRVMHFQRKEFVDKEREKLVKKYIIFITLATMCPAVYLTYGIVKSTIYEASANNFINEELNFNNTQVIDRKISFEKKEIRVVLIGNEVSETEIATARDNLKLFNLAGTKLVVLQGMNNDAMDIGSIKAQVMEDFYKNSEKRLLEQQEKIKSLENELNVYAAYNTVDKKIIPELKVLYPAAEAIAMAKTVELQVDSTQTDTLTIVLMKFSKKPVGKEQEKISEWLKARVGAKKLKLIVE" ]
[ "TTCCCGTCCATCAGGCCGGCTGAAAGGACTGACAGGAGGCTGAAGGGGCTTCTGTCAGTCTTATTTGTTGTGTGACAGTGTGTTAACCGTGCTGAATGGACTGAAGGCGGGGTGCATGAATGCCAAAAAACATACTATAAGAATCTTTTTAAAGAGCCGTTTTCTCAAGAATTTGTACTAAGTTTGCAACCAAACTACATAATTAAAAAAACT", "GCGTAATTCTTTTGTAATAAAAGCATAGGTGGT", "ATTCTTTCTTTTCAGGATAAACTAACAATTATATATAA", "CGTTTATTCAAAAT", "TCAGTTTAAATAAATTTTTAGGATG", "TAGTCAGTTGGGCAGGATAGAGGTATTCTCAGTACCTGTCCCTTCCGGTGACAAGACTGTTTCGTCATTTGTTATACATAAATTATTGTCACAGCTTCATACATCCCTGTCGGCATTCTATGGATATAGTTAATAATGGCCGGCAGGGTGATAGAATA", "GTTAATGAGGTGTAAATGTTTGGCAAATGTAATGGTTTACAACGAAAAACGCTATCTTTGTGGCTGAAATAACATTCGTAATT", "GAT", "ATTGCGCATACCCTGTATTCCTGTATGGATAAAAGCA", "TATC", "CAAGTCTTTAGTTTTTAATTCTCAATTTTTTTATTTGAT", "TCTTTTTTTAACATATAGAAAGAAGGCCTGAATGTCTTCCGGTGATGAGGTATATCCGGAAGTGTTCAGGTCTTCTTTTTGTCTAAAAGATAGATAATGAGGACTATTCTAGACAAATATAGAGATTAATTAGACAAATAAAGAGGTGCAGGCAAGGGGAGGAGGTTTATATTTGCAACAGATTTAATCTAATTAACCCTTAAATTCTTTTATT", "TTTG", "GAATTATGTTAATAAAAAAGAT", "CAACCTAATCTGACCTAAATTTAACATAGCATGAAGTATTCCCGGTCTTTCTGTTT", "TCAGATAAGGTCTTAAAAACAAATATTTGTACAAATATAAGAAATAGGTTTTGAAATTCAAAGCGAAAAGAGGATATTGATAACCGAATGGCTGTGATATTATATATAATTTGTCATTCTGAACAGATGTAAAAGATAACATAGTGTTCAGAATGACAAAATAGATTGAAAAATGAGGGGGGATTTGTCTGACTGTTTTTTGTTC", "AAATTAATGATATTAGTTAAAGGTATTTCTACAAAAATACAATTAGATTTTTATTTGTGAGAAATGTAATGTTTGAAATTTAGGGTGATTTCCTGTTT", "AAATACAACAAAAGTTATTGCCGTTCTTCAAAAAATGCAGATATTTGTATTTACTAACAGAAAACAAG", "TATTCATGTAACCTATT", "AATAAAATAGAACCGGAACGCAAATGACACAAATAATGCAAATAGAATTTTATTAAAGGATTTGTGTCATTTGTGTTCCGGTTTTATGTATATAAATCGTAAATATAAAA", "ATCGGATAGCTCTCATTGTTTTAAACTCTTTTATTATGT", "TTCTTTCAGT", "CAT", "TCCTACCAGGACTCTTTTATCTCTGTTATTCA", "AAACGCAAATATAGTGACTTTTGTCCAAAGGTAGCCAAATATATAGATAAGATGTGTAAAGGTGATAAAAATTGTCTTTATATGAATGTAAAAAATTATTATTAAATATCTTTTTTTCTATTTTAGTTAATAGAAGTGATACGGTAAGCTATATTAGTTAAAATGTATTTAGGGATGAACAAAAAAATCCCATGTTTAGGGAGTTTGAATAATTCTTAATTAGAAAATGAATGACATATTTATATTGGATTATTTTGTTCTTTTCTGGTTTGTTTTGTTGAATAAAGGGATAATTTACAAGTTCTGGATTCCGCTTTATAGAGACTAAGCTATATTTTGACTGTTATAAGTTTAACTTCTTCGGCGTACTTATGCTATTATATTAAGGTGTATAGTGAAAGTAATGTCTTGTTTTAATAGGTCTATGGGGCTTTCTATGGTTGTTTGTAAGGTAAAGAATATAGAGATATTCCTATTTCTTAAAATATTCCTGTATTTTATAAAGATTTTTAGTGGAAAGTCTTGCAGAATAGAGTAAAATGGGTACTTTTGTCCGATGAAATTATGGCTATTATAGAAACTGACTAAAAT", "CAATAACATTTTGAGTTTTTAAATTTATAAATTTAAAGTTTTTTTATT", "TATTTAAAACTATATAAAAGAAAGGACGTCTTTGAAAAAGGACGTCCTTTTTTTAACACAAAAACATTACAATCT", "AAATATGTAACAATGGGATTTTATTTGTTTATAACTAAACATCAATGAGATACTGATGTCAAATAAATTCTGAATAAACATAAAAAGGAGCGACCTGAAGACCGCTCCTTTTAATTCTGTTTTTTATTGA", "AATAGAAAAACTTTAAATTTATAAATTTAAAAACTCAAAATGTTTATTGTTATAAAAGGACTTAATTGCGTTGAATCATAAAAGGATTTCGACTCTGCGATATATATTGCAGGGGAGTCATGCCAACTACTTTTCTGAACGCCACATAGAACACGCTCTTGGAGGCGAACCCGCAACGGCTGAAAAGTTCATTCACCGGGCAATCTTTACCGTCCAGCAACTCCTTCGCGCGAACCACCCTGTAGGAATTTATTAACCGCTTGAAATTGCATCCGAAGTAGTTGTTCACCGCATTACTCAGGTAGGTCGTATTCGTGCCGGATACAAAAAGATATTATTTTACTGATTATCAATATGATTACTCTATTGTCATGAACAGATATCCCATATTGTTATTTGAATCAATTCTAGATAAATTCAAATATCTCCTAGAATTTATATCTTGAATAAATACCTTTACATATCACCTAAAGAACTTACTAAATAAACCTTCCATTCTTTCGTTTGTTTCATTTAAATTATTATTTTTGTAACCGCGGTTACAGTAACACAGATTACTAAAGCTT", "ATATCTTTGTACCAGCAGAGATACGGTCTTTCAGTTCATTATTTCAATAT", "TTTCCCTTGTAAAAAGGGACGGGGCTATCCATGAGAAAGATACACTCTAAAGATACTCATTTTCTGCGACATACAGAAAACCTATGCTTTTTTAGGACACTCTATGAAGTGTCCTTATTAAATTGAATCAGCTTAATATTTTATTTAATAATTGGAACAGAACTCGGCTTTCAGGAGATGAATATTCTTTTTTACTGGTCAGATTTTCTAACAGAATATATTGTTTTAGTACATATAGATAATAGAAATCATGTAATGCTATTATAGTGGCATTGGTTAATGTGTTTTTAATGTGTTGGATGACAGTTGATTTTCCACAGTAATTGCTATTTTAATATCAACACATGTTTCATAAACAGGCCTCGCAATTGTTTTGCATATAATAAAATTGTTCGTTAGATGAATTCCTAAAAAGAAAGCGAAGAAAGAATTACTCCGTTGCCGGAAGCATCATTTGTATATTTTGCAAATATACCTTCTGTTTGGAGCAGCAAGAATTGTGATATAATTTATCTTGAGTATAGAAATACCGGGAGGATTATTGTTACATAGCCTGAAAGATATAAATCTTTCGTGCCGATAATAAATCAGGCTCAAAACATGGATGATCATCTGTTCATTCGCAGGTGGAAATGCTGAGATTATCTTCATTTTAAATGCCTCAGCGATAAAATCCGGTTGTTCCTTTTCTCCTTTCATCTATCGCTGAAACTCCCTGCCCATAGGCGTTCCGGCTATGAAGGGGCTCCTGTCATTGCTCTGTCAGCCTCCTTTCAGCCTGCTCTTTCACATTTTTTGTGAAGGAATAAAACGATACGGGCTGAAATGGAAGTGAGGGGAAATGGTTTCAGATTTAACCGGCTGATTTTCTGTGCATATTACATAAGATGACAGGATGAGGGGAAAAGGGAGCGTATCGGATTAGTGGGGGAGTAATACACGGAACAGACTAGGTCTGTAAGCTGAACAGGCTAAGTCTGTGCACTGAACAAGCTGGCGTGTTTTAAAAACGTATAGTTGTTTTTTAAAAGCCTGAAGATGTTTTCTTAAAAGTTGAAGACGTATTTTTTAAAGCTCAAGGTGTATTTTAGATTTTCAACGGTTTGGTACAACCGTTTGAGGAACAAACATTTAGCGGAGTATTTGAGAAATAACAGTAACGAATTGGCAACCGTACGATTTTCAGCATTTTGCGGTGCTATGCTGTCAAATAACTCTCTTCGTTTGCAAATATAGGAAGTTTTCCCAAAAGATAGAAATCTCTATACAGCAATTTTCTATTTTTTGAATTTTGGTCGTTCAATACAGTTAAAATGCGGGGTTTTTAATGCCCGTATTGCGACTACTCTATTGGGTAATTTTCCTTCGGTAACAACAATACTTACCCTATCGGATAGCAAGTAATATCCTTATTCCCTTAGAATAAGGACTTTGGGGTAGATAACCCGATTTTTAGGTTATGAGTTGCCAACCGTTCTTGTTGTTGCATTCTGCTCTGATATGCTTTCTTGGCTTCTCATCTATACCACAACGGTAATATGTTTTTTTGACAGAACTGTAACTATACATCAAAAAGAGACTGCCAAGATAAATTCTTGGCAGTCAAAAAACGAATCCGTCTTA", "AATGCAGAAAATCTTTTTTCTTCATTCTT", "TATTGTTCTATTTTTTTGTTTCTATATTAGAAATGCCCAAGTATGTGAGATACTGCACCACGGGCACAACTTTTTTATTTCAAGGACTCTGTATCTTAGACACAAGAGCCTTTTATACATTCGTATCTTGCTGGCTCAAATGGATTATAGTTAAAGAAAAAGTGAGCCAACGATGCCACGCCTCGATTTGAAGGTCGTACATCCCT", "AA", "AACGCTTCTTCTTTTTTCTTATGTCTTGGAACGAGTTATCTCAATCCAACTGCAAAAATACAAAAAAGCGTGATAATCCATTCAATATAGGCAGATTATTCGGCTGTTTTCTTTGAA", "ATAAATTTCCTTTCTTTTTTAGCTTGTGAAACA", "TGAAACGGCATATAAGCATCTGTATATTAGCGGTATGTATGCTCATCAACTTCTCATCAGAATACTCGCCAAAAGAGAAACGACACGGTAAGACATACTTTCCGTTCATCGGCAAATATCCTTTGATGAGAATATGATGAGTATCTATGTATCTTATAATCATATATTTACTATATGTATTCATCATTTCATCAGAATAACGGTTTCATTTCCGTACTTGCATTCCGTATCGGTAAGCGATGATGTGTCGGCTTGACGGTTCGAGCAACCGGTGATTTGGATATTCAACGGTT", "CGGTATTCGCTTTTAAGGTTA", "AACTCACACTTTTTTTGGTTACAAAATTAAGTTACAGTGAGTTACCGACAGATATGCAAACCTGCGCAAATCGCAGAAATAGAAGCGTTTAACCGAAAACTTTCATCCGTTACGGCAGTAATGGGGTGGTAACTGAACTCTTGCCGCTTTTGGCTTCAAGGTGGCTTTCAGTTGCTTCCTTACCACATAAAAACGAAGCGTAACGAACACTGTTTCAGTGCCATTCGCTACGCTTCGCTCAAATTTGTCTTTTCGCTATGTGTTTATTTTAAAAACATAAAGGCGTATTCAACAATAACATTAGTAACGTATAAGCCCCAACATTAGTAACGTGTCAGCTCGCGTGTTAGTAACGTGTAAGCCCACACGTACATAAGCATCGGGTCACACATACGCAAGCATCGGCCTACACATACGTATGTATTGCGCCCTGTATCCATTGAATTTTGCCCCAAGTTCCATTAAATCTTGCACCAAGTTTCATCGAATCCTGCACCAAGATCTGCCATATTTCCGCCCCCTCTTGACAAACGCCTTTTTATGTTGTATATTTGTAGTTTGCCAAACTTAAAAAAGTAACTC", "CTTTCAATTAATTGAGAATTTATCTATGGAAATGAGATTCCCGGTATGGAAGTCGATTAGACGCATTCACACTCTCAAGCATATATTGTCAAGAAGTGTGAATGCCCTTTTCTAGTATATGAATGGG", "TCTTTTATTTTATAATAGTGAGGGACAAAACATCAGCTTATCCGTTAAAATTAAAATGAGGACAAGTTGAATTGGTCGAGGATTAAAGCATGTCTGCTTATTGTCGGTAAGAAATTAAACTTGTGTTCGGCTGCGAAAATAATTCATTCTTAGCAAAAAGAAAAACAAAATGATAAAAAGATGAAAATTAAATGTGTTATGCCGGCAACAGCATAAACATTCTTACCGGTATATTCCCATAAAAAATAGTGGAAGGCAATCTTTTGTTTAACGCTTTAGGCTGACTATGACTAAATC", "ATTTTGTTGCGGTTCTAGAATGAATGATAAAACAAAGATATTAGTAA", "ACACAATATAATTGGATAAACGATTATAAAAACTAAAAGAGGGGAACATTTTGCCCCCCTCTTTTTTTATAAACAATGAGCGTATTAA", "AATAGAAAAACTTTAAATTTATAAATTTAAAAACTCAAAATGTTATTGTTATAAAAGGACTTTAAATCTTTTTCTGTGTCCGGATGGTGCTTTATATAGGAACTGCAAGGGAGATGCGCCTACAATTTTATGAAATGCGGCATAAAAAACGCTTTGGGATGCAAAACCACACTTCCTAAAAAGAGAACTGGCTGAATACGTACCCTCTAGTAATAAGGACTTTGCATACTCAACACGATACTTGTTAAGGAGCTGCTTGAAATTGCATCCGAAGTAGTTGTTCACCGCATTACTCAGGTAGGTCGTATTCGTGCCGGATACTATACAGTAATACTAATTGTTTGAACACCAGTTATATGTGTGACAACTTTAATGATGCAAGGGCCGTTTCAGGTCCTTTTT", "AATGAATAATAATTAATACAATAATAATGTTGAAAAGAAGGACCAGAGAGGGACTGAAAATGAATTCCTCCATCTTTCTTTCTATAATAATAATATCTT", "AAG", "CT", "AACC" ]
[ true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, false, false, false, true, true, true, false, true, true, false, false, false, false, false, false, true, true, false, false, true, false, false, false, true, false, false, false, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 12, 14, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 38, 40, 42, 44, 46, 48, 50, 52 ]
[ 0, 2, 4, 6, 8, 10, 13, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 39, 41, 43, 45, 47, 49, 51, 53 ]
[ "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123628|-|2393:2578", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123629|-|2609:2866", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123630|-|2877:3524", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123631|-|3541:4188", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123632|-|4197:5027", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123633|-|5200:5499", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123634|-|5499:5918", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123635|-|6296:7216", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123636|-|7216:7830", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123637|-|7858:10488", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123639|-|10830:11033", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123640|+|11375:12673", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123641|-|12730:13227", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123642|-|13240:13887", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123643|+|14067:14315", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123644|+|14520:14738", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123645|+|14741:15220", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123646|+|15258:15605", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123647|+|15629:16294", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123648|+|16298:17482", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123649|-|17479:18162", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123650|-|18167:19705", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123651|+|19757:21829", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123652|-|21865:22293", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123653|-|22305:23309", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123654|-|23378:25135", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123655|+|25218:26126", "2510065017|RJ2H1_RJ2H1-contig-031.31|CDS|2510123656|+|26139:27203" ]
[ "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000001|+|2075:2392", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000002|+|2579:2608", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000003|+|2867:2876", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000004|+|3525:3540", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000005|+|4189:4196", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000006|+|5028:5199", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000007|+|5919:6295", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000008|+|7831:7857", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000009|+|10489:10829", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000010|+|11034:11374", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000011|+|12674:12729", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000012|+|13228:13239", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000013|+|13888:14066", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000014|+|14316:14519", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000015|+|14739:14740", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000016|+|15221:15257", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000017|+|15606:15628", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000018|+|16295:16297", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000019|+|18163:18166", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000020|+|19706:19756", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000021|+|21830:21864", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000022|+|22294:22304", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000023|+|23310:23377", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000024|+|25136:25217", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000025|+|26127:26138", "2510065017|RJ2H1_RJ2H1-contig-031.31|IG|IG_000026|+|27204:27340" ]
[ "MMAKRKISLGDLAEKIDLTPANLSILKTGKAKAIRFSTLEAICRELNCQPGDILEYREEGE", "MKEKNTFPSKRKSIFYLILCLIATLFVSSFIYFLVLHPLLDNKIVRISINIGWTCFCLYAFKKLTQRHTLWWRAVDENPNKPKMN", "MNKFRILGVIAIIAIIANFFGGLDENWRDFKKGFEDGHNSAMEIYEPGRHIIPHHATSVKLNVEPLPETTVDSLSNNRVDWTLPYTVTEIETYAKPSAWHILVMGLAIPGIFLFLIGFCSLIRLLISISRREVFTSANVRRLRWFAYTSASLEILIAVDEWIVGNAAVEQISLPGYKIISYAGYSPDWVAVIIPILFAEIFAIGAKMKEEQDLTI", "MKTKLNLFCVCVLIALLLSTSTTVSIMFHSFTSAFKAGYESVEKGKDIHISDYKMICTLPTDLLEKTGSVTNVRTGEQASIIPIISMVEAPTKENDTFHALNRIASFISVIAGIFCLLQFFYLIRNINRGDIFSWKNVKFLRKLGWALILLFICTLATIVIGNYEASQVLQLNGCEFSYTFAFSDATLILGFISLLVAEVFAIGLKMKEEQDLTI", "MGRAIKLVLYYFAYQLAFTFLVGLPAGIIKVMNEISENDNLAYAVGKNTASMTGLVMVLAGIAMIWHLIYFKYVQFNKTSWTEVPAKTILLSIPFIIAAMFICNVASEFIELPNLVEDTFIGMSRNVFGIIAIAVMAPLVEELLFRGVIEGHFLQTGKRPGMAILLSALIFGLIHVNPAQVPFAFCLGLVFGWLYYRTGSIIPGMIGHFLNNSLATIAMATSTQEELNEKTVDMIGATPTYILLAAAIIILVGMYFYLNKHLPQPGTPSEITNTQA", "METLNETQTQNKNATSLWQTIGMYIGMMVGFLYPQIGLGLGMLLGIALGRLVLTKIPVNTPLAQRAQQKSTIILILAFLILFMNHWYVPCKHAIAHLMN", "MKKSVLKSVLAAIAVVMMSCTTTANAQTGNTKYIYGQNDTNTTVYTLNEDGKTLTRKLKYEYKHDENGQVIEKKAYRWDAYRELWKPAYLLTVTPGVYEIKLNYAEWNAKESTFNHNKQESIYREGNNANLLADTQNKK", "MKIISDINHQTLPPSVATIGFFDGVHRGHRFLINQVKEVAAKDGLYSALITFPVHPRQVIQTAYRPQLLSSPTEKLELLETMQVDYCFLLPFTQELSLFSAREFMQLLRNKFNIHTLVIGYDHRFGHNRSENFEDYCRYGEELNIYIVRARAYTDKEGKISSSVIRQLLKEGEVSQAAKFLGYNYYLDGTVVDGYKVGRKIGFPTANLQVDCSDKLIPSEGVYAVYVYVEGKKWAGMLNIGHRPTINNGNNLSIEVNILNFSENIYHKEMRIEFVKYLRPEEKYDTIDALIAQMHKDREKTARILL", "MDSKIKNVVFDLGGVLINLDFDNCLNAFRKAGFRDIEKQACQFRGKGFFSQFELGEISPEEFRKAIRKEVSEALSDHEIDDMWNLMLLDIPREKLDLLLKLREHYMVYLLSNTNRIHWDYACEQMFCYRGFRVNDFFEDIFLSFEMHKAKPEKDIYEQMMKEANILPEETFFIDDSAINCQAAISLGIQSYHYHIGEDLSSLFE", "MAQKKQETALTGLSDKEVLISREKYGNNLLTPPKRPSMWKLYLEKFRDPVIRILLVAAFFSLVIAIIENEYAETIGIFFAIFLATGIGFYFEYDANKKFDLLNAVGEETPVTVIRNGKVREIPRKEIVVGDIVVLNTGEEIPADGILLEAISLQVNESNLTGELMVNKTIHEELFDEEATYPSNEVMRGTTVVDGHGIMKVERVGDSTEIGKVARQATEQSEEETPLNIQLTKLAGFIGKIGFTIATLTFIVFTAKDLYSYLSVNEITDWHGWMAIARIVLKYFMMAVTLIVVAVPEGLPMSVTLSLALNMRRMLKTNNLVRKMHACETMGAITVICTDKTGTLTQNLMQVHEAKLDATKADLIAEGISANSTAFLEETGENKKPSGVGNPTEIALLLWLNEQGKNYLELRENAKVINQLTFSTERKYMATLVDSPIQQKKVLYIKGAPEIVMRKCNLSSEEQAHYNADLLAYQNKAMRTLGLAYKFIPEDSGNDCAELVNEGNMIFLGIVAISDPIRPDVPEAVQKCQSAGIGVKIVTGDTPGTATEIARQIGLWKPEDTDRNRITGVEFAALSDEEALDRVLDLKVMSRARPMDKQRLVQLLQQKGAVVAVTGDGTNDAPALNHAQVGLSMGTGTSVAKEASDITLLDDSFHSIATAVMWGRSLYKNIQRFIVFQLTINVVALASVLLGAFFGTELPLTVTQMLWVNLIMDTFAAMALASIPPSADVMNEKPRKTDDFIITKAMRKNILGVGFCFLAILMTLIVIIKQMPADLVGQALTQFFTIFVMLQFWNLFNASVFGTNHSVFKDSRHALGMLSVAIIILVGQILIVEFGGKVFRTEPMNFMTWVYIIAGTSFVLWIGEIYRWIKRIQKKD", "MKGLAPHTLQVFEAVSKLDCIKSYLLVGGTALSLQMGTRQSEDLDFMKWRTSKTEKMEVAWYQIEKQ", "MVKRYGCAGILLFAIGLFPLMAQDNTVPKEMAIKIADRIVASTVYEFKDVKTGKVYISLDNVSLNPDIRVNSKYLNWHYTNGVTNMALMELGDKIQNRKYEDYVLKNMKFIFDKTNQSYFHRLYDKTFREGGWRAVPRLTWHMIYRNKRLDDNGPMGASLITLNQRHPDDAFQKYIETTNHHIMVSEPRLADGTIARLWPHENTIWADDAFMAVSFISRMGEVTGEKKYFDDAANQILNYTRYLWCPEKQIYYHCYHTDNKEHGVAHWSRANGWIFMATADLLARMPENHPMREDVIKNFQMQASGVARYQGKNGLWHQLLDKEDSYEEITGSSMFVFGIAKGVKEGWLHPDFIYVAWQGFKGMLSKISENGDVTAICVGTGIMPSTVFYYNRPTQENDPMGEGPVLRALVEMIDAPKYTEISANDQYDKIK", "MIKYLSTIVLTVALCCACDGEDFSADPTLMPPATQTGANTFGCLIDGWVYTGQRYGPDHKASYYPAYNEDEKATVHVYVWVDDNTSISFNIIDPKEKNITVYSDIEKMDNDQTIYTDAVFKDGNKQEERLEDGIVNITRFDLNNRIISGTFEGRRVTEGRFDLTF", "MKNKLYFIIVATLLCPTSLYAQSGTDKRSVLSFQTGPTLYTGKLIGIAGYSSNLRNGIGWSGSYTYLVGNKPAIRAGFGILYQGSRYTGNTTNTTDKIQTHYFAPQFSLHWLKQQFDWYFTTGTGYQLYKDDSMVYDKPRKVSMNKWAANFGVGGEYHLFTHWGISARISYILAYSGEYSVRYHHKEWMVQPHYPMNGSDDISQLSFSAGINYHF", "MKPVESDRLDAEERRELSSSDFGIPEERAFPMPDAAHVRAAEAYFRYASDDQKPELARNILEKAAEYGVRVESPVVLSWAGK", "MKKEFIKRYGWTLLGIVAGMVGGYLYWRYVGCTTGTCPITSSPVNSSIWGAAMGGLLLSSFMPEHRKIKKEE", "MNKTILFFLALVLAATTACGRGSSNNNPVKEETMATEGNGKVIHLTKAEFLAKVYNFEKNPEEWKYEGDKPAIVDFYADWCGPCKMVAPILDELAKEYDGQIVIYKVDTEKEQELAGAFGIRSIPSILFIPMEGKPEMAQGAMPKASFKKAIDEFLLKK", "METLCKIRDLYRAIAEFETRFEKAHHLCLNEGMLLCCLSRKKRLSSGEIAEQLGLSNSNTSKVIRSVEDKGYIERNLGDCDKRQMYFSLTAEGKKILKEMECCNIEIPELLRSVL", "MKSVFIFISLLIMLCPAFSQSRMEHYLDSLGLVNVGRMDPTLKIDLMYTRADNFTGKVLYEDLQEAYLHPEAAKALLQAQKRLKELYPGYSLIIYDAARPMSVQQKMWNVVKDTSQNIYVSNPARGGGLHNYGLAVDVSIADEKGNPLPMGTKVDHLGKEAHIDTEAAMVQQGIITAQERKNRLLLRRVMTDAGYKPLRSEWWHFNFRSREEAKRNYKVIR", "MEISTKTLHFIEEHREDDTRTLALQSKKYPDVDMAAAVTQIAGRQVAARKIPSWYPVSALWYPPHLSMEQCSSEATALYKASLLEGDTFADLTGGFGIDCSFISRNFKQADYVERQSGLCELALHNFPLLGLGHIRIHNRDGVSYLQEMLPVDCLFLDPARRDGHGGKTVAISDCEPDVTVLEPLLVDKAKKVMVKLSPMLDLSLALNELKTVRAVHIVAVNNECKELLLILQKESVSSEVSIHCEHIVGNGESRHYTFTLKREKTSPCLLADEVGTYLYEPNAAILKAGAFRSLTQTYPVAKLHLNSHLYTSVSLVPDFPGRRFRVEAVSGFGKKELKAFLMDMDKANITIRNFPLSVAELRKRLKLKEGGDDYIFATTLSGGQKVLIRGKKC", "MEKIHVLLVEDEQTLAMIIKDTLEEQDFIITTAGDGEEGLRKFFEQKPDVLVADVMMPRMDGFEMVRRIRQSDKATPVLFLTARSAINDVVKGFELGANDYLKKPFGMQELIVRLKALMHKAFVEKEITNTYTIGGYQFNSVTQQLDFAGNIQELSHRESEILKRLCENRNEVVNSQSILLELWGDDSFFNSRSLHVFITKLRHKLSKDQSIRIVNVRGIGYKLIIN", "MCYRRLMKSYLVLSKEREYLFCTYFCQTMKIPFKAIAALIILSLIGVFAYQAYWLTGLYTSMKKDMDNCLIETLQVSDYNEMMERCDSVKRSNETINGYIESSINLDSSALITKVIETEPFKQQKRFGLEINEPVSTTIDKNGTLELLTKYFQRGIHSQLDLFTEINLHTFDSLFTRTLAMRGIIYLPHRIEIVNLRQDSTVVQGLSSPQKYRPGKEAVSYYYNYDLYNKMAYRLWVEPTNTIVLQQMKGILYTSFFIIIILGVSFWFLIRIILRQKSVEEMKEDFTHNITHELKTPIAVAYAANDALLNFNGAENLTKRQNYLQIIQTQLKQLSGLVEQILSMSMEQRKNFRLNYEPVELRPMLENLISQHRLKADKPTEFKLIMEENITLRADRLHLYNMISNLIDNAIKYSDEKAYIYISAIRCNNPYNGDRNAYVMLKIQDKGIGIPADRQKHLFDKFYRVPTGNLHNVKGYGLGLYYVKTMIEQHKGTVSVESSTGKGSTFTLKIPE", "MRTVIIFCLCLSAFAAQAQNDVNYTYMDSLFQQLPEVLVKGERPVVKAERGKLVYDLPRMVERLPVNNAYEAVKELPGIVEQDGNLTLGGRSITLVVNGKVSTLDKDDLKSVLQNTPVSRLEKAEIMYAAPARYRIRGAMVNVILKSNIGQKPSWSGEVAAMYEQSRREDIAGRGNLLYTSRRFSADVMYSYGFKHTTFGLDKQSWHTMAGDVHELDLKTEAKGYGGRHNLRLGADYDFGKKNLLSVVYNTQYRYGQDCTKMRGTAHSDKTDDGDRQLHNVAADYQSSFGLSAGMDFLFFSNPSQTFLEKDMQDVSRTLNYDSNQRINRWLFYANQLHSLQGGTEINYGVKYTATHDNSYQFYRDGETGALIPDNSEKLLRKEYTLNMYTGASHSFGKKFSAEISLAAELYHAGERHSWMLYPTVNTTYTPADGHTLQMSFTSNRKYPAYWQLQPIIQYVDSYTEAHGNPDLKPSSNYSLDLNYLYKNRYMIGVNYNYTPDYFVQLPYQLPDRLAEVNQFVNYDFQKRWTIQTMASYKVSTWWNGRIFAFGLFSHDKNSHFHDIAFNRHKFSVILNTTNTFILSKKPNIIGTLAGFYQSRAIQGVYNLSPICNVSTSLQWASPDGKTKVILKGNDILNTSNMTTRLAWGQQRNRTEMNWDNRSFTFSFLYKFGGYKEKKRTDIDTKRLGR", "MKTINELQNEVIEEFSDFDDWMDKYQLLIDLGNEQEPLAPEYKTEQNLIDGCQSRVWLQADMEDGKVVFQAESDALIVKGIIALLIKVVSGHTPDEILSSDLYFIEKIGLKEHLSPTRSNGLLAMVKQMRMYALAFKAKMAN", "MKIRNVLVIPFLLLVLTAVSCGNSKSRNDRTETVDKEVIKAPEFDADSAYQYIQVQADFGPRVPNTQAHKECGEYLAGQLEKFGAKVYNQYADLIAYDGTILKSRNIIGAYKPESKKRILLCAHWDSRPYADNDPDPKNHHTPILGVNDGASGVGVLLEIARQIQKEQPALGIDIVFFDSEDYGIPEFYDGKYKQDTWCLGSQYWARTPHVQNYNARYGILLDMVGGKDATFYYEGYSARTARSEMKKIWKKAHELGYGKYFVKEDGGETVDDHIYVNKLARIPCVDIINYDADNPQSSFGSFWHTVNDTMENIDRNTLKAVGQTVMDVIYNEK", "MKTFQTFDISAEYDAIHRTFPCHKDAPVIGLTGNFQEGACTLLEGYFTSILKAGGIPFIIPPVDETNSLINSLNALDGLLLTGGADINPLFLGEEPIKELHSINPRRDRQELLLAKLAADRQIPILGICRGIQVMNAAFGGSLYQDIHVQMEGERIKHDQDLGRGYASHTVRIEKDSLLYKLFETEILPVNSFHHQAVKEVAPGFRVTARSSDGVIEAMESTECKSMMGVQWHPECFILENNTCMMPVFHWLIQESTSFREAKKLHSRMITLDSHCDTPMFFDQGINFATRDKKILVDLHKMTEGHLDATIMVAYLKQLERTDEALSAATAKADRILNEIEEMVAKNCTAVDIAYTPADLCRLKKAGKKAVMLGIENGYAIGKDITNVERFRHRGVVYMTLCHNGNNDICGSARYNEEGLGVSTFGEQVIKEMNRVGMMVDVSHAGEKSFYDALAISGKPIVASHSSARALCDHPRNLTDDQLKALAAKGGVAQVCMYGGFLRKNGEATIKDAIEHLNHMVNVMGIEHVGIGTDFDGDGGITGCASASELINFTRRLLLERYSEENIRLIWGGNFLRVMEEVQRK", "MEPIQDFAGLICRLRSLKERKRVVVVCPNDPHTEYVITRSLHEGFADFLLVADTPHLLNSEYIRLQYPDHVKVYEATSPDKAAQEAVSLIREGHADVLMKGIINTDNLLRAVLNKEHGILPKGNVLSHITVAQIPMYKKLLFFSDAAVIPRPTLEQFEAMLRYDLEVCRRMGIEAPRVALIHCTEKVNEKFPHTLDYVTLKERAAAGAYGNMYLDGPMDVKTACDAHSGEVKGISSPVVGHADLLIFPNIESGNTFYKTVSLFGDANMAGMLRGTTSPVVVPSRADSGNSKYYSLALACVAG", "MKIFVINPGSTSTKIALFIDEKPVWAAGAHHTADDLSEFHHVNEQYAYRKDFVLRLLAEADIPLDFDAVIARGGLLKPTPGGVYAINEQMKHDLLNARMEHACNLGALIADEIARECHCPAYIADPEVVDELQPAARLTGIPEIERISIFHALNSKAVSRKYAASIGKHYEELNLIVVHLGGGISVGAHCKGRVIDVNNALNGEGPFSPERAGTIPADQLAELCFSGKYTLKQIKKMLNGKGGLTAHLGMNDVVTIARKASEGEEPYKGVLDAMLYTVAKQAGAMYVTLRGQVDAIILTGGIAHSDYCVGILKEQIDYLAPVVLMPGEDEMGSLAYNALGALKGELPLQVYRPE" ]
[ "TTCTTTAATCGCTTTTAGTATTTCCGGGGAAACGCCTAACTCTTCAAATGTCTTCATTTATTTTCAAATATCTAATTCGCTGCAAAGATACGGATAATCATGGGATTATATGGTGCTTATGCAATATTTGTTTTTATCAGTAGTGTATTTTTAGGGAATATTGGCTAAAGCGTGTCGGGAAGTGATAAGAAAATTTTAGGTATAAGGTGAAAATACTCTGCCGGTATGGCAATTGAAATGCTTTATCGGTCAGAGTATCCGGATTAAAGATATGAGAATTTTATGGTATCAGAGTGTTTGTATATGTGGCTGTTTATA", "TACGTCTAAATTTACTATAATTGGCATAGA", "GGCATTTTTC", "AGTCTGCTCTGTTTTT", "TGTTACAT", "AATAGAATGTTTATTGGTTTAAAATGGATTTGAATTAAGGGGTAGGACGGACTTCCGCATCCGTCCTGAGGGTATCTGTTTCTTTAAGTAAGCATCCTTGGGTTTCTCCTTGGTGCGATCAAAATCATGGTTGTTTTCATCTGGTTGTCTTTTAAATTTGGTTGATTTGTTT", "TTTTACTTCGTGTTTTAATGTTTGTGTTTATTCTTTCTTGTTTCTCGATATTCATTTATCGTTTATCGATATGCAAATGTATATCTTATTTTGATACTACAAAAGAAAAACGAAAAAAAATATCGAAAAACGATAAAAATATATCTTTTTTCGTGCTTTGTAGGATGGAAGTGTATGAAGAAACAGATTTTATGCAAGAAGTGACTGGTATCGGTGAATTAATTCTTCCGATAGCGTTTGCGTTCACTGGCAGGGGCGATTTTGTCTGCCCTAATACATGGAATGAACTGTTTTCGAGCAGGCAAAACTGACTGTAAACGAAGATGTGTAGAAAGAGTAGTTTGAAAGGGGGAAGGCTGTACAATGCTCCCTTGTAT", "AACTCTTTATGTGCTTTATTCTCTTTT", "ACGGCTTAGCAAAATTAATTAATGGTGCACAAAAATACAATTTATATCCTTACGAATAAGGGAATTAAATCTTTTTATCAAAATTTGGGCGATAAATCTTGCAAGTTTCAAGGGAATATACTACTTTTGCACCTGCTAACAGGAAATTGTGGCACGGACTTGTAGCTCAGTTGGTTAGAGCAACAGACTCATAATCTGGAGGTCCCTGGTTCAAGCCCAGGCTGGTCCACAAACTAAAAGGCTGATTCCTAACGGAATACAGCCTTTTTTGTTTGCAGACCCTCCAGATATAAATCTGTTGCTCTTGGTTGATTTACTCCTAATATATAGCTGTTGTTTCA", "GCAAACATTTTAGTAAATTTACATAATAAATTCAGTGTTGATCTCAGAACTTACACAGAAATAATTAGCGTGTTGATAATGAGGTAGATTTACATTTGTTACTCTTGTTACAGGAATCATGAACAGAATTTCTTTCTCTTAGTATAAAATCATGAGCACATTATACATTATATACTCTAAAACTGAAAATGTGGATATTACCCTTAAAAAGTAGGATGAAAATTTGTACGAAACGGGAAGATGGCTTTATCTTTGAAGAATATTAAAAGATAGCCGGACTTTTTATTTCGGTGGAATATAGAGGTTGTTAGTATAAAAATAAAAAATTAAATTTAGGTTGT", "GAAAGAGATTAATTTACCCGTTGGCAAGCTGAGTTTAAGTTGTCAACGGGTATATG", "GGTCTGAATTTT", "GGTCATTGTGTTTATCTAGGTGGGTACAAAAATAATAAATCATTGGAAATAACAGCATTAATAGTTTTCTTATTTTAAGTAATCCGTGAAAAATGTGATAGATTAACACTTTCCTGCCATCTTTATTCCATTCATTCTGTTTTCTTATGAAGATTATTCACTTTAAAACCTTATAGTTT", "TTGTTGTATAATGATTTGACCTTTTGTGTTGCTGAATATTGTGTAAATGTAGGTGCCGAATCGTGAAGGAAAGTTATTATTTAAATATTTTCCTAAGGAAATTTGTTATATAGGAAAGTATGGAATATATTTGGAAAAAGTTTCCTGAGGAAATAATTAAAGGAGATAACATAACAGAGTCCGGTTTTAATTATGAAGAAGAGT", "GT", "GTACTTTTGTGCAATAGCATCAAGAAGAAAGAGCAAT", "TAGTAAGAAAGATACCGCTGTAG", "AGG", "TTGC", "ACGGCACATTTTTCACCTTTACTTTGCATGGACATTAAAAATAAAACGATG", "TTTTTTAGTGGTTAGTAATCACTAACCACTAATCA", "TATTTTATCAT", "ACTTATTCTTCTGTTATTTATTTGTGCATTATCCTTTATATAGAAGGGGGCTGCGCCGCAAAGTTACG", "AAGTTAAATTATTCGTAGAAACTAAGCAAAGATAGGGATAAATTCAGATTTATTTTTCATATTTGCTAAAAATCTAATGACC", "AACCTTTAAATT", "GAAGATGGTGGTACATCGGAATTAAAATAAGCGATAAAATTGTTCAAATCCTTCATGGAAGGGTAATGTGTTGATATACAGAAGGCTATTATGAAGGAATCATTATAAATTCCTTCATAATAGCTTCAGGGATGTTT" ]
[ false, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, false, false, true, false, false, false, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 17, 19, 21, 23, 24, 26, 28, 30, 32, 34, 36, 38, 40, 41, 42, 44, 46, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 18, 20, 22, 25, 27, 29, 31, 33, 35, 37, 39, 43, 45, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103 ]
[ "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123659|-|508:1236", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123660|-|1905:2219", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123661|-|2226:2684", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123662|-|2801:3184", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123663|-|3207:4493", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123664|+|4628:5152", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123665|+|5183:8065", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123666|+|8089:9786", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123667|+|9908:12346", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123668|+|12343:12870", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123669|+|12912:14804", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123670|+|14809:15582", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123671|+|15646:16155", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123672|+|16145:16624", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123673|-|16822:17553", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123674|+|17660:18364", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123675|+|18385:19695", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123676|+|19800:20450", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123677|+|20631:20876", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123678|+|21431:21985", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123679|+|22051:24468", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123680|+|24711:24872", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123681|+|24862:25818", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123682|+|25815:26885", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123683|+|26906:27154", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123684|+|27347:28528", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123685|-|28489:28908", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123686|+|29047:29514", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123687|+|29517:30773", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123688|+|30815:33826", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123689|+|33965:34468", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123690|+|34476:35927", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123691|+|35955:36707", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123692|+|36737:38080", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123693|+|38087:39301", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123694|-|40215:42149", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123695|-|42167:45343", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123696|-|45357:45959", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123697|-|45956:46237", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123698|-|46352:47869", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123699|-|47890:51018", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123700|-|51242:52867", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123701|+|52969:53574", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123702|-|53717:55030", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123703|-|55143:56918", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123704|-|56940:57920", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123705|+|58369:59883", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123706|+|59986:60567", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123707|+|60635:61822", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123708|+|62064:63923", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123709|+|63942:64922", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123710|-|65093:67672", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123711|-|67762:69177", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123712|-|69201:70337", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123713|-|70366:72060", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123714|-|72073:74649" ]
[ "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000001|+|1237:1904", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000002|+|2220:2225", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000003|+|2685:2800", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000004|+|3185:3206", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000005|+|4494:4627", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000006|+|5153:5182", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000007|+|8066:8088", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000008|+|9787:9907", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000009|+|12871:12911", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000010|+|14805:14808", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000011|+|15583:15645", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000012|+|16625:16821", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000013|+|17554:17659", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000014|+|18365:18384", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000015|+|19696:19799", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000016|+|20451:20630", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000017|+|20877:21430", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000018|+|21986:22050", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000019|+|24469:24710", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000020|+|26886:26905", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000021|+|27155:27346", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000022|+|28909:29046", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000023|+|29515:29516", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000024|+|30774:30814", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000025|+|33827:33964", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000026|+|34469:34475", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000027|+|35928:35954", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000028|+|36708:36736", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000029|+|38081:38086", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000030|+|39302:40214", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000031|+|42150:42166", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000032|+|45344:45356", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000033|+|46238:46351", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000034|+|47870:47889", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000035|+|51019:51241", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000036|+|52868:52968", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000037|+|53575:53716", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000038|+|55031:55142", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000039|+|56919:56939", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000040|+|57921:58368", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000041|+|59884:59985", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000042|+|60568:60634", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000043|+|61823:62063", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000044|+|63924:63941", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000045|+|64923:65092", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000046|+|67673:67761", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000047|+|69178:69200", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000048|+|70338:70365", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000049|+|72061:72072" ]
[ "MRKRNSHWERLGLPLSLRLGKWNFYNNLVLSNAGDPYITENITHNSHQNIHIVNQNIDRKNIRRINETFSMLYDITTLQSIGLNCMVKQAGSTLKSSSFSETQETEKEYTSSYHSKGKGDDKIYQASLNYNWKSKNGKNTLQTVLDYLRSDETKELNHHYLYQYPEKNEEDNKCSNTGTVSDLWKAEINYIFLLNKHSRLKWGGDYYNNHTNNQMYYAYQSGEGWQKEEHVEAKQYITVYTI", "MEWLNEYHLGGIAIGICTFLIIGLFHPIVVKAEYYWGTRCWWIFLVLGIAGIIGTVLISDILISSLLGVFSFSSFWTIKEIFEQRERVLKGWFPMNPKRKHEYE", "MEKIDSLDRQILEIISQNARIPFKDVAAECGVSRAAIHQRVQRLIDLGVIIGSGYHVNPKSLGYRTCTYVGIKLEKGSMYKNVVAELKKIPEIVECHFTTGPYTMLTKLYSRDNEHLMDLLNSKIQEIPGVTATETLISLEQSIKKEIPIQS", "MRLLQQFFEALEKLVEERDKKDGPELQLQLQSIYRAYFNHPSTFYYDQDAEYILNEMGQNYGGEELLTRIDMLSELLYQDALLKESEEQKYLLRKSLFLLNYLDTHSDTFSFERRGKIGEIEKKIGD", "MEIAIIIALILLNGVFAMSEIALISARKSSLSNDIRHGSSTARIALKLANDPDKFLSTIQIGITLIGILTGIYSGDVLATDFGNILTDAGVPATYAYLLAQTLIVILVTYLTIIFGELVPKRIGMSASTRAAKLLARPMYWLSVIASPFVWILAKSTSLIFNLLHINTAEEKVTEEEIKSMIDEGTENGEVQEVEQDIVDRVFSLGDRSINTIMTYRSDIVSIDIDMNNKQLYDIVCQHLYQVYPVTQGNTLDNIIGVVYLKDLFGKLNSCEFNLRDVIRPAQYFHENMDVYKVLEQIRQHNIKYGLICDEFGSLQGIITMKDILEALVGTLPSDSEDPDIVPRKDGSWLIDGQCSFYDFLSHFEMEDLYPDYNYNTLSGLILQQLGHIPKTGESMEWNDFTLEIVDMDGARIDKVLVTLTHKNEEDN", "MHPLELFKYCPKCGSPHFEVNNEKSKRCADCGFVYYFNSSAATVAFILNDKNELLVCRRGKEPAKGTLDLSGGFIDMHETGEEGVAREVLEETGLQVEEAVYQFSLPNTYLYSGFLVHTLDLFFLCKVKDTSRIKAMDDVAESFWLPLNEVNPEEFGLDSVREGVRRFLKEHKL", "MAALMGGCSLQGMAQQITPKDVAGDKEYNRVCREYELKGGDSMELLQAYLDKYPDSRHKNRVLSLIASAYFMEGKYKEAIALFRSCDLEALPDKERDDCAMRLATSYLKEDNLREAAVWFTLLKEVSPLYQDDAVYNLAYIDYVEKRYDKALKSFQSLQNDAVYAALVPYYIGEIYLVKGNYQQARTVAKAYLEQYPAKKDVPQMERIWGEACFGLNDYQAAIPPLERYRESVSHPQRKPLYELGMSYYYTGVYSKAAATLGEMASVHDALSQNAYLHMGLAYLNLKERNRARMAFEQAANFSFDPKVKEQALYNYALCIHETSYSPFAESVTVFERFLNEFPNSPYTERVNDYLIEVYMNTRSYEAALKSIAKIEHPGTRIMEAKQKILFRLGTQAFANARFQEALEFFNQSLAVGQYNQATKADAYFWRGESNYRLDRFPQAGNDYRLYLEFATSKNGQEYGLALYNLGYTYFKQKNYGNAGTWFTRFVDRGSINERTMQADAYNRIGDCNFYDRRFEQARQDYARAVEIDPSLGDYSLYQEAFVRGLQRDYNGKVQTLNRLISDYPESQYMDDALYEQGRAFVQMEDNANAIARFNILVKKFPESNVARRAANEIGLLYYQDDKYPEAIQAYKQVIAGYPGSEEARLAQRDLKSIYIDLNKVDEYANFASTIPGGANFDVNERDSLTYVAAERVYMRGEVAEARNSFTRYLQTFPEGAFSLNANYYIGLIDYNQKAYESAARHLDKVLEYPNNKYSEDAMLMGAEMAYTAKDYEKALHIYKQLKDKAASMERRRLAKTGMLRSAHMLGNEEEIIFAATDLLADTKLAPELSNEAHYYRAKAYLDAGKTDGAMEDLKVLAKDTRNVYGAEAKYKVAQIYFDGGQTDKAEQEVLNYIEVSTPHTYWLARSFVLLADVYMKLGRNLDAKQYLLSLKQNYQADDDIAGMIESRLEKLKTEN", "MISKKLSIVHCQLSIILAFLPLSVAYAQQDSTLNRTVVVENEYNPNIMDASKINVLPRVEEPAVMKKGIEYATALRPVSAWTYESMSPITREWAMNRAKRGYVRAGYGNYGNVDFKAGYLWDITGKDRLKVGVSLDGMNGKLKHWNAEDWKSRFYSTEFRLDYSHDFSKVTLNLGGGLQSQVFNYMPDSEMHTASARATDKQHHTLGDFHIGVSSRDESLPLQFTLQTGLKYFGIKYPLDYSGNASTGKEKIVHTEGDVWGKLDNEQRVGIRFEMDNLFYSSDSLMGNYTSLGLNPYYMLESDDWRVRVGAHVDWQSGEDSGIDVSPDVKAEYLFSESYVLYLHALGGRELNDYRRLNAFSPYWSLNARMPSTYVPLNATLGFKASPVNGLWFNVFGGYRISKDELFCNLVDADGYYFTHFLQDKAKTAYGGAELKYGYKDWFDASLKGTFYSWKTDNENEELYLVTKPKFELNFYAEAKVFEGLKVNLGYEYVQRKEYVIEEGNSSKDFGLGNISNLSVGASYTFLKDLSVFGRVNNLLNKQYYYEYGYPAEKLNVLAGLSLAF", "MQKNLVIVESPAKAKTIEKFLGNDYKVLSSYGHIRDLKKKEFSIDVENGFEPTYEIPADKKKLVAELKAEAKKADMVWLASDEDREGEAISWHLFEVLGLDPEKTKRIVFHEITKTAILKAIENPRDIDVNLVNAQQARRILDRIVGFELSPVLWKKVKPALSAGRVQSVAVRLIVEREREVHAFVSEPSYRVTAVFEVPDVDGNEVEVKAELSNRFKTKEEAQAFLETCKDAQFSIEDITTRPVKKSPAAPFTTSTLQQEAARKLGFTVAQTMMVAQRLYENGQITYMRTDSVNLSDLALNTSKQTILSLMGERYVKVRKFATKTKGAQEAHEAIRPTYMENETVNGTGQEQKLYELIWKRTIASQMADAELEKTTATIVISNSSEKFIATGEVITFDGFLRVYKESYDDDNEQEDEGRLLPPLSKGEKLIRKEILATQRFTQCPPRYTEASLVRKLEELGIGRPSTYAPTISTVQQRGYVEKGNSEGVKRPYDILKLKGGKITETTKTEMTGNEKAKLLPTDTGIVVNDFLMEYFPEIMDFNFTANVEKEFDEVAEGEKEWTGMMDSFYKGFHPLVDKTIHSKTEHKVGERVLGTDPVSGKPVSVKIGRFGPVIQIGTADDEEKPRFAQLTKGLSMETITLEEALDAFKLPRTLGDYDGHTVTVGVGRFGPYVRYDKLFVSIPKGTDPMEISLDEAVELIKNKIEAQEKKFIKVFDADPDMQVLNGRYGPYISYQKKNYKIPENVEPADLSLEACFKVIELQKSKAETRKTKAASRNRGTVNMEEESEKPEESAKSKAASKVKGTAKAKK", "MTRIFLIGYMGAGKTTLGKAFAREMSLNFIDLDWFIEERFHKTVQQLFLERGEDGFRELERKMLHEVAEFEDVVVSTGGGTPCFFDNMEYMNDCGDTVFLDVEPAVLFRRLRVAKQQRPLLANKSDEELMDFICEALQKRHPFYSKAKHLFKADELEDKRQIQASVDSLRKKLNK", "MRKWRIEDSEELYNITGWGTSYFGINDKGHVVVTPRKDGVEVDLKELVDELQLRDVAAPMLVRFPDILDNRIEKIANCFKQASDEYGYKAQNFIIYPIKVNQMRPVVEEIISHGKKFNLGLEAGSKPELHAVIAVNTDSDSLIICNGYKDESYIELALLAQKMGKRIFLVVEKMNELRLIAKMAKQLNVRPNIGIRIKLASSGSGKWEDSGGDASKFGLTSSELLEALDFLEKKDMKDCLKLIHFHIGSQVTKIRRIKTALREASQFYVQLHVMGFNVEFVDIGGGLGVDYDGTRSANSESSVNYSIQEYVNDSISTLVDASDKNGIPHPNIITESGRSLTAHHSVLIFEVLETATLPEMDEDFEVGENDHELVHELYEIWDNLNQSRMVEAWHDAQQIREEALDLFSHGIVDLKTRAQIERLYWSVTREINQIASGLKHAPDEFRKLDKLLADKYFCNFSLFQSLPDSWAIDQIFPIMPIQRLDEKPDRNATLQDITCDSDGKIANFISTRYVSHDLPVHSLKGKDAYYIGVFLVGAYQEILGDMHNLFGDTNAVHVTVDDKGYSIDQVIDGETVAEVLDYVQYNPKKLVRTLETWVTKSVKEGRISVEEGKEFLSNYRSGLYGYTYLE", "MKEKLTIIKVGGKIVEEESTLNQLLADFSAIEGYKLLVHGGGRSATRLAAQLGIESKMVNGRRITDAETLKVVTMVYGGLVNKNIVAGLQAKGVNAIGLTGADMNVIRSVKRPVKDVDYGFVGDVEKVNAGFLATLIRQGIVPVMAPLTHDGKGSMLNTNADTIAGETAKALASLFDVTLVYCFEKKGVLRDENDDDSVIPVITPEEFKEFVAQGIIQGGMIPKLENSFSAIDAGVSQVVITLASAINEGSGTVIKK", "MREISFRNDILPLKDKLYRLALRITLNSAEAEDVVQDTMIRVWNKRDEWPQFESIEAYCLTIARNLAIDRSQKMEAQNLQLTSEVREMPDTLTPYDRLAQNEQMQLVHRLVNELPERQRTIMQLRDVEGKSYKEIADILQITEEQVKVTLFRARQKIKQRYTEIEDYGL", "MDYKYIEQLLERYWNCETSLEEEQILRSFFRQKEVPAHLLRYKQLFAYQDVEKEKGLGDDFDTRILTRIERPVVKAQRLTMRTRFMPLFKAAAMIAVLFLMGTVMQHAMEGGDSDTVSVHDEYQDSTTDPQVAYEPVLPTDTVTKITADDTGTGTGKTN", "MRTKTIYFFSLFLTITMMTGCFHISSGPKPSKSKMTKKYSVTPFNKIENKAPANIVFTQGNATKVEADGPDNYIPQLIVMVKDSTLSISMDKDKFKNFKSSKINISITSPVLCNIKQRGVGSIYLKDSVKVTDLSISAEGVGSIEANALMARSIKVSQEGVGSINLKGQAGHATYYLEGVGSLKAKDMIVSDVVVEQNGVGSVSCYASGTINISAQGVGSVNYYGDPRVTGLKKSGIGSVKSK", "MATKRVLPDKDFDRIIVRTHKLARNVTMRVKPDGLHVTVPPYSLSSKVLEVVEQYRQRLLEDWRKVSKKPIDLNFRIHTPCFRLWLEQGNFTCFSVRFTEEGVKIICPRNVDFSLPEVQRLVRNAIIRAMKKNAQEYLPSLLSALSEQYHLPFKRVKITGSKGRWGSCSGTGSINLSCYLMLLPPHLMDYVLLHELSHTKEMNHGPRFWELLDSMTGGRARALRAELRRFNTDF", "MKNRFLSMLIGAVLFVLSAAAENYPYRSDVLWVTVPDHADWLYKTGEKAKIEVQFYKYGIPQDGVEVLYELGGDMMPSDTKGTVKLKNGKAVISMGTMKEPGFRDCRLTAKLGGKTYSHHIKVGFSPEKLQPYTQLPSDFNEFWNKTKAEAAQFPLTYTKEYVEKYSTDKIDCYLIRLQLNKQNQCIYGYLFYPKAEGKYPVVLCPPGAGIKTIKGPMRHKYYAEEGCIRFEIEIHGLNPELDEDTFGEISRAFSSRENGYLVNGLDSRENYYMKRVYLACVRSIDLLTSLPEWDGKNVIVQGGSQGGALALITAGLDKRVTACVANHPALSDMAGYKAGRAGGYPHLFKNTVDMDTPAKMKTLAYYDVVNFAKQITVPVYMTWGFNDNTCPPTTSYIVYNVLNCPKEALITPVNEHWTSEDTEYGHLLWIKKHLK", "MTDLTILIAVIALALWPLAFLVLRIRHERKKRRDRLDRMTKEDLEDIGTEELVIAVLKKIGCQPETNEEGHIVFKYQGDDFYIAVEDEARFIMIWNPWWASISMDNPALPYLKEIVNLVNVDSLVTTVFTADEDEKNVGLHSKCHTVFTPKEGQLDEYLKAMLDHFFVTHDAIKQNLQQLGSAASESVNKERTKVKGFAAYKENSTPLSSVEEEKK", "MFDKCLFVLRNLSSLLERLCALRSRVFDRFFEAAEIAKFTSREFGEHWENLKSFRDWYSVMSTQLKKGREEDLKEGLETGL", "MIKYVFEAMRMNEINIEQMEYLFLRRKRSSAVSERQKNLLFKAAQRHWEEEFVGKEANIRKVDCRIYKAILYQLEIRQIFLDTSLSLKKLSDLLETNQTYLSNVVNKYFGCNLKELVNGYRVEYAKELLSFGRCALNDLPRSCGFASKSAFYSAFSKVAGVSPLSYQSRERRKRELQVINELRY", "MNKKFLSAILFGALMVSSTGTFVSCKDYDDDIDNINKELSTLKGDLSALQAKVNEGKWITSLAPTTGGFTVTFSDNTSYTITNGKDGAAGADAVKWEIGTDGFWYKNDVKTEYQAVGQAGEAGVAGVSPYIGENGNWFAYNTETKEVEDTGISAAGTSTYVVKNGDNYELHVYNQTTGEYESIVLPATAAAAALNVVFMPTYSDMQTKVVAINIPVGDELNDKNHLWDNNVSLTNDIVFNYRVSPASIDLAKANIGFLVNEVQSRAAGSILDVADKSFADGVLTVKAKAGEAFSLKNKKYAVALQVQNDAQTALSTYHLLTSQTIDRRNLTVFEARKDDNGDESYMNWSTIIPMNLLYTGELNVADTLSVGDYTSYNSYKDLRKYGFAPYYEVAKKAGEDKGNYFTVENGVIKTNGQISSVGHNCTFIVSVYDKKDGYKLAEKELTVSSVEKIATTKTYTKSETHELTLESKDYRFKFDMNDVYNTLGMSSQTFWNAGYSSKYYIWDADRSEYVPTSKGVISAGSAYENNENFLYVDVKNILTKEQLGKYKVVFKIGNTGAEVVYEVKVVYPEVTLVKDNDYWKDGYMINAGKLVGSNYVMSGDLRRGYKNKDLDLVFETVAGETRTDFDLTNNILTYTGSCNDKEGNRINISKIDPVDVMVFVLINGQKIDNGTKIQVKFADPLKNITLKKDAKFETTDKKNPADKLQLNSAINLVSIAGEKVIENGTMDATIAGYYNAQAAKFEVSEEDAAKGASVNATTGEFTWQNDGVALTANKTINVKVTVTYTWGVAVGTIPVTVKSNL", "MNKIEEIKKKIRDLKLKQKMTTGRLEWNDIQREIDILNNELKQLETDKPQYGK", "MENRLYLYNLGIKTFGGFATLGGVIQALQLFTQPDLDIKSILLSFPAWVVYVFVCLTIIFAMLRERYKSMSQRVEVLNVKLNEQDAMIRSLQEMMKIVPFKGRFFLTTEYLYNELGEYIQNNIDIDSIEIKNTIEKRGMGNKRDSFVRLNIRGVVSHKISTFQLLVAGDTIVNFEDINIQAFERVGGKQKKLCARIADNGQDSLLKQVVVSYGKVKSPGSIVDLMIEWCWPNMLNITDCDYTTLPNFLAGTVKHLKMSLECKEDIGFKSASIYKYKVGMDKAQLILDVDMSEITDTISYEEDNPLMNSTYILYYEVAR", "MIGNLKKYIDKASFMEHIDAKLRQNEPMIIDSFVSNKCNLKCRHCYFGDARPISESVSLARWRSFLDEAIGMGMKHFHFSGKESFLDNRIFDILNLLAEYKEDRRIFYGVVSNGMSMDIQGYDEVLATNISYLEISLEGSGKYNDLIRGENGYNTVYELIENVEHRDKINITSTIFDDNGADLLSMLLAYWKLGVDKFNFAPIMYYSPFEMKPLKSLSCESLLGFVSLCLDFMNNDNSPDAIDIRICMTKAMAYELFLKENILTGKIEEYIYRGNKMKYQRGNKVLEFSYPLLSIPFLNELVVTHDGYIISCADDIHYKYLDKIALPNVNIVKNSFAEILQARNEFILCYLDKELS", "MKTQELVDVRVGESTQPDYGYELSDRCIITKSSNAVASGAGSKGLFSYKSTKLKSAECKINQSLRDVDNGAGSKGLFSVNVD", "MDCFYSGMKKSLTALAFGTLGLGIAEFVMMGILPDVAKDLHISIPQAGHFISAYALGVCVGAPGMVLVARTRPLKHILLGLMVIYICGNLCAAASVNYWMMLMMRFISGLPHGAFFGVGSIVAERVADKGKSSQAVALMVSGMTIANLFGVPLGTFMSNIMSWRIPFLFNGVWGLITLFYIWKWVPSLPALPDTGLKGQFRFLKNLAPWLLIFTTMFGNGGIFCWYSYVTPLMTHVAGFSENSMTFIMVLAGLSMTVGNLMGGKFSDQYGAARVVKYTQVIMASGLLAIFFAASVSWLAVILMCICTAGLFAVSAPQQLLLLRNSRGGEMMGAACVQVAFNLGNAIGAYAGGLPIDAGLGYRYPALVGVFIVLIGFVAVSLYSKRESASLSKI", "MDKNSLNTNKQFMIGNGMLAFGVFAVIIIFLYMSFRFQRKADKVQTYEGVYNIELTNSFAGDSIAVYLNDSLLLDQTMPDANLKVEIKRFAEDNVLMVVDNKTDKTTPFNLNPEGSRVEVKKSGDVIYILEREADSLLE", "MIDKNVVTRIVDEWLEGKDYFLVDVTVSPDDKIVVEIDHAEGVWIDDCVELSRYIESKLDREEEDYELEVGSAGIGQPFKVLQQYLIHIGKEVEILTKEGKKLEGVLKDANEENFTVTIEKKVKPEGAKRPKLVEENITFAYDEIKYTKYLISFK", "MAKKEETISLIDTFSEFKELKNIDRTTMVSVLEESFRSVIAKMFGTDENYDVIVNPDKGDFEIWRNREVVADEDLTNPNMQISLTEAQKIDASYEVGEEVTDEVIFAKFGRRAILNLRQTLASKILELEKDSLYNKYIDRVGTVISAEVYQIWKKEMLLLDDEGNELLLPKTEQIPSDFYRKGETARAVVARVDNKNNNPKIILSRTSPVFLQRLFEMEVPEINDGLITIKKIARIPGERAKIAVESYDDRIDPVGACVGVKGSRIHGIVRELRNENIDVINYTSNIQLFIQRALSPAKISSIVLHEEEKKAEVYLKPEEVSLAIGKGGMNIKLASMLTEYTIDVYRELDESAMDEDIYLDEFKDEIDEWVITAIKNIGLDTAKAVLNAPREMLIEKADLEENTVDDVLRVLRAEFEE", "MTIRLNKVTRDLNVGITTVVEFLQKKGYTIEASPNAKITEEQYAVLVKEFSTDKNLKIESEKFSQERQNKDRNKASISIEGFESKKEKEEVVKTVIPEEARPKLKQVGKIDLDNLNKKTAPKVVEPVAKVIEQTPKAEPVVEKVVERKETPQPEKETPKPVVVEEKKPEPAPQPAPAPVLEEKKEPKIEKTEEKTPQVKEMEKETPEAAPVQEKEEDDVFKIRPTEFKSKINVVGQIDLAALNQSTRPKKKSKEEKRKEREEKDKQRQEQRKLMKDAIIKEIRKGDDKISKNSVNDDAAKKKKRNRINKERVDINAAGTTNAGGASNNNQRNDNANRPNRNNNSKPNGNNNQGGGKFNKDRFKKPVVKAEVSDEDVAKQVKETLARLTNKTKNKAAKYRKEKRENVQNRLMEQEEMEQEDSKILKLTEFVTANELASMMDIPVTQVIATCMSIGIMVSINQRLDAETINLVAEEFGYKTEYVSAEVAQAITEEEDNEEDLQPRAPIVTVMGHVDHGKTSLLDYIRKANVIAGEAGGITQHIGAYNVKLEDGRHITFLDTPGHEAFTAMRARGAKVTDIAIIIVAADDNVMPQTKEAINHAMAAGVPIVFAINKVDKPHANPDKIKEELAAMNFLVEEWGGKYQSQDISAKKGTGVHDLLEKVLLEAEMLDLKANPDRKATGSIIESSLDKGRGYVATMLVANGTLKMGDIVLAGTSYGKVKAMFNERNQRIKEAGPSEPVLILGLNGAPAAGDTFHVIDTEQEARDIANKREQLQREQGLRTQKLLTLDEVGRRLALGDFHELNVIVKGDVDGSVEALSDSLIKLSTEQVQVNVIHKGVGQISESDVTLAAASDAIIVGFQVRPSSSAGKLAEQEGVDIRKYSVIYDAIEEVKAAMEGMLAPTLKEQITATIEVREVFNITKVGLVAGAMVKTGKVKRSDKARLIRDGIVVFTGAINALKRFKDDVKEVGTNFECGISLTNCNDIKVGDIIEAYEEVEVKQTL", "MATIDIIILAMIGVGVIMGLIKGFVKQMASIVGLIAGLLMARALFGIVAERLAPVLGTSTTVAQVLAFILIWIAVPLGFAFVASLLTKALDAVHLGWLNRWLGSGLGALKYMILIGLAIHVIEYIDPNNEMISATKKKESVLYYSMRDLSGIFFPVFKNVTEQLIEI", "MQEEPNKYVKELTQEKYKYGFTTEVHTDIIERGLNEDVIRLISAKKNEPEWLLEFRLKAYRYWLTMEMPAWAHLTIPEIDYQAISYYADPTKKKEGPKSMDEVDPELIKTFNKLGIPLEEQMALSGMAVDAVMDSVSVKTTFKETLLEKGIIFCSFSEAVREHPDLVKKYLGSVVGPRDNFFAALNSAVFSDGSFVYIPKGVRCPMELSTYFRINAANTGQFERTLIVADDDSYVSYLEGCTAPMRDENQLHAAIVEIVVHDRAEVKYSTVQNWYPGDAEGKGGVYNFVTKRGHCKGVDSKLSWTQVETGSAITWKYPSCILSGDNSTAEFYSVAVTNNYQQADTGTKMIHLGRNTRSTIVSKGISAGKSQNSYRGLVRVAQKADNARNYSQCDSLLLGSQCGAHTFPYMDIHNETAVVEHEATTSKISEDQLFYCNQRGIPTEDAIGLIVNGYAKEVLNKLPMEFAVEAQKLLAISLEGSVG", "MLEIKDLHASVNGKEILKGINLSIKKGEVHAIMGPNGSGKSTLSSVLVGNPAFEVTKGSVTFEGKDLLALSPEDRSHEGLFLSFQYPVEIPGVSMVNFMRAAVNEQRKYKGLPALTASEFLKLMREKRAVVELDNKLANRSVNEGFSGGEKKRNEIFQMAMLEPKLSILDETDSGLDIDALRIVAEGVNKLKTPETSCIVITHYQRLLDYIKPDIVHVLYKGRIVKTAGPELALELEERGYDWIKAQLGE", "MRAEQQYIDLFSQCEAMICRHSAEVLNAPRAQAFADFEKLGFPTRKQEKYKYTDVSKLFEPDYGLNLNRLDIPVNPYEVFKCDVPNMSTALYFVVNDAFYRKALPKAQLPEGVLLGSLKELSEQYPALVKQYYGKLADTSKDGVTAFNTTFAQDGFMLYVPKGVVVDKPIQLVNILRADVNFMVNRRVLVVLEEGAQARLLICDHAMDNVNFLSTQVIEVFAKENATFDLYELEETHTSTVRFSNLYVNQEADSNVLLNGMTLHNGTTRNTTEVTLAGRGAEINLCGMVIADKNEQVDNHTFIDHKVADCTSNELFKYVLDDQATGAFAGKVLVREGAQHTNSQQTNRNLCATRDAHMYTQPQLEIYADDVKCSHGATVGQLDENALFYMQQRGISLKEARLLLMFAFVNEVIDTIRLDALKDRLHLLVEKRFRGELNKCQGCAICK", "MYDIQKIREDFPILDREVYGKPLIYLDNGATTQKPRQVVEAITDEYYSVNANVHRGVHFLSQQATELHEASRETVRRFINARSSNEIVFTRGTTESINLLASSFADSQMKEGDEVIVSVMEHHSNIVPWQLQAARKGIVLKVIPMNDRGELLLDEYEKLFSERTKLVSFAHVSNVLGTVNPAKEMIATAHAHGVPVLIDGAQSVPHMKVDVQDLDADFFAFSGHKIYGPTGVGVLYGKEEWLDKLPPYQGGGEMIQSVSFEKTTFNELPFKFEAGTPDYIGTTALAKALDYVSAIGMENIAAHEHELTLYAMQRLKEINGMRIFGEAEHKSSVISFLVGNIHHLDMGTLLDRLGIAVRTGHHCAQPLMIRMGIEGTVRASFGLYNTKEEIDMLAAGIERVSRMF", "MKYKFTKIILLTAVVAGLTTACTPAGENIPTGKRYEFNNILDITYTPDTLTRCGGWFTDAGSWMGFTLPQKDHWVNGFCGPFSLDMNRRQWMAQSAVTVRYADQANVIFTPDSTCYFPGELYLSASSEEGKIIQRLNFLDASTALLRIHSDAGKELSLTASQWGKEIQVQTDQNTVIARHPSGEIVALTFTPDVSVKGTDNNYQAKINGSEHDTYVAISFYTGEKELSAGLQKAQLALSNPQEGLKANKERWEGYLTKILRKDMKPEYDRIAVKAVVTLISNWRTHRGGLLHEGIVPSHAAYYFVGFWAWDTWRFSAALAKFNPKLAKDNIRAMFDYQQPDGMIIDCIYTDPAENNARDSKPPLVSWAVDEIFTHTNDTAFISEMYPQLMAYYKWWYNKRDHNRNGMCEYGSTDGTLEAAAWESGMDNAIRFDDAKMLKNDGAEDAWSMDQESVDLNAYLALECKLLKKFAGILGVTFDGPDYSSQVADYFFDKEKGFFFDRRLKDGSFIQEPGCEAYTPLWTKVATADQVKAMLPLLTDTAKFSTYIPFPTVAADHPKYNPRGYWRGPIWLDQTYFAIRGLRNYGYNKMADEYTLQVFDRLQGLKEGAPIHENYGTHTGELLKAPHFSWSSSHLLMLYDDYGK", "MKHSLHTVLTLLFVCASAWGENVPWQNPQINEINREPAHAHFIPYTNEANALKQQALPAAQRFAVNPATERRISLDGTWKFLFSKNNEECPTDFYKMGYNTKRWKDIQVPGSWELQGFDSPIYTDVAYPFPANPPHVPTDYNPVGAYVREFTVPAHWKGMDIFLDFEGVESAFYCWVNGKLAGYSEDSRLPAHFNITPFLKAGKNKLAVKVFRYSDGSYLEDQDYWKYSGIERDVYLYARPQSRVQDFKLVAGLTNGYKDGDFNLNITLHKPHPGGTVEVKVMNKGNVIYQHKKEITSATDTLFAQKHLFPAILPWNAETPNLYTLVVSTYDAQGKALESFTQPFGFRSVEMRNGMQLINGVAVLFKGVNRHEHDPHHGRTITVESMIKDIQLMKQFNLNAVRTCHYPNRYEWYALCNEYGLYLVDEANIESHGMQAHKDGTLANNPDWEVPFMQRMSRMVLRDRNITAIVTWSMGNESGYGKHFETLYDWTKKFDPTRPVQYEGGGYDAKSDIYCPMYARVWALRRHVNQRDARPMILCEYAHAMGNSVGNLQDYWNLIYKYDQLQGGFIWDWVDATFDIKDKNGNKIWAYGGDMGFVGVPNDSNFCANGLVAADRSLHPHIWEVKKVYQYIHFEPVAFTTKQIKVTNWHDFIGLEDYKLHWTVETDGKAVQSGEMDFPVMAARSSTFITIPMNLIPNDGKEYFLKLEAFTKKEAPLVPKGHQVAMEQWQLNPEGERLLNATWTARELVDKTVNTERTPDAITLTGENFRIAFSTADGEMTELLYNGKNLIKEGLQPNFWRALTDNDVANNHLERCGIWKFAGKNAKLQSIDLKEDSNKQLATVTVNYKLEAQESTLQTVYQVRPNGAIKVSMHFVPGNKSLPEIPRLGMRMILPAEYDVMTWLGRGPQENYADRKTGYPIGLYTATVWEQFHPYVRAQETGNKTDVRWVALRNKAGEGLLITGEEPLNVSAWNFPLEDIDYVAFNTERRHGGSIMKKDMIWLNIDHRHMGVGGDNTWGAQVHPEYTITPHEWQYSFTMQPLSNQDDAAEKAHKKWF", "MKGSLIIVGFFVLGALCGVYHLIPYDFTQSKLSFYALCALMFSVGVSVGNDPQTLRNFRSLNPRLIFLPVMTILGTLAGCAVVSLFLSHRSVTDCMAVGAGFGYYSLSSIFITEYKGPELGTIALLSNITREIITLLFAPLLVRWFGNLAPISAGGATTMDTTLPIITRYSGQSFVVVSIFHGFVVDFSVPFLVTLFCSI", "MFTIIGLMLTGMLLGFLLRKQKLSGIHKVITVLIWLLLFLLGIDVGGNQKIINGLHTIGLEAIVITLAAVLGSVTAAWALWYVLYKRNKEGQV", "MKKLLYIASICIASLFSACDDYLTVESPDQLTSSSFWRNQSDAEAGLAAAYSQLYLMTYSGDMWSFPEIKWPVEAYREDIIQLGSDALNYPNWVELGNYTYTNGNSQFSYYWQCYYKGISFANQVIEKTAEIPDENIDAATREQLVNEGYFIRAYYHMQLLLNWKEIIVRDKYITDPAELSKPLSSREDAWNFIIEDLKRATSLPATRDADNVGRATSGSAYAYLGFAYLTRAYEEATNKDSYLASAIEAFNQVKGYELVNNFSTMFSGDNKNSKESIFEIQFSMSSANGATYRTQFHRWIGVSELGGWDEILPSQTLISEFKKEGETATTGRYDSRMYATLFFNCDYYNDGNGRVYGYDYNDWFDNKERVAFRKFMPSTYEGLNQNYSAINVPLMRYANVLLMKAEALNEQGHPEQAIPLINEVRSVHGDMPPMTGTSQEAVRAQIEHERMIEFPLENYRWYDLRRWGKLSSALQAAGRTGFNEDKNSFYPTPLTELNANDALK", "MSKKNYFKERIMLLILLFAFMTPFGALAQTIQLTGLVTDAANEPIIGASVVEKGTTNGVITDFDGNFALSVSPKATIIISYVGYATQEVPVNGKTNIRVTLKEDTEMLDEVVVVGYGTMKKSDMTGAISSVDVDDLVKRTTTNPAEALQGKIAGVNIMKAGGNAGAGVQVKIRGVKTFGDNQPLYIIDGFPGDIENVNPQDIQSMEVLKDGAAAAIYGSVAANGVIIVTTKNGKKGDMKIDFSTYVSFTSVAKKLELLNAEEYKSVHKQMYQNYMNQYPDDTEVTMPAFVNKNTGIDTDWQDAMLRGGVSQNYMFSIRGGSENAQYSLSYNHADEKGIFLGNNYRQDNARLKLHMSKYIFDIDANIAFKFTDSKQPEYSIKEMYMISPLVPIYDDTREYGFGLSDFDDLPSNRNVMADQHYEKSTDKKYHTTANVALTMNFTPWLNFKTSYAYRGEHQRQTYHTPAYVADPKAKRDYPYHSETTGYWEEHVWENVLSFNKTFGKHNVNAMAGTSMTARKYTWNSVGVEGKTTVYKVEDGKLITSEIPGGFLDPSFSTVGAGAGGTFDGSGTKWKYNRASFFGRLNYNYNDRYLVQATVRYDGSSKFGKDNRWGCFPSVALGWRISQEEFFPKDIALNNLKFRVSWGRLGNENALGYYDFLALISTYNEMYQGYVKGNGDNAWAGSIARGLENRSLKWETTDTKNIGFDFGFFNSKLTGTLNYYYNQTEDLLITKVLPPSAGMTNPTLNVGKIRNTGFELELNWGDAIKDFDYNIGFNMSTTKNKVVELSDADQVLQGEGLKYGTEHFPTETRVGKPIGAFYLYRTDGIFQSMDEVNAHVNKDGQLLQPNAQPGDIRFKDLDGNGSIDAGDKEYCGSGIPTLEANLNLSFGYKGFDLSIVLGSAWNFKLYNGNKYFYEGMNSKSNMLKSTLNAWTPDNRNTDVPRAVYQDPNGNMKESDRFLENGDFVRLRQAQLGYTLPKSLMQKFYIEKLRFYVSGENLFTITGYDGIDPEFSRASVLNTGVDKLIYPFTRSFTVGAQLTF", "MKNNLLVYILLCLLNLSWANARNKQQEAEALIKKSVEALYNNPKQASYYAAKVIELFPEERLNDQKAEAMFYYSQAEKLLGNFDVSIKNLYDALEYAPPANKELNGQIYALIGALYCKLTDYNKAIEMNEKAISIFKSIGDSISIALCYNDRGIIHYSMNEFNTAEQFLKQALIINRSQKNLRGISANLNNLCLYEGDFNEKLSLINEAIIINKNLNSQWSLGENYNNMGKQYFYAKQYNNALMALQRAYEVASSISAKELICDNYEYLSWVYDALGDHKNAYKCLMQLYTLSKELQSGSKLRIVEQEISHKQYQNQQRKAELREQAYEIELLKRNLFVLVIIFISLIVLSIFLYQWYKRKKNMQLMVTRYNLEQSEHELAELKVRQQELELKSVQSALYNSRQEATSFAVFLHSRNELLEKIREMIKQGYKMDQQALIPHLKKVNAFISQYQNGDKTNSTLLMNVEEKNQEFLQRLSERHPNLTQGEKYLATLLRVNLSTKEISMLTGNVPKTINMNRYRLRKSLNLSSEDDLTDYLQNI", "MLLPYLNKDLIEAGCDEAGRGCLAGSVYAAAVILPVDFKNELLNDSKQLTEHQRYALREIVEREALAWAVGVVTPEEIDEINILNASFLAMHRAVDQLKIRPQHLLIDGNRFKKYQDLPHTTVVKGDGKYLSIAAASILAKTYRDDYMNELHKEYPFYDWNSNKGYPTKKHRAAIREHGTTPYHRMTFNLLGTDPQLEIPF", "MKDTDQPILHKDGVSYVLPFILVTCCFALWGFANDITNPMVKAFSKIFRMSVTDGALVQVAFYGGYFAMAFPAAMFIRKYSYKAGILLGLGLYAVGALLFFPAKMTGSYYPFLLAYFILTCGLSFLETSSNPYILSMGTEATATRRLNLAQSFNPMGSLLGMYVAMNFIQAKLNPMDTAERAQLNPAEFAMVRDADLSVLIAPYLTIGIVILVMLLVIRFTQMPKNGDQSHSINFGPTLKRIFSIHHYREGVVAQFFYVGAQIMCWTFIIQYGTRLFMSQGMEEKAAEVLSQEYNIIAMVIFCISRFICTFILRYLNPGKLLAILAIAGCCFTAGVIFFQDIWGMYCLVAVSACMSLMFPTIYGIALTGLGDDAKFGAAGLIMAILGGSVLPPLQASIIDMNTIWNMPAVNVSFILPFICFVVITIYGHRSYQRGKY", "MKKYPKIGIRPTIDGRQGGVRESLEDKTMNLAKAVAELISSNLKNGDGSPVECVIADSTIGRVAESAACAEKFEREGVGATITVTSCWCYGSETMDMHPHWPKAVWGFNGTERPGAVYLAAVLAGHAQKGLPAFGIYGHDVQDLDDNTIPADVAEKLLRFARAAMAVANMRGKSYLSFGSVCMGIAGSIVDPDFFQEYLGIRNESVDETEILRRMEEGIYDHEEYAKAMAWTEKYCKPNEGEDFKNRPEKRKTREEKDADWEFIVKMTIIMRDLMVGNPKLLEMGFKEEAIGHNAIAAGFQGQRQWTDWKPNGDFSEALLNTTFDWNGIREAYVLATENDACNGVAMLFGHLLSGCGQMFSDIRTYWSPEAVKRVTGKELTGMAKNGIIHLINSGATTLDATGESHNEAGEPCMKPNWEMTEADVEACLKATTWYPADRDYFRGGGFSSNFLSKGGMPVTMMRLNLVKGLGPVLQLAEGWTVDIDPEIHQVLNMRTDPTWPTTWFVPRLCDKPAFRDVYSVMNNWGANHGAISYGHIGQDLITLASMLRIPVCMHNVEDDKIFRPASWNAFGMDKEGSDYRACSTYGPIYK", "MKVTFGQQTTKVKQLADLLSQEISMGKYKSDCTLPSINKLSREYQVSRDTVFKAFIDLKDRGIIDSTPGKGYYVTNKLTNILLLLDEYSPFKYSLYNSFIKKLSINYKVDLLFHQYNERLFNTILRESIGRYNKYIVMNFDNEKLSPHLYKIDSSKLLLLDFGKFDKKDYSYVCQDFDDSFYHALAALKEHLRKYQRLVLLFPEDIKHPRSSCQYFNCFCQDYHIDSAIVENTDRIQVRKGEVYIAIRQIEVVNIIKQSRTTGLKCGEDFGLIAYNDTPAYEVIDQGITVLSINWEELGRKAAEFVLTGQEIRTYLPTEVHLRNSI", "MSKKALLMILDGWGIGDQGKDDVIFNTPTPYWDSLLANYPHSELQASGENVGLPDGQMGNSEVGHLNIGAGRIVYQDLVKINRACADNSIMKNPEIVSAFSYAKENGKNIHFMGLTSNGGVHSSFDHLFKLCDISKEYGIENTFIHCFMDGRDTDPKSGKGFIEQLTAHCENSAGKIASIVGRFYAMDRDKRWERVKEAYDLLVEGKGKQATDMVQAMQESYDEGVTDEFIKPINNSTVDGTIKEGDVVIFFNYRNDRAKELTVVLTQQDMPEQGMHTIPGLQFYCMTPYDASFKGVHILFDKENVQNTLGEYLAANGKTQLHIAETEKYAHVTFFFNGGRETPYDAEERILVPSPKVATYDLKPEMSAYEVKDKLVEAIKTQKFDFIVVNYANGDMVGHTGIYDAIEKAVKAIDECVKDTVEAAKANDYEVIIIADHGNADHALNEDGTPNTAHSLNPVPFVYVTENKNAKVENGVLADVAPSILHILGMPQPADMTGRDLIK", "MIQIDDVVISLDVFREKFLCNLDACKGECCIEGDAGAPVELDEVEKLEEVLPVIWDELSPEARAVIDKQGVVYTDEEGDLVTSIVNHKDCVFTCYDEKGYCYCAIEKAFRAGKTDLYKPISCHLYPIRIGDYGPYKAVNYHRWNVCKAAVLLGQKENLPVYKFLKEPLVRKFGEEWYKELEIAAEELQRRGMM", "MKRLLLSCCILLCVVSAMQAQEQPLISPDDSIRSLVGRLFPNSNPDISAHMNLQFSTSGVANFVEGDLEDASFKLNRVKLEILGSFSKQFSYHFRQSFNKYSNPHSLDNLSSSIEYALVNWKMSDKFTLNVGKQDIALGGYEYYVNAIKVREYSEFNDNISCYQAGVAGRFNLSPANELVLQVVNNRSGENDETYLYGLPQGVEKAKVPVLSTVNWNGLFFDNAVQLRYAASYGQLAEGKNLYCFTAGNIYEKGPVIAYVDLMYSREGLDSKGIISDLQGPVLSAPSTAQHAEYFTTVVNFDYRIHPNWNLYLKGAYERAGIYKTNGHFEKGLYRTTWNAQACVEYFPMRNSELLLFAHFLYKGHHLARRAQALGGMSPDTQRVSIGLVYSIPVF", "MKKIVFFFLMTILIASCHQEELSSVPDEPEGKQPVFDLSEEEVLQGCIYVKLKEEPAGEVRVRSIGNTVTTGVKVLDRAAFSLKIERMERTFPYAGKFEERTRKEGLHLWYNVWFSKETSATRAATEVAFLDGIETAVPVPKIVSRATPETAWSLYGVRTGEWLFNDPDLSRQWYLDNPGTESWQKKGADIRLFDVWKQYNGNPAVIVAVVDGGINQEHPDLQDNLWTNPDEIPGNGMDDDGNGYVDDIHGYNFVDDNATLVPHRHGTHVAGTIGATNNNGTGISSIAGGDGTSGSGVRLMSCQILKSLISIGGEVASDPFIAAAIKYGADNGAVISQNSWGYAATRAGRNASSYINPVHKEAIDYFIKYAGCDNKGEQLDGSPMKGGIVLFASGNANTSDPRIAAPADYEKVVAVAAIEADYRKASYSNYGTYTDISAPGGSLDGDGRIWSTTTERSNNYEYLAGTSMACPLVSGVAALVIEKYGVGKKGFTPEALKEILYQSAYDLDEYNPRYAGQLGHGCVDAAAALEIDVSNLHPFILKSNQITDNMLIFSVSSSMSGNGKLILYNGIGSKVLDLHLKLEAASLHAVDITKLSAGYYTLVYQAKGMEIREKFIKY", "MRRIGLGLFIIGITFAKAYGQEDYGPVNTGAANYLTISADARSAAMGGVGVAAPGGDHAVFHNGAAALSDVTRRGGVAYTYSPWMRDYESGYSLHSLGSFYKINQRNAILLGLRYFGYPEMDGTGEGASGIHPKEIAVEAGYAYEVIKNFSVSATFKYLFSDMGRIGNSRGASSVAFDLGVLYKCEINDWEGAGWSVGLHVSNLGPKINYLTSKDALPATVKVGGAADLPFLQMHKLTLTADLGYRLSPADVQALSVSAGAEYAWRELVMLRGGYHCGDKKKGDASYATAGAGVEYAGVRLDFAWLFAGHEYLARNTFWVSLGYSF", "MKKYTFLFFLGLVASLFTACSDDDNLTDSITPAPESENFFANGMTFASQPGVRSITFTAGRAWQAALDEPGANNWCIVTPTRGEAGTVTVSITVNENESDDTRNVHLNLIAGSAQKSFTISQTPKPIVIPEGLSYSLEEPDADRPLTIYYRAASSSLLYNYQGTVYAHTGIICEGSWSYVQSEWNENTDKCKMSKLDNNVWTLTLSPSIRQWYSSEKTPVKKLGFVLRNEDGSLQTEDLFIPVTDNTYQEFVPASIKKGTLPENVAEGINIIDNSTVTLVLYDKDTDGNHKDFAHVVGDFNHWQLSNEDNCQMYRDDASGCWWITLRNLDVNKEYAFQYYVGTRNGETIRLGDAYCEKILDPDNDSYISSSTYPDNKSYPEGGKGIVSVFKIQQDNYRWSVSDFKVPNPEQLVIYEMLLRDFTASNDLNGAMQKLDYLKSLGVNAIELMPVQEFDGNDSWGYNPCFFFALDKAYGTKKMYKDFIDACHKAGMAVIFDVVYNHATGSMPFAKLYWNSANNKTAPNNPYFNVDAPHPYSVFHDFNHESPLVRKFVKRNLQFLLNEYHIDGFRFDLTKGFTQAASTESSASNYDKSRIEILKDYHAAIKEVKPEAYVILEHFCDSKEEKELAEDGMHLWRNMNNAYCQTAMGWNDDSAFDGLYESIPAWIGFMESHDEERAAYKQTQWGDEALKTDLTTRMRQLEVNASFFFTVPGPKMIWQFGEMGYDVSIEENGRTGKKPLHWEYLENKDRKALHDSYSRLIKLRNDNPELFTSTSQFSWEVGTSNWGQGRFITLSSTTKHMLVAGNFSKTDGAYTVTFPVTGKWYDYLTGDEVEVKDATQKMEIPAHSYRILTTFPCLN", "MKKINLYMLLIFIAALTGCDDNVNDHFALPQTNPQEPVQNVEGFTLSLGSSCTAPILFKDLDDATLLEVAKLTDHPELTEGDTINLEVEVSKDETFTQAVPLPCSVKNGTASVTAGELEEVVKEIYGKAPYARTIYTRATIYIVSHTSAIALPEKITLGKIEATPEALFIDTAYYLIGDVNKWNSEALIKFGHSGKDVYEDPVFSMIVEMPKDECYWKIIPQSNVDGGDIWAPGALGVATNGDDSESGLLVTENPQAGKIPAKGWVKITLNMLEYTYKIEALGNISPFLYVPGGHQGWKPETAPYLYSTDMTHYDGYIYMDADNTFKLTSQKDWDGTNYGYASDTELSTDGGAGNLSVTETGFYRIEANLSTLTYKFSKTEWGIIGDATQGGWDNSTPMTLNAETGEWSITTELAAGNYKFRANNKWDINLGGDLNHLTYGGDNITTEAGTYVITLKLGDASNYHATVVKR", "MKKMIYLFILVFSLSTLVACSDDEGTPVFTEPTEFVLNVPKYASGIYDLKNTATIQLTCSQPDYGFTAATRYTVEIALDQAFEKNATLDTKYSTAKMEVDAQEVAVAISNLLGVNEDEFPKAPIPLYVRLTASALTDNKSEIAGSTITSNIIELPQVLPYYALPAVTLPTNLYLIGSVCDWDWSKCYSMIPVHSNEGMFWSMQYLGADADGNKAAFKLNTATSWDNNQVGIQGVTISEASKKLVDAGGEDNIEIGNPGWYIVVVKVTLEGRNYKYAVDFYKPEVYLTGDTSGGWDSFTEANMFTVPEGKGEFVSPAFVASGEVRMCIKLADIDWWKSEFIILGGKIEYRGTGEDQERAMGNAGQKAYLNFIDNKGAIK", "MKFNLNIKKYVFVGAAILCLSTSSCINDLDQNPIIDKGQSEIINESDCQSFLAKIYSGFGLSGNVGPSGGVQDLQGPDQGSLCFLRGLLSLELYPTDEALWNWKDEGIVELCTNNWDYTLFYAYTFYQRAMLNIRYCKEFLDNYPEDCGIPNIKQFRDEVRALRAMNYYYLIDVYRNPGWVWDDSPTNDKSWKPSQLGAKEIFDKVVTELKDLSENSSLPEKGTMGTYGRMTKPVVNTLLAKMYLNAEVYTGTPMYDQAVSYANKVIHEGGFGLEKNYRNLFCGENHLSPLHGNEIIFAIPCDGENAKSYGNSIMVTAAAYGGLLNPKWLGMDASWTCLKPCSQLVKQFDYSPVAGYDHHGSTLKKDSRFIFFDVKEYVDGENGDNCTEQGVKTRRDVVPVLTDWNSGYLCHKFTNLGWDQNEVTPSAWPDTDFPLFRLADIYLIYAECAARQATGADVSTAVGYINLLRERANGDKSGNISGSDLTLDFILAERSRELYWEGQRRSDLIRFGKFTKEYAWDYKGGPQEGIANIDSKFNIYPISDKDLTANPNLVQNPGYATLK", "LATSTGQPGGGSAIRIRGGSSLTASNDPLIVIDGVVMSSGSVEGLSNPLSSVNPTDIESFTVLKDASATAIYGSRASNGVIIITTKKGKTGSVKINYSGNVSVSTRKNKIDVMTGDEYRDFIINNPNATEAMITAVNLYPGVNTDWQDEVMRTAVSTEHNISAYGSVKDYLPYRVSFGYTNQNGILKTSNFERYTGSVSLTPKFFDDHLNMNLNAKGIYIKNQFADTGAVVGAVSFDPTKPVKNDNNKFGGYFTWTTDNDPNGTKASSAGVNPVSLLEMTDDQSKVKSFIGNAQFDYKVHFLPDLRLNLNVGMDYTKSDGDKYVDPSAPGSYGEDPLKSGSNYLYFYERRNTLLDFYAQYSKDFAKQHFDVMAGYSYQKYHYESNKETWYLSRNEENFGEKTSMNGDQQPAESQYVLLSFYGRLNYTAWDKYLLTFTLRDDASSRFAKNNRWGLFPSVALGWKMNEEAFLKKFKDLSELKLRLGWGITGQQDIQQGDYPYMSFWRYGQGGAMYPIYDESGNVKWVNVVSPSASSPDLKWEQTTTYNVGIDYGFFNNRINGSADFYIRDTKDLINAEVNVPAGTDFAEYVVANIGSLKNTGFEFAINAKPIVSSTWNWDLGFNVAWNKTEITKLDYNDNSDSPGKRFESTGGDGGKTIKIHSVGYAPGTYYVFEQVYDKNGNPMEGVYVDRNGDGEVTEKDLYQYHKPTADVIMGFNSKVSYKNWDFGFNGRASIGNYNYNGIAANAAIGTSAIFSNSALSNQPKAAFNTNFQERQRQSDYYIQNASFLKIDNITLGYSFENFLQGAKYHGLSGRLYATVQNPITITPYDGLDPEVDGGMDRQVYPRPISVLFGVNINF" ]
[ "CTCTCCTAGCAAAGAGGGTGAACCATTGCCTCCGCCATCTGCATTTGGTGGAAATATAGGGTATGATACTCATTTCTATACTCATCAGCATGGAAAGGGCTCTCTTTATGTTAATCTTAATACGTATGGACATTATAAAAGATTGTCATGGAATCTTTATGGGAATTATCGGCCTTTCAGCTATGGTGTGAATAACAAACGGAAACATTATGGGTCTGAATCGGAACTGACGGTAACTTGGCGTGTGAACAAACATTTGGGAATTACTGGAAATATGAGGTATTTGTTGGGCACTTTGGGGTATGATTCCACTACGCACGAAGGAACTTATTACAATTTCTCATCCGGCAGGATGAAGGACCGAAGTTTCTGCTTTTCATTAGGATTCAATTATTATATGCAAGGAAAGAATTTCAAATATAGGAATAAGAAGAGTTTGCAGAGTACGGAGCAGGGGATAAAGTTGTGAAATAATTTTTGTTTGATATGTTGCAGGCACGGGATGCGTATGATATTAGAATCGCGGAAACGTTTACGAAGAGCGCATGAGGAATGCGCGAAACTTGAGAGTGTCATCTGATGTCATAGTACAGGCTACAGGGCTTCCTGAAGAAGAAATAAAAACCCTTTAATTGGATTGCAAAGGGTTTGGCCGGAAAGAATAGTTT", "TCTTTC", "AATATACCGATTTTAATGTTATCAATCTGTAAGCAAATATAGGAATACTTTTTAATTTATCTACTATTTTGCAAGAAAAAACAGGATTTCTTTGAAAAAAAGTGCAATAAGAGGAG", "AATGTAATCTCTTTGCAGCATG", "GTAAGAATTTACGTTTTGTTTTGCTAACAAATGTACTAAGAATTGTGTTTTCTCTTTCTTCTTTTAGATTTAATTAATGAATTATCTGTCATTTGCGCTATCTTTGCGGTGTCTATACTTAGAAAGGAGTAATT", "TGATAAGATATGAAAAGAAAAACCTTATTG", "AAATTAAAAAATAAGTGCTGAAT", "TTAAATAGGCACTCGGAAAGGACTTTTTTAAGAAAAAAAAGCAGAAACATACCTTATTATATAGGTGTGCTTCTGCTTTTTTTCTACTTTTGCCCCAAAATAAAATGGAATACATAGTATT", "TTATATATAGTAAAATACACACTCTTTGTACAGAAGAAGAA", "TAGT", "TTCAAAAAAAACAGGCGGGTGAGTGTAACTTTAGTGTAACTCACCCGTCATTATTACAGAGCA", "AACATTTTCATTTGCTTTTATATAAATATGATAGTTAGTGCTTATTAAAACAACTTTTTAATTCGAGGCTGTGAAGTTTCAATTCTCTCAAATTTTAAATTAACTAACTAAATAATTTTAAAAAGGATTACCGCGTGAGCAGTAATCCTTTTTTGCTTGGTAGATAATTTAATGTAAAGAGAGAGTTATGAAATGAG", "GGTAATATATATATTTAATTGGTTTCTGTTAATTAGACGGTGAAGATTCCTGGAAAGTTGCAAATCTCTGCCTTTTTTTATTGGAAAGCTGTATCTTTGTATCCGT", "AACCATTAAATAGAATTACA", "ATCGATGGAAATAGATGTTTTTAAGATTATAATTGCTATATTTGTTTAGCATAGTGTAGCAACTATCTGTATTTTGATGTTGAACCCTTAAATCGCAAACAGAT", "GGAATGTTTTCATTCGTTCCGGCTTTTATGAAAGTTGCTTTGATGTATGGTTCAAAGCAACTTTATTTGTGTTTATACTTATGCTAAATCCTTTTTCTCTTAGGTATTTTTTTTCTTATCTTTAAGTTCTTTCTTCTTGAAATCCTGAAGTTCAACAAGAAGGAAGATAAGGTGGAGGGC", "GAAGGATTTGAAACAGGTCGTAAGGAAGAATGCTAAAAATGAAACAGGTCGGTATTGCATCTGATGTAAATTGCCCAAGTTACCGGTTTATCGGCTCAGGAAATAATGTTTCTTTAAGTTTTTTTCTGGAGAAATTGACTTCGTAAAGTGATTAAGTTGGCTTGATGCTTGAAACTTGTTGACCGATTTCCGTTCAAAGAGTTTGTTCAAATTATTTCGGTGAATCTTTTTTAAGGAGAGAATCTCTTTTTTGTGATAGTAATCAATTGATTAACAATTGATTGTTTCCTTCTTTTATATATACTTATTTGTATATCTCTTACCAAGAGATATACATTTTCTGTGCAAAAATAAGCAAAGTTTTGAGAACTAATACATTTTTGGCAAAAAAAATAGGAATTTATATAAAAAATAAGCTTCCAAGTCCATTTTTATACTCCTTTATCCTATTTGAAATAGGATATAATATGTTGATATATTGTTCATTAGTTACTTTCTATAGATCTCTTGGTAAGAGATCTATAGAAAATGTCGTTTTTAAGTTCCTTTATTAT", "TAATGAGATTAATATGTATAATCAATTTTAGTTTTTTAAATTTATAAATTTAAAGTTTTTCTATT", "TCTGAATTTAGATGATTAAAAAATAATCATACTATAAAGTAAAAGTCCGCTTCTCCTTCGTGAGAAGCAACTTCTACCCAAAGTAGAAATTATAAATTCTTTCAAGCGGGGAAAGCATACGTATCCGGCAACGGGCGTTTCTTTCCCCGCTTTTTTTAAAGGAAATGACTCTGAAGAATAGTTTGTTTCTTTCCCGCTTAGAGTCTGTTCATTGAATTTGTAATCAATAAATTGTATATACC", "TATACTAAATGTTTATAATT", "TAAGCATGGCTTTGTAGAGAGGTTTGTTTGTTCTTTTTAACTTCTGAACGTGAAGCTAAAATGTTTGACCTCTTTTGCATGTGGCAACAATAAATTGACATAAAGCAAGTAATCATTCCTTTTCTTATAAAAGTGTGCTAAAAACACTTATATCTGAAAACATAACAATTATTTTTGTACGTTTTTTAATTA", "AGTGTTATAAGTTTCTGCAAAACTACAATTTTTTAATAGAATATTTTGTAGCACTGGTAGATAATTTGTATCTTTGCACCGAAACGGATGAATGGTGGGGCTTGATTAAGCCCTTTTTTTGTTCTTAATAGTGAATAA", "TT", "CTCTTCAGTCCCGGCTCGTAGTTAATAATTAAAAAACGAGT", "TACACTGAAGTCTGAGAATATAGATGGTAATGTCATCCTGAGCATAGCGAAGGATCTGGCACCTCGCCCAGCGCGTGGGCTGGCAGATTCTTCGCTTTGTTCAGAATGGCATTACTGCTTTTTGTAATAACGATAAAG", "GATAGAT", "ATGAAGAACTAAGAATTTAATAGAATT", "TAATTTGATGCCTAAAGAAGAAAGTGATT", "GACGCC", "TTTCTCCAAACGAAATAAGTCGCCTGCCATTGATAAACATTATATTAATGGCAGGCTTTTTTTGTATAACAAGAATCAGCGGAACTTCCCACAAGATTGGGAGAAACTTCTCACAAGATTTGCCGCATCTTGTAACAAGATATCAGGCATCCCGGTTTCTTGCGTCTTTTTATGTTCTTTTCTGTGGGCATATTCCTCTTCCGGTGGGAAATATGCCCCTCCCGAAGAATTGCCCCAAAAATCCTTCAGAAGTTTCAGCCTCTCCGCAAACTGCCTGTTCATGGGCTTTCCGGCTGAAGGATTGGCTCTTAAAATTCTTCAGCCGGGTATTTATTCTTTCAGCCGGCATATACGTTTTTTCAGCTATGGCTGTGTACTTTCCGGCATCTTCGGAGTTTTCCCCATGTACCTGCGTGCTTTTCACCTTTCGGCCATTGGGTACGCTGAAGAATTTTTTTTCAGTCTGAAAGATTTCGAGGTATCAATTCTTCAGCCGGAATGCCGATGAACAAAGCGTTTGCGGAGAGGCTGAAACTTCTGAAGGAAAAATAAGTGTTTTGCTATGTGTATATAAAGAAACAGGAGAAACAACAGGACGTATTAATAAAAGCTCTTGATGTTTTTTTAATATGTCCTGTTGTTTTTAAAAAAGGGGCAGATGTTTTGAAGTTTCCTGTTGTGAAGTGTGAACACATACGGATGTATAGGCTGAAGGATATATCATGTTGCAGCCGGAAGATATATAATCTTACACCTGTAACATTCTACTCATTCATTGGAGAATTTTTATCACTTCTGAAAAGAGTGTATTGGGGGTAACAGGTAATACGATATAAAAGTAGGGGGCCGCATTCATCACGAGTGCAGCCCTCCTTGGTATTGTATTATTTATATTAAGGTAAAAAGAGAGAGT", "GTCATTTCGTTATTATT", "GGTTATTGTTATT", "ATCTATGTCGTTTTATTTATAGGCAAAGATACTTCATTTTTAGATTACAAGGCGGTATCACTGTAGCGAAAACCGCCTTGTTGCCTAATTATATCTAACCAAAAAAGAAAATAC", "TTTCTTATTACTTTAAAGGA", "GTGAATAAATTTTAAGGTTAATAATTGTTTTTCACATCGCTAAGTAATAGGTTGTATGTGCAAACTCCTAATTATTATACCCTACAAATGAACTACAATGAATAAAAAAACTCTCTTTTTTAATCTACAAATATAAATGTAATATATTGATATATAGTGTTCTATATAAAGAGGTAATATCTACAAAATGCTGTTTGATAAAAACTGTAAAAATATAGGTTCT", "AATCAAGCGTATTTTTGCAAAAATAGTGATTTTCTTTGTAAAAAGACACTCTTGTTTCTCTTTTAAAAGAATATCTTTGCAAGCAACAATAAAGATGAGCT", "TTTGAAGAATATATAGGAGGATGGGATAACTTCTTTACATTTCATTCTACTTGGTTGAAAATAAAGCGAAGAATCTGTTTGATTCATATGAGTCGTAACAGATTCTTCGTTTCACTTTTTTACAATGGTCTTATTCAGCATA", "TTCTTAAATTAAAGATTGAGAATTAAAAATTAAGAAATGAGAATTGAAAACAAAAAAAGGATAGGCATATGACCCTTTCTCAATTTTTAATTTTCAATTCTCAATTTATTAA", "GATATACATAGGTTTTAGTTG", "GAACTGTTTAAGGTTTTACGCGGACAATGCAAACTGTGCTGAACTGTCCCGATGCAAAGGAATGCAATTTATTCATAAACAGCAAGATGAAAAGGTATGTTTTATAACACAAGATTCGAAGCTTCTATATCGATTTCCGGAAGAATGTGTAGTACGTACTAATCAAATAATATAATTAGAGTATTTGCCGAAGTATATTAACCGCATTACAGAACAAAGGGGGGGAGTCGTGTCTTGCCGGTGCGCCGGATATTGTTTTGCTGGTTTGTGAAATGATTGCCGGGCGTATGAATGCAAAATTGACTCGGGATATGGAATTTAAAGACGGACTGCATTTTATCATCGAACTTCCTCTATAAAAAATAGGTGAAACATTATTCATTGACAGGGCTTTTTTGTACCTTTGCACCCGGAAAAAGCAAGGATAAACTTTAAACAAAATAAGATT", "TGTAGAAAGTATATTTATTTGTCATTCTGAACAAGGTGAGGAATCTGTAATGAAGCATGGATTCGTCACTTTGTCCGGAATGACATTTTAATTTAATAAGAG", "ATCTTGTCCGGTTTGATCATTCCCGATTTATTATATTAACCAAAATTTAATACTACGAAAAGCCCCC", "TACACACAACTGTCCTCATGCTGCACGCTAAAGCGTTTTTGCTGTATGGTCAAGACCTTTTATACAGAAAGCTACTGCATCCTTCCATAGCAAGATGTACGTCTCACAGTGGAAGAACGTAGTAATTGATAGGAGGAAAAGTCTTAAGGATGAGGTTTCCTCTTTTTTATTGTAAGATCGGGAAAATACGCTATATTTGTGAAATAATTAAATACTTCAATTAACAACACAAGATAGTATA", "TCCCAAAAAATAAAGAAT", "ACAGAACGTAAGAGCAGTGCTTGCAGTAACCGTCGGATCGTTGGCTGTAGGGGCGGGTTGAATTTGCCCGGATACACCTTCTTTGTGGTATCAGACAGAAGCATTCCGCCCCTACAGGGAACACGATGGTAGTGAAAAAACTTATCAGACAGCCTCGCCAAGATCAACAA", "AATCTTGTTATTTAAGGGGTAAAATGAAAAAAGACATCAGGCATCATCGGATAAACGGTAGGTGCTTGATGTCTTTTCGGATCAATTAT", "AATCTTGTAATTTAAGGGATGAT", "TGTTTCTCAAATTTATAAGGTTAAACTG", "ACTGTTTCTTTA" ]
[ false, false, false, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, false, false, false, false, false, false, false, true, false, false, false, true, true, true, true, true, false, false, false, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29 ]
[ "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123715|-|74761:75150", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123716|-|75318:75497", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123717|-|75550:77766", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123718|-|77808:79658", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123719|+|79912:81507", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123720|-|81614:81910", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123721|-|82034:82279", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123722|-|82419:84020", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123723|-|84138:84905", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123724|-|84978:85463", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123725|-|86169:87524", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123726|-|87598:90276", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123727|+|90365:90508", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123728|-|90604:92568", "2510065017|RJ2H1_RJ2H1-contig-032.32|CDS|2510123729|-|93015:93269" ]
[ "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000051|+|75151:75317", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000052|+|75498:75549", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000053|+|77767:77807", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000054|+|79659:79911", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000055|+|81508:81613", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000056|+|81911:82033", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000057|+|82280:82418", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000058|+|84021:84137", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000059|+|84906:84977", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000060|+|85464:86168", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000061|+|87525:87597", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000062|+|90277:90364", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000063|+|90509:90603", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000064|+|92569:93014", "2510065017|RJ2H1_RJ2H1-contig-032.32|IG|IG_000065|+|93270:93626" ]
[ "MKRNLMFKVLLMLMVGCFLSIDAFAQQMTVKGLVKDTTGEPIIGANVVVKGTTNGTITDFDGNFQLNANKGDIIVISFIGYQSQEVPAAPSLNILLKDDSQMLQDVVVIGYGTVKKNDATGSVTAIKAEE", "MSLEQFTYSYIFKISQPVYYTMNQLYMICTSTKSFIFLFLSQKSLYKTATFVTNKTKSK", "MNLKKNLSLIAFLCISFIANAQQKLNSPDGNLEMTFTLDGQGTPTYELTYKQKEVIKPSKLGLELKKEDANAKTDFEWTDKKDIDKLDIKTNLYNGFEIKDVRTSTNDETWQPVWGEEKEIRNHYNELAVTLNQPANDRFIIIRFRLFNDGLGFRYEFPQQKNLNYFVIKEEHSQFAMAGDHTAYWIPGDYDTQEYDYTVSRLSEIRGLFSKAYTENSSQTAFSPTGVQTALMMKTDDGLYINLHEAALIDYACMHLNLDDKNMIFESWLTPDAQGDKGYMQTPCTSPWRTVIVSDDARNILASRITLNLNEPCKIADTSWIKPVKYIGVWWDMITGKGSWAYTDDLSSVKLGETDYNKTKPNGKHSANTANVKRYIDFAAEHGFDQVLVEGWNEGWEDWFGKSKDYVFDFVTPYPDFNVKELQSYAASKGVKLMMHHETSASVRNYERHMDQAYQFMVDNGYNSVKSGYVGNIIPRGEHHYGQWMDNHYLYAVKKAADYKIMVNAHEAVRPTGICRTYPNLIGNESARGTEYESFGGNNVNHTTILPFTRLIGGPMDYTPGIFETHCNKMNPTNNSQVRSTLARQLALYVTMYSPLQMAADIPENYERFMDAFQFIKDVAIDWDETKYLEAEPGDYITIARKAKGTNDWYIGCTADENGHSSKLIFDFLDPDKKYIATVYADAKDADWKDNPQAYTIRKGIVTNKSKLNLHAASGGGYAISIKEVKDKAELKGIKRL", "MKRTFALMALLALFGLQHTVSAAIIKKVAPTFWWADMKNPELQILLYGDNISSSDVSISSKDILLKDVVKQENPNYLILYMDLSEATPQTFHITLKQGKKQTVVPYEIKQRKADASNVEGFNSGDVLYLIMPDRFANGNPSNDVVPEMLEAKVDRNDPFARHGGDLAGIENNLDYLSNLGVTAIWLNPIQENDMKEGSYHGYAITDYYQVDRRLGSNEEFCKLVEQAHSKGMKVVMDMIFNHCGSENYLFKDMPSKDWFNFKGNYTQTSYKTASVQDIHASDYERKIAVDGWFTESMPDLNQRNRHVARYLIQSSIWWIEYAGINGIRQDTHPYADFDMMSEWCKAVTDEYPDFNIVGETWLNSNVLVSFWQKDSRLAAPRNSNLRTVMDFPLMEQMNKAFDEETTDWNGGLYRLYDYLTQDLVYADPMNLLVFLDNHDTSRFYLNEEATQNIDRYKQALVFLLTTRGIPQIYYGTEILMAADKANGDGLLRCDFPGGWKNDPRNCFNEANRTPQQNEAFTYMQKLLQWRKGNEVIAKGRLKHFAPNKGIYVYERKYGNKSITVLMNGTDKTQTINLTPYKEVLPTTSAHDVLTDQNIDLNKNLTLPGREMLVLEF", "MKNVTYFFILFLLTLTTPLFADKNSNEEVLKRLDRVIDNKTACHVQKEKEIVDLKQRLHRSKDNREKYELCGSLFNAYLHYQADSALYYINGKMNLLPLLNHPELKNEIVINRAEVMGVMGMYNEALEQLERVDPLELERETLAYYYRTYRAYYGWVADYTTNDAEKVKYLKKTDAYRDSILIATDPCVDRSIVWAEKKIINGRVDSALVILSDLLKETPDERQKGYIYYTLSEAYDMRGDIQKEIYYLALTAITDLKSSIREYASLQKLAQLMYEVGDLDRAYKYLNCSMEDAVACNARLRFIEVTQFFPIIDKAYKLKEEKERQISRTLLISVSLLSLFLLAAIFYLYRWMKKLSVMRRNLSLANQQMQEVNAELAQTGKIKEVYIARYLDRCVIYLDKLEFYRRSLAKLAMASRIDDLFKAIKSEQFIRDERKDFYNEFDKSFLELFPHFITSFNELLVEEGRIYPKPGELLTTELRIFALIRLGVTDSNRIAHFLGYSLATIYNYRSKMRNKAIGNKETFEQEVMNL", "MNLMVEDSKTWFEIYEVAIAVYEENDKYLNKIENNNNEDKIGAFQFQQLESFETKLTEKIFDLPILNVQGGTYPSSKINCVIGQVMLSVWGTITFCHI", "MSNNFYQAFNSISDSMTKTVPKEIKMTNEVVFTESTPLISKDIYRTYRHCRNIEISHLWQYSISPITFIVFCLSAYGYLPV", "LYEIPVEGITVNDEIVESYGNSNAVASLVVNENVYSPFNGDFNFSLVDAQDVNIPFGKYQINEQGDTYLTSYVDAKPGESVTIVPEKKWAGQLFDSYITMADNAQAKADSIRYGVSFDGMTINLNDKAAGNVYFTVHYMNVFGKVKSDNVTLNFNEKTPDAEEITSIVSKKHVVTEVTETAEQAFISDLKPYFDAMGADKRVVWNAEYQEMVLEPQVEWVYENAETGKIETENLTDLVKNIATVDSEGKTTTEAAQIAGIKVNFNTNYGSQLAKIIENGGEFTAKVNVVAAVKIGTLNYFRTIAVINLPFTIEKPSDATLAAAYTFNPSYYLNKIVTVYASEITKANIFSAGTVSGYKAIEDDADKIAVSDNGTITLTEKGETNKAYTLTGGKVTYAGVQFPIADFKVMFAESKNYTTVMPAGISIISGSGNIVTVKYGKAADKNDKNIYYRVDNISGTQDDIQNVVCEVEAKYTQYLTANINGKDIKLTANAGDANKVSVATPVKVKLTITTSTNEKVEDTITVNLTPYPAQ", "MNKKFLSAILFGALMVSSTGTFVSCKDYDDDIDELTSRVDGVEGQIKDLEAKINAANWITSVTPATGGFTVAFNDGSSYTITNGKDGEAGAAGTEWTISEDGFWVCNGEKTTVKAVGQDGAQGEPGKDAQPEVKKENGKWYLWNGTEFEEFAGATPATNVPYYYADPTDPNNYVIMVVCDKDGKNEKSVRLPLNEGLAQITVLENNFNIAYSIAKEGTAWPKWEGGSKEKPAKGEYMVGQSTSSIIVQISPVSYD", "MEYLFLRRKKTRYTSVRQKTLLYRAASRRWEKEFALRTDVRRIDALIYKGILYLMEVRQVFLDSSLSLKTLSAMLETNQTYLSNVVNRYFGCNLKELVNTYRVEYAKELLRSGRCPIEEVPVRSGFGSKSPFYVAFGKVTGMTPRQYVAHERNPLKQEENN", "MKKIPDLGFWKLWNISFGFFGVQIAYALQSANISRIFSTLGADPHSLSYFWILPPLAGIIVQPIIGALSDRTWTRFGRRIPYLFAGALVAVCVMCLLPNAGSFGMTVSAAMVFGLISLMFLDTSINMAMQPFKMMVGDMVNEKQKGLAYSIQSFLCNAGSLAGYLFPFIFAAIGISNIAPKGIIPDSVIYSFYIGALILILCVIYTSAKVKEFPPEEYAAYHGITHESKKEKTNMFKLLVKAPKAFWTVGLVQFFCWAAFMFMWTYTNGTVALNVFDTPVITTMTNGVSRVVLDTQSAQYQTAGDWVGILFAVQAIGSVIWATIIPMIQNRKLAYVLSLVLGGIGFISIFFIHNQYALFASFILIGCAWAAMLALPFTILTNALTGGHMGTYLGLFNGTICVPQIVAASLGGIVLKIFTSPGSVAPEVNMLVLAGVFLIIGAGCVSIIKER", "MKLTFRIEYRTAWGEELGVILDGNNSEPIILRTPNGEHWEGEAEMPDLPACVPVSYRYGVYRDGQCIRRESGTMAHLFCPGKKKNCHYILNDFWKDLPAESYLYSSAFSGDYQSETTIKVTASADGSITFRALCPCLHHKRQVLAISGDCPALGNWDIQKTVLMEEIQPNEWTITLNVSTLEFPLSYKFVACNADSKQVEEWENHDNRMLNNPELKKGEIYLTPETEVHFTSSARKVAGTAIPVFSLRSEKSFGVGDFGDLKKLIDWAAKTHQQAVQILPINDTTITHTWMDSYPYNSISIYAFHPMYIDLNQLGKMKDKEALAVFEARRQELNALPQIDYEAVNNAKRSYLKVMFQQTGRKVLASAEFKKFFEENEHWLLPYAAFSYLRDLYGTPDFSQWPEHTEYKAEEIAALCAPDSSCYEEIAFYYYTQYHLHIQLLDAGNYAREKGIIFKGDIPIGISRNSVEAWIEPYYFNMNGQAGAPPDAFSVNGQNWGFPTYNWEVMEQDNYQWWQKRFRKMAEYFTAYRIDHILGFFRIWEIPSHSVHGLLGQFVPALPMSVDEIQSYGLPFQKDFMTKPFINEEMLNKMFGDKAAFVKETFVQHAHDDIYEMRPEYDTQRKVEAYFSDKKDEESIHIREGVYALISNVLFVPDRKHPSMYHPRIAVQNDFIFGRLDWKEKDAFNRLYNHYYYQRHNQFWYQEAMKKLPILTQATSMLVCGEDLGMVPDCVPWVMDQLQILSLEIQRMPKNPKHEFGHVWEYPYRSVCTISTHDMSTLRGWWEEDYEQTCRYYNHVMGHWGEVPVSAPGWVCEEIVRHHLECPSLLCILSFQDWLSIDEEIRYPDVNAERINVPANPRHYWRYRMHLTLEELIKNKKFNEKLVEMIDTTGRF", "MNEVMFVTGGELLQFLPISSKKRVGMSICFFGHLKEEKYKWGQVGFH", "MSEEEKINGENNYSASNIQVLEGLEAVRKRPAMYIGDISEKGLHHLVYEVVDNSIDEALAGYCDHIEVTINEDNSITVQDNGRGIPVDFHEKEKKSALEVVMTVLHAGGKFDKGSYKVSGGLHGVGVSCVNALSTHMTTQVFRGGKIYQQEYSCGHPLYSVKEVGTADITGTKQTFWPDDTIFTVTEYKFDILQARMRELAYLNKGITISLTDRRIKEEDGSFKKEIFHSDEGVKEFVRFLNRNNEALINDVIYLNTEKNNTPIECAIMYNTGYRESLHSYVNNINTIEGGTHEAGFRSALTRVLKKYAEDTKALEKAKVEISGEDFREGLIAVISVKVAEPQFEGQTKTKLGNSEVSGAVNQAVGEALTYYLEEHPKEAKQIVDKVILAATARIAARKARESVQRKSPMGGGGLPGKLADCSSRNPEECELFLVEGDSAGGSAKQGRSRAFQAILPLRGKILNVEKAMWHKAFESDEVNNIITALGVRFGVDGNDDSKKANIDKLRYHKVVIMTDADVDGSHIDTLIMTLFYRYMPEIIENGHLYIANPPLYKCSKGKISEYCYTEEARQAFIQKYGDGQENGIHTQRYKGLGEMNPEQLWETTMNPETRILKQVTIDNAANVDYIFSMLMGDDVAPRREFIEKNATYANIDA", "MANHKSSLKRIRQEEKRRLHNRYYAKTMRNTVKKLRATTDKAEAVAMYPGVQKMLDKLAKTNIIHKNKAANLKSKLAAYISKLA" ]
[ "GTCTTTAAATTTAAAGTTAAATAATTATTTCAAGTTTATATTCTCAGTTAAAAAATACCTATTGAAAACTGTTTTCCCAAACAACCTGATTTTCTAATCAATCTCTGTTATAATGTATGTTTTTACCTTTTAGAATGATTGGCGGCTGCTTTAGCTAACCATCATCC", "TCGGCTACATTTTATGAGGATTAATGTTAACTGTTTGTCAAAAGATCACTTT", "AATATGTACTGGTATTAAATTATAAGCTTTTACTGGTTGTT", "AATATATAGAAATTAGTGTTTATATCTTCATTTCATTTCATTTGGATGTTGCAAATGTAGGAGTGGAATAGCTGAGATTTGAGTACTAGAATAAAAATATAAATGTTTTATAGATTCAACTTATTGATAATTAGGCGCATTTGTCTTTGCTGTATTGCTGAAAATATAAACGTCAATGAAAATATTTTCGTTGAAAAACTTTATTCTAATTACTTATATTTATATATTTGTGAATCTATAATAAGTATATAAG", "AGATATGATTACAATAATACCAGCAAATGTAATATAAGTGTATTCACTGTTATTCCGTGCTTCAGTAAGTATTAGCTCTAACCTTGGGAGTTTGGTCGTATTTATT", "AAAACAGAGAGTACAGTACCTATGTGAGAGATAAAAATAGCACCACAACTAGTAAACTTCCAATAAGTGTTCAGCGTATCTTCGGAGATGTTTTGGTAGAAAATAGTAACATTGATAGCATAT", "AGTACTGATTGTTTGGCATAAATAAAAAAGCAGAGAGAGAATGAGAGTTGAATAACTCAAAATAATTCTCTCTCTGCTTGGGAGTAGTTCTACAGAGAGGAGGATATTAAATCCTTATCTCTGTGTGTTTAGACTTTGA", "TCCGGTTGTAGAAGCTGCTCTGCTGCTGCTTAATACTCCACTAAAAGGAACTACTTTTCCTAATTTGATAGGCAGTTCTTCACCTTTAGAGTTTACAGCCTTAACGGTTTTTCCTGA", "AATAGAAAAACTTTAAATTTATAAATTTAAAAAACTAAAATTGGTTATACATACCATTTATTATAAAAGGAC", "TCAATTCTTTTTTCGTTTTTTTATTTGCTTATTTTGTCGATCTCTTACTAAGAGATCGACAAAATAGATGTAATATGCATAAAATCAAATAATTAAATCAATGAGAAGTGAGCTGTTGAGAGAAAGATTAAATAAGAAAAAAGGCTTTTTTTTGCTTTAGATCGTATTTTTTTAATGAAAAGTGTATTAGTTATCAAAACTTTCCTTATTTTTGCACGAGAATTATATATCTCTTACTAAGAGATATATAAAAACCTATCTTGTATTTTGTAGTCTAAACAGGTAAAAATCAATTATTTATCTCTTCGTTTTATTATATACTTGGGTGCAGAATGTAACTCATGTGTCAGAAATGAGTACCGCTTTGTACTTTATTATATGGCGTCTGATTTTTTCTATCGGCATCCAGAATTCATGGAGAAGTTGGAATAATGCTGGACGGAATGAAGATGAATGTGTCAAAACCAAAATGACAGCTTTGAAAAGTTGCAGATAGTAATTATAACGCGTAAAAGGGTCGTATCAAACTCTGTATTGAGTAATGATACGATCCTTTCTTTAGTTTTTTAGGATGCTCAAATTTCAGATTATAAGTTCATGTTTTCAAAAACAAAGTTTTGACACCGCGGAGTGAATTTCAGTTTCGGGAAACAGAACATTGAACGGTGGTGATGGAATGAGCATATATTACTTTCGGGAAACGCT", "GGTATGTGTGTTATTGATTATCGTTGGGGTAGGGAGTCACCACAGACAGCCCCAGGCTGTCTGTGGTGGATGG", "GTTATTATTCGAGTTAAATGGTTTAATGTCCCAAAATTAAGACTTTCTGGCAGAATATCGTCTTTCATCCAATTAATTTATAAGAAAA", "TAAAAAAGCCCCGATCCAGAGAAAAGCAGGATCGGGGCTACCTCAAATTGTAAGATGTGAGGATGGATTTTGATTAAAAAATAATGAATGAAAAA", "GTTTGATTCAATTATCTTAAATATTAGCTTTCAAAGATACGAAAAATTGCTGAGACAGGCAGCTTTTATGGACTAAAAAACGTAAAAAAGAGGGGATTGGACGGGTTTGGAATGAGGTCTGTCCGTGAACGCCGGGAAAAGTAGGGGAGTGATGTATTTATTTCGAGGGTAAGTCTTCTGAAGATCAATGGGGCTTCTTTTTCCTTCAAATCCTTCATTGACGCTTAACAGGCTTTTTATCAGCATCTTATCATGTATGATTCTCTTCTAAATCCTTCATGAATGCTTCAGATTCTTCAGGGCTGTTTTCCGCGGAGCGAGGAATTGCGTATTTTTTCGATGTTACGTTTGCAATATGTATTGCTTGTGTTTTATGAAACACATAAAAAGACAAAAGGCCGGATTGAAAAATCCTGCCTATTTTATCTTTTAGTTTAAGACTTTGC", "TTCGACTAATCTTTAATTTTGTTCTTTTATTATTTTTATTTGTAGCCCGTGGGGGAATCGAACCCCCCTTTCAAGAATGAAAATCTTGCGTCCTAACCGATAGACGAACGGGCCGAGCCTTGATTCTGCCGAGCCTTAGCTTGGCTTGCTTTCGGAGAGTGTTTTTCTCTTTTGCGGTTGCAAAGGTAGGAACTCTTTTTGAATTGACAAAATATTCGGCATATTTTTTTTGTAAATACGTGCATTTTCATCTAAAAAACCTATTTTTGTATGTTCCAACGATGCGTATATTTGTGTGGAAAAGCAAAAAACGTATTGCGGACAACGATTACCTAGTATATAAAAGAGAGAAAGAGT" ]
[ false, false, false, false, true, false, false, false, false, false, false, false, true, false, false ]
[ 1, 3, 5, 6, 7, 9, 11, 13, 15, 17, 19, 21, 22, 24, 26, 28, 30, 32, 34, 35, 36, 38, 40, 42 ]
[ 0, 2, 4, 8, 10, 12, 14, 16, 18, 20, 23, 25, 27, 29, 31, 33, 37, 39, 41 ]
[ "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123733|-|925:2229", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123734|-|2267:3769", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123735|-|3773:4510", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123736|-|4507:5883", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123737|-|5873:7003", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123738|-|7068:8465", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123739|-|8489:9823", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123740|-|9838:11106", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123741|-|11119:12573", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123742|-|12592:14772", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123743|-|14777:15151", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123744|-|15156:16070", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123745|-|16067:16531", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123746|-|16566:16793", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123747|+|16878:17717", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123748|+|17741:18721", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123749|-|18810:20102", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123750|+|20364:20798", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123751|+|20808:22616", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123752|+|22616:23446", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123753|+|23446:24780", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123754|+|25282:25653", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123755|-|25968:26882", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123756|-|26896:28740" ]
[ "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000001|+|904:924", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000002|+|2230:2266", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000003|+|3770:3772", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000004|+|7004:7067", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000005|+|8466:8488", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000006|+|9824:9837", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000007|+|11107:11118", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000008|+|12574:12591", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000009|+|14773:14776", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000010|+|15152:15155", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000011|+|16532:16565", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000012|+|16794:16877", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000013|+|17718:17740", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000014|+|18722:18809", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000015|+|20103:20363", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000016|+|20799:20807", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000017|+|24781:25281", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000018|+|25654:25967", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000019|+|26883:26895" ]
[ "MSDETIMPFDFPAENPTIIKVIGVGGGGGNAVNHMYKEGIHDVTFVVCNTDNQALAESPVPVKLQLGKEGLGAGNRPERAREAAEESIEDVKGMLNDGCKMVFITAGMGGGTGTGAAPIIAKTAKDMDILTVGIVTIPFLFEGNRKIDQALDGVEKMSQHVDALLVINNERLRDIYSDFSVMNAFGKADDTLSIAAKSIAEIITIRGTINLDFNDVKTVLKDGGVAIMSTGYGKGESRVSQAINDALHSPLLNNNDIFNSKKILFNISFSTKSELMMEEMNEVHDFMSKFGKDVETKWGLYIDESLEEQVKFTVLATGFGIKDVPGMDNMMNKRTIEEQKKLEELEEEEQRKDERRGDYYGKDTFKNSNKKKRHNIYIFSLEDLDNDDIISMVETTPTFQRTKTVLESIQSKAIAEEEETFNNDAENGGITITF", "MAATDFIVAIELGSSKITGIAGKKHADGSIQVLALASENSSDFIRKGVIYNLDKTAQSLTSIIKKLESTLKASIGKVYVGIGGQSLRTIRNTEVRHLEEETKISQELIDSIMDSNREVPIIDQEILEVAPQEYKVGINLLADPVGVPSDHIEGRFLNIIARNSVKQNIDKCFKQAGIEIADYIISPLALANAVLTNSEKRSGCMFIDFGADTTTVSVYKNNILRHLAVIPLGGSNITKDICSQQIEEEDAEELKKKYGNAYADKSEDGDDNPTYSLDGKCSIESHLLEDIVEARVNEILANVWNQIVLSGYEDKLLAGAIITGGAANLKNMEEAFSNRTKVEKVRMAKESQLSLKGGMMELKKDGTCNTIIALLGAGKENCYRPERPIQVPLFDESGENVEDKRKREKEEAARIAAAAKKAEEEEKLRKATCESLIRNAKELKEAGKYKNALSQLAKARDLGVAEALNQIRDLEKEIKSLKEANNPIKRLTDLFGRILEE", "MIKKILILLFLLLITAYLIVAVTAFNTKPADQVCKGMELIIKDSIDHGFISQKGILRLLNGKKLSPVGKKMGDINTRLLEEELSQHPLIENVECYRTPGCKIGIEVTQRLPILRVMANNGDNYYIDNKGKIMPIPNSSAHVAVVTGYVDRDFAVKELYTLGVFLQAHPLWDAQIEQINVTQAKELELVPRVGEHIIFLGKPGNYEEKFEKLKTFYEKGLNQVGWNKYSRISLEFNNQIICTKKEK", "MDVNTLKSVYFIGAGGIGMSALVRYFLSKGKKVGGYDRTPSELTEKLIEEGAAIHYEESTELITDAFRDPATTLVVYTPAVPDTHKEFTYFRENGFEIHKRSQVLGMLTHAGKGLCVAGTHGKTTTSTMTAHLLHQSHVGCNAFLGGISKNYGTNLLLSDSSEYMVIEADEFDRSFHWLSPYISVITATDPDHLDIYGTKEAYLESFRKYTSLIQPGGALIVRKGIELQPALQNGVKLYTYSQEEGDFHAENIRIGNGEIFFDYVSPLGNIPNIQLGVPVSINIENGVAAMALAQMSGLTDEEIKRGMASFRGVDRRFDFKIKNDKVVFLSDYAHHPSEIKQSILSMRALYRDKKLTAVFQPHLYTRTRDFYKDFADSLSLLDEVILVDIYPAREQPIPGVTSKLIYDHLRPGIEKSMCKKEEILDVLSKKDIEVLITLGAGDIDNYVPQICGLLNKK", "MEENLRIIISGGGTGGHIFPAVSIANAIKEQHPEAEILFVGAEGRMEMQRVPAAGYPIKGLPVAGFDRKNLLKNVSVLFKLVKSQLLARKIIKDFKPHAAVGVGGYASGPTLKMAGMMGIPTLIQEQNSYAGVTNKLLAKKACKICVAYDGMERFFEKDKIILTGNPVRQGLRNHHISREEAIRSFGLDPSKKTILIVGGSLGARTINNCVMEGLDKIKASGAQFIWQTGKIYIGEARAAVAQAGELPMLHVTDFISDMAAAYSAADLIISRAGAGSISEFCLLQKPVILVPSPNVAEDHQTKNALALVNKNAALYIKDAAAKEALLDKAVETVKQPETLKSLSTNIAKLAFTDSANVIAREVFKLADKYRKENGR", "MDLIKDLFKGDKVIWIIFLFLCLISIVEVFSAASTLTYKSGDHWGPITQHSVILMVGVCIVVLVHNIPYKYFRVFPVFLLPLSTLLLIFVMGMGLITGDRVNGAARWMTFFGIQFQPSELAKMAVIIVTAFILSKFQEEDNANPKAFKYIMWITGIVFILIAPENGSTAALLFGVVFLMMVIGRVPWKQLAKLMGIAGVMVAFFVGIVMIMPTHKLNKVPMMHRVETWQNRIKGFFEDKEAVPAAKYDIDKDAQIAHANIAIASSNIIGKMPGNSVQRDFLSQAFSDFIFAIIIEELGLLGGAFVVILYIWLLMRAGKIARRSEKSFPAFLVMGIALLLVSQAMLNMMVAVGLFPVTGQPLPLISKGGTSTLINCAYIGMILSVSRYVAEKEEQKAAEQQAQKEAELAAKTERHQEMVAAMQEAITTLPSGDNATTSLPPEENSLPDDLKAMLNAAGKREPEEEI", "MAKRIVILGAGESGAGAAVLAKKQGFDTFVSDMSTIKDIYKNMLNERGIEWEEGKHTESLILNADEVIKSPGIPNDAPMILKLKSQGTPIISEIEFAGRYTNAKMICITGSNGKTTTTSLIYHIFKKAGMNVGLAGNIGQSLAYQVAECNYDYYVIELSSFQLDNMYKFHANIAVLMNITPDHLDRYDHQMQNYVDAKFRIIQNQTPDDAFIFWNDDPIIQRELHKYGIHGHYYPFAERKEEGTAAYVEQDKVYFTEPIAFNMEQEELALTGTHNLFNSMAAGISANLAGIRKECIREALGDFKGVEHRLEKVCRVRGVDYINDSKATNVNSCWYALQSMKTKTILILGGKDKGNDYNEIADLVKEKCTGLIYMGLHNEKLHDFFDKFGLPVADVQSMKDAVDAAYRMAKKGETVLLSPCCASFDLFKSYEDRGEQFKECVRNL", "MLYYLFQYLEKFDFPGAGMFGYVSFRSLMAIILSLLISAIFGEYFINLLKRKQITETQRDASIDPFNVKKVGVPTMGGIIIIVAILIPCLLLGKLHNIYMILMLITTIWLGTLGFLDDYIKVFRKDKEGLHGKFKIIGQVGLGLIVGLTLYLSPSVVIRENVEIQRGGEIVEVVHKEQDQKSTKTTIPFFKNNNLDYADFVGFLGDNAQAVGWIIFVLVTIFVVTAVSNGANLNDGMDGMAAGNSAIIGLTLGILAYVSSHIEYAGYLNIMYIPGSEELVIFICSFIGALIGFLWYNAFPAQVFMGDTGSLTIGGIIAVFAIIIHKELLIPILCGIFLVENLSVILQVRYFKSGKKKGHLQRVFKRAPIHDHFRTTLAQLDPNCSYLFMKPNSVFHESKITVRFWIVTIVLAAITIITLKIR", "MKLEKIIKGITVNEIIGDASQEISGINMDSRLIEPGHIFVAVKGTQTDGHTYIQKAIEKGARTVVCENLPETLIENVTYIKVNDTEDVVGKLATTFYGDPTSKLELVGVTGTNGKTTIATLLYNMFRKFGYKTGLISTVCNYIDEEAIPTDHTTPDPITLNKLLGRMADEGCKYAFMEVSSHSVAQKRIGGLKFVGGIFTNLTRDHLDYHKTVENYLKAKKAFFDGLPKTAFALTNLDDKNGLVMTQNTKAKVHTYSLRSLSDFKGKVLEDGFEGMLLDINNVEVNVQFIGRFNASNLLAVYGAACLLGKKTEEVLLALSTLRPVAGRFDSLRSPKGYTAIVDYAHTPDALENVLNAIHEVLNGKGHVITVVGAGGNRDKGKRPLMAQEAVKQSDKVIITSDNPRFEEPQEIINDMLAGLTKEDMRKVISIADRKEAIRTACMLAQAKDVILVAGKGHENYQEIKGIKHHFDDKEVLRDIFANE", "MMPNQKNIMLRFSFIILVMVLIGIAIICKAGVIMFAERQYWKDVADRFVKENVTVRPTRGNIISSDGQLMASSLPEYKIYMDFMINKRKGETEEEEKKRLKLQHIKDSVLYANLDTICKGLHEIFPDKSAAFFKQHIKNGRKKESRSWLLYPKRISYIQYKEAKRLPVFNLNKYKGGFHEQAFNQRKKPFGSLAMRTLGDMYPDIEQGAKNGLELSYDSILKGRNGITHRQKVMNKYLNIVDIPPVDGCDIITTIDVGMQDIAEKALVDELKEINATVGVAILMEVQTGDIKAIVNMTKCNDGIYREIRNNAISDMMEPGSTFKTASILVALDDGVITPETVVETGNGVYMMHGRYMKDHNWHRGGYGTINTTKSLMVSSNIGVSRLIDDHYHDNPEKFVRGLHRVGIATPLDLDIPGAGKPNIRIPNKDLSNWSRTALAWMSIGYETQIPPINTLAFYNAIANNGVMVKPRFVKSIVKDGQVVEDIAPEILNPAIASPKAISEIQTILEKVVSEGLGKKAGSKQFHVSGKTGTAQVSQGKAGYTNGTRRYLVSFCGYFPSEAPKYSCIVAIQKPGLPASGGLMAGSVFSKIAERVFAKHLAQDLKEAKDSTSILIPDVKNGDISAAHYVLNRINVNSSGVSEQSTEGKPVWGNVTSNPDNVLFNKKDINNKLVPSVIGMGAKDAVYLLESMGLKARITGIGKVKSQSIPAGNTLRKGQTIQLRLN", "MEEELKQNTQQPKDGQSASPKHMSIRSILGGDILANDFFKRQTRLLILIMILTVLYIDNRYSSQQELIEIDKLKKDLIDIKYDALTRSSELMEKSRQSRIEEYISTEDSPLQTATNRPYLIKKD", "MSEAKQIYHVPVLLNESVDGMNIQPGGIYVDATFGGGGHSKEILSRLGSTAHLYSFDQDEDAEKNIVSDSRFTFVRSNFRYLPNFLRYYGVEGVDAILADLGVSSHHFDDSERGFSFRFEGKLDMRMNKRAGMTAADVVNTYDEERLANIFYLYGELKNSRKLASAIVKARGVKQIVTIGDFLEVIKPLFGREREKKELAKVFQALRIEVNQEMEALKEMLYAATKALKPGGRLVVITYHSLEDRMVKNIMKTGNIEGKAEQDFFGNVQTPFKLVNNKVIVAGNEEVTRNPRSRSAKLRIAEKR", "MRFLGNSEAKTDAKGRVFLPAVFRKQLQAASQECLILRKDTYQDCLVLYPENVWNEQMNELRCKLNRWNSRHQMIFRQFVSDVEVITLDGNGRFLIPKRYLKLAKIQQDVRFIGLDDTIEIWSKEIADKPFITPEDFGKELEEIMGTNNNVEIE", "MKLYKFFHFCAKQKRMCNSLVSFFNLREAALSIIFYHKIINFKPPVYQATSYINSLLKTSRNIFLKYCGELWGIV", "MEKNVCKMTDDSIFLIDIEKILKTKAGKKYKYIPRFVVSYLKHIVHQDELNVFLKDSKNKVGVDFLEACMEFLDAKVEIKGLENLPENGKCTFVSNHPLGGQDGVALGYILGKHYNGNVRYLVNDLLMNLHGLAPLCIPINKTGSQSRDFPKMVEAGFASDNHIIMFPAGLCSRRQGGEIKDLEWKKTFVTKSIETHRDVVPLHFEGRNSDFFYNLANICKALGIKFNIAMLYLADEMLKNRHKTFTLTIGKPIPWQTFDKTKTPAQWAQFVKDVVYKL", "MEEIIAPISKEILKAELSEDKRLRFTNKSHNEIYVITYQDSPNVMKEIGRLREIAFRAAGGGTGKAMDIDEYDVMENPYKQLVVWNPEAEEILGGYRYLLGDEVQFDEHGKPVLATAHMFNFSEVFLKEYLPYTVELGRSFVTLEYQSTRAGSKGLFALDNLWDGLGALTVIKPNVKYFFGKMTMYPSYHRQGRDMILYFLNKHFGDKDKLITPMKPLEIETDKKMLENLFCYDSFKEDYKILNTEVRKLGYNIPPLVNAYMSLSPTMRMFGTAINYGFGDVEETGILIAVNEILEDKRVRHIESFVKQHPEAMKITSGAHPILTK", "MEELHEARKDDRTEFQRDYDRLIFSAPFRRLQNKTQVFPLPGSVFVHNRLTHSLEVSCVGRSLGNDVASQLLKKHPALADSHISEIGSIVSAACLAHDLGNPPFGHSGEKAISTYFSEGQGMALKKELSPMEWDDLTHFEGNANAFRILTHQFEGRRKGGFVMTYSTLASIVKYPFSSQLAGKKSKFGFFLSEEADYQKIAGELGIIRLSKPDEPLRYARHPLVYLVEAADDICYQMMDIEDAHKLKLLTHDETKGLYMQFFDEKRRKRIEEVCRIVTDVNEQIAYLRSSVIGALIKECTRVFTENEEKILTGEFEGTLIMHICSPLKEAYDNCSAIAFQRIYRSSDVLDIELAGFRVISTLIDLMINAVRSPEKAYSQLLINRISGQYNVNAPTLYGKIQAVLDYISGMTDVYALDLYRKIKGNSLPAV", "MKIQVINKSKHALPEYATGQSAGMDIRANLDEPIVLKPLQRCLVPTGLYIALPEGFEAQIRPRSGLAIKKGIGVLNSPGTIDADYRGEICIILVNLSSEDFMIEDGERIAQMVVARHEHAEWQEVEVLDETERGAGGFGHTGKK", "MNRRLKYVPMLCVCLIGMLVSCGTVKRASGLSGNKAVVEEKDPLTPEQRRKYDYFFLEALRMKEKGDLDAAFEMYSHCLDIYPQGAATLFEISRFHMFLNQPEKGEEALKKAVDADPKSFWYKQTLAAYYQGKGNYPKAIYVYEDMASQFPSRLEPLMALIDLYTRTKDYQQVVNTLNRLEALDGKSEQISMEKFRMYLAMNNDQQAFTEIENLAKEYPYDMRYLTILGDVYLNNGKEEEAYETYQKVLKEEPGYAPALLSMASYYEKKGQDSLYQVQLDTILLNDNVDSDTKMNIMRQLILRSEQTNKDSTKIAGLFTSILKEKQENADIAMLAAQYLLTKKMDKEATPVLHQVLEIDPENTPARLQLLSFAIREQNMDEVIKLCAPALEYTPDVLEFYYYMGLAYHQKEKTDEALEVFKKGVNQVTDKSNKDIVSDFYAIMGDLYHIKKMNVEAYAAYDSALVYKENNIGALNNYAYYLSVERKNLDKAEEMSYRTVKAEPTNGTYLDTYAWILFEKGKYVEAKIYIDQAMQNDGSKSSVVVEHCGDIYYMNGDREKALEYWQQAEKLSKEPPQEGSEERSEKELNLLRKKIVQKKYFAE", "MKRVCFYLFSALLIIFISSCSSTRSMKKGVSIGNLSESEYMEELISRSPGWDAITAKMSLAVDLNGKGPTKVNGTLRMKRDEVIQLSIAPFLGIEVARAEISPDGVLVMDRMNKRYVQVPFDELKNLAKADLDFHTLQALFMNEIFLPGKKVLTVRDISSFAVRPENENALIEVKNGKHFAYRFRTNTNDGLLKESHIGLSGTRYGINWRYDKFRPLEQRQFPGTMTVSFEGAAKPVTAVFELSRLSTNKDWEAHTEVPGKYERIELQDLLKQLIK", "MKRIFLLLIACCFLSTISAQSTRKIRELEAKRKELHQQIAESETLLQSTKKDVKSQLDNLALLTGQIEERRKYINTIESDVHTLTSEIASLQKQLNKLQRDLKDKKRKYETSVQYMYRNKSVQEKLMFIFSAENLSQTYRRMRYVQEYANFQRLQGMEIERKQKQIAAKKREVEQTKHAKQNLLKQGEVEKAKLEIQEKERQTLLANLQKKQKGIQSEIRKKKRSAEQLNAQIDRLIEIEIEKARKRAEEEARRKAAAEAAAKAAAAKKAERETTGGASRSKSTEKNESTKKVAPVEKFSLNNEDRQLSGSFERNRGILPVPITGPYVIVSHYGQYAVDGLRNVKLDNKGIDIKGKPGAQARAVFNGEVSAIFQYNGLNNILVRHGNYISVYCNLSSVSVSKGSKVNTRTVLGTIHTDSSGNTVLHFQLRKETAKLNPELWLGR", "MNIFIAGLNYNMSEAELGELFAEYGEVVSVKIIMDRETGRSKGYGFVEMADDEAGDKAIAALNEVDMDGKTLSVSVARPREERPRRSYGNNGGGGYRGGNNSRGGGYGGSRGDNGYGGGRNRY", "MDYQQILKDIYQEIQPYASIGKQADYIPALAKINPDQFGMCIHTIQNKTFMHGEATTGFSIQSISKVFSLAMCLSLEGDNLWKRVGKEPSGTAFNSLVQLEVEKGIPRNPFINAGAIVMTDILLNHLKHPEEEYLRFVRSISGNNQIHYNEEVALSERENGYLNAAITNLLKYYHNIDNDIERVLHFYFLQCSIEMSCYDLSKAFLPFANHKQTFTFEGITLTASQVKRINAIMQTCGFYDEAGEFSYLVGLPGKSGVGGGIAAVYPLRYSVAVWSPRLNPKGNSVMGIKALELLTTQTQESIF", "MDLFNYSRRESSEVNIGATPLGGNNPIRIQSMTNTVTMDTEACVEQAKRIIDAGGEYVRLTTQGVREAENLKNINIGLRSQGYDTPLVADVHFNPKVADVAAQYAEKVRINPGNYVDPGRTFRKLEYTDEEYAQEIEKIRARFIPFLNICKENHTAIRIGVNHGSLSDRIMSHYGDTPEGMVESCMEFLRICVAEHFNDVVISIKASNTVVMVRTVRLLVQEMEKEGMAFPLHLGVTEAGDGEDGRIKSALGIGALLADGLGDTIRVSLSEAPENEIPVARKLVDYILTREGHPFIPGKEAPQFNYLSPGRRKTKAVRNIGGDNLPVVIAERLEGSFETNPQFKPDYIYCGGSVPQSRDNNIAYLVDANAWNPEDKNVYPAFNYQQMIELHHTVSDLKFLFLPYMAMNDEVIAALKLHPEVVIIAQSNHPNRLGEYRAMTHELMNEGLENPVVFFQYYQETKAEDLQIKAAADMGALIFDGLCDGIFLYNQGPLSHIVVDTTAFGILQAGRIRTSKTEYISCPGCGRTLYDLESTIARIKTATSHLKGLKIGIMGCIVNGPGEMADADYGYVGAGRGKISLYKKKECIEKNIPEDQAVEKLIELIKANGDYTEK" ]
[ "GGTTCTTTTCTATTTTAGTTG", "AATCTTCTATATTATATTAAGGTGATGTAATTCGTTA", "ATC", "AATTCCTTTTTAGTCGAATTATTCGCAAAAGTATAAATAATACTTTTAAAATGAATGGTTTATA", "TATCTATATACCTTAATACTATA", "AATCTTCGTTTATC", "CTTTTGGTTGGT", "ATTCTTCCTGTTACACTG", "GGGC", "CTCG", "GTTTACACCTTATTATATTGTTCAAGGTGTAAAA", "ACACAAAAACTCTTAATTTCTTTAGAGATTAAGAGTTTTTTCTGTCATAAATTGCCAATATAAAAAAAGATAAGTTACTTTTGC", "TAGACAAAAGAGAGAAAAAAGAT", "TCGGACTAATAGTAGTATTTGGGGAAACATTGTCAGGTGCAGATAAAATTTACAGGATTCATCGTGCTCGCATCTGACAATGTTTTTA", "GCCGAAGCGTTTATTTGATATTAATTGTTTCCAGTTCATAATTCAGGCTTTATCTGTTTGCAAAAGTAAGAAACTTCCGTTTAAAAAACTGTAAACGCTTAGATAAATCCATCTAAATGTGTAAATTCGCACCTTATTAATCATGTGGCAATGTGTTGATTCGTCAATATGCCAATGGGCTGTATCATGTCTGGACTGTGTTTGTTGTCTGCAAATTATTAGCACATTGCCATATAAGCATATTGATAAATTATTAAAATT", "AAGGAAACA", "AAAAGATAAGTTCTCTTTCTGTATTTTATTACTTTGCGGTTTCTCGCAGTTTGTTTGGAAACTGAAGAATTTTCTGTAGTTCCTGTTCCTGAAATTTCTTCCATAATAATTCCTTCTACGGAAAGTATCTGGCCTGTTGCTATAAAGAATGCTGATCTGGCTGGAGATTGGTTATCTTTTTGATATGTAGTACAGGATAACTTTCTGTATAATAGCTTTTAAAGTATAAATATGTATTTGAGGAACAGTTATTTCCGTTCTAAAACTTGTCTGTTCTTTCAAAGAGAACGAAAAAACATTTATTATTAAAAATAAATCTCCAATTAGTTTTGAGTTCAAGTCTAATTGCGTACATTTGTCCCCTGCATTAAGTATCCTCATAGAAGTACATTAATACTTTTCCAACTTATTCTTTAGTGATGATGGTAGAATCGTTTGTCTCTCTGTAAGATTCCTAATAGCAAAACGCACTTATTTTTTTATTAAAACATTTATTTTAAA", "TCTGTATCTCTATAAAATATAAGGGAACCTGTCTTGGACATTTGAAATGCTGAGGCAGGTTTTTAAGTGCCTGTTCAGGATATCTTCAAAAGACTTTGGCTGTCGGTTATTTCTTTTATTTATGGCAAACAGAAGATGTTCCATTGAGGGTAAAAACGGTTATTTATTAAGCATGGTCAATAATTTCTACATAAATTCCAGGTACTTCTCTCTTTTATAGAAAGGCTATAAGTATTATGCGTGTTATATTCAACTTCATTCTGGATTATTCCAACAAGAAAGAAGATGATTGAGTATGGAGAGATACTTGTTTC", "AATTTGGACGTTT" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, true, true, true, true, true, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17 ]
[ "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123757|-|28900:29376", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123758|-|29501:29881", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123759|-|29918:30574", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123760|-|30585:32054", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123761|+|32183:32413", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123762|-|32763:33671", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123763|-|33676:36102", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123764|-|36404:38068", "2510065017|RJ2H1_RJ2H1-contig-033.33|CDS|2510123765|+|38159:39850" ]
[ "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000021|+|29377:29500", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000022|+|29882:29917", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000023|+|30575:30584", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000024|+|32055:32182", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000025|+|32414:32762", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000026|+|33672:33675", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000027|+|36103:36403", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000028|+|38069:38158", "2510065017|RJ2H1_RJ2H1-contig-033.33|IG|IG_000029|+|39851:39921" ]
[ "MKPIVSIIMGSTSDLPVMEKAAKLLDEMHVPFEMNALSAHRTPEAVEEFAKNAAGRGIKVIIAAAGMAAALPGVIAANTTLPVIGVPVKGSVLDGVDALYSIIQMPPGIPVATVAINGAMNAAILAVQMLALSDTELATKFADYKTGLKKKIVKANEEL", "MEFPSNVKYTKEHEWIRVEGDIAYVGITDYAQEQLGDIVFVDITTEGETLEKDEVFGTIEVVKTISDLFLPVSGEVLEQNEALADNPELVNQDPYGKGWLIKIKPNDSNDVNDLLDAEGYKALVNE", "MEANEITETIAVGKKNSPLEVSSRIISGIFTPFMIPFVAFFLLFFFTYLRIMPIQYKLIVLGIVYCFTILMPMLAIYLFQKINGWGIHELGHREKRFVPYALTIISYVTCLITMYKIHLPRYMSGIIVAALICMILCTLINFKWKISTHVASSGMMVGGLLSYSFIFNFNPVWWLCFFILLSGMLGTARIIVKQHTLLEVLAGFIVGLFCGVIGILFI", "MAQGSRQVQTQAQQQIQTLSPQQILVVKLLELPTVELEERIHSEILDNPALEEGKEMPENEDDNTEYVENEDGNTDSNEDFSLGDYSNEDDIPDYKLQEHNRSKEGVAEEIPFSDAVSFYEILKDQLQMQELTPEQRDIAEYLIGSLDDDGLLRKNMESIMDELAIYRGIYTTEEELNKILEIIQDFDPAGIGARSLQECLLLQIQRKADSPLKQIELDIIGKCCDEFTRKNKERIIQKLGITEEQYNEAVSDLTKLNPRPGSSLGEAMGKNMQQIIPDFIVETYEDDTITLSLNNRNVPELRLSRQFTELLDEHTRNKDNQSKASKDALMFLKQKVDAAQGFINAVKQRQHTLLTTMQAIIDIQRPFFLEGDESLLKPMILKDVAERSGLDISTISRVSNSKYVQTNYGIYSLKFFFSDGYTTEDGEELSVREIKRILKECVDTEDKEKPYTDDELAETLKTKGYPIARRTVAKYRQQLNIPVARLRR", "MFAKETYTTRRNSLKKRGDRFLAILDNGESGDYADNTYHFRQGSTSLYFWDLPYAGLAIWISYCMDGIQPALCEKA", "MSLIFDIKRYAINDGPGIRITLFMKGCPLSCIWCHNPEGIRNGKDKLYTAKKCLGCGTCLKACPNGALTLAPEGIITDKQKCVLCGRCAEECPAMAIEISGTEYTAEYLMHEIEKEIPFMDQSGGGVTFCGGEPLLHPEFLIDILKRCGQQGIHRVVDTTLLARKETVDEVMRNCELLLIDLKSMDSTVHQTFCDVPNELILENIRRVAEADFPYYIRIPLIEGVNADEKNIKLSAEFLASLPRHPEIINLLPYHDIGKGKHAKLGSIYNPKGYKMQTPSEEVQQQCIQILTDYGLKATIGG", "MEISIYEPVDLIITLMKTTINGMTDRIRRLRQESFDTQPSLSIERALIETEFYKENEGKYPIPILRALNFLEICKRKTIYIGEDELIVGERGPRPKAVSTFPELTCHSVEDLHVLNTRELQRYTISQEDIDTYEREVIPYWKGRTQRERIFNHVPKEWKEAYEVGMFTEFMEQRAPGHTSLDGKVYQHGMLDLKERIAHELKNLDFMNDPEATDKQEELTAMSISCDAAIIFAERHAELAEKMAGQETDPKRKEELKKIAEICRWVPAHAPRTYWEAIQMYWFVHLGTITELNGWDAMNPGHFDQHLAPFYEKDLEEGILTRAEAKELMSCFFIKVNNQTAPPKVGITAKESGTYNDFTNLNIGGIKRDGSNGVSEVSYIMLETVDDLHLLQPGSALHISVCTPERFLREGCKVIRKGYGYPSVFNPDTYVMELMRQGKTPEDAREGGCSGCIEVGAFGKEAYILTGYLNVPKILEVTLHNGTDPVSGKKVGLVTGDPCTFRSYEALYDAFLKQIHYFVDMKVRVSNYIDRMFAKYAPATFLSLFIDDCIAKGKDYYNCGPRYNTSYIQCTGLGTITDSLSVLKKHVFEERKFNMEQIIHATDTNFEGQEAMRQFILNRTPFFGNDDEYADRIAIQIFNDLYDAIEGKPNTKGECFHLNMLSTTCHVYFGKMMNATPNGRLAGRAISDGTSPSHGADTHGPSAVIKSLGKLDQMKSGGTLLNQRFLPSLLKHDEDIAKLVSLIRSYFALGGHHIQFNIVDTATLHAAQKHPEEYRDLLVRVAGYSDYFNDMNTDLQYDVIARTAQETF", "MLQICCKNNNISKNFPIGSSLLDIYNGFNLDIPYGPVSAKVNNKVEGLNYRAYNNKDVEFLNILNPSGMRTYVRSLCFILCKAVEDLYPDGKIMLEHPVSKGYYCALQIGRETGLDDVSRIKQRMKEIVEANIPFHRFECHTTEVVELFRRKGMMDKVRLLETSGELYSYYYTLEDTIDYYYGSLLPSTGYIRKFDIVKYYDGLLLRVPNRQNPEILEEVVKQEKMLEVFKEHRRWNQILGVGTVGDFNVACNEGYATDLINVSEALQEKKISNIADEIYHRGKNGQRVKLVLISGPSSSGKTTFSKRLSIQLMANGLKPYPISLDNYFVDREKTPKDEKGDYDYESLYALDLEFFNKQLQDLLHGKEVELPRFNFTTGRREFKGDKLKIDDNMILILEGIHALNPELTPHIPAENKYKIYVSALTTILLDNHNYIPTTDNRLLRRIIRDYKYRGYSAEETIRRWPSVRAGEEKWIFPYQENADAMFNSALLFELAIMKDYAIPILRNVPNNKPEYSEAYRLRKFLEYFASVQDKELPPTSLLREFLGGSSFRY", "MEYSFYDFLKLLGSLALFLYGMKIMSEGLQKFAGDRLRKILTAMTTNRVTGVLTGVLITALIQSSSATTVMVVSFVNAGLLTLSQSIGVIMGANIGTTVTAWIISALGFKVDIAAMALPLLAVGVPLLFSGKSNRKSIGEFIFGFSFLFMGLSLLKTNAPDLSRNPEMLSFVQNYTDMGFGSVILFVVIGTVLTMIVQASAATMAITLIMCANGWISFELGAALVLGENIGTTITANLAALTANTQARRAALAHLVFNVFGVIWVLCLFKPVTMGVSWFVEDIMRTADPAVAVSFKLSAFHTTFNICNVLILIWFVKLIEKTVCKIIPQREQDEEYRLRFITGGMLSTAELSILQARKEINLFAERTHRMFGMVRDLLHTTNDNDFNKLFSRIEKYENISDNMEVEIANYLNQVSDGRLSSESKLQIRAMLREVTEIESIGDSCYNLARTINRKHRSDMDFTPKQYDHIHQMFQLTDDALSQMISLVEDEHHVVDVNKSFNIENEINNYRNQLKNQNVLDVNNKEYDYQMGVHYMDIIGECEKLGDYVVNVVEASSNVKEKKS" ]
[ "TGTTTTTTGTTATTGTTTGGTTTATATATTTACTTCAAGCACGGGTTATACGGATGAACGCGGATAGAAAAATCCGTGAGGTCCGCGTAATCCGCGCTTGAACAATTCCTAATGGGAATCTATA", "AATGCTTTCAATTTATAAGGTTAATACTAATTTTTT", "ATAATTTATA", "AATATTTTTATTTCCTTGTTTATTGCGACAAAAATAGGAAATTGTATTGTAATAATCTTCCTTTTTGGGGGGATTATTTTAAGGGGATGGCTATCTTTACCTGTCATCTATATAAAAAACATAAGATT", "AGGGTGGGAGTGACAGAAACTGGTTCTGCCGCGGATTTGAAGAAGTGTTTGGAAAAAGCAGTTGCTAAAAGACAGGTGATTCATTAGAAAAGTACTTAGGTCAGCCGTAAATTATTAAGGCTGGTCGGATCTTTGGAACCGGAATTTGAACTTACTATAGAACCGGGTATCTATATTAATAGATTGTTGGAAAGCGGAGAAATGCTTTAAGGATTTTATCGATTATGATAAGTTGGAGAGTTATCGTGAGTTTACAGAGTTGCGTAATGAGGAAGATTATTTGATAACGGAGGACGGGGCACGTTTTTGGGGTACGAAGGTCCTTTTACTACAGAACGATGATATATAA", "CTTG", "AAGGGCGGATTAATGGATCCGACCAATTGATGTGCAAGAGAATAAGATATCAAATAGAAAGAAACGTATGCCAAAAGTAAGATACGCTGTCATTTAATCCATCTTTATGGTGGTGTAATGTACAGTCTGCTGGCTTTTGGCATACCCTCCTGAAGAAGAAATGTTCTTAGTAGTAGAACATCAGGCAGTTGAACAATTTTCTATAGCCTTTGGAGTGCATTCACTTATACTTGTTTAATAATGGCCGAAATTACAGTTTGTTTTGCACATAAACAAAACTTATATACTGTTTAATAACAGG", "AATCGTAATAATTATTACTAACGTAGTGAAACGGCTTGAAATTTACTGAATAAAAGGGAGATTAGCCAATTCCTACCAAATTAATTAAAG", "CCTGAAAGGGCTATGGTGAACAGAATAGGTGCAGAGGTGCAAGGTTTAACCTATGATGGGTTAAATACTTT" ]
[ false, false, false, false, true, false, false, false, true ]
[ 1, 3, 5, 7, 9, 11 ]
[ 0, 2, 4, 6, 8, 10, 12 ]
[ "2510065017|RJ2H1_RJ2H1-contig-034.34|CDS|2510123768|-|1014:1598", "2510065017|RJ2H1_RJ2H1-contig-034.34|CDS|2510123769|+|1726:2424", "2510065017|RJ2H1_RJ2H1-contig-034.34|CDS|2510123770|+|2499:2663", "2510065017|RJ2H1_RJ2H1-contig-034.34|CDS|2510123771|-|2848:4983", "2510065017|RJ2H1_RJ2H1-contig-034.34|CDS|2510123772|+|5112:6347", "2510065017|RJ2H1_RJ2H1-contig-034.34|CDS|2510123773|+|6548:7012" ]
[ "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000001|+|993:1013", "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000002|+|1599:1725", "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000003|+|2425:2498", "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000004|+|2664:2847", "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000005|+|4984:5111", "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000006|+|6348:6547", "2510065017|RJ2H1_RJ2H1-contig-034.34|IG|IG_000007|+|7013:7063" ]
[ "MDKFSYAIGLGIGQNLLSMGAQSINVEDFAQAIKDVLDRKETAISHNEAREIVNKYFEELETKLNAENIEKGKSFLEENAKRPGVVTLPSGLQYEVITEGNGKKPSATDRVKCHYEGTLIDGTLFDSSIKRGEPAIFGVNQVIKGWVEALQLMTEGAKWKLFIPSELAYGAQQAGEMIPPHSTLIFEVELIEVL", "MKNLVVLTGAGMSAESGISTFRDAGGLWDQYPVEQVATPEGYAANPKLVIDFYNERRKQLLAVKPNRGHELVSEMEKFFNVTVITQNIDNLHERAGSSHIIHLHGELTKVTSSWQPNNPAYIKELKPEEYEIHLGDLAGDGSQFRPFIVWFGESVPMIETAIEYAETADIFLIIGTLLNVYPAAGLLNYVPARTPVYLIDPKQVPIASGRKVHVIQKGASEGMEELKKILVG", "MKKYVCTVCGWVYDPAEGDPEGGIAPGTAFEDIPDDWVCPLCGVGKDDFEVQED", "MINPIVKTIELPDGRTITLETGKLAKQADGSVMLRMGNTMLLATVCAAKDAVPGTDFMPLQVEYKEKYSAFGRFPGGFTKREGKASDYEILTCRLVDRALRPLFPDNFHAEVYVNIVLFSADGIDMPDALAGLAASAALAVSDIPFGGPISEVRVARIDGQFVINPTFEQLEKADMDLMVAATYDNIMMVEGEMQEVSEQDLLAAMKAAHEAIKVHCKAQMELMEEVGSTVKREYCHEENDEDLRKAVREACYDKAYAIAASGNRNKHERQDAFDAIRDEFKTQYTEEELEEKGALIDRYYHDVEKEAMRRCILDEGKRLDGRKTTEIRPIWCEVGYLPGPHGSAIFTRGETQSLTSVTLGTKLDEKIVDDVLDQHRERFLLHYNFPPYSTGEAKAQRGVGRREIGHGHLAWRALKGQIPAGYPYTVRVVSDIMESNGSSSMATVCAGTLALMDAGVAMKKPVSGIAMGLIKNAGEEKYAVLSDILGDEDHLGDMDFKVTGTRDGITATQMDIKVDGLSFEILEKALLQAKEGREHILNKLTECIAEPRKDLKPHAPRIETMTIPKEFIGAIIGPGGKIIQGMQEETGATITIEETDGVGRIEIAGTNKKCIDDAMRIIKGIVAVPEVGEVYVGKVRSVMPYGVFVEFLPGKDGLLHISEIDWKRLETIEEAGLKEGDEIEVKLLDIDPKTGKFKLSHKVLLPRPEKQEKK", "VDKCFDKPRKDVSLHPKLLREHTFILILAMKKNVYFLLALLLIGLSACDNAPKFKVQGEINGAEDKMLYLEASGLDGIVALDSAKLGSSGSFSFAQKRPESPEFYRLRLDNKVINFAVDSTETVSVKAEINDFATAYRIEGSENNLKIKELVLLQADLQKNVDKLGQNRNIPAGIAQDSLLAMVNNYKNKVKREYIFAAPNMPYAYFALFQSLNGYMIFDPLTNKEDVKCFAAVATSLNNAYPHADRSRNLYNMVIKGMKNTRTPRQQTMEIPEDKIKEASIIDIQLKDLKGNTRSLTDLKGKVVLIDFTVYNNAMSAAHNLALRELYNKYASQGFEIYQISLDGDEHFWKTSADNLPWVCVRDANGAYSSYISLYNVTNLPSVFLVNRNNELSARGENIKDLDEAIKKLL", "MAYMSEEGYKQLIEELKYLESVERPKIVSAIAEARDKGDLSENAEYDAAKEAQGLLEMKISQLKSTIGDAKIIDTSKMNADTVQILTKVELKNAKTGMKMVYTIVAESEANLKLGKISVNTPIAQGLLGKKVGDVAEITIPQGKISLEVVNISF" ]
[ "TTGCCTTAAATGCTTTAATAA", "AACTATTTATTTTATAAGATGTTTTATACAAAACGCACAAAGGTAGGTGTTTTATTTGAATGAATCTGTTTTTAACAATTTAATTTTTCTTATTTTTGTGTGTTTAGTTAAAAGAGGAGGACTAGAA", "TTTGCAAGTAAACAATTTCCTTGTATATTTGTTATGTATTTAAAGAATATTTAAAACATAAAACAGAGACAATT", "TCCTACCTTATAACCGGATATCATATAAAGCGGAGGAATTTCTTTTGTATGATATATCAGATAGCGCGACTAATTATTATTTAGTTGCGCTTTTTTATTTTACATTATTATTAATGGCTTACCTTAAAAAAAAGAGCGTAACCTATAACAGGTTGCGCTCTTTATGGTATAAAAAAGAATGTTC", "AAAAAATGTTTTAATATATTTTTCGTCTAAAATTCGTGCAAAGATAGGCATTTATTCTTCTAATTTGGTGAGAATGAGATAAAAAGTTACTATGATTACTGTTTTTTTTCATTTTAATGTGTAAGAAG", "AAAGCTAATTATGAGTTGAATTTGTTTAAACATGTTACATAGCATCCTTATAAACTTGACTCGTATTAGATAAAAGTCTATCTTTGCAAGACTTGAAATACCAAAGAGGGTTCCGACATTTCCATGTCGGAATTCTTTTTGTTTTTATAGGCATAACTGGAACAATGAATAATTATATAATTTAAAAGGAGGAAAACATT", "TATATAAGGCAGGTCCGCTATGCGGGGCTGCCTTATTTAATACTTATAGAT" ]
[ false, true, true, false, true, true ]
[ 1, 2, 4, 6, 8, 10, 12, 14, 16, 17, 18, 20, 22, 24, 26, 28, 30, 31, 33, 35, 37, 38, 40, 41, 43, 45, 47, 49, 51, 53, 55 ]
[ 0, 3, 5, 7, 9, 11, 13, 15, 19, 21, 23, 25, 27, 29, 32, 34, 36, 39, 42, 44, 46, 48, 50, 52, 54 ]
[ "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123779|-|748:1521", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123780|-|1518:2699", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123781|-|2714:3406", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123782|-|3806:5209", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123783|-|5420:6376", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123784|-|6609:7799", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123785|-|7804:8118", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123786|-|8288:9229", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123787|-|9235:9852", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123788|-|9849:10190", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123789|-|10183:10950", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123790|-|11009:12346", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123791|+|12798:13706", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123792|+|13831:14118", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123793|+|14124:15197", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123794|+|15361:16326", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123795|+|16474:16857", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123796|+|16823:17749", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123797|+|17754:18473", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123798|-|18526:18858", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123799|-|18989:19408", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123800|-|19362:19607", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123801|-|19675:19995", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123802|-|19992:20474", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123803|-|20490:20918", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123804|-|20935:21348", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123805|-|21379:21810", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123806|-|21910:22473", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123807|-|22483:23055", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123808|-|23283:24437", "2510065017|RJ2H1_RJ2H1-contig-036.36|CDS|2510123809|-|24463:24540" ]
[ "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000001|+|660:747", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000002|+|2700:2713", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000003|+|3407:3805", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000004|+|5210:5419", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000005|+|6377:6608", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000006|+|7800:7803", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000007|+|8119:8287", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000008|+|9230:9234", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000009|+|10951:11008", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000010|+|12347:12797", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000011|+|13707:13830", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000012|+|14119:14123", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000013|+|15198:15360", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000014|+|16327:16473", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000015|+|17750:17753", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000016|+|18474:18525", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000017|+|18859:18988", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000018|+|19608:19674", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000019|+|20475:20489", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000020|+|20919:20934", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000021|+|21349:21378", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000022|+|21811:21909", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000023|+|22474:22482", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000024|+|23056:23282", "2510065017|RJ2H1_RJ2H1-contig-036.36|IG|IG_000025|+|24438:24462" ]
[ "MIKYIVFMFGLLLFSSCNENESESFITKEMKNYISNNVDGSYGFFERNMVKEHIDSFSWKSDIYRIQRQLYMYASQRRTILANNYVPLTKTTGWLFPNIFESNFEKPQGYAKKIKESYITEEEREICDYIYYSESVPKIRKMIKDLEEEEFHGDRIYYACGYRTASGAIVDRQFVLYTNGEKNFIEIFELKYNYEMLRDFVQHNLNVDLEESYIQTLLAKYKAIESEDKESQNSFLTIPTSTISNKYSAIFRRLEKY", "MKKIFIGILVLIFFPIAYYFLHLHVLQMSESYVEKKKNTLQKEFYDKLNEYWAGESRLMYSEEYGSTSYVPMDMDAVQFIDNRNEKDATFYSSVERLFPYDRFPLLTSTMFKCLRPGCFRELYELNAVKNMPWRAFLLKYQEKDKFQMFIFKPVAVGYLQSSYNLRDWRPSLDESCTSALEYLIKEDRNYKDCYNQNNKKTINKILSLYNRYYYLQTEGHYQNFEDNNYVNFENIKLSPNPEGEPLCGHRINWIYNGFYRVYYDTYPLLTYEVTFNHLNYNNDKETYYTEHFFVVKVCFWTLFFILFVYLSYLIYRFSKYRSTANSLSSKINIEPDISYLYNEIITKANPKMFIEPYQPNKLTTANEIYSEALKNKHNRDVLKKLLDRIKKEL", "MRRMKLLIFFVCLICTIPSFSQDDYDRSLNELEKVNDLLLDASASFLKFPNNHSNTIIVFNQLKELNTIYKEIQSNKYEVLSKWDNYKVRLFYDTTDKMQAIADAFEELLRTIAGYTSSGIEGTVMEVLLEPLFDEAGWEKRILNVTCPDAYFVEYSYEDFKMMFVKSTRPRNDYMNNCYHNIEVTFTYEGPYGAGGSYYVGGNKYRMIQFKDNENVSYRKVVKAKSIRK", "MATKSSIHIKPCRIASSEAHNRRTAEYMRNIGESRIYVVPELSTDNEQWINPDFGTPELRTHYDNIKQMVKEKTGRAMQEKERERKGKNGKIIKVAGCSPIREGVLLIRPDTTLADVRKFGEECQRRWGITPLQIFLHKDEGHWLNGQPEAEDRESFQVGSRWFKPNYHAHIVFDWMNHETGKSRKLNDEDMVTMQTLASDILLMERGQAKAVTGKEHLERNDFIIEKQKAELQRIEETKRHKEQQVSLAEQELKQVKSEIRTDKLKSVATDAATAIASGVGSLFGSGKLKDLEQANESLRHEIAKRDKGIDELKVKMQQMQEQHGKQIRNLQEIHHQELEAKDKEISRLNAILEKAFNWFPLLKEMLRMEKLCYAIGFTKDMVNSLLTKKEAIRCNGKVYSEEHRRRFEIKNDVFKVEKSSVDDNKLVLTINRQPISEWFKEQWEKLRQGLRQSTEESRKSRGFRM", "MTIEEAKSIRIADYLHSLGYSPVKQQGINLWYKSPFREESEASFKVNTEREQWYDFGLGKGGNIIALAAHLYATDHVPYILKRIAEQTPHVRPVSFSFGKQSSSEPSFQQLEIVPLSSPALLAYLQGRGINTELAKRECSEARFTHNGKRYFAIAFPNASGGFEVRNRYFKGCIAPKEISHIRQSGKARNTCYVFEGFMDYLSFLTLRQESCPNYPELDGQDYIVLNSVSNVNKALYPLGNYERIHCFLDNDHAGMETLRQIRMEYGRDRYIRDASQIYRGCKDLNEYLQKQVERKRQVQSVKGVSSQSPKKKNGFRL", "MKEEEYMRVGTTLYKVVNQPCANGGYEKKRVVWNNSTLRQDYGKNYLATVPKYDGFCTVPNHLNYQKEIEGFLNLYEPIEHKPQQGDFSHIQSLMRHIFGEQYELGMDYMQLLYLQPTQKLPIVLLVSEERNTGKSTFLNFLKAVFENNVTFNTNEDFRSQFNSDWAGKLLIVVDEVLLNRREDSERLKNLSTTFTYKVEAKGKDRTEIAFFAKFVLCSNNEYLPILIDAGETRYWVRKIMPLQSDDTNFLQKLKAEIPAFLYFLTQRELSTTQESRMWFNPRLTHTAALQKIIRSNRNRLEIEMTELLLDIMSNMNVESVSFCLNDLVTLLLYSQVKVEKYQVRKVVQEVWKLTSAHNSLSYTAYEFAPHRECHYEPKRKTGRFYTVTKEQLTAI", "MTDILAIIQNGNGNIKLEVTGEDLLLFSNQLISRAKHELSTAIAEARKEKYLTKEEVKKMCGVCDTTLWHWSKKNYLKPVKVGNKVRYRQSDIQRILGEHNPLI", "MGQQEYDNFKRLIKEWLDNHPDEYADFVEEMNDKKFKGFFKVFKIATKLAPKYKEAARKRIGDDRTPDFEELENILLHSDLAEKIVNEFHNPNKKSIVPAMLAWLYYGRSYECMVEQGEELTQRKDIPKLYKWLISGMVKFIIRKSITNGMRSKEDWQVFRKQQKAIEENTLVEWAIEEDEDTDEEDTDTLSEEQPKTAGRKADTRTLSELLIERHAILIERIGTRLKTHATETDIARLFIALVEYRFMRKCPIKTFRNALYEQFKEQEIIHERGIQKAYRNLTSPFGNSKKLVKDIGEDHEAIEELKAYLSN", "MITEDELKKYLPKYLSEENYKTLLAELKSFPYNIDGRMYTSMLDKNVIFQGDGLKKMPIIDLVNIERGVKNVSCLILSNTCDMDLSNSRMFPASIMYAPIINLTTYISVLQKQGVNSSKIENHISDLKQQKITQIIFLPANSQMEDSIVFLDKIYHVDNRFINRDTLEDQRLFSLSDYGFYMLIFKLSIHFSRIQEKVNRGCIAN", "MNSLTTYINTNILLMSALSCMTLTDTELLSLSSRQTYNDAIIPSINTPVNPINIYTNYSIKDIPISTETIHVNIPEHEDFEIINSFANKMLTEATPIDEEIQNVINDHFWDML", "LQENKFKICIMDRLLPIRIEACPLIDALIEFRFEAAITKSAVFGIIYNLIKEEYRGNVINLPILQIPEQIREVDPNLKFKPLYRIENERFVIQIGYDVLSISSKMPYIGWSDFSKHSFSIINKVISSGIIKRVSRIGHRYINFFKGDITNGLTMSFSMTEKYTSENLLIRTDVKDGNFMNTLQFVNNANYKPHPNSIEVVGSLIDIDTSREYSDNYFTSNIEQEINMAHKCEKKLFFSLLKPDFLNSLKPVFENE", "MKVTFIIKKAAKRYDTESMATIYVRFRNGRQLDSVAPTQLAINPNLWDDKDECVKTKAVCNEEMRTHINEEIRHLKTYIEKVYQQEKETINKEWLKITLDKFYHPEKYFMPEQVVIKPTTGELFDEFLNKHPLSEVRKKNFRVVKRALLRYELYVRETKRGQKNFVLDVDLVTPDTLRDMWDFFQNEYQYYELYPSIYETIPEKRTPQPRSKNTLIDCFSRIRTFFLWCFDNKHTTNRPFDKFPIEECTYGTPYYITLEERDRIFNADLSATPQLAIQRDIFIFQTLIGCRVSDLYRMTKLNVVNEAIEYIPKKTKEGNPVTVRVPLNDKAKEILERHKEYKGKLLPFISEQKYNDAIKKIFKLAGVDRIVTILDPLTHNEVKRPIYEVASSHLARRTFIGNIYKKVKDPNLVSALSGHKEGSKAFRRYRDIDEEMKKDLVKLLD", "MENHIETNFREIQKILDSCIAHDYKTKVDALFLKREYLTQAQLKDYLRQEIFRVTENIVAIQQKYRVVRNIVLDMDIPDFLWESGYFEDLNYDERKKYIGFRCSDFDMDAYLHEPSCYDGRLPYLSIIVNLVVLSKYLRYLQEQESNYHTDAVAIQEQALPKEKEESADTNPTKIVGKSNPFKSTLKDNEIKLLTDCVNEANMFTTTVSAKILTDFFNCKLNGVLKVNNTRLLAYLMMQLSCYNYIVYEWQSVIANNKLILKKIKGEPLTRTDLSSATDQAKNIYPKGYEIIDKYIKQLQKG", "MEKNLELRVSELEKMLFLSKNVLSFDEASKFLNLSKSYLYKLTSGNLIPHYKPQGKMLYFEKAELEAWLRQNPVKTQAQIEQEAQKYILNRPLKK", "MENRKATEAGQDITMQKEDFAALWKTIHLKVTDTYEVPPEILWVNGSTIGTLGNFSASTGKAKSKKTFNISAIVAAALKNDEVLKYSAYLPPNKRKILYVDTEQSKYHCHKVMERILRLAGLPTDKDRDDFVFIVLREQTPDKRKQIIGYMLENMPDVGLLIIDGIRDLMYDINSPSESTDLINLLMRWSSGYNLHIHTVLHLNKGDDNTRGHIGTELNNKAETVLQITKSQQDGNISEVKAMHIRDREFDPFAFRINDNALPEIVDDYVFQQPKQDRNFPLTELTEQQHREALENGFGKQVVQGYSNVIAALKQGYASIGYERGRNVLVSLNKFLVNKRMIVKEGKGYRYNPDFHY", "MNIEDVKQIPIADYLHSLGYSPVKQQGNGLWYKSPLREEHEPSFKVNTDRNLWYDFGAGKGGNIIALAKELYYSDSLPYLLNRIAEQTPHVRPVSFSFPQRRTEPSFQHLEVRDLTHPALLRYLQGRGINIELAKRECKELHFTNNGRPFFAIGFPNIAGGYEVRNSFFKGCIAPKDITHIRQQGEPREKCLVFEGFMDYLSLLTLRMKNCPTMPDLDRQDYVILNSTVNVPKAIDVLYPYERIHCMLDNDKAGYEATRAIELEYSYRVRDFSGNYRGYSDLNDYLCGRKQEQRNSTSQAQEIKQETGQRAAPRQKRGRGI", "MTNIKDKPGGRPAKKRIEKQQRVVSTKLTELQYYAIRKRAGEAGLRVSEYVRQAVVSAEVIPRLNRQDADTIRKLAGEANNINQLAHRANAGGFALVSVELVKLKNRIVEIINQLSDDWKNKKGKRV", "MIGKIKKGSGFKGCVNYVLGKEQAVLLHADGVLTESRGDIIRGFCMQTGMNPDLKKPVGHIALSYSAVDAPKLTDEKMIQLAQEYMREMRITDTQYIIVRHQDREHPHVHIVFNRIDNNGKTISDRNDMYRNEQVCKKLKAKHGLYFAGGKEQVKQHRLKEPDKSKYEIYNAVKNEIGKSRNWQQLQGRLAEKGITIRFKYKGQTNEVQGISFSKGEYTFKGSEIDRSFSFSKLDKCFGDAGLNTAGNYRQTVSVPVQEPAQTPGKADNPLLAGLGGLFSASSSPADEMPDDSFLRKKKKKKKKQLKL", "MEENLILEGLLSMVTELKEKQEAQVTPASREETLERLNTIEQALSELHSNPAVPEKDLQAIRSQLDEIRNRMQGQQKHIEDTKKIVLETYRCFKVMIDALGSCKTDKEEAAPLPLYQRIYNKVASWIRPGLFLFSAVLVICSVSIFLNIRLAERMQQLQDNDMKYRYLLMQGQADGETFDMLENKFKWQRDNGFIRSLTDSVMDFEYRIQKQAEALERARLLNEQAEQLKKEADKLGKP", "MYIDNDEFGEWMQKLYAKLEELCKDVRVLRNADRVLPEDDNLLDNQDLCLLFKVSIKTLQRYRAIGALPYFTISGKVYYKASDVREFIKERFSVTTLRQFEKEHCTKKKK", "VYGLSKKKIPYLHLVDEAIGLLNTEIRLIEWRIKYPEQLKQRIEKQKLSPLYLADKTALINIMEMVSGLFLSKDIVYQNGKPAYLVDLSKGFEWLFNIKISDCHQKHEDVIKRKPGKLTEFLNGLAELIQKEHDKKGYR", "MNNKHILQQRLFRLLSEYSQRKVSASELEEAIEELAIHVANFCINEQNYGVLLRYFSFGLYRLKSYRVRFEQEKNTLFAFS", "MKSYNGRIPACGVFCGGCPAYTREKSPCKGAELSNSRCEKCKTFHLCCLGKEITHCFQCSDFPCAKLRRFTKSWLKYGQHFIENQKLLKDIGEINFLKYYNEKVED", "MDKDFTIRIAQPSDALEIKDLFQDTVLNINKRDYSKAEVEDWASCGNDLSHIKGMIKTHYFIVVTNQQSQIVGFASITLQGYLHSMFVHKDFQGKGIATILLNEIERYATATGLIRITSEVSLTARSFFEKRGYIVEEEQKRKANMLSLTNFWMAKKLSK", "MKISTILFFCLLMTACMQTKSFHRINGWYYVTSQTTDSLSQTPFLTVKDFDTLRLETEAFGHSVITGVFLQDKLPIWREATTKSVGKYIAFVFNDTVITAPQVNSPIESGCFQISNPHGYDLERIFRELQKKYSYDKRHINK", "MKKKITLCMVLSTLIICAMLCLTNCSNDDAPTSVFTPEALKQTTWQAQMTVYNIEDEIDYTKQSILQFTNESEGIYTRTNEEDGYMWNTDFTYQVNGKIITFDNIAGPWTVLEYTGTHLVMEAYNPNKMVWTLEKMY", "MIRKLTQEEYNNAADLSYRVYMECGRNDFTQEGIETFKSFVYDTSLMNTLDIYGAFDNHLLIGIIGVHRERQHISLFFVLPHYHRQGIGKSLFDYMMSNCNFTYITVNSSTYAETFYTSLGFKKVGEKEINKGIVSIPMKRNI", "MKEFNSYLNNLDYSLVKELFARKGILRTYHKKDFFIRQNEVERFAGWVKYGTFQYTHIDEEGEEHIVGYAFTDEFVCDYSSFMRSRLSAVSIQALTDCSVYEISRHDIIECWEMNMETQRLGRYVAENLYEMVYERLLNSYCTPEIRYQRLMKRCPDLKDTVPLKSIASFLGVTPETVSRIRHKLEK", "MEDFNSQYANHSKLEQLKQFILEHGTYIELKKGEQFTIQGKVNHRGAYIQHGLLRYTRVDEKGNIHIVGYTFSGEFTGSLCTLIAPNQPSLVTIEAVCDTKICYMLYSKVEEFFAANVKTMQLKCTLVEQSYLLMYHRLLDMYCKTTEELYLDLLNRCPDIQEYITLKEVASFLQVTPETVSRIRRKLEK", "MNFEALVKHISTIQNTLQAQAAHAVNLALTSRNWLMGCYIVEFEQNGEDRAAYGEQLLKRLEQRLKTKGLNERRFREFRRLYLVYPQLKEPITQYIASQIQIRQSLTAEFNEPIRRLVTAESENGIWKLSTEYPQTETWMIPADRLFNRLSSTHLNTISGIENPVKRAFYEMETIRGCWSVKELERQIASLYYERSGLSKNKEALSALVQQQATLLQPKDVINTPVTLEFLGLNERALVTENDLEQSILDNLQRFLLEMGHGFCFEARQKRILIDEDYFFADLVFYHRILKCHVIVELKIDKFRHEYASQLNMYLNYFKTEVMQPDDNPPIGILLCTEKGDTLVKYATAGLDPNIFVQKYMIELPTEEEIKEFISSSNYESYKL", "HTEKYTRAVDKLKEEAINSLPELKF" ]
[ "AATATATCTAAATTATAAATGAAATTTCCACTTATTAGTATTTAACATAACTTTTAAATAGCATTAGGTCTAATGTTACATTTTAGGA", "CTTTACAATTACAT", "TTTACAATTAAATTTATTCTACAATCAGAGTATTTAATTAAAGTATAACCTTTTAGATTACATATACAATAATCATCTAATATCAAATATAAAATTTATTATTCTTCTCAATATCCAAGAAAAGATAATATTAAATTATAAAGCGTGGAACTGTAATGCCACGTCTTTGAATGAAGGTCCTGAGAAAACCTTTGTGTACAGATGTAACAATAGCAGCCCACGCTATAGCGTGAGAACCACTATGCTATTCTTGTACACGTTTGAAAGTTTCTCAGGTTTTCATTCGCAAGAAATAAGCATAACGCTTCTTCTAAATTTTAATATGTCTTGGACAGAGTTGTCTCAATCCGCTTACAAAGATATTTAATTTTATTGAGATACAATCAAATACTATCAATA", "AGCTTCTTTTCTTTGTTTGCAATTGATTATTCTGCTCGCTTTCGCAGAGGGGTTCTTAGGGGTAACCCATAAGCGGAGATTGCAAAGAGAGGGTCACTCTTTGCTCGGGGTTCTCAGGGGTGAAACACCCTGAGTGGGTCATTAGGGTAAAGCCCTAATCCCCTCGGGAGAGCCCACAACTACGAAAGCGGAGCGTGTAGTTATAGTGGG", "TTTCTTGCGGATTTGTTGGTGAATGAAAAAATGATGATTTGATGAATTTGGAATTTAACACACTGTTAAACAGCGTTATATCGGCTCATCTTTTTCTCATCAGATTGCTTGCCAAAAGAGAAATGATGTTTTCTTTTTTCATCAGCAATCGTTGTTGATGAGTGTTTGATGAATACATATCTATCTGTAAGGCAATATATTACTTCCCTTATTCATCATTTCATCAAAATAA", "AGGC", "AGGCTTCTTGAAACTGCCGGTTGTAAGACTTCCCGTTTCGGCAGTATTATAAACGAAAAGCCACTACCCCGATTAGGATAGTGGCGCAAATATATAATGAAAATTAATTGTAAAACAATGATATTCAATTCGTTAAATTCGTAAAAACGAAAAGCGAATTAAACGAACT", "ACAAG", "AGTTAGGAATTTCTTTTATTTGTTTCTTGATTTTATACGCTAAAAAGTTTAAAGTATA", "GGGCGTACGGGTTAAGTTTCTATTCTGATACAAATATAGATATTTTCCCCACATTTTCCCCACATCTGCTGAATATTTTGCAATTTGATTAACCGAGATTAAAATTTAATCTAATTGTAAATGCTTGAAATATAGTTGGATTGCTGTGTTTTTCTGCGCTTTTCTTTTTACCCGCATTTCCCCCACTTTAATTATTTCAAATGCGGGTCTGGGTACGAATAAACCCTGATAATCGCTTGATTATCAGGGTTTTCTACTTTCATAGGGCGTACTAAATGTGTACTGAATGACGAATACAAAGAAAAGGTGTACTTTTATAGTTTTTCTCCCTGCGTCTTTATGATTTCTTAACTATTACCTTATCTTTTCTTCCTTATTATTGCCGATGTTTTCGGGAAAAGTGAGATTCTTACCTTATATTTGTAGTAAGAACGTTTAAAGGCACACGATT", "GCATAGATTGAACGCTCAAACAAAGGTCAATTAGACTTCATTTTCAAGCTCTTAACTTTGCCCCCGTTAACAAAAAAACGAGGGTGCGCACCTTCATATGGTTTCACCTAAATTCCTAAACAAT", "CGGCT", "AAGTCAGTTTGGTTTAGTCCGGGTGTATATACAAGAGGAACGGACTTACTATTTCCCTGTATCGGAACAGGCAGGTTTAGTATGGTACGGGTATATATATAACGGACTAAACAGGACTGGTGCACTTTTCAACTATTTTTTAGTCCCCAAAAAAGAAAATGTT", "TCCGGCAGGATTACCAGCGACAGGCGGTTTAATTTGGAGAGCAAGGTTATGTTTCGGTAAACCGAAACTACCTTGCTTTGCTTGACAGCAAAGAAAATTTCTCCCGTTGGTCGCAATTTTTAAGACACCATTTAAATGTAAAAACCT", "GCGT", "ACGGGGAAAGCCGGGATGGATGAAGCACATTCACCGTTCCGGCTTTTCAGAT", "AATTGCTTCATGTTTCAGATTATACATTCTTGTTTGTCAATCGATTGATGAAGCAAAGTTCTAAAAACGGATGCAAAGAAATTACAGGGGAACTAATGGAATCCCCTATAAATTAATTATAAGTTGCTGA", "TGTATATATATTTCTAATTTAAGAACTATCTATCTAAAAGAATATTATTTTCAGAAAGAGATAAAAC", "GTATTGTAAAATTAG", "GTCCGCTATTTTTAGG", "CTTATCTCTATTTTAAGTGATTGACAATAA", "ATCAATATTATTAAATAATGAATGCAAAATACGGAAGAATAGAGAAAAAAGGTCTTGACAATTGTCAAGACCTCAATATAATGGATGTTAAAAGATTGA", "TATTGTAAG", "ACTATAAACTAATTACAATTAAAATATAGAATAACTTCGTGAGTTGTTCTCTCAAAAAGCAAAAATAGATTCCTGACTTTATCAAAACATCTTCTCTTTTTTTTATCCATTTTATTGTGAGTTTAGTTTGTGCTTTTGTATATATATCCAAAACGAACTAAACTTATTTCTATTGACTGAAAGTATATGCTGGCGAAAGAAGAAATAACCTTTTCTTTTCGCCAATT", "AGTTACAAAGATAAGTCTTTTATGA" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, false ]
[ 1, 3, 4, 6, 8, 10, 11, 13, 15, 17, 19, 21, 23, 25, 27 ]
[ 0, 2, 5, 7, 9, 12, 14, 16, 18, 20, 22, 24, 26 ]
[ "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123814|+|740:1126", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123815|+|1138:1455", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123816|-|1405:1557", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123817|+|1757:2095", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123818|+|2120:4426", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123819|+|4430:4780", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123820|-|4721:5485", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123821|-|6344:7981", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123822|-|7997:8518", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123823|-|8536:8967", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123824|+|9072:9557", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123825|+|9631:9987", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123826|+|10164:11675", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123827|+|11700:12215", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123828|+|12218:13750" ]
[ "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000001|+|688:739", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000002|+|1127:1137", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000003|+|1558:1756", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000004|+|2096:2119", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000005|+|4427:4429", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000006|+|5486:6343", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000007|+|7982:7996", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000008|+|8519:8535", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000009|+|8968:9071", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000010|+|9558:9630", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000011|+|9988:10163", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000012|+|11676:11699", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000013|+|12216:12217" ]
[ "MNYLKVPAKVDELCAILQEKQKTVGAFREQYELSFNAHLNLVTIHPWVDGNGRTVRLLMNYIQFCYHLFPTKIFKEDREEYILSLRQCQDEETTQPFLSFMAGQLKKSLSLEIERFKVSQKKVFSFMF", "METPVLIIAAVLFVLGMLVRKYPNLIAGYNTMTAEQKKNVDVKGLSNFMCRSLCVIAVLMIVSYFVMVARSVNEKAVSVVSTMLIPIIGSIYMVVKAQRYDTVNE", "MLDLHPCRRKDRYAVVPFTSKDEYQISHTDPIPVLFIYRIVSLRLYHHIY", "MKNVFYIVTVIFLTVIGLTVNAKPRCQGFNNYDNKVTIVFTDNQAKDKYTVSDVKLIPSSWSEKEYPATSVEVTVKKGVATVTLTFPHVTQFSNPQVTLRINGKKSKFKVCQ", "MYLVMLVVSMLLPMRVSAQTVKGKLVDESNHPLPYANVVLLSLPDSAFVSGTISGEDGLFKLEATSVNQIVKISSIGYKTVYKPTTPANMGIVQLVSDARMLGEVVVKANLPVTRIKNDALETNVQGTVLSKAGTAEDVLAHIPGLQKKADGFEVLGKGSPLIYINGRKLRDIAELDQLTSEEIKSVEVVRNPGARYDATVGAVVRIRTVKRQGDGFGVSLRSSYDQSQNSDFVEQADVNYRHNGLDVFAMVRFDKTTSLQNDILEQTAFTDTIWTQKNYQHSNTDSRSIDGRIGFNYDFNEYHSIGVRYDLSNALHTDMFTAFDSEIQADGLPYDILYSTIAADAKSQPEHQLNIYYAGQIGKGELTWDADYYSNTYTQYTINMENSQDHEDRTVTSDNRVENQLTATKVSYTHPLWGGSISVGGEYTYTNRHDDYVNQEGYVPTTYTRIREQNLAGYAQYSHPLPFGQANIGLRYEHVDFDYFENATFVPGQSRVYDNIFPNISVNGQLGKVQFQVSYAAKTQRPNYSQLRNNITYANRFTWQTGNPLLKPSVTHDITAVGVWRFFQAMVSYKVLRDYILYWGTQVENQSATTLINYINHDRLPSLTVMLAASPTIGIWNLNASAIVMKQWFSLDAAGQRRTYNNPLFVGSLNNTFTLPAGFLLSADFNYQSRGQVQNITLKRPQYTLNIGLRKSLFNDALSIEARANDLLLGCKQEALLYMESAQMKDLSWSDTRSFSLTVRYKFNAAKSKYKGTGAGQDTINRM", "MTASISYINLSWAVVGIIDKDVRNGLQSMKRPDEPIEVTIERYVIGYLVFWHIAFIDKEKMNRCNDEKVIELGRKKMEEYIFSHPPIATLPKFYIVFLNQPQIGCDTHGLSDVFCV", "MQQNIISNFFRPINTDIQIPDSEYAKTDVCIVMADALARNTNHSLYIIDYHRKNFLYVSSNPLFLCGHSPEEVQQKGYAFYFEVVPSDEINRLMEINEAGFRFYYDQPIEKRLDLSIEYNFHIHTSEKHPHLIHHKLTPVLLSGKGDIWLALCTVSLSPEKNIGDVVISDHTCTDHYIYSFEGRRWRKQPELILSDREKEILQLSVKGLSNTEIGETLFIDANTVKFHKKKIFEKLHAENITEAVGIAANLRLI", "MKILWIDLNSSYAHSSLALPALHAQIMTDPSIEWEIVSATINENTGMIVDEIYRHRPDILAATTWLFNHEQLMHVASRVKALLPEACLVLGGPEFLGDNEEFLRKNPFVDCVFRGEGEEVFPQWLTCWNHPEQWHTVPGLCYLTPNKEYKDNGIARVLNFAGLVPPEQSRFFNWSKPFVQLETTRGCFNTCAFCVSGGEKPVRTLSIESIRERLQLIHAHGIKNVRVLDRTFNYNPRRAKELLRLFLEFHPDIRFHLEIHPALLSEELKEELSLLPKGLLHLEAGIQSLREPVLEKSRRMGKLSDALDGLRFLCALPNMETHADLIAGLPLYHLHEIFEDVRTLAGYAAGEIQLESLKLLPGTEMRRRAEELGIKYSPLPPYEVLQTHEISVSELQTARQLSRLLDGFYNTPAWQALTRELILNDEQFLHRFLAYLTKANLIDQPMSLEKRGLILYEFCKQNYPEYQIQAAIAWIEAGMSLKKLPAEKVWTKRQIPPATWNIIYGEYKESLRLCFLPADEKGEHGYWFGFESEIQKASPVFKART", "MITIRHSLPKDLDAIIEIFHYARQFMKEHGNPNQWINGYPSAELILREITNGTNYVCENENGEIIGTFCFIPGEDPTYARIDDGNWLNNEPYYVIHRMATNGKQKGVADACLKWCFEHCNNIRVDTHHDNLVMQNILKKYGFRRCGIIYTHNGTSRIAYQRTLTEKELSLPTE", "MTEIVEVKDFIPAYTDAVQKLLEQLTNRPVKLTGTTLREIISQENTHLFFLLADQEIAGMLTVGIYHSPTGGKAWIEDVVVDEKYRGQGLSKQLVTHAVRFVKEQGIPLIMLTSNPTRIAANKLYQKLGFEQKQTNVYRMNLE", "MIRRVELQDAKAITTIYNEYVRHSVATFETEPLREEDMRNRIAGIAVRFPYFVYEEEGKVVGYCYAHLWKERAAYRHTLETTVYLAPGYEGKGIGRELMERLIEECRRDGYRALIACVTEGNVVSDALHLRLGFKKVSHFKKVGLKFGRWLDVVDYELLLS", "MTQVNIKRVYDEPSEQDGYRVLVDRLWPRGMKREYLKYDYWAKEVTPSNDLRKWFHADIEKRWGAFADMYQKELKESDAAKAFIDKIKAYSKVTLLYASKEQERNHANVLKHYLDTHL", "MIQKVLIANRGEIAVRVMRSCKEMGIRTVAVFSEADRTARHVMYADEACLIGPAASKESYLNIDNIIKAARQHHADAIHPGYGFLSENADFARRCKEEGIIFIGPAAETMEAMGDKIAARKRMIAAGVPVVPGTEQPLQSAEEAVRICNEIGYPVMLKASMGGGGKGMRLIHSEDEVVEAYNTARSESMSSFGDDTVYLEKFVEEPHHIEFQILGDNHGNVIHLFDRECSVQRRNQKIVEESPSPFLTPELRQEMGEKAVAAARAVNYSGAGTIEFLVDKNRNFYFLEMNTRLQVEHPITEEVVGVDLVKEQIRIANDEVLHLKQEELYQRGHAIECRICAEDTENNFMPSPGIIKQLTEPNGIGVRIDSYVYEGYEIPVFYDPMIGKLIVWATTRQYAIERMRRVLYEYKITGLKTNLSYLKRIMHTPDFVKGEYNTLFIEKNSRMLLRGNGNNEEIENMAMIASYIDYLMNLEENKSPQLSDARPISRWREFGLQKGVLRI", "MEIHIGDRVADVTLVSKEGNKVQFMIDGKPYDVDIVMAENGSCSILHDGNSFNAELIRGEGGKSYDVNMFYRSYHVDIVDTQTKYLRMKKGGEERQDDKIVAPMPGKVVKIPVRKGDRLSSGDIVVVLEAMKMQSNYKVTSDCTVRDILVNEGDSVNANQVLIVLDIIKED", "MTREEIYSRFEELDKRASLGGGVDKIEKQHAQGKMTARERIGMLLDKGTFNELDKLVNHRCTNFGMEKKQIAGDGMVTGYGKIDGRPVFVYAYDFTAHGGSLSETNAAKIVKVQQLALKTGAPVIALNDSGGARIQEGVNSLAGYASIFYQNTIASGVIPQISAILGPCAGGACYSPALTDFIFMVKEQSHMFITGPDVVKTVTNEEVGKEELGGAQTHSGKSGVTHFMCDNEEETLMSIRELLSFLPSNNMEDAPLVPCNDDIHRQVEALQTVIPEDPNMPYDIKDIIEPVLDNQYFFEVMPHFAKNVVVGFGRLGGRSVGIVANQPAWLAGVLDIDASDKAARFIRFCDCFNIPLITFEDVPGFLPGTVQEHNGIIRHGAKIVYAYAEATVPKVTLITRKAYGGAYIVMSSKPTGADVNLAYPQAEIAVMGAEGAVNILYRKSAPEEKAEIIKEYEDKFSNPYRAAERGLIDEVIMPRDTRYKLIQALEMCHNKNQSNPPKKHGNMPL" ]
[ "AGGAGAATTTCGCTTATGTGGTGTTACGGCTGATGTTGGCGGACATTCTTAC", "GTATCATATAA", "TGGGTTTATACCTTTTTTTACGTTCCAAAAATCAACTACAGGTTTAGTCTTTACTATGGGTAAACCTATTCACTCCCTTCTTTATATGTATTATATCTCCTCTTTTTTTAGCTCCTGTAATCAAAACTACTCTACAGGGTAGTGGAGAAGTCTTCGGAAGTGTGGAACTTTGCTGCAGAAATCAAAAAAACATCGTACA", "GATATATCAATGAAAAAGATGAAA", "ATT", "AATTGATGTTCTGATTTAGTATTGCAAAGTTATAAAAAGTACAGACCATAATAAAAATGGTCTGTACTTTTTAGTTTATATTCTGAGCCCTCTATTTTTTCTTGGCTCCTCCTCTGACTGTCGTAAACTTCGTCTTAACTTTTCCCATTGTTTAGAGGTTTTATCCCGATTTAGGTACTGTAGCCAGGTAATTATACTAAATAGTTGATTTTCTTTTATTTCCTGATATTACAAAGGTACAAAAGAAAATGAGATTTCAAAGTGTACTAAAAGCGTACTGAATAATAGGAAACGGGCAAAATAGGGAAAAATGGAGAATATAGGAAATATGCAAATAGTTGATAATAAGGAAAATAAATTCTTTTGTTTTCCTATGATTTCTATGGCTTGTACCCGATATTTCACTTGGGTGAAAAGGGCTTTTCATCTGTGTGAATATCCGTTGTCACTAAAGTGGAATCACTGCTTCACATAGGTGAGGCAACAGTATATATCGGAAGTTAACGCAATGACATCCTATTAAATTAAATGAAAGCACGGCATGTCCTGTCAGATCCAATCTGATATCTGCGGCTCTTATACAAATAATGGGCGAATGTTTCCATCTCCATTGCCTACATACCGGTTCAGGGACATTATGGATGTTTTTATACGGTAAATTGCTGGTAATGAGAGAGATGGTGTGACAGAAGAATTTTATGTTTTACATAAGACGAAATCACCTTTTCCTTTGGCTCTTATCCGGATTACTCTTGTTGGGCTCTTTCAGCCGCTTGGCTTCACTTCTATAAAGTGATACTAAATCAAAATCGAGCATTACTTTTTGAAACCAACAGCTTCTCCTGATGTCGTAACGTT", "GTTTCTTTTTCTTGT", "ATTGAAATAGGAATGGG", "TTTTATAGCTGAAATTAGAATCGGCTGTAAATATAGTGATTATTCTCTAGATAACTGATTATTTTTTTATATCTTGCTTACGTTTTAATAATAGATATGACATT", "AACCACTGTCCGGAATGAACTTTTTAGGGTTATATGGAGTTATAAGCTTGTACCTAAATTAAAATAACCAACT", "TTTTTGTGCAAAAAACAAGTATCTTTGCAGCCAATTATGGCTCCCACTTCTAAAAAACAGGGAGTTTTCGTTAAATAATCAATACTAATGAGAGATGCCACCCATGTAAGATGTGTTCATGTATAATGAATGCATCTGTAATTTTGTGTGACATTTCGGGTAAAAAGAGAAAGATT", "TTCTTCATTTAATTAAGCAAAAGT", "TC" ]
[ true, true, false, true, true, true, false, false, false, false, true, true, true, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 15, 17, 19, 21, 23, 25, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 51, 53 ]
[ 1, 3, 5, 7, 9, 11, 13, 16, 18, 20, 22, 24, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 52, 54 ]
[ "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123829|-|13989:15236", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123830|+|15341:15964", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123831|+|15973:16536", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123832|+|16608:16829", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123833|+|16993:17592", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123834|+|17665:20127", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123835|+|20175:20885", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123836|+|20893:21363", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123837|-|21338:23395", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123838|+|23477:24994", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123839|+|25007:26227", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123840|+|27407:27895", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123841|+|27973:30837", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123842|+|30976:31632", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123843|+|31583:32473", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123844|+|32481:33323", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123845|+|33430:33783", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123846|-|33789:34853", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123847|+|35067:36872", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123848|+|36930:38045", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123849|+|38138:38269", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123850|+|38340:38810", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123851|+|38900:41374", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123852|+|41543:42046", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123853|-|42127:42513", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123854|+|42609:43973", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123855|+|43981:44883", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123856|-|44880:46298", "2510065017|RJ2H1_RJ2H1-contig-037.37|CDS|2510123857|+|46391:46585" ]
[ "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000015|+|15237:15340", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000016|+|15965:15972", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000017|+|16537:16607", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000018|+|16830:16992", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000019|+|17593:17664", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000020|+|20128:20174", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000021|+|20886:20892", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000022|+|23396:23476", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000023|+|24995:25006", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000024|+|26228:27406", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000025|+|27896:27972", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000026|+|30838:30975", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000027|+|32474:32480", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000028|+|33324:33429", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000029|+|33784:33788", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000030|+|34854:35066", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000031|+|36873:36929", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000032|+|38046:38137", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000033|+|38270:38339", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000034|+|38811:38899", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000035|+|41375:41542", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000036|+|42047:42126", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000037|+|42514:42608", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000038|+|43974:43980", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000039|+|46299:46390", "2510065017|RJ2H1_RJ2H1-contig-037.37|IG|IG_000040|+|46586:46601" ]
[ "MKHTLSFMVWAILAVLLPLQMAQAAAPPTELQKRLQNLPDISDIKPMQSDAYPEKYVFFINQLLDPHHPEAGNFKQRVILSHVGFDRPTVLVTEGYAAHYATHPRYQEELSKLFNANLVFVEYRYFGESMPKPCNWDYLTVENSLYDLHHVTTTLKQLYDEKWIATGISKGGQTTMFYRTYFPDDVDISVPYVAPLNQSLEDGRHEPFIANKVSTPENRKRVENFQLEVLKRKSRLLPMFEKYCSDKGYTFRIPIAEVYDFNVLEYSFALWQWGTPVNKIPETNADDHTLFKHFIAICEPDYFSEQSPYPSFNVQAAKELGYYGYDIKPFKKYLTIKSSRDYLHKVMLPPELSNLKFDKTLYNKVVKFLKENDPEMIYIYGGDDPWTASGVTWLKNKKNIKVYVLPGGSHTTRIGR", "MFFLHRIWNWCSRFRHRCGYGVHSPSDFFLITSVVYEKYHYYAYRVLKERGFPAYLPHYRRKVNRLLFRLVNYFRPKSLIEVGIGNGASIGYMRAACHTMDSVTLKGRDWAKTSRQLEEKLAEVHTLDCLHIGHTPFYKEVFELVLPYAGPRSCFIVGGIHESKEKRKWWEQIVASESTGITFDLYDIGLVFFDKARYKQHYIVNFL", "MKKSMIYTKTGDKGTTSLVGGTRVPKTHIRLEAYGTVDELNSYLGLLQTYLTDEEDKQIIFRIQNKLFSVGSYLATDQTQTKLRMESRIEDEDIRMLEQAIDVIDNELPPLNAFILPGGDRGAAVGHICRTVCRRAERRILALAEECDIDARVTAFVNRLSDYLFILTRKLNHLTKTDEIFWDKSCK", "MYWTLELASKLEDAPWPATKDELIDYAMRSGAPLEVIENLQEMEDEGEIYESIEDIWPDYPSKEDFFFNEEEY", "MKKIISVLMVAVCLMMAAPAQAQLHFGVKGGLNISKLSFSKDAFKGDNKTGFFVGPMAEFTLPIIGIGADVAALYSQTDLGVDGEKTMKLKTFAVPVNLKWSFGLGSMLGAYIAAGPQFDFNIGNKTWTRELSLKKSTTSFNVGAGLKLIRHLQLGVNYNFALSKTGTYEYYTEGGSGDGHHSVNIKNNTWQVSLAYLF", "MKKFVDVIVPLPIANQYTYSLPQEMEEMVQIGCRVVVPFGKKKFYTAIVTNVHYAAPEGYETKDIAEVLDSSPVLLPKQYEFWQWLAEYYLCTLGDVYKAAIPSGMKLESETLVEYNPDFEATAPLPEKEQKILDLLSRDTEQCVTQLEKNSGLKNVLTVIKSLLDKEAIFVKEELKRNYKPRTEARVRLVNGEADEAYLQRLFNELSRAPKQLMILMKYVELSGWVARGYALKEVTKKELLEKSGGSAAVFNGLVEKKVFEVYHQEIGRLDKGISDTGDINPLNIAQQQAYGNILQCFREKNVCLLHGVTSSGKTEIYIHLIQEVLKTGKQVLYLLPEIALTTQITERLKRVFGHRLGIYHSKFPDAERVEIWQKQLGEKSYDVILGVRSSIFLPFRNLGLVIIDEEHENTYKQQDPAPRYHARSSAIMLASMFKAKVLLGTATPGVETYFNATSGKYGLVELKERYKDIRLPHIELVDIKELAHQKRMQGPFSPALVKQIKEALECKEQVILFQNRRGFAPMIECHTCGWVPKCKNCDVSLTYHKGLNQLTCHYCGYTYQVPRSCPACGGVELMHRGFGTERIEDDIKLIFPEAKVARMDLDTTRTRTAYEKIIADFEQGKTDILIGTQMVSKGLDFDHVSVVGILNADTMLNFPDFRSYERAFQLMAQVAGRAGRKNKQGLVILQTKSPDLPVIHQVMHNDYEQLYYDQLAERQMFKYPPYYRLIYVYLKHRKEDILDLAADTMAAQLRSGLGDRVLGPDNPPVARIQTLFIKKMIVKVEQNASIKKVRDYLLAVQRAILEDERFRSLLVYYDVDPQ", "MKIELDVHTHTIASGHAFSTLQEMAQAAADKGLKVLGITEHSPGVPGTCHPIYFRNLHVVPRRMYGVELLLGAEINILDGKGNLDLDEDYMKMLDIRIAGIHSLCYEYGTIEENTHGMVQVISNPFIHIISHPGDGTAALHFEPMVLAAKEHHTLLEINNSSLKPTRNKPNARENNLTILRLCKKYEVPVILGSDAHISFDIARYDNLYELLQLTGFPEELIMNRDVKSFKEYLHL", "MKRILFVCLGNICRSSSAEEVMRTLIKKKGLEHEIEVDSAGILSYHRGELPDSRMRMHASRRGYNLTHRSRPVCTEDFYHFDMIIGMDDRNIEDLMERAPDLETEKKIHRMTDYCRTKVADYVPDPYYGGAQGFENVLDILEDACAGLLTSLVPGN", "MRSFKTNQRFSYKNLIYKSLIFIATVSVIVYFLPNEGKFNYQFDINKPWKYGLLQASFDFPIYKNDIQVQKEQDSILADYQPYFQIDKEAEKNVLSKLREDYNKTLRHSLPGTDYVRYIERTLKALYEDGIIAGNDLKRMEEDSIIAIRLVDKNVATSRFIDQLYTVKEAYEYLLNADTTHYKKKILQQCNLNDYITPNLVYDEEKSEAAQKDLLSNISWANGFVLNGQKIIDRGEIVDEQTYNILESLRKEWEKRSDSVQEKRLTLAGQILYVGIFLFCFMAYLELFRADYYERKGTLTLLFALIVFFPVLSSIMVEQNLSSIYVVPFAMIPIIVRVFLDSRTAFMAHVTIILLCSITLRFPHEFILLQVVAGMVAIYSLRELSQRSQLLRTALVVFISYALLYFAFELIHEDDLTKLNTRMYIYFMINGILLLFAYPLLFLLEKIFGFTSDVTLVELSNINNSLLREMSEVAPGTFQHSLQMANLAAAAANKIGGKSQLVRTGALYHDIGKMVNPAFFTENQSGVNPHKSLSYEQSAQVIISHITDGLKLAEKHNLPKVIKDFISTHHGRGLTKYFYISYKNEHPDEEVDQEKFRYPGPNPFTKEQAVLMMADSVEAASRSLPEYTEESISTLVDKIIDTQVSEGYFKECPITFKDIATVKALFKEKLKTMYHTRISYPELRK", "MSERKVRVRFAPSPTGALHIGGVRTALYNYLFARQHGGDLIFRIEDTDSNRFVPGAEEYIIESFKWLGINFDEGVSFGGNYGPYRQSERRDIYKKYVQVLLDSGKAYIAFDTPAELDAKRQEISNFQYDASTRMSMRNSLTLPKEEVDALIADGKQYVVRFKIEPNEDVHVHDIIRGEVVINSSILDDKVLYKSADELPTYHLANIVDDHLMEVSHVIRGEEWLPSAPLHVLLYRAFGWADTMPEFAHLPLLLKPDGNGKLSKRDGDRLGFPVFPLEWHDPKTGEVSSGYRESGYLPEAVINFLALLGWNPGNDQELMSLDELVKLFDLHRCSKAGAKFDFEKGKWFNHEYILKKSNEEVAGLFMPILKEHGIEAPMDKVVTVVGLMKDRVSFIKDLWETCKFFFVAPTEYDEKTRKKRWKEDSPERMLELADVLEALDDFSLENQEAVVMKWIEDKGYHLGNIMNAFRLTLVGEGKGPHMFDISAVLGKEETLRRIRRAVEVLK", "MIYNLVIYIYLFGVKVAGLFSAKPAKMVKGHREVFDILRNKIDKNARYIWFHAASLGEFEQGRPLIERIRKEHPEYKILQTFFSPSGYEVRKNYQGADLVCYLPFDTPRNVRRFVELANPCMVFFIKYEFWQNYLNELHRRGIPTYSVSSIFRPNQIFFRWYGKRYSEVLRTFAHLFVQNEVSKELLATIGVTDVTVVGDTRFDRVLDICHQAKQLPLVEKFKGDSLTFVAGSSWGPDEDIFIKYFNEHPEMKLVIAPHVVSDSHLKEILDKVKRPCIRYTEATEENVTQADCLIIDCYGLLSSIYRYGEISYIGGGFGVGIHNVLEAAVYGIPVIFGPNNKKFREAQHLLEQKGGFEVTGYDDFKRLMDKFLSDEAYLQQAGKAAGNYVNHNAGALEKIMKDITL", "MEYLFLRRKRTTATSERQKTLLFKAAERQWKEEFAGKETDIRKVDCNIYKGILYQLEIRQVFLDTSLSLKKLSALLETNQTYLSNVVNKYFGCNLKELVNTYRVEYAKELLCSRRCALTELPCSCGFASKSAFYSAFSRIVGVSPLSYQTQERRRHHLQAVN", "MNKKFLSAILFGALMVTSTGTFVSCKDYDDDIDRIDKELSEVKETIASLDSAIKAGKFVQSCNEVTGGYELVFTDGSKITIKNGTNGTDGAAGTTVIPEFRVEGNYWQVSTDKGATWVDVKDTEGNKVPARGQDGEAAGSNVSWVTVDGVEYIKIGDKVTDLKQNNEFPNIAVDAESKTVIVTIDGQNYTLLQEGSAFKGLQTVVYRKQAVDDAEDVCKAYDIYWMENEGSLNPDKDVLFASVPAYASFKVYPGDFSLNNAKFSFVDTYQVRSVAPALTYMEGSAKLENGILSLAILPNEGIGNNTYATSLDVTMYGQYTSASDYFTVSRTHASVKSIVNAFTSTNMKEDEYPAIINADGASYDGKSYGCFDYQGVYNVNDSIDAYISGAAKVWLKSTNISYTRVFRLLDQNEDYTFNGQPIKTRQGIFDFKDNVLSVKSTNQASAIDEYAAIEVTTTVKPQEEGVKEYEVKNVVFIKAVRPAVNPVFGTVALKPLDAKENFTFAYNSSQARIITLDVRNFEAAIEGRDVISNKNKEYCTFITLNKPVYDAGGKLMKYESISDDCNQYYQDNNLSTTIPAKVTDLAKALETVKNEAVLYYKNGGNNADKDSLFLILTPGANFAQFKNMSLLAGASSYGLFGWGATEKMTNGVNTPYAVYNSFVNKLFKVSVDNFSVTYNFDCPIKDEYKSRTIIGTWTKTGGAYSKFIMESNYFDKMYNVVPEDATVEFELDYKKQNAYVQEMMKEQNGIKNIEWTSTNQIVFTPRVDLAKVGTLKVDIYDATAGSAKRVLFATEDWKVEQPILAFTDVVDLKYNNPALATNDQIKIIDLINALNVKATEASYKKWTNLTLKDAVGNTLVKYDVTKIAAEETIAETLYRKNAAGNAVAEATGLEISLDENETDFTYDAATATLTWKGTPEGTVYTHDVNLNITYTHNWGVVTKTFKVTVTRKVQ", "MTKLVRCGINFYADVDNKKAVNDRDALQNTKLMEHYTHLLGQLQYEGAGIWSRFNIMFGINVSLFGLETVLFNSVCQTSEYLTFIIAFGGLSFSIWSIYVMQRLWSYHEHWKNMLCEAEKAFPDEYVKLFTKIPSNLKREKKSWELWLKSYTQPFFAILSLIWLLIMVLNHAIYTDMLNKENIIHHVGVVKIQQNENLKNNENEKDDGFDYCDYYYNR", "MKKMMDSTTVIITTTDNRVLLQKRDENPDIEYPGYYSLVSGYLEEEETPLDGIIRELKEEFEHKKSQKVHFSSITYLGSEYRADYDRWEYIHHTYLMDDAADIRILEGESFVLLDMDECLRLENLAPHHKLFLLKYRAGLCKIDVREQSKKMFDEITVRDLIKVESVGDVKNYSLLEAGTGFVIGEGTTLSASIPAVEAAKYIGFLQFVPKVLRGNHYHFRKVEYMLILQGRMHATFQKYDDSEAVIEEIWEKGDLVRTLPGCVHTLTALGESNVLTIEFSPQPYVARDVLMNDKK", "MGTIAVTGATGYLAEKLIERLITQGHTIHAIARNEGKLIALREKFKSVQIFPCPVEDYLLLKKALNGCDGIFHLAAFKDVILAKEHPLKAVQTNILGTLNLLKITVEEQNIKFILATSTDKAVQVSGTYGATKLLMENLFGDFEQINGSNCAYRIVRYGNVLHSTGSVLVKWKYALENRKELILTDPEATRFFITWEQAIDVIFSCLNDAQSAEPFYPPDMKSISLGILLELTIRKYAKTVPDIRVIGLQKGENKHECITADLSSEYAERWNNEELLNLI", "MGTEGIQDKYINPYADFGFKLLFGTAMNKELFEAAEIAKFNPKELGEYWESLKNFRDWYSVMSTQLKKGREEGLKEGLEQGRKEECFKNAKKMKQAGIAFDVIAQVTGLSIGEIASL", "MIDIEYYTSEATTLLNSLIGIPSLSREEEAAADFLQNYIEETGIMTGRSGNNIWCISPMFDLNKPTILLNSHIDTVKPVNGWRKHPFTPKAENGKIYGLGSNDAGASVVSLFQVYRHLSITEQAYNLIFLASCEEEVSGKNGIESVLPQLPPITLGIVGEPTEMQPAIAEKGLMVLDVTAYGKAGHAARNEGVNAIYKVLEDIQWFRDYKFPKESSLLGPVKMSVTQINAGTQHNVIPDTCTFVVDIRSNECYSNEELFKEISARLKSEAKARSFRLNSSHISAEHPFVKRAVKLGRVPFGSPTLSDQALMKFPSVKIGPGKSSRSHTADEYIMVSEIEEAIRLYIEMLDGLVL", "MSSSFLSVLIQRQAGKYGDRVALRYRDYKTETWIPVSWNQFAATVKTVSNALIELGIGIQENIAVFSQNKPECLYVDFGAFGVRAVTVPFYATSSEAQVHYMVGDAEIRYIFVGEQLQYDVAFRVMQLGSQLKQIIIFDKEVKRDERDQTSIYFDDFLKLGEAHPHQAEVDKRTSESGNGDLANILYTSGTTGDSKGVMLHHSCYEAAIPAHDERFPQLGDQDVIMNFLPFTHVFERAWTCWCLSMGCTLSINLRPADIQKTIKEIRPTAMCSVPRFWEKVYAGVQEKINETTGLKKKLMLDAIKVGREHNLEYVYKGLTPPPVLHMKYKFYEKTIYSLLKKTIGIENGRFFPTAGAAIPPAVQEFVLSVGINMVAGYGLTESTATVACENDNDHVVGSVGRIMPHVQVRIGENNEIMLRGEGITHGYYKKEAATKAAFTEDGWFHTGDAGYIKDGHLFLTERIKDLFKTSNGKYIAPQAIEAKLVVDRYIDQISIIADERKFVSALIIPEYKLVKEYAAKKGIRYESMEELLQKPEIIDLFKERIDTLQQQFAHYEQIKRFTLLPHPFSMERGELTNTLKIKRNVLNKNYAAEIEKMYEE", "MITIEQLKDVKERTAALERYLDIENKLVQVEEEQLRTQAPGFWDDAKKAEAQMRKVKDLQKWIDGYREVKTMADELELAFDFCKDDLVTEEEVDAAYQKAVTAVEALELKNMLRQEADQMDCVLKINCGAGGTESQDWASMLMRMYMRWAETNGYKVSVANLQDGDEAGIKTVTMNIEGSFAYGYLKGENGVHRLVRVSPYNAQGKRMTSFASVFVTPLVDDSIEVTIEPARMSWDTFRSGGAGGQNVNKVESGVRLRYQYKDPYTGEEEEILIENTETRDQPKNKENAMRQLRSILYDKELQHRMEEQAKVEAGKKKIEWGSQIRSYVFDDRRVKDHRTNFQTSDVNGVMDGKIDGFIKAYLMEFSGSEN", "MGKNKKAAYSKREEEKANRIVKGLFIGLIVLALVIMVGYAMYG", "MGQEIERKFLVKDDSYKLEAYAHSHIVQGYICSARGRTVRVRIRDNKGYLTIKGASNDSGTSRYEWEKEISLQEAEELMKLCEPGVIDKIRYLVKSGEHIFEVDEFYGENEGLTVAEVELNSEDELFKKPHFIEKEVTGDIRYYNSFLMKNPYTRW", "MKHNILWGIILLVASLSFTAKAQKAVQGQFSVKGVLLDSLSNEGEPYSTIRISLKNNPAKPVKLAVTGADGRFDERLAVPGTYLIHFTSVGKSPVQKEFSISANRRNVDLGKILIAEATEMLKGVEVVAQKPLVKAEIDKVTYSIEDDPDSKTNTTLEMLRKVPLVTVDGEDKIQVNGSSKFKVHVNGKPNNMMSNNPTEVLRSMPANSIKSIEVITEPGAKYDAEGVAGILNIITVGAGMEGYTVTLNGGASNMRVYGGGYGTVQSGKFTVTGNYSYNYQGSQKGFEDSYREDFTSQENKFLESHRRSKSYGNFQFGSLEGSYEIDTLNLISFSMNLMTGNFTNKRIGNTQMTNHAGNPVYGYGSLGNNESGFGEVGGNMDYQHSFKKKGELLTFSYRFSHSPNNSEANTDYEDTLNVPYLLQNQYFKNDASTQEHTAQLDYTNPINSIHSIETGIKYIFRRSKSDGKYYLADKTGEYKYDQDMSTQYDHKQDILAAYLGYQLKYKKLGGKAGIRYEHTFMDADYKNKDEKFDAQFDDLVPSLMFSYQLAPTQSLRASYNMRISRPGIWFLNPFTDTSNPTAISYGNPDLESEKAHSLSVTFGSFSQKFNVNVSANYSFVNNGIEQYSFIKDGVMNSTYDNIGKSKNINLSLFLNWNMSPKTRFNINGRGAYVDYRSSGLDLKNHGWEGNVFGSFQQTLPWDLRLSLNGGGGTPHISLQGKSSSFYYYAIGLSRSFLKEKRLTISLNSSNLFNKYITFKNNINTPTFCSSTATRIPMRYYGFNISWRFGELKAQVKKAARSINNDDLKSGGGNAGTGGGIPAN", "MKTVDFTQHLLSIQTELFRFAFKLTADREEANDLLQETSLKALDNEEKFTPDTNFKGWMYTIMRNIFINNYRKTVRDQTFVDQTDNLFHLNLPQDSGFDSTEGAYDLKEIHRIVNALPKDYRVPFAMYVSGFKYREIAEKLGLPLGTVKSRIFFTRQRLQNDLKDFR", "MLYYFRKYPVSLIIVAIIFYLSFFTPPKTDVEEIPYIDKIVHICMYGGLCFILWIEYLRNHKAINWNHVIWGGIIAPVAMSGCIELMQAYCTDTRSGDWLDFLANSIGVGLAALIGYYLLRPLIWRKS", "MQKSERVNFGSKIGAVLAAAGSAVGLGNIWRFPYETGNHGGAAFILVYLACVFMLGMPIMIAEFTVGRHSKASTGRAYKVLAPGTHWKWLGYLGVLAGFLILGYYSVVAGWTLEYILEAGMNGFADKKPEDFVVAFQSFSKDPVRPLIWLVIFLLATHFVIVKGVKDGIEKSSKVLMPVLFVLIVVLVGCSLSLPNAEKGLEFLLKPDFSKVNGDVFLGAMGQAFFSLSLGMGCLSTYASYFGKETKLGNTALSVGVIDTFVAVLAGLIIFPAAFSVGIQPDAGPSLIFITLPNVFQQAFSGVPILAYIFSVMFYVLLALAALTSTISLHEVVTAFLHEEFNLTRGRAARLVTFGCIIIGVISSLSLGVMQKYTLFDKGLFDLLDFVTAKIMLPLGGLLVCIFVGWYLKRSVSYEELTNGGKLKAGLFNLYIFLLRYVAPVAIILIFINELGLI", "MWKDFFYFSKSERRAILFLLTLLFIFMCIWVLFPVKEESLEQDQEGIEEIKNFLAGVHEMEEKESLRYSYDKPKRREVVLAAFDPNSADSIEFLQRGLSPFIAHTILQYRRAGGKFRTADDFSRVYGLSSEKFNMLKPYIQISEAFRRKQDTLHFIKKAEKDTLAVYKYPEGTLVDLNEADTTELKKIPGIGSGIARMIVAYRKRLGGFYDMAQLKEVNYVDEDMLRWFKLGNAPIHRINANKAGLDKLRSHPYMNFYQAKVIIEYRRKKGKLKSLSQLSLYEEFTEKDLERLSHYLAFD", "MKKLSFIIVFVFLSILFVQAQQAKYVFYFIGDGMGVNQVLGTEMYRGELEGKIGVTPLLFTQFPYATIATTFSATNGVTDSAAAGTALATGNKTKNGALGVKKDLETKVNSVASWAKNKGCRVGISTSVSVDHATPAAFYAHQGQRSSYYNVGLDLIDANFDFYAGSDFLDPTNKKAAGSNSESLYTLVDKAGYTIARGYKDYQKKAKKSDKLILLQPATATDNSAIPYAIDRKKGDMTLTEITRAGINFLSKDLSKGFFLMVEGGKIDWACHSNDAATVFHEVMDMDNAIKVAYEFYEQHPDETLIVVTADHETGGIVLGKGPYTLNLQALKSQKVSESGYTKIVNELRKKYKNQVPWEVIKQSLKDNFGFWDSIQLNEKQEASLKKVYDESFSGKEIDLTKSEYQQDEPLAAEAKRILDDIALVGWTSGGHSGGYVPVFAIGAGAQLFQGRIDNTEIPVKIAEAAGYPNN", "MAKYFFCNKFVNEMFLGCNIKNLFGSREASILYYASVCFRHGYCIQIIALILVIINYKSYICLI" ]
[ "CGTTTATAAATGGTTTAATAACTAATGGGCAACAAAAATAGTATTTTATTTCGATATTGTCGGGTAATCTTTTATCTTTGTGTTACTTAATAAAGCTGAGAAAT", "CCGGAACC", "AATAATTTATTATACTTTTGCGGCTAATTAGAGAACGAAAGAAAAGTATTTACTTAAAAAATAAGAAAACT", "AAAGAGAACATGGCGGACAGAATAAAAAATTCTGTCCGTTTTTTGTTTGATATTTCTTCTTGGAAGGGGGGATTGGAAAGCGTAATGTAACTTTCCTGGGAGATTGAACATTATACATGGAAAAAGTGTTTATCTTTGTGGCGTAAACTAAAAATAGACAAAC", "ACAACCTTATTTAATAAAAGATAAAAAGGAGGCATTCTTCACTGAATGCCTCCTTTTTTGTAATTTTGCACC", "CCGGTCCATGCACTGGACGATAATAGAAAAGATAAACGAAAATAAAG", "ATTATAT", "AATCGTATATTTTTGCGCGCAAAATACGAAAAAATCGGGAAATTTAGATTAATTTTGCGGCGTATTTTATATAAAACAACA", "TAGCCGGTAGTT", "ATGTTTCAAGGTGATTTGAGTATATGCAGGTTATAGAGAAGATTTGGCTCTATAACCTGTTTTTTTTCCTTCAAATCCTTCATTTTAAGATAATTTATTGTTAATCAGTTGGTTATAATGATTTCCTTCATCCGGATATAAATCCTTCAGCCTGCTGAAATGAGGGCTTGTCCGGCTTTGGGGCCAGCAGAAATTTAGTGGGGATTTATTTCATGCGATTGTTTGTGATACCCTGTACGAGCGTTCGTAATTTTATGTATGGTTTGTATGGCAGGCAAAAGTAAGGATTGGGATTGCATATATGCCCTCACTTTAGCATTTCGACTTGTTGCTATATACCATACATGTAGTCAGATGTATATCTTCCTGCCCATATGCTGTTTCGCTTTTTTTAAAAGAAGGCTGTCTGGGGATTTTTCCTCCCTACGTAAGGAATGCGTAGCCTTGTAGTCAGCTTCATCCTTAAAAGATCTTATCCATATCTCTAACCCTTTCCCGCCGTATGGTTAAGGGTTTTCTTCCTGCCTGTTTTATCTCCGCAAGCCTTTGGAGTTGACTCCATAGTCTTGGGAGATAACTCCAAAGCTTATGGAGATAACTCCAAAGGCTATGGAGATAGAATACATTCGTATAAAAAGGTTTAATCAGGAGATAGAAAAGGTTTATGCAACAGGGCCCGATCTATTGTTATTTCCACAATAATCCCAAATGCTCCCGGACTTTTATAGTAGAACTTTTTAAAAAAACTGGTTGGCGGTTTTCTCTTTGATGAAATAAAAAAAGTTAAAAGGATGAACTTTTCTTCTTTTAAAGCTAACATGAACTCTTTATAAGAGGAAATCTTCTGTTTTTCAGTTTGTTATGCTTCGCTTTTACATGAACCGATTTATATATCTCTTGATAAGAGATATATNNNNNNNNNNAAGCAAAGTTTTGAGAACTAATACATTTTTGGCAAAAAAAATAGGAATTTATATAAAAAAATAGTTCTCTCATTTATTTATATTCTTCTTTATTTCGTTTCAACAGCTCTGTAATATGTTGATATAATGTGTGTTAATACATTTTGTAGATCTCTTATCAAGAGATCTACAAAAATGTCGTTTTTAAGACCTTTTTATATATGGAATATATCTGGTCTTTGAAGCGGTATAATTAAATGAAAACAACATAAAGCAG", "CTAAGCTATTAATAATGAAATTAATATGTATAACCAATTTTAGTTTTTTAAATTTATAAATTTAAAGTTTTTCTATT", "ACATTCGGGCTAAGATAGAAAGTATAGTTTAAATTTGATATGCTTTCTTCTTGATAACTTCAAGCGAGGAGGGGATATGAATCTGATTACAGAAGAATATCCTCTCCTTTTTTATTATAAAAATATATTCTTGATATA", "CTTGAGT", "AAAATACTATGAATGATAGATAGTTAAATCTTTCTTTTAAGACGGGGAATTGCAGTATATTGATTACTTTTGTCCTGTATCATAACTTATTAAACCTCGGATAGAT", "GTCGT", "CTTGATTTTAATAATTTAATGTGTTGCAAAGATACTATTTTTGCGTGGTAAACGGTGATGAAAAAAGTAGGTAATTAAGTTAGATATGCAACTAAAACTTTTGGCTGATTTCTTCTAACTCTTTGACTGGTTGGGAAAATTAATGCCTGTTTATAGAGGATAATTCCAAATTAAACGATAACTTTGCCGATGAATTAAAAATAAATCTTTGGA", "TGGGAAAATGAATAGATTTCCACTATCTTTGCACCTTGAAATGCAAAAATTCTCAAG", "TAAATTCTTCGTAATTTATTTGTTTTCTTCTAGAAACTTTGTACTTTTGGGATATTCAAAAGAGATGGTTTAATCTTAAAAATGAAATACTT", "AAACGGAAAATAAATAGTGAAGTCCTGCTGAGGTTATTCTCTGCGGGGCTTTTTTATATATTAAAACGCT", "ATAATATATAGGCTTCTTTAACGTCTGAAAATAAACTACTTGCTATCGTTTACCTACCTTTGCAACAAACACAAACTCATTATTGATAT", "AAGTTAAAACGAAACCACCTGTTAACTGTTAATTTAGGGGGTAAAAACTGTTATTTGATAGTTTATACCCCCTTTGTTGTTAGTTTTTCTGTCGTGACTTTTGCGAGAAGTCGTTAATATTGCAGCAGAAAGCAAAAACAGAAAATAACAATTTAAAAATAGATTAAT", "GTTGACTCTCTATCTTTATTATATAACTTTGACTTTTATCATCACGCCGACTGTTCGCGAAGAATCGTCGGCGTTTCTTT", "ACTTTAATTTTTTTGTGATTCGTCGCAAAAGTACGATTAATTTTATACTTTTGCAGGCTAACCAGTGTTGATTTATAATAATTTGATAGTTTTTT", "AATTGTA", "ATTAATTGAGTTAATGACATTTTTTTCAGCCTAGCAAATATAGGGAAAAAAGACTTTTTATCGGTACTTCTTTCTTGAATAATTGAGATTTA", "AGTCAGTAAATAAAGT" ]
[ false, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, false, true, true, false, true ]
[ 1, 3, 5, 7 ]
[ 0, 2, 4, 6, 8 ]
[ "2510065017|RJ2H1_RJ2H1-contig-038.38|CDS|2510123860|-|1613:1960", "2510065017|RJ2H1_RJ2H1-contig-038.38|CDS|2510123861|-|2005:3378", "2510065017|RJ2H1_RJ2H1-contig-038.38|CDS|2510123862|-|3456:4154", "2510065017|RJ2H1_RJ2H1-contig-038.38|CDS|2510123863|+|4406:5002" ]
[ "2510065017|RJ2H1_RJ2H1-contig-038.38|IG|IG_000001|+|1601:1612", "2510065017|RJ2H1_RJ2H1-contig-038.38|IG|IG_000002|+|1961:2004", "2510065017|RJ2H1_RJ2H1-contig-038.38|IG|IG_000003|+|3379:3455", "2510065017|RJ2H1_RJ2H1-contig-038.38|IG|IG_000004|+|4155:4405", "2510065017|RJ2H1_RJ2H1-contig-038.38|IG|IG_000005|+|5003:5006" ]
[ "MNTDETINKEELTLQNTPKAVNYLINEIAEMRVLLEHIESQLGLGVDKHRPINIEKACQILNQTKNGINKMVRSRTIPHYIQGNKVYFFEDELIKWVEKGRVATLQEKYTSKRNY", "MTNTETIQSLIDSGEGYNVEFKVRVPSKVRELTEEICAFANADGGYLLIGVDDNGQIIGTGLENDKRSAIQGSISEISPALHCDMYAVNIEDKTVWVIDVPSGKDKPYIFSGSIFVREGANSQKLRTVEEMRSFFQECNKIFFDAIPCSWFNIYTDADEQAIKDFRTEAKLSPSTANKQIFENLELFTDNGVAKNGTAMFFGKQPERKFPHAVTRCVLFKGTTKVYIIDDKTFGGPLYQQYLQAMAWLESKLQVAYKIEGAGPREEIWEIPLTVFKEAIINALSHRDYYEQGATITIEMFDDRVEVSNPGGLLPIVAKDFGHKSMTRNPLIFGLFTRMHLVERVASGIPRMQEAMKEANLPEPDFHTDGMFTVIFKRGISIKNDTVNDTVNDTVNSKEKEVLKIIQKYPGLNSSKIAELIKKSIPTAKRHLNSLVKSGLIEFRGAQKTGGYYIINQQ", "MFPYHDGHLFMITPGDTHSFEIHTTTKFVDIKFNDIYIHSSVFGAENIQRLEFILQHANHQPGCILRNKVDKLLVKPMIEAIIREYINRNLYSKEIITQIINTIIIVVARNIAMFLPEQVDECSEDKSLDILQYIQANIYKTGKIRAKDISQHFGISENYLGRYIKKHTNETMQQYILNYKLKLVENRLLHSQMRICEIVEELGFTDESHLNKFFKKYRGCSPSNFRKNNLK", "MKTEMEKCLAGEWYDCHDPFFMDLKSKAHHLLMKYNSLPYEQKTEKYAVLKEMFGSIGANVSVGHSFICDYGCNIHIGDNVTVNTGCTFVDCNKITIGNNVLVAPNVQIYTATHPVEFNERLVLTETPDGCKYVRRTFALPVMIEDGCWIGGGVIILPGVTIGQKCVIGAGSVVTKDIPANSLAVGNPCRVIRKINQM" ]
[ "ACTGATTGAGTA", "ATGCCAAACAGTTATATTGATTAATAATGCTATGATAATGTAGC", "AGACATCTATAAATATAATGCAAAAATAGTCATTTCTATTGTTTTAATAACTCTAAAAACAAGGATATATATATGGT", "ATTGTTGTTAATCCATTGGATGCCTGTTCCTGAAAGAATATATACTAATTCAAAAAAGGTATGTTCATGCTCTTTTAGCAAGGATTCGGCAAGCTCGCTAAAACTAATTTCGAATGGTTGATGTAGGTTTTCTCTTTTCATGCCATAAATATAGCCCTAAAAAACGGATTAATACCAAAATAACGAAAAATAATACCTAAAATTAGCCGAAAAATCGGTATAACTTTGCAATATATTTAAAATTATAGCTT", "GGTT" ]
[ false, false, false, true ]
[ 0, 1, 3, 5, 6, 8, 10, 12, 14, 15, 17, 19, 21, 23, 25, 27, 29, 31 ]
[ 2, 4, 7, 9, 11, 13, 16, 18, 20, 22, 24, 26, 28, 30, 32 ]
[ "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123866|-|773:3097", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123867|-|3075:3875", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123868|-|3880:4077", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123869|-|4086:5210", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123870|-|5207:6007", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123871|-|6030:6722", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123872|-|6753:7352", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123873|-|7425:8021", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123874|-|8025:9038", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123875|-|9028:9672", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123876|-|9800:10858", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123877|-|10866:11546", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123878|-|11571:12731", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123879|-|12739:13302", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123880|-|13405:13926", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123881|-|13931:14479", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123882|-|14481:15311", "2510065017|RJ2H1_RJ2H1-contig-039.39|CDS|2510123883|-|15432:16244" ]
[ "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000001|+|3876:3879", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000002|+|4078:4085", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000003|+|6008:6029", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000004|+|6723:6752", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000005|+|7353:7424", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000006|+|8022:8024", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000007|+|9673:9799", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000008|+|10859:10865", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000009|+|11547:11570", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000010|+|12732:12738", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000011|+|13303:13404", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000012|+|13927:13930", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000013|+|14480:14480", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000014|+|15312:15431", "2510065017|RJ2H1_RJ2H1-contig-039.39|IG|IG_000015|+|16245:16383" ]
[ "MKILLQHKIFIGYFLLMAIIGSMVAIVLHERNRVQKIENESISIFQTQHNINTAHRYVTALVTYGESVLVWNNEDSLAYRERRVRTDSMLQVLRTQCSDFIQPVQIDSLRTLLTAKEEHLFQIMEASREQKRTDSLLFNLQPTVTKQTTTRTVTRKKKGIAGFFGGKETVQIPVVTTRQTSLDKNLISLMNEQQRDIDTYTDSLRLCNKELNRKLRMLITSLDEQTWTAFRNKEERLKASYEHSSLVITGLIVFSIILLVVLYLVIQRDIKVKAKNKKHLEETIEQNIALLEMRKNIILTISHDIRAPLNVISGSAELAVDTREKKRRNTHLNNIRIVCRHVVHLLNNLLDVYRLNEAKETRNDVPFNLNALLERIAFGFSHVVNNKGILFSHDFTDTDVKLYGDVDRIEQILDNLLSNAVKFTETGTISLNAHYGEGKLLLEVKDTGIGMSEDALSRIFRPFERLGSVRNAEGFGLGLPITKGLVNLLGGTIDVTSGINRGSTFRVTLPLKTTDETVESESLTIPHPAHLPQNVLVIDDDAMLLDVIKEMLERNGMNCTVCTTTKDVVKAMRGKDYNLLLSDIQMPGTNGFDLLALLRRSNIGNSRTIPIIAMTARGDRDKEAFLHAGFTDYIYKPFSSSELLGLLSRIKTNRREEKPEVDFSSVLSEVSDKHKALLSLISQSEKDREELDAAIKNGDRQKLREITHRMQPMWEFLRMAEPLLAYRTLLKDSETSDKELNEYTRQIIDSTAMLIKAAEAEIKRLTNETEDTDS", "MANETNTQSFWEHLDVLRTAIVKIVAVAVVFGFAAFFFKEELFSIVLAPKEDGFVTYRLLDRMAAWAGGTVEPFSVRLINTGLAQQFIIHMKTALCAGVLCASPYILYQLFRFVSPALYENERRYVTRVVGGGYMMFGLGVLISYFLIFPLTFRFLGTYQVSGDVDNLISLESYISTLVMMCLAMGIVFEIPILSWLFAKLGFLSADFMRKYRKHAIVIILIVAAIITPTSDVFTLSLVALPMWVLYEVSIWIVKNSKTNEDTFAA", "MTPLFIGGLGMSEVLVIALVVLLFFGGKKIPELMKGLGKGVRSFKEGMNNVEKEIEEIKDTEQKQ", "MMKRFFIAMMLLVPSLVFSSCGDNDNGPLPEVPEQPGDNGNDNGNGDDNGGNDNPENPVTPGNGKILIAYFSRWGNTDYPADVDASTGASILVSNGNRYGTTEVVAQYIQKAVGGDIHLIETSSRYPVDFDDVRDLNHTEQAAGTLPALKSKIENMADYETIFIGYPVWAVDVPQAIKSFLSAYDMSGKKVIPFCTHDGYGAGRSFTTVKNAVSGATTLDGIALLASDVPSAETQVQNWLKKIGIEREEPQGKTITVTAGGRTFTGTWLETPLANEIRGMFPLKATLGRYGVREYYGSMPQRPTNTEEGQLHFENGDITYCPSNNTIAIFYAKADDPSMGQLTMRIIPIGKVTSDLTVFDEMESRLEFTFNNSK", "MKKLMITMTAMLCAAAMNAKTLVAYYSYTNNVERIVTALRSQIDADVVEIEPAEKGLDYAANNYALGTQLLNAIKANPNDASSYPAIDPVEVNMDDYDMVIIAAPLWWSQMAAPLQTFLFTYGPQMAGKNIGVIVSSASSGISGVVADAKRLIPEGNFLEPNLWIRSSQTSNAASMISSWLENIHYDDLTTAVASITANNEFEIVTSNGMIGVNDDFNTLALYNLSGSKVMETTESQVSTATIAPGIYIAQANNGKYSLTRKINIR", "MKKYLYLLFAAFVAVGLSACSPDDNEPDVPGTEIPDTPDNPNNPDDGNDPDNPKDPDTPNPTGKTLVAYYSYTNNVERIVTELRSQIEADVVEIEPAQKGLDYAANNYALGTQLLNAIKANPNSESSYPAIDKVEIDMTQYDMVIIAAPLWWSQMAAPFQTFLFQYGSQMKDKKIGVIVSSASSGISGVVADAKRLIPEGKFVEPNLWIRSSQTSNAKSLIEGWLKDIKY", "MRKKSFLSFLIMLLFAVTSCSSDEPTTTEPGSNLSGDAGKTLIVYFSWGGNTKTVANHIHDLIGGDIVEVETVIPYPDTYEEVTQIAPGELASDYRPELKTKVDNMDEYDTLIVGTPIWGGHLTPAMKSFLASYNLSGKAIAPFCTHGGSGTAQSVNDIHSVCPNSTILGSLAVYGSRAESSRTDVEKWLKQIEIIKSN", "MSTEQNIYFHDTIDVVSHAYHLFQNHTLVYLYSGRLHLRNQCGETLSMVGGESAFIGKDSYSHLYAEPERDTHCSVLFFSLPRDFLCEYYQTLPESDRKLSVEELSALHRLEQTSDIKSIFQSWIPYMQSGQELSDKVLRLKMMEAVYALLNTDERYIPTLFDFAGKCQMDMLDLLQEPMEKEIRWRNLNFELLSKLN", "MKNKQDLSRREFIRNSMMAGGAILLSGVLPSQAQVSLFPVNENPDSFEADSLLRGVSDIHLHAAPDSKARLGNELEFARAAHKAGYKSMLFKSNDFSCHDRAYLIRQELPDFEVFGSLCMNRVHGDKVNVFAAEKAVATTDNLCRCIWMPTQDAVYQNVRYHGKKEGIAILDENGAVLPEVVRVMEICAEANIIFATGHSSPEESVVMARKAREVGVKKFVVTHANSGIWKMTHDQIKRCIDLGAWVEYSYITNLWGPGTGLPDFERMSDSEFADFARIAPEHSIITTDLGQVGMPHPVEGMRRCILALLENRLSQQQVDFMVRTNPAHLVSLSTLR", "MKTKLLFFFAWLMLATTGCSADEPRTELPDTPSEEKPEEPVEPSGDKKVLVVYFSHTGNTRTIAGYIHETVKSDLVEIKTVDTYTDDYDTLLEQIRKEVASEYCPPLTTKIEDISSYDVVFIGYPIWVETAAPPIRSFLTTHDLAGKTVVPFCTSGTSSAEASYRLVRSLCPQSTVLEGIQIRRGTYDTAYDRVVAWLQKLGIANLNNEKGDEK", "MRLQTIALLTFLTFTNVMAQETSKSTDMNTLELTQEWDKTFPQSDKVEHTKITFHNRYGITLAADLYKPKNAQDRLAAIAVSGPYGATKEQVSGRYAQTLAERGFLTIAFDPSYYGESSGTPRYLTSPEISMEDFSAAVDYLTSREDVDSERIGILGICGWGGFALNAAANDPRIKATVTSTMYDMSRVNANGYFDANDNADARYKLRQALNEQRTKDYRNGGSERDGGVLDPVPEDAPQFVKEYHDYYKTERGYHRRSPNSNNGISKTSVLSFINMPLLTYIGEIRSAVLLIHGEKAHSRYFSEDAYKRLTTENKELLIIPGANHVDLYDNLDVIPFDRIEGFLKKTLEKK", "MRPYIITHMMTTIDGCIDCPVVGQLSTDEYYIALERLGACSKLSGRVTAELECPAVNTEDASAYTGTTIGKESFHIAQKADEYTIVVDTHGKMHWKSNEAEGHPVLCIISEDATQQYVDILDNMGISWIATGSAHIDMPRAMEILHDEFGVERLAIVGGGHICGGFLEAGLVDEASVMVAPGIDGRTGQTAMFDGVTDRGSNPYKLKLESVEQWETDIVWLRYKVK", "MKEENKEGISRRGFLKTAAAFGAALTIQPAINKVQAANAVLNGTSASPVKNKDMQYRTLGTSKAAFDVSALGFGVMGMTYNRSQYPDKKTRQRVIAEAVDRGVTLFDTAIIYGPLNNEEFAGEVLAPYKNRVNVTTKFGHEVINGKGTGRQDSRPATIRRYCEESLRRLRIDSIPMFYQHRFDRNTPIEEVAQCIGDLIKEGKVQRWGLCEVNPETIRKAHAVQPLTAIQSEYHLMHRLVEENGVLDTCRELGIGFVPYSPINRGFLGGCINEYTVFDPNNDNRQTLPRFQPEAMRANYRIVNVLQDFGRTRGMTSAQVALGWLLQKAPWIVPIPGTTKLSHLEENLRTLDFTLSESEWKELEQRVAAIPVVGDRYNAEQQKQVGH", "MNDIFERDLKGEMVSPTDPGYDELITDIFDTMKIATEMNTGYKTPTEVHEYMGRILGKPLDESTTVLPPFYVDYGKPVTIGKGCFIQQCCTFFGRGGITIGDEVFIGPKCNLITINHDPDPENRSATYGRPIVIEDKVWLGINSTILPGVRIGYGSIVGANSVVTKDVPAMTVVAGNPARIIKEIKK", "MKEIRIDCLNQTEQELAAAKELRQNIFRLNHTMPDIEEYSELLHKVFPHLGENCRVETPFSGVRTANVKFGRNVIIMPGCLMMSAGGITIDDDVMIAANVQLISNNHDLEQRSVITCKPVHICRKVWIGAGATILPGVTIGENAVVGAGSVVTRDVEPNTIVAGNPAKLIRRI", "MKLLALIIMGLLTFGNSVSAQTTKNSKKILVAYFSCTGTTEKVAEAIAKETGGKLYRITPAEAYTSADLDWQNKKSRSSVEMADDKSRPALGGEAINLKDYDVVFIGYPIWWDLCPRPVNTFLEKYDFSGKTVIPFATSGGSSIANSVKQLKKLYPKVVWKDGKLLNGGVKQAEVWAKQAIK", "MKTKVISLVLLSVLMFPIMAKSQVKIKQTAGRDALGEFAPEFARLNDDVLFGEVWSRNDLLSLRDRSIVTVVALMSQGLTDSSFKYHLESAKKNGVTKTEMAEILTHAAFYAGWPKAWAAFRMAKEVWTDTTDNTASTSLESYAQTIIFPVGKTNDAYAKYFIGQSYVAPVVTEGVPVVNVTFEPGCRNNWHIHKATKGGGQTLVCVGGRGYYQEWGKEPIELRPGDSVYIPAGVKHWHGAAPDSWFSHLAIEAPGEKASNEWLEPVNDKEYSKLK", "MERLDVFDCANILIASFFTDDRGCAHENREHTLIYLISGELEIEERGKKTVLHPGECAFMRRDNRMWLQKKVEEGKPYRSVVLKFSRPFLKEFYQTLNRQQIPSDSKREKVSLRVLPSNRPDIRSLFESVIPYFDAGEKPSEDVLKLKMIEGVYVLLNTGINLYASLFDFVEPWKIDILDYLNENYMCDLSMNEIASYTGRSLATFKRDFAKISDLTPQKWIIKRRLEAAHDLIKSGKKKVTEACFDVGFKNLSHFSKIYKEAYGVAPSM" ]
[ "ACGG", "GGTGTTTT", "TGCCGTGATATGAGTTTTGAGT", "ATCGTTTCTTACTTTTTTGATGAATTTATA", "ATTGTTATCTTATTGAATTGGTTCACACTGCAAAATTACAGCGAATACCACAAGCGGACTTTGTTATAAAGT", "AGG", "ATCTTTTATTCTTTAATTATTTCTTAGTGCAAAGGTAAAAGTAAAGCCCCGCAACGTATTTGTTGCGAGGCTCAATGTGTTTTGTTCTAAAGTTCAAGTATAAAATACTCGTCATTGAAACGAGATT", "AGGCACA", "CTGTTTATTTATCGGTTAATTGTT", "GATGCTG", "GTCATTTGTTTTTTATTTTGATGCAAAGGTAAAAAGAGAGCCTCGCAACGGCTTTGCTGCGAGGCTCAAATCACTTTGTCATGAAGTTCAATTTGTAAAATA", "GCGG", "A", "ATTGCACTTTTTAATTTGATATTGCATAAAACATTTCCAATTATCGGATGCAAAAGTACAAAGAGAGCCTCACAACGGCTTTGCTGCAAGGCTCAATTTATTTTGTTTTTAAGTTCAATT", "ATTGTTTCCACTTTGATTTGCTTGCAAAGTTAGTACCTTTTATTCAGAAACTATTTGTTGAGAAGCTCAAATTTGCATGATAAGAGAGAATGTTTTCATTGTTTATATAATATGAATTTTCTGAATTCTTCAAATCCAT" ]
[ false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false ]
[ 1, 2, 4, 6, 7, 9, 11, 12, 14, 16, 18, 20, 21, 22, 23, 25, 27, 28, 29, 31, 32, 34, 35, 37, 38, 40, 42 ]
[ 0, 3, 5, 8, 10, 13, 15, 17, 19, 24, 26, 30, 33, 36, 39, 41, 43 ]
[ "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123890|-|1240:2496", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123891|-|2481:2903", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123892|+|3667:4428", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123893|+|4437:4889", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123894|+|4877:5542", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123895|+|5964:6158", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123896|+|6199:7833", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123897|+|7830:10013", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123898|-|10102:11637", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123899|-|11651:11962", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123900|+|12154:12450", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123901|+|12455:12781", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123902|+|12778:15315", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123903|+|15312:15665", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123904|+|15662:16291", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123905|+|16295:17308", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123906|+|17522:18145", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123907|+|18142:18396", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123908|+|18393:19676", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123909|+|19740:20639", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123910|+|20636:21214", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123911|+|21260:21691", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123912|+|21684:22187", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123913|-|22301:23023", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123914|-|23020:24264", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123915|+|24406:24669", "2510065017|RJ2H1_RJ2H1-contig-041.41|CDS|2510123916|+|24724:25092" ]
[ "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000001|+|1201:1239", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000002|+|2904:3666", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000003|+|4429:4436", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000004|+|5543:5963", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000005|+|6159:6198", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000006|+|10014:10101", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000007|+|11638:11650", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000008|+|11963:12153", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000009|+|12451:12454", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000010|+|16292:16294", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000011|+|17309:17521", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000012|+|19677:19739", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000013|+|21215:21259", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000014|+|22188:22300", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000015|+|24265:24405", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000016|+|24670:24723", "2510065017|RJ2H1_RJ2H1-contig-041.41|IG|IG_000017|+|25093:25114" ]
[ "MVAKISHGASLYGALAYNHNKVATGAAGILSGNRMISDRLGLPSEDMRLALLSFENYLLANRNTEKPVLHISLSPAPEDRLTDDQLAELADRYMRKMGYGDQPYIAYKHADTHNTHIHIVSVCVDEQGKKISDSYEHRRSMTACRELEADFGLRNGADTEKRNPKAELKKVDASLGDVRHQIGNTLKAVLESYRFQTFGEYSALLSTFNIEAKQVRGEYHGVPYTGIVYAATDDAGKVVSPPFKSSRFGKRFGNEQLEKRMLLNLKALKEGKWAPSIQADIARALQQADSRQRFVELLGQKRIGVVFRENEQGRIYGVTFIDHDRREVFNGSRMGKEFSANVFNDYFRWLDGIPENDRNGHTAVELWQHHRHKAGPESALEQAAGILSLETNPRDYEEEAFARRMKKRKKARRKSRGI", "MEKTTGTRTGRKPKNDPADRKYSFRLNAEENTRFERLLADSGARDRTLFIKKSIFSGQIKVVRIDKATMDYYIKLTEFHKQFQAIGNNYNQVVRALKNNFGEKRAMSLLYRLEKLSVELMLLCKKITALTQEYERKWLQR", "MKKEPLFVALSNQKGGVGKSTFTVLLASYFHYLKGYNVLVVDCDYPQHSISTMRDWEVGTIEKNVHLQNQLVEQFGTSGRKAYSILNSTPEEARETAGRFLEKSGLDYDLVLFDLPGTVNVPGVFRSVINMDYVFTPVTQERMVMRSSMAFVLAIREYMHRHKDVPLRGIYMFWNRMDKRVSKDLYNSYTEIFRSLKLPAMETVIPSAERYNKDFGMKGFFFRSTLFPPTPSALRGSNLDLLATEIETILKLQ", "MATKRRTDYQVDEEALKRMMAGDVTALDKMASPAEAPETKSRPAPSQEKQEKNSAGSPKQQIRKSTDEASGPDEYRQRFLKERLSGSRRQTYIHDALYRTVAKLLPVIAPNMSVPMFLGSVLSDHLERYQDVINEIYNQEANQNPLEWKK", "MEEIVYLSVRIGCAGYLLYKLWNQKKRIRKICGLLYTPGKKEKEEEGTSCGTGSGDVMGATRFVYLDENAGTFAAPYMSQPLETGNDYLGEEEAVPENEVECGLPLEEMRLLKEEQERLDEQAPGTEGIAPVITSDDLLNAGDVLLNLDGAQMNEEKKRRAAVTLHAIRETDMFELISSQVENKSLIEELIDQYIGNDGNVLPVKRKKKEGQPEHAWRQFL", "MGKQIAKLGIRPEDLKDKTVTSEQLEKTKGGKAAGSEECVCYCGCYTDDSAFGVDFNWWSRDWD", "MEKQKTNKFPLVKLFTVDGASCYLYDGRANMFLSLSETEKNLVCEYMASYGTSEGEPCPASFPSGAAAFIARLNGNGILLPGPLEARTPSGMRGEEQLWQQWEEEAIPKKLTLEMTNTCNLRCRYCPYTINEQRGQGKMHASHHLKEEDARKAISDYFHEYTRVFRKVEPEHRTLFLKRNPPAVSFYGGEALLRFSLLEPLVKYVLSLPWEEHHIPAEKVVFHITTNATLLTREMVEFFIRYHFTLAISFDGPPEENDKYRVFKNGQGTGTVVERALDMIREVSEEYLLNHVHIQAVLAPEYDHDKVGRYFEGRSLNGCYGGVRQFSYLEFSDYSESKKTDKQLAQFNIRERIKELYEKGLSPEERYQYILRDPLISAWLRYVYAILTKVGDAPSHKARYFNSCYIGRTNLLLDTYGNLHLCERSDFSMPVGEVNSGINRTAVRQMYRDFFEKTDSPSCRSCWAGRFCTLCTAALIKNGAVQEPDRSICRSLRHAQEKQIEDLLYIKEYYPEILEQMERMYFQANDITLGAFHAYVKEQQDVAP", "MRFRVSYQHDSMQCGAACLRMVCKHYGKEYALDFLSEQCGCMIDGVSLQAIRETAEDLGFRTFAVSVGLSELQAGWCPCILHWERNHFVVLYRIHKDKYHVADPAKGTVIYRKEDFLKRWAGDGEQGIALLLEPTQAFYDFSSGMEPKVPSPFRFLWRYIASYKKAFASVALTLLVGSLVQLVLPLLAQMMVDKGIGHKNIPLVYLILGGQLVLVLGSAAMEFVRNRLLLFVGMHVNISLITDFFAKLFRLPISFFETRTTGDLLQRIVDHRRVQDFLTGQVLSVLFSVMVLCIFMGVLFSYNSFLFLVFLAGSIGYGLWTMCFLHKRKLFDYMIFEKQSASNNKVYQLLTFMQEIKLQGGEGRRAGEWEALQKELLDIQMRSLSLQQFQQTGGIFISQSKNLVVTVIAAVSVIEGEMTLGMLLAVQFIIGQLNIPIEQLMQFLYNFQNVRLSIERISAIHGKAEERTGKEIPEQPEISRKDIRIEHLDFKYNLHGKAKVLDDITLTIPAQRTTAIVGASGSGKTTLVKLLLGYFAPTSGNIFLGDTPLQDYDMTSWRHSCGVVLQDSHVFSESLARNIAVEDKHIDRTRLRTAARQANLEEFIEKLPLKYQTMVGAEGVGLSRGQEQRIFIARALYKDPSFVFLDEATNSLDANNERIITGNLLDFCKGRTVLIVAHRLSTIRHADKIVVLHKGRIVEEGTHDELCARHGHYYELINNQLIAMGNE", "MFKIKLRNIKGIKKMDFPFPERKGVYVLTGANGSGKTSLLIALCRLGDKMAFTHFKVNTNKTGNIQIDTYKDSSITYCIDTEEVKYQRKGIRWVPNPRTSSNLIARFPFTNTLFVSTTGGRFFSQELFNINRATFNTVAPDMIESMNYILGTTKFNNLKFITVKNKRGRQRQLHRSNKLYVIKDANNNLYSEQNFSLGERLLLNILDLLQNIAPKTLLLIDEVELSLHPIAQIKFYDFLKRQANSKNLAIVISTHSSSLIKHADNRLFLEKDDNGVVSVLENCYPSYVLRSVSAIEDRCPDFIFFVEDEMAFEYLQLVVQKFLNDTYRILDYKIIPAGTYDQVIRITQSFPALSIDKRKVQAFLDKDAEEAFNELKAKGNDRSEAENKKFDLFRNNNENITYLSITPELGVWKWIEGNSSKFKIFFDQVFGTQSFDMIEIVSQTSSEELRNKNGNLRHWAKGCFKNFAEKINLANPTIKKEDVLKCMIKCYVEYNYDLNSLKGILFPILNR", "MLLMTYDLVQNGDNQLNTEIKEALINRGWSDCARYWVKPFNRIMEDSTPSTTLWKQGDDQEEGCSDFEIVCTRYNIQHLKEKRRAIGKVVCVNYEDDCCVKLE", "MKRRILFSMVCAVIAAHAFAQGQGLAGINEATSLMTSYFDPATKLCYAIGAVLGLVGGIKTYGKFSSGDPDTSKTAASWFFACIFLIVAATILRSFFL", "MEYPVNKGAGNSVEFKGLKSQYLFVFAGGLTVVLLAVVILYLAGVDQWICIPFGIVSGGLLVWVTFRLNARYGEYGLMKLLAGKRHPRYLIHRKRVFRLFTKRKKKQP", "MRNVLKAETLERKFPLLSVENGCIVSKDADLTVAFEVELPELYTVTADEYEAMHASWIKAIKVLPEHSVVCKQDWFVKETYRSKTGGEEQSFLARSYERHFNERPFLNHRCYLYLTKTTRERNRRQSDFNTLCRGFLLPREITDKETAERFLEAVEQFERIMNDSGHVRLRRLETDEVTGTKERPGLVEKYFSLSLEDGTAVLQDICLKPGRMRIGDKRLCLHTLSDTEDLPGKLSTDMRYERMSTDRSDCRLSFAAPVGLLLSCNHIYSQYVFIDSAQEILQMMEKNSRNMLSLSKYSRSNAVNQEWTEMYLDEAHAKGLLPVRCHCNVIAWAEDADEFRRIRNDTGSQLAMMECTPRYNTVDTPVLYWAGIPGNAGDFPAEESFYTFLEQAVCLFAGETNYRNSPSPFGIRMADRQNGIPVHVDISDLPMKRGVITNRNKFILGPSGSGKSFFTNHLVRQYYEQGAHILLVDTGNSYQGLCRMIHDRTRGEDGIYITYEEDNPIAFNPFYTDSGQFDVEKRESIKTLILTLWKREDEAPTRAEEVALSGAVNAYIRKISGDRSVRPDFNGFYEFVSVDYRRMIEGKRVREKDFDIDGFLNVLEPFYRGGDYDFLLNSDKELDLTNKRFIVFELDNIGSNKVLLPVVTLIIMETFIAKMRRLKGVRKMILIEECWKALMSANMSEYIKYLFKTVRKYFGEAVVVTQEVDDIISSPIVKEAIINNSDCKILLDQRKYMNKFEHIQRLLGLTDKEKGQILSINQANHPGRSYREVWIGLGGTHSAVYATEVSEEEYFTFSTEESEKLEVQRLAEESGGDLEAAVRRMAEKRREEQRRTSTFKQERL", "MRNRPLKLAVCLISMAALILQSCSESGIDRDKLCGSWTSVEGKPDVLVYKEGEAYKVTVFARSGKARVLKPKTYLLVEENGNLFINTGYRIDVSYNEATDVLTFSPNGDYVRKEERP", "MRAKSLLIGTVLALCGTAADAQWVVTDPGNLAQSIINMSDNIAHTSKTAVNTAESFAETVKIYEQAKRYYDQLKAVNNLIQDARKVRDIILMVGDVSDIYVTNFGKMMNDGNFSPRELDAIAFGYARLLEESNGVLQDLRQVINVSTLSMTDKDRMDVVDRCYYEMRRYRNLVSYYTNKNIAVSYLRARKKNDLDRVMRLYGDETSKYW", "MVLLSIDFANLHTILETLYGEMMPLCEEMMDVGKGLAGLGALFYVAVRVWQSMARAEPVDVYPLLRPFAIGICILLFPTLVLGTLNSVLSPIVQGTHKMLEGQTLDMEQYRAQKEELEREAMLRNPETAHLVSDEEFDRQLDELGWSPTDAASRLGMYVEVGMYNLEKKIRDAFRSLLELIFAAASLLIDTVRTFFLVVLSILGPVAFAFSVWDGFQSTLGQWFTRYISVYLWLPVSDLFSTLLAKLQVLMLRNDILELQGNPDYSIDNSNSVYIIFMLIGIIGYFTVPTVAGWIVQAGGAGNFSRNLNRTATKAGSFAAGVGGSVLGNIGGRLRGK", "MEFKSLTNIESSFCRIRLMLAVFTGCCALVTGYALWSSYRFAERQREKIYVLDGGKSLMMALSQDLSQNRPAEAREHVRRFHELFFSLSPQKDAIGHNINRALQLADKSAYHYYVDFAEKGYYNRLISGNINQVVHVDSVVCDFAVYPYKATTYARQMIIRESNVTERSLVTSCSLQNTGRSDDNPNGFIIEQFTILENKDIRSTER", "MKRGYASLRRADGRIRLFCRRLTRRQRSLVTITAFLLFLAACLYTIVSALSGPGKSDGTMSIEHIRRLDLRPEDNTNPSKQQLR", "MKTDIQKIKKLLRLSGDEPLTPEEKRRRAKFVIYPLFFLMFAGAILLIYAPTQKEREEAGKGLGFNTEIPSPEETRMEGNKVSAYERDVLAKKERKRKDTFQEMSELFNRKRNDTLRVAQGGANVELPPEPEAMTPRSPVRSSTEAYRNMNRSLHTIHEPRDHPQDKELLRRIESLEKRQSGEHPDGGGQSLEEKMALMEKSYELAARYNGKQTTATPAADRKERTAARPVKQVRQQVVSSLSRPANDEEGIAGERNTGFHTPVGKTLSPDRNTIAACVHGTQTVSDGQALRFRLLEPMAVDDRLIPKGTLLTGSTRIRGERLDVLVETVEYKGAVIPVELEVYDADGGQGILVPNSLEYDAVREIAANMGTSMGSSINISTDAGAQIASDVGKGVIQGVSQYVTKRMRTVKITLKAGHRLLLHTPE", "MMFALLTGFLAAHAQQSSGDYFEGLSRKIGFSQMIPPHGLEITYDKTVHVIFPSSVKYVDLGSPNLIAGKADGAENVIRVKATRKHFRNETNMSVITEDGNFYTFNVKYADEPLLLNVEMCDFIHDGEAVNRPNNAMEIYLQELGNESPRLVRLVMKSVHGQDKRRVKHIGCKRFGVQYLLKGLYAHGDLLYFHTEVRNSTHVPFDVDFVTFKIVDKKIVKRTAMQEQVICPLRAFNYLTRVDGKKSGRTVFALPKFTLPDDKKLVVEMYEKQGGRHQTFEIENEDLVRAETINELKVR", "MKRYVYITLALLALMAGQAHAQRCLPGMKGVRLTAEMADGFYCGANRHDAGYAFSLAVSTYTKKGNQWVFGGETLRRNIPYRNTHIPTAQYTGEGGYYHTFFSSPGKVLFLNLGVSALLGYETVNGGKKLLDDGAALHRCESFIYGGAATLEAEGYLSDSVVLLFRLRERFVWGGASGRCHCQYGIGVKYIF", "MMAACCLAAALFYLVSCDGGLDVRQEYPFTVEAMPVADGIANGETVEIRLEIRPEGNFAGTVYTLRYFQPDGKGSLKMEDGTVLKPNDRYLLNERRFRLYYTSQSADDSQTIDLYFEDNWGNMRQLTYGFNVNDAENNVPDNE", "MSRAWLRLTAGVFMAFVVSSAFAQGKGEVPDSLFDKAVEFIKRAEGWHRGQMPYIGYGHCLLPGETLTENLSKAQADSLLRSDLRKCCDAFREFENDALLLGVLSYNVGIFRLKGHGRMPKSRLIRKLEKGERDIYEEYVSFRCYKGKVIPSIERRRKAEFALFYIP", "MKERRKRRSAKDIEESILDAANQLIENDGFSKLTVTGIIHLAEIEPVQFYHRYEDLNKFIDEYVKKYDYWFNDIIKSQKQSSNDKELYTNILTGLFHSLSENKAMQELLKWELANNNETSQRTARLRELHTLPLCQKYSKLFSDTDIDIVTISALIIGGIYYLILHDELSTFSGINLKMESDRQRVIKAINKLSDILFTPIPSSLTRETIDIIIKMKEDNIPVGKIAYYTGIPQDIIISI", "MENVYYSLKDFELSLKKNAIERADDFQQYINQLNEFGCKSYWITSQTGVGSTMTIEDFDRPVTAFISNDYLGMSQREETKQAGIDAIIKYGTGACAAQVIGGYLDIHQQLEQEIASFVGQEDAMLFSSGFGANAGILRALLGQNDIALVDPYIHTSAMAGLKGTNVKRIGHNDLEYLEKTLKETKDQYQTRLVIIDGVYSQDGDLSLLPEIISLCKTHEAMLMLDDAHGIGVMGANGRGTAEHFNCLGQVDIITGTFSKSFGCVGGFAAASKKIIQYLKFYADSNVFSAAPTPQVTASILKALEIIKKEPAIRARLWENTDYLRSRLTTEGFDIGKSVSPIFPIMIRDNKKVYEIAKMLQEKGIFTIGIVYPAVRTKEARLRVSVLATHEHEQLDALINALNDINKDIKIKKEQ", "MKMDFKLRWVQLIALFCLIGVCSSCLDDDKREDWSETINLYVASQTADFYPQEFPSGIEPLEGIQVKENISALLIQFYNSNCVFISN", "MCCKFFKRSNLLLFLLSLLSLCIGCNEDDKERIVVMNVSSDLVWTGSRPPGNSTDLVKVMECTIEGTEEILYLSKNAIEGFEYVEGFRYKIRVRIVELDNPPADGYTERYELLEVVLKEKIS" ]
[ "AGGGATGGGGATAAATGGGTTTTGAAAATTGTCTTCCGT", "AATGCATGAGATTGTTGGTTTGTACTTTTTTTCTTTTCCAGAATCCGCGACTTTGGAGCGGATTCCCCCGCCCGGGGGCGGGCAAGTTGGTCCTTGTCGGACAAAAGACTTTTTGTCGGACAAGGACACAACTTGCTCTGTTCGTTTGAACAGAGAATCCTCCTTCGTCGGATTGCTGCGCGACGTTCACGGCGTGCTGTGGTCCGATGAAGCATGTTCCTCTCCATTGACGGATTTTGATTTTGCAAAATTAGATGGTGTTAACCGGTTGGTAAATAGTGTTTTATATGACGTTTGATGACATCTGACGACATCTGGTGTCAGGCCGGGGAAATCTTGGCGGAAGTCTCAAAAATAGCCGCTACTTTTGGCACAGTGCATGAGGGCATACATTGGAACATTGTCCTGTAAGGTGTATTGCCTGCCTGCCACAGGCGGTACATGGCACGGATGCAATATCATCCCTGTAGGTACATTGCCATACATGTTGCACGGTGATCCTGCATGGTGGGTTACATTGCATGAATGCAATACAGTACGGGTTGCATTGGACTCCGTATTACATGAATGCAATGTACCTTATATGGCAATACCCTCCGGTAACCGGCAATACATTGGTGCAATAGGGTACGCAATGTATTCATGCAAGCGGGCAGGCAGTGCGTCATTGCCATATACCGACAGATGCATTGTCATGTAATGAAACAGAAAAAACAGAACCGGACAGATATGAAACCAAATTATACACTCTAAATTCAAGCCT", "GAACAATC", "ACCCGGCGGCAATCAGTCCGATACGAAAGGAAGAGGCTTTGGAAGCACCTGCCTTGTACCGCTATCATGGTTGCCTGTTCCCGGTTCCTTTGTCATACCTGGCTCTTTAAATGTCCATATATTTTGATTATTCGGTTGGTAACGGATTCCTTTCCGGAATCATATAGAAGCTAAGATGTTAATGAAAAATAATTCATTACGTTTATCCTATCTTTTTCTAAGAAAAATATTGTGTTTTTGAATGGAATCTGTATATTTGAGACAGATTTCAAAAATGAATTATAGAGCCGTGATTTATCAGGAAAAACGAATGTCAGTATCGCGGCTATGGGATTTGAATAACCGGTAAGCTATATCGGCATTGCAATATTTTAAAGGAGTGAAATGTTGCAAGTATGAACTAACTCCAATTTTAAAGGCT", "TTCCTGCATTGTACGATGCTTTGTAAACAGATTAGCCACT", "GGTGTATTGTCATAATGAAGTGATGTAATTAAAAAAACTCAATTCTTGCATTAGGATTGAATCATTAAAAGAACGATGGAGTTAAAAG", "TTCTATATAATCA", "AATAAATATTTTATTAATTATGCCTTTATATTGCCAAAACTGTGCCAGACTCTATTTAAACTTGATTATCTGTCAATATGACATCTGATGACATCTAGCGCCATCTAATGACGCCGCTGAACCATAGCCTATTAACACCATTTATCTTCGCCGTCGAATTCAAATTTATGTCTTACCCAAACGATTCGACA", "AGGC", "CCT", "CAGAGACCGGTTTGCGGGATGCGTATGCTGCATACGGCTACTAACGTATGCAGCCTGATACGACTAACGTTAGTAACCGTGCACTACTAACGTATGTAGCGACCTGCTACTAACGTATGTAACAAGCCGTCACTAACGTATGTCGCCAAGAGCACCATACGTATCCCGCCGGAAGAAAGAAAAAATCAATCAATCCAAAGAAACGATTCATAC", "AAAAAGAGAAAACACAATTATTCAACAACCAACCAACAACAATCGGACATGAAGAAGATTCTG", "CCCTGAAACAGATATATACCTATGAAAAGAAAAATCCTTGATTGT", "TTATAAAAAAAGCCGTGAACGACGATGGGGAAATCGATGTTCACGGCTTTTTTTTCTGCAATTTTTACTCATCTTCAAATCAGTATATACGGCTGGTTATTCCAATTTGTGCT", "ATTAATATAATTTAGATTGTATAGTACAAATATAGATATTATTTGTGTTTATCAACATATAATGGCAAAAAACATCTAAAAATGTTATTTTATATACAATATAGATTGTATATTTGTGCTGTAAACAATAAAAATAAGGAT", "GAATATCTTTCTATTTAATTATATCTATTTATTTCATGTTTAATTGATTGTGGT", "GTTTAATTAAATATTTATTATT" ]
[ false, false, true, true, true, true, true, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true ]
[ 1, 2, 4, 6, 8 ]
[ 0, 3, 5, 7 ]
[ "2510065017|RJ2H1_RJ2H1-contig-043.43|CDS|2510123924|-|1222:2031", "2510065017|RJ2H1_RJ2H1-contig-043.43|CDS|2510123925|-|1994:3094", "2510065017|RJ2H1_RJ2H1-contig-043.43|CDS|2510123926|-|3102:4883", "2510065017|RJ2H1_RJ2H1-contig-043.43|CDS|2510123927|+|5018:5623", "2510065017|RJ2H1_RJ2H1-contig-043.43|CDS|2510123928|+|5697:8537" ]
[ "2510065017|RJ2H1_RJ2H1-contig-043.43|IG|IG_000001|+|1218:1221", "2510065017|RJ2H1_RJ2H1-contig-043.43|IG|IG_000002|+|3095:3101", "2510065017|RJ2H1_RJ2H1-contig-043.43|IG|IG_000003|+|4884:5017", "2510065017|RJ2H1_RJ2H1-contig-043.43|IG|IG_000004|+|5624:5696" ]
[ "MLEKIQETATFLREKMHTSPETAIILGTGLGSLVHEITDKYEISYKDIPNFPVSTVEGHSGKLIFGKLGNKDIMAMQGRFHFYEGYSMKEVTFPVRVMRELGIKTLFVSNAAGGTNADFEIGDLMIIRDHINFFPEHPLHGKNIEYGPRFPDMSEAYSKELIDKALEIAKEKGIKVQQGVYIGTQGPTFETPAEYKMFHILGADAVGMSTVPEVIVANHCGIKVFGVSVITDLGVEGKIVEVSHEEVQKAADEAQPRMTTIMREMINRI", "MEGNLIKINKWLYPVSWIYGTGVWLRNKLFDWGIYKERKFDIPVISVGNITVGGTGKTPHTEYLIRLLQKDYKVAVLSRGYKRKSKGFVLARPDTSVQMIGDEPFQMKQKFPDIHMAVDRDRCHGIEQLCNSHIAPGTEVIILDDAFQHRYVKPGINILLVDYHRLICEDTLLPAGRMREPENGKSRAHIVIVTKCPKDITPMDLRVLSKQMELYPYQQLYFTTLTYGKLHPLFTAGNAVSLKEIEKDKHILLVTGIASPAKLIQDLSPYNEHIESLAFSDHHDFTARDMELIKKRFMKLPEGKRMIITTEKDSVRLAAHPLMDEMLKPYIYMLPIEVAFLQDQQELFNSNITDYVRKNSRNSNFS", "MKDFLKFTFASVIGVILAGVVFTILGIITLVGIVASSDTETVVKDNSIFVLDFKGSLSERVQENPLQQLLGEEFEAYGLDDILASIKKAKDNDKIKGIYIQPSYLEASYASLEEIRNALLDFKESGKFIVAYADQYAQGMYYLSSVADKIIINPQGSIGWHGAGMQPVFFKNLVSKLGLEVQVFRVGTYKSAVEPFIATEMSPANREQMTECLESVWHRILADVSDSRRIPTDTLNAYADRYMDFCQAEEYIQCKLADTLMYKDEVISYLKQLSGRDENDKLNSLFIEDMINVKKNVPKDKSGNIIAVYYAYGEILDAPGSSTEDCIDVQKMCKDLRKLRDNDDVKAVVLRVNSPGGSAYGSDQIWREVVRLKEKKPVIVSMGDYAASGGYYISCAANRIFADPTTLTGSIGIFGMMYSGEKLFTETLGLNFDVVKTNKMADLGASLGPVLTRPLNASEQELMQNYVNRGYKLFVNRCAEGRKMSTEAIEKVAEGRVWTGAMAKDLGLVDELGGIDKALNAAATQAGIENYSIIGYPEKENIFASLLGNQKKHYINSEIKEYLGSYYNSFKALENIKDANCIQARMPFDPNIQ", "MTKSFKEALKARRTFYRIQNKSTLSDKEIRDLICFAVEFVPSAFNSQTTRVVLLTGKAHEKLWNIVKNVLRKRVPAEVFGKTEEKIDGCFACGYGTILYFEDMAIVRSLQESFPTYKDNFPIWAEQTDAMHQLAVWTMLEDAGMGASLQHYNPLIDDEVRKVWNLSDDWKLIAQMPFGVPVAQPGFKEVKSLDERVFEFTD", "MKKLLSLPPNLVECFHDIEKADQTEWFCTSDPIGSKLGSGGGTAWLLEACCQKVAPDSDFLTWLGKEKRILLHAGGQSRRLPGYAPSGKILTPIPVFRWARGQRLSQNLLSLQLPLYEQIMEKAPSSLHTLIASGDVYIRAGQPLQTIPDADVVCYGLWVDPNLAKNHGVFVSSRATPDKLDFMLQKPSVEELGKLMQTHLFLMDIGIWLLSDRAVSLLVKRSYKEGKLSYYDMYSDFGLTLGEHPRMMDDELNKLSVAILPLPGGEFYHYGTSRELISSTLAVQNLVNDQREIMHKKVKPHPAMFVQNAEVGYQLTSQNSEIWIENSYVGAGWNIHHQTIITGVPANNWNLEVPSGVCIDVVPFGESGYVARPYGFNDTFKGALAKEETYYQGMSVGEWCAVRGISVEEIENGHDLQAARLFPVCSSVEELGAVMRWMVSEPALQQGKEIWQRCRKLSADDISAYSNLYRLAEQREAFRIKNWPALAHNYERSVFYQLNLENAAGEFARYDLSLPEPLSESAPLMTRISDNMFRARVQQLKGLAYREYENEAFRLMRDGLTASALAKRQQPHLSVYSDQIVWGRSPVRIDLAGGWTDTPPYCLNEGGNVVNIAIELNGQPPLQVYVKPCREYKIILRSIDLGAMEVVTTYGEVRDFMQVGSPFSIPKAALVLAGFQPGFSTESYVSLEEQLKAFGSGMEITLLSAIPAGSGLGTSSILASTVLGAISDFCGLNWDKNEICNRTLILEQLLTTGGGWQDQYGGVLRGVKLLQTHAGMDQSPLVRWLPDYLFTGGEYQKCHLLYYTGITRTAKGILAEIVRSMFLNSTEHLSILGGMKGHALDLYEAIQRGNFDEMGRLVGKSWKLNQALDPGTNPEVVETIIRRIDDYCLGYKLPGAGGGGYLYMVAKDPEAAIRIRSILTQNPPNSCARFVDMALSDKGLQISRS" ]
[ "GTTC", "GTGCAAT", "TGAATGTGTTGTTTTTAATGTTAATAATTAGCTAACAAAAATAGGTTATTGCATTGAAAAAAGCAAAAAAAGTGAACTTCTTTTGTTACCTGTTTGTTCTTCATTTATAATAACCCATAAATACGTTGTTTTAT", "AATTCATGTCTTATCTTTTGGCTTATTAGGGGAAAATAAGCATCTTTGTGTGCAATAAAAACAAAGATGTGCT" ]
[ false, false, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 18, 20, 22, 24, 26, 28, 30, 32, 33, 35, 37, 39, 41, 43, 45, 47, 48, 50, 52, 54, 56, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 111, 113, 115, 116, 118, 120, 122, 124, 125, 127, 129, 131, 133, 135, 137, 139, 141, 142, 144, 146, 148, 150, 152, 154 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 19, 21, 23, 25, 27, 29, 31, 34, 36, 38, 40, 42, 44, 46, 49, 51, 53, 55, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 110, 112, 114, 117, 119, 121, 123, 126, 128, 130, 132, 134, 136, 138, 140, 143, 145, 147, 149, 151, 153, 155 ]
[ "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123931|+|282:644", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123932|+|751:1566", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123933|+|1595:2200", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123934|+|2213:2863", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123935|+|2893:3705", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123936|+|3715:4659", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123937|+|4683:6098", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123938|+|6284:7126", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123939|+|7141:8031", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123940|+|8028:8393", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123941|-|8491:9984", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123942|+|10231:11496", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123943|+|11590:12936", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123944|+|13012:14478", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123945|+|14501:15904", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123946|+|16067:16561", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123947|+|16922:17497", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123948|+|17487:17732", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123949|+|18032:18493", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123950|+|18500:18886", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123951|+|19019:19858", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123952|+|19991:20980", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123953|-|21039:21404", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123954|+|21495:22145", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123955|+|22150:22767", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123956|+|22764:23246", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123957|-|23297:24187", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123958|+|24261:25100", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123959|+|25328:25798", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123960|-|25881:26453", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123961|-|26435:26617", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123962|-|26622:27191", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123963|-|27238:28113", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123964|+|28209:28898", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123965|+|28945:29571", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123966|+|29590:30894", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123967|+|30906:31424", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123968|+|31443:32300", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123969|+|32308:33456", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123970|+|33513:34856", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123971|-|35431:35889", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123972|-|35895:38171", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123973|-|38466:39101", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123974|-|39106:40608", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123975|+|40626:41450", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123976|-|41536:42129", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123977|+|42305:43204", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123978|+|43311:44117", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123979|-|44156:44773", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123980|+|44905:45066", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123981|+|45663:48776", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123982|+|48796:50532", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123983|+|50612:52429", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123984|+|52441:53601", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123985|+|53617:54504", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123986|+|54698:57418", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123987|-|57461:57889", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123988|-|57901:59199", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123989|+|59313:59960", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123990|+|59969:60079", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123991|-|60045:60299", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123992|+|60535:61635", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123993|-|61698:62531", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123994|+|62622:63794", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123995|+|63803:67018", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123996|+|67015:68388", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123997|-|68469:69701", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123998|-|69782:70333", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510123999|+|70507:71895", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124000|-|71977:73026", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124001|-|73063:74598", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124002|+|74718:75254", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124003|+|75295:75864", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124004|+|75980:77164", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124005|+|77161:77943", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124006|+|77983:78642", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124007|+|78652:79275", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124008|+|79279:79470", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124009|+|79675:80211", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124010|+|80415:81020", "2510065017|RJ2H1_RJ2H1-contig-044.44|CDS|2510124011|+|81166:81837" ]
[ "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000001|+|166:281", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000002|+|645:750", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000003|+|1567:1594", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000004|+|2201:2212", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000005|+|2864:2892", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000006|+|3706:3714", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000007|+|4660:4682", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000008|+|6099:6283", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000009|+|7127:7140", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000010|+|8394:8490", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000011|+|9985:10230", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000012|+|11497:11589", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000013|+|12937:13011", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000014|+|14479:14500", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000015|+|15905:16066", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000016|+|16562:16921", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000017|+|17733:18031", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000018|+|18494:18499", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000019|+|18887:19018", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000020|+|19859:19990", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000021|+|20981:21038", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000022|+|21405:21494", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000023|+|22146:22149", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000024|+|23247:23296", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000025|+|24188:24260", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000026|+|25101:25327", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000027|+|25799:25880", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000028|+|26618:26621", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000029|+|27192:27237", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000030|+|28114:28208", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000031|+|28899:28944", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000032|+|29572:29589", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000033|+|30895:30905", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000034|+|31425:31442", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000035|+|32301:32307", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000036|+|33457:33512", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000037|+|34857:35430", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000038|+|35890:35894", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000039|+|38172:38465", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000040|+|39102:39105", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000041|+|40609:40625", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000042|+|41451:41535", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000043|+|42130:42304", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000044|+|43205:43310", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000045|+|44118:44155", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000046|+|44774:44904", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000047|+|45067:45662", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000048|+|48777:48795", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000049|+|50533:50611", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000050|+|52430:52440", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000051|+|53602:53616", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000052|+|54505:54697", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000053|+|57419:57460", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000054|+|57890:57900", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000055|+|59200:59312", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000056|+|59961:59968", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000057|+|60300:60534", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000058|+|61636:61697", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000059|+|62532:62621", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000060|+|63795:63802", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000061|+|68389:68468", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000062|+|69702:69781", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000063|+|70334:70506", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000064|+|71896:71976", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000065|+|73027:73062", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000066|+|74599:74717", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000067|+|75255:75294", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000068|+|75865:75979", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000069|+|77944:77982", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000070|+|78643:78651", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000071|+|79276:79278", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000072|+|79471:79674", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000073|+|80212:80414", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000074|+|81021:81165", "2510065017|RJ2H1_RJ2H1-contig-044.44|IG|IG_000075|+|81838:81938" ]
[ "MFNYQGVDADVVSSAIDRYATTTEHQDNMASDHGTLDTMSFTHSLLTGQQQVLAKLMPDDLRIRRAEELQQNFLKILIGRFSLREEILATDRAKIFPSNPIHCVSPACHYFVFTLRRILI", "METIQNKTTNKIVGIKNAGWVIICCFIIAVCIYHFILGNPSNFMNNDPNNHPLPGSFLGTIYKGGVVVPIIQTLLLTVIALSVERYLAIRSAFGRGSLVKFVANIKSTLAAGDMKKAQELCDKQGGSVANVVTSTLRKYADVENDATLSKDQKVLAIQKELEEATALELPMMEQNLPVIGTITTLGTLMGLLGTVIGMIRSFAALSAGGGTDSMALSQGISEALINTAFGILTGALAVISYNYYTNKIDKLTYSLDEVGFSIVQTFAASHK", "MGRAKIKKKSTFIDMTAMSDVTVLLLTFFMLTSTFVKKEPVQVFTPASVSEIKIPETNILQILVDPQGKIFMSLDKQPDMKAVLEKMGEEYGVDFTPEQEKKFVTASTFGVPMRSMQKYLDLPSDQQDKLLKNEGIPCDSTDNQFKSWVRSARQVNPDLRIAIKADASTPYAVIKNVMNSLQDLRENRYNLITSLKTTSDK", "MSAEVQESSKKGKGSKQKKMTVRVDFTPMVDMNMLLITFFMLCTTLSKPQTMEISMPSNDKNITEEQQSKVKASQAITLLLAGGDKLYYYEGEPNYKDYTSLKETSYNADGLRSILLKKNSAAVREVNDLKKQKADLKISEEEYTKKLAEIKSGKDTPTVIIKATDDSSYKNLIDALDEMQICNIGKYVITDIADADQFLIKNYDTKGDLSKDIAE", "MAKIDLTSPEWCELIFQGKNKAYGAYKMRANSPKRHTWAMVIVVIIAAIGFSIPTLVKLATPKQKEVMTEVTTLSQLEEPEVKQEEFKKVEPVAPPPALKSSIKFTAPVIKKDEEVRDDEEIKSQEELTQTKVAISIADVKGNDELNGKDIAELKEVITKAPEAEEKTYTMVEQMPQFPGGDRELLSFIAKNLHYPTIAQEKGIQGKVFVRFVVSATGDVKDVKVMRSLDPYCDKEAIRVIQSLPKWIPGRQNGRNVPVYYTVPITFKLQ", "MMMKLYIALLAASLLLLSGCGNKPKPGEDDTLTSGTITIAVDETFRPIIEEELQVFYALTPDATVHPIYCSEVEAMKLLLADSVRLAITTRQLTRQETAYLNDKKFFPVSVKMATDGLALIVNKQNTDSLITVDQFKEILTGKVTDWKQLNPASRLGELQLVFDNPNSSTVHYVLDSICGGMPLSKDLKAQKTNPEVISYVAKNPAALGVIGVNWIGNPADSTRLSFNDVIRIMAVSRADSATVGNSFKPYQAYLALNQYPLTRNVYILLNDPKSGLPSGLTSFLTDFRGQRIILKSGLVPATAAVRIVDVKEE", "MKKLVLTVMSLCLAVTMWGQTPAGSEWSPQVKQAATMIKENPAKASEAFDELMKGKNKKNTSLLVEIGRAYLDQGKTAEAAEYAQRAKDVNSKCAVAYLLSGDVALKLNDVNKASSDYNQAIYLDENCSEAYFKYAQVYKGVDPQLSLDMLMRLQTKAPDDNRISKELADVYYTMGQYGKAKEAYESYLKVGTPTEQDYTRYAMLLYLNKDYAQSSDMVKKGLELAPENHVLKRLAMYDNLELKDYKEGLEAAATFFSNPGNPDYVYLDYVYFARLLEADKQYDEAVAQFDKALAMDKSHTEIYKDISDVYEKERDFPKAIEAYKNYLGGMKGDPDISDLFLYGRLNYYAATDSAYQDKQPLYLAEADTIFAQVAAKVPDNYLGNFWRARVNSLRDPETTQGLAKPYYEAALSILEQKPDATKSVLVECNSYLGYYYFVKEDYNQSKLYWNKILEIDPGNETATKALGGIK", "MMIEVKNLSFSYGKRKQKVFDDFSLALDKGSVYGLLGKNGTGKSTLLYLMTGLLRPQAGRVLYKGVDVSMRYPLTLQDMFLVPEEFALPSVSLKQYLKLNTPFYPNFSNELLSTCLRDFDMNEDIHLGELSMGQKKKAFMCFALATNTSLLVMDEPSNGLDIPSKSQFRKVIASGMTDEKSVIISTHQVRDIDSLLDHVVIIDGTRVLLNASVKTICDKVYFAEQGMNEPTDTALYVQPSVQGNSVIFPNTENEETNLNLEVLFNAMLAEREKMQTMFNK", "MDTNNFFSFSRIAMVMKREIMENWKTNLYRLIGIYAAFALVMILHMWTMSSGRSSQISFTTYCSNIMGTFVFIIGIASIAYAANIMENMITKEKRIAFLMLPATMIEKFVARFLIVTVGMAAAIIVAASLAEITRYLVLPLFNLPEAFHQSVLYHLLSMAAIDGEQVFRGSGSALNMSYQNWLGELCGWAFLVWSHSLYILGGSYWYKKPFFKTLGTLMLISILCSVLSVHIISWVGNDGMRSFAEWLEANFQWVTLNKLLSLGVAFFSAFTMFNWWLSYRLFTRSQVIKPKFRLL", "MKFKESKSIYLQIADRICDEILQGQYTEEERIPSVREYAATVEVNANTVVRTYDYLQGQEIIHNKRGIGYFVSAGAKDRIIALRKNTFLHEDLPEFFRQVKTLDIPMKEIEKMYKEYLTQQ", "MKKGLLLLSAILALGSLSSSAQRRTATMTDEEMYLDAMHRNITTEKIFGYVKQLSDPALEGRLAGSPGMAKAVDIVKGYFKEWKLIPRGENGSYIQLFPHPCVEIQPGSTMDILFPVTQDKKKTVWISKTYPWADGWFAGGMTSNGEVTADVVYAGFGVTAPELGYDDYKDIDVKGKIVLVEGETPNISRNPDSLAMWYKHTLHQTKLNNAAAHGAAGLLYKWVPGPNAPYNPGFVYCHVTDTVVNDIFRGTGKTYKETIRQIYKTQKPASFHTGKRAHIKMNATYNPNATGKNILGMIKGSDPILCNEYVIISAHLDHLGMIPFLIEGANDNNSSSAAMLGVAEALAKSKIKPKRSIIFMSVDGEEAGLTGSTYYTNHSLVPQNKVVAILNLEQVGVGEMLGANYHYKYPELAELSEKANDRYVHRRLFTSETHFLTRPRTDGAVFMKAGYPCIDLWALGGGYYHHPKDNTQSINPDILRAATEWLYWTTIFIADK", "MMLGAVDTVMLSQYSDNSVAAVGVVNQLIMFAFLIFEVINIGTSVLCSQYLGARMHKNMVQVVGVSLILNLVFGLFVSAILHYGATSLLSMMGLRPELMEYGVSYMKIVGAFAFFQAISLTISASLRSANKAVYPMMVTVVVNILNIIGNYSLIFGKFGMPALGVEGAAISTAFARGVSMVILFVILFRKHIPRFPLSYFCPFPFVELKNLLKIGVPSAGENMSYSFSQVVLTYFINMLGNEALATRTYVVNIVMFVYLFAIAMAQGGAICIGHLVGERKIHAAYLLGKYVMRISILVSLVLSCIWALMGHTLFSWLTDNPEIIRLGTIILFIDVILEVGRAINIYATNALRATGDVNYPFYVGFVVQWSVAVGCGFLFGIHWGWGLVGMWCAFVLDENLRGIIFVQRWNSLKWTKKGFVK", "MELDLLTAISPIDGRYRGKAGALASYFSEFALIKYRVQVEIEYFITLCELPLPQLKSFDHARFDALRAIYKNFSEADAQRIKEIESVTNHDVKAVEYFIKEEFDKLGGMEEYKEFIHFGLTSQDINNTSVPLSIKEALEQVYYPQIEELIAQLTTYAEEWANIPMLAKTHGQPASPTRLGKEIMVFVYRLNRQLAVLKACPVTAKFGGATGNYNAHHVAYPEYDWKAFGNQFVAEKLGLEREEYTTQISNYDNLGAIFDAMKRINTIMIDMNRDFWQYISMEYFKQKIKAGEVGSSAMPHKVNPIDFENAEGNLGMANAILEHLSSKLPVSRLQRDLTDSTVLRNVGVPFGHIVIAIQSSLKGLRKLLLNEKAIYADLDNCWSVVAEAIQTILRREAYPHPYEALKALTRTNQAITEGAIKEFIETLNVSEDIKKELRVITPHNYTGI", "MSTENESRQEGAANEENQNVSRDGGYKSYNRDSNYNRYNNDGEQRPYRPRTNSYNREGGDRPYRSSYNNGDRPSYNRYNNNGDRPQRPRFNPNSEDGGEQRSYRPRTNYNREGGEQRPYTPRPRFNNGEEGGERQYRPRTNYNREGGEQRPYTPRPRTGGYNREGGEQRPYTPRPRFNSGEGGEQRSYTPRPRTGGYNQGGDRPYRPRTGGYQGGGYNRPYRPRTADYNPNAKYSLKKQIEYKDILTDPNEPIRLNKFLANAGICSRREADEFITAGVVSVNGEVVTELGTKIKRTDEVKFHDEPVSIERKTYILLNKPKDCVTTSDDPQERKTVMDFVKGACKERIYPVGRLDRNTTGVLLLTNDGDLASKLTHPKYLKKKIYHVYCDKNVTKADLDQIAAGVTLDDGEIHADAISYASETDKSQVGIEIHSGKNRIVRRIFESLGYKVIKLDRVYFAGLTKKGLRRGDWRYLTEQEVNMLRMGSFE", "MEKISRTKIVDLLKRRDFGAMVNVKGWVRTRRGSKQVNFIALNDGSTINNVQIVVDLANFDEEMLKQITTGACISVNGELTESIGSGQAAEVQARGIEVLGTCDNTYPLQKKGHTMEFLREIAHLRPRTNTFGAVFRIRHNMAIAIHKFFHERGFFYFHTPIITASDCEGAGQMFQVTTKNLYDLKKDENGAIIYDDDFFGKQASLTVSGQLEGELAATALGAIYTFGPTFRAENSNTPRHLAEFWMIEPEVAFNDITDNMDLAEDFIKYCVQWALDNCYDDVKFLNDMFDKGLIERLQGVLKEEFVRLPYTEGIKILEEAVVKGHKFEFPVYWGVDLASEHERYLVEEHFKCPVILTDYPKEIKAFYMKQNEDGKTVRAMDVLFPKIGEIIGGSEREADYDKLMTRIQELGIPMKDMWWYLDTRKFGSCPHSGFGLGFERLLLFVTGMTNIRDVIPFPRTPRNAEF", "MKRKKLFLLMIAFLLIGTSRVVGQEKSDAAPVNLKGIWQMCFYVSGTPQVPGELKPSNSFKILSDDGKFTNMTMIPNHGAIIIGSGTYRQTAPNAYTEHVEKNLHLPQLVGVDNILEFEMKGGDVMVLKFFVKTDKDGNEINSWYYETWKRVKMPPVYPKDLVR", "MSKLYIIAGCNGAGKTTASYTVLPEILECREFVNADEIAKGLSPFNPSSVAIEAGRLMLKRIGELLSAGVSFSVETTLSTRSYINLIQQAQNQGYSVSLIYFWLNSPELAIERVKQRVANGGHDVPAPIIRRRYRSGLENFFRIYMPCVDYWMLADNSCTPRVIVADAFRLGDEVHIYNQELFTKIRSYVN", "MSTEEEYKELSAKLRYGLELAEQRLIEETARREGTLCYGRPDGKVVRISATELLKLRQSGGNNAASVAVCTCSEMESGWNR", "VDTLSYKTISANKETAHKEWVVVDATDQVVGRLGSKVAKLLRGKYKPDFTPHADCGDNVIIINADKVKFTGNKWNDKVYLRYTGYPGGQREMTPAQLMKKPNGEEKLLKRVVKGMLPKNRLGAQLLGNLYVYAGSEHKHEAQTPKSIDINSLK", "MEVVNALGRRKRAVARVFVSEGTGKITINKRDLAQYFPSTILQYVVKQPLNKLEAAEKYDIKVNLYGGGFTGQSQALRLAIARALVKINPEDKTALRSEGFMTRDSRSVERKKPGQPKARRRFQFSKR", "MSRTNFDTLLEAGCHFGHLKRKWNPSMAPYIFMERNGIHIIDLHKTVAKVDEAADALKQIAKSGKKVLFVATKKQAKQVVAEKAASVNMPYVIERWPGGMLTNFPTIRKAVKKMATIDKLTNDGTYSNLSKREVLQISRQRAKLEKNLGSIADLTRLPSALFVIDVLKENIAVREANRLGIPVFAIVDTNSDPSNVDFVIPANDDATKSVEVILDACCGAIAEGLEERKAEKVDMEAAGENAPKGAGKKKNTKARMDKAEEEAINAAKAAAFLKEDEEA", "MAVTMAEITKLRKISGAGMMDCKNALTEANGDIDKAMEIIRKKGQAVAAKRSDREASEGCVLAKKDGEFAAIIALKCETDFVAKNADFVALTQAILDAAVANRCKTLEEVKALPMGNGTVQDAVTDRSGITGEKMELDGYNVVEGAYTSIYNHQGNNQLCTIVAMNKEAEAAAHGVAMQIAAMNPIAIDEAGVPESVKEAEIQVAIDKTKKEQVDKAVEVALKKAGINPAHVDSEEHMESNKAKGWITDEDIAKAKEIIATVSAEKAANLPQQMIENIAKGRLGKFLKEVCLLNQEDIMDGKKTVREVLKEADPELQIVAFKRFTLRAE", "LSIHLSKIHMKNNDWKERLNVVYSTNPDFKYESVEEEAVETLDKKQQKLRVNIEKKGRGGKTVTLINGFIGTENDLKELGKLLKSKCGVGGSVKDGEILIQGEFKQRVIELLKAEGYTQTK", "MDIRMHKFSDKVPEPTLRRLPWYLSNVKLMKEQGETYVSSTQISKQINVDASQIAKDLSYVNISGRTRVGYEIDALIEVLERFLGFTKMHKAFLFGVGSLGGALLRDSGLHHFGLEIVGAFDINPGLVGKEINGIPIYHSDEFEIKMKSCDVNIGVLTVPINIAQEITDKMIAGGIKAVWNFTPFRIRVPENIVVQNTSLYAHLAVMFNRLNVIQE", "MKIIAVGMNYVAHCHELHADEKLPEEPVIFMKPDSALLKDSKPFFIPDFSQQVDYETELVVRINRLGKNIAPRFASRYYDAVTVGIDFTARDLQRRFREEGKPWELCKGFDSSAAIGDFVPVDRFKDIQNLNFHLDIDGKTVQRGNTADMLFKVDEIIAYVSRFFTLKIGDLLYTGTPVGVGPVGIGQHLQGYLEGEKLLDFYVR", "MKIRVGFGFDVHQLVTGRELWLGGIKFEHELGLLGHSDADVLIHAICDALLGAANMRDIGYHFPDTAGEFKNIDSKILLAETVDLIAAKGYKVGNVDATICAERPKLKARIPEMQLVLAHLMRIDVDDVSVKATTTEKLGFTGREEGISAYATVLIEKAE", "MEIVQVNSIKAWLLAARPKTLAGAATPGLLGCALAYADSCFQMTPALLCFAFAFLMQIDANFINDYFDYLKGSDREDRLGPERACAQGWITLNAMRKGIALTTATACLAGLCLLAYGGIEMIPVGILCVLFAFLYTAGPYPLAYHGWGDILVIVFFGFVPVGCTYYVMCRDWTWNVTLASLACGLIIDTLLMVNNYRDRDQDAKSGKKTIVVRWGANAGQQLYLFLGLAAAWLCLLFIPTGHIWAALLPQIYLLPHFMAWQRMVKINRGKELNSILGETSRNMLLFGVLLAFGLIL", "MKKQFLFLLLAVILLSSCATATLSEFPGVGRVKQYDFYSYDIPPAFDGFRIGFASDFHYESRFKRSELNSAVRALKSMHADVLLLGGDYRGKKGGNLDTLFTALSRVYTPYGTFAVMGNHDYGYCYSEVVEAMQKNHVRLMEHKSYKLMKDGQYIIVSGVRNPFDLKKNGDSPSQHFPADDFIILLTHTPDYAEDTDVSNANLVLAGHTHGGQVSLFKKYSPVKHSIYGNRFLTGWKENSKGTPIIITNGLGTSRVDVRLFTPSEVVLVVLHRVEKQKE", "MKTTMLTKAMMAVLFAMTSVLTLNAAKPVKITNEEVENGRVSAKVVYEQEGTFLTPEYRFEFRYNDKGQIIEKKSMKWNGTDWINYYCMEVTYTDTEAHIDYSLWNKNKKAFVPTQKYVYTLDEAGKFLAWHSYKKDTTGWELDGLINSKVLLAKR", "MEKSKIKTGIFGGSFNPIHMGHLALANYLCEYNGLDEIWFLVSPHNPLKQQTDLWDDNLRLELVKLAIADYPKFRASDFEFHLPRPSYTIHTLDALHKAYPNREFTLIIGADNWLLFPRWYKAEEILKNHHVMIYPRPNFTIDPTTLPPSVQLADTPLLEVSSTFIRQALAEGRDIRYFLHPAVYERLKK", "MIKDPKKLAQRMSILCILIGFIALAVGIIAMAMEQYIIAIAMGIVTVGQVWNYNKWKRVR", "MATGKLIIFSAPSGSGKSTIINYLLTQNLNLSFSISATSRPPRGKERHGVEYFFLSPEEFRQRIANNEFLEYEEVYENRFYGTLKAQVEKQLEAGQNVIFDVDVVGGCNIKKYYGSRALSVFIQPPSVEELRKRLQGRGTDAPEVIESRIAKAEFELGFADKFDTVIVNDDLEKAKAEALKVIRNFIEQ", "MIQSMTGYGKATAIFGEKKINVEIKSLNSKAMDLSTRIAPLYREKEMEIRNMISKSLERGKVDFSLWIEKDVSDTATPINAALVENYYNQIKSISEMTHIPMPEDWFATLLRMPDVLTKADVQELSEDEWEVVRRVVEEAINHLVDFRKQEGTALEKKFNEKIDNIERLLKSIEPYETERVAKIRERITDALEKTISVDYDKNRLEQELIYYIEKLDINEEKQRLSNHLRYFRETMAGGHGQGKKLGFIAQEMGREINTTGSKSNHAEMQNIVVQMKDELEQIKEQVLNVM", "MSCILHIETSTEACSVAVSEDGLAVFSKEDLKGPSHAVQLGVFVDEALSFVDSHGMPLDAVAVSCGPGSYTGLRIGVSMAKGICYGRNLPLIGLPTLEVLCVPVLLHHDLPEDALLCPMIDARRMEVYAAVYDRALGVKREIAADIVDEHSYLEFLNEHPVYFFGNGAAKCRGQITHPNAHFIDDIRPLGKWMFPLAEKEMVRQNFKDVAYFEPFYLKEFVASKPKKLL", "MEYNTQQRTLPLPEYGRSVQNMVDHALTIEDRAERQRCANTIINIMGGMFPHLRDVPDFKHKLWDHLAIMADFKLDIDYPYEIVKKESLEVKPDMLPYPHNGIRYRHYGRILENMIKKAVDYPEGEEKKQLISLIANHMKKCFLNWNKDGVEDQKILDDLRDYSKGMINLTPEDLHLNEQQRVYVPRRPQQNNNQRRPQQNNNQRKKY", "MASFVIEGGHKLHGEIHPQGAKNEVLQILCATLLTSEEVTVTNIPDILDVNNLIQLLRDMGVKVSKTGIDSYTFKADTVDLNYLESDEFLKKCSSLRGSVMLVGPLVARFGKALISKPGGDKIGRRRLDTHFIGIQKLGACFNYDEERSVFSICAEHLEGTYMLLDEASVTGTANIVMASVLAKGKTTIYNAACEPYLQQLCRMLNRMGAKISGIASNLLTIEGVESLHGCTHRVLPDMIEVGSFIGMAAMTGSELTIKNVSHENLGIIPESFRRLGIRVEQRGDDLFIPEQEHYQIESFIDGSIMTIADAPWPGLTPDLLSVVLVVATQAKGSVLIHQKMFESRLFFVDKLIDMGAQIILCDPHRAVVIGHDRNFQLRAGNMISPDIRAGIALLIAAMSADGISRIHNIEQIDRGYQNIDQRLNALGARITRI", "MIKKDEVFKIGIFNKPHGVKGEISFTFTDDIFDRVECEYLVCLLDGIFVPFFIEEYRFRSDTTALVKLEGVDTSEKARMFTNVEVYFPKKYVGEEEDSDDIPTWNYFIGFKVEDVNHGELGEIVAVDDSTMNVLFAIEKDGEELLLPAHEEFIIKLDKKKRLLTVEVPDGLI", "MKKKGRKAFWHNFKFKYKLTITNENTLEEIVGLHVSKLNGVSVLLSAVTVIFLISATIIVFTPLRNYLPGYMNSEVRAQVVTNALRADSLQQVVTRQNMYIMNIQDIFSGKVKADTVQSIDSLTILRSDSLMERTRQEEEFRKQYEESERYNLTAVDDNNAASGLIFYRPTRGMMSSNFDLENRHYGVDIAANPNESVLATLDGTVILSTYTAETGYVIQIQHGQDFVSVYKHCGSLLKKEGDPVKGGEAIALVGNTGEKTTGPHLHFELWHKGRAIDPSKYIVF", "MKKQIAILGSTGSIGTQALQVIEEHPDLYEVYALTANNRVDLLVEQARKFMPEAVVIANEEKYLQLKEALSDLPVKVYAGADALSQIVESQPIDIVLASMVGYAGLRPTINAIKAGKAIALANKETLVVAGELINALANQYHIPVLPVDSEHSAIFQCLEINNRLEKVILTASGGPFRTYTMEQLQTVTKAQALKHPNWEMGAKITIDSASMMNKGFEVIEAKWLFGMRPEQIEVVVHPQSVIHSMVQFEDGAVKAQLGMPDMRLPIQYAFSYPERVKSSFERLDFARITDLTFEQPDTKRFRNLALAYEALYRAGNMPCIVNAANEVVVDAFLKDKISFLGMSDVIEQTMGKVAYIKEPTYEDYVDTDAEARRVALSLLSS", "METFLIRALQLIMSLSLLVIIHEGGHFLFSRLFKVRVEKFYIFFDPWFSLFKFKPKNSDTEYGIGWVPLGGYVKISGMIDESMDTEQMKQPAKPWEFRSKPAWQRLLIMVGGVLMNFLLAIFIYSMILFHWGDSFVSLQDMTHGMKFNERAREIGFRDGDILLRADEKPLERFGVDMLRDIAEARTVTVLRDGKEAEVYMPEISLLDIAKDDPMFVTALVPNVVDSVIPGGGLDKAGIQKGDSLVAVNGERLNSWNALVEKLDNMQADAEATGDKDASLQMVYSRNGLRDTVTVRTDSLFRVGATFSSLADYKETTREFGFFESFPAGVQLGVNTLKGYVNDMKYVFTKEGAKSVGGFGTIGSIFPKVWDWHRFWEMTAFLSIILAFMNILPIPALDGGHVLFLLYEIIARRKPSDKFMEYAQMVGMFLLFALLIWANFNDIMRFLF", "MNLLFTYPETIVDGEGIRYSIYLAGCRHHCRGCQNPESWNPSAGTPLTPEKIEKMICEINANPLLDGITFSGGDPLYHPQEFLALVKQIKEATGQNIWCYTGYTFEQIQNDEMLKPILDYVDVIVDGRFEPDLYSPYLEFRGSSNQRIIRVR", "MNYAEICIIKRDGKREDFSISKIKNAIGKAFHASGIDNEDKLIAEITMRVISNFVSPTISVEEIQDLVEKELMKVRPEVAKKYIIYREWRNTERDRKTQMKHIMDGIVAIDKNDVNLSNANMSSHTPAGQMMTFASEVTKDYTYKYLLPKKYAEAHQLGDIHIHDLDYYPTKTTTCIQYDLDDLFERGFRTKNGSIRTPQSIQSYATLATIIFQTNQNEQHGGQAIPAFDFFMAEGVRKTFRKHLKTLTEFYIEVEGKEPGTEALKKIEEKAYAMTRKDTHQAMEGFIHNLNTMHSRGGNQVVFSSINYGTDTSPEGRMVIEELLKATIEGLGTRGEVPVFPIQIFKVKDGVSYSEEDYKKAMENFEAALEGKMEFQAPNFDLFLKACRTTAKALFPNFMFLDTPYNKNEKWDIKDPKRYRYELATMGCRTRVYENIAGEKSSLGRGNLSFTTMNMPRLAIEARIKAESLEESGKKEAIERKAKEIFMESVHALSELIAEQLYARYQYQRTALARQFPFMMGNDVWKGGGKLSPNDQVGDVLRQGTLGIGFIGGHNAMMALYGEGHAHSQKAWDTLFEAVTEMNKVADEYKQKYQLNFSVLATPAEGLSGRFTRMDRRKYGIIPGVTDNDYYVNSFHVDVKEPITITEKIKREAPFHAITRGGHITYVELDGEAQKNVKAIAKIVKVMHDEGIGYGSINHPVDTCQACGYKGVIYDKCPVCQSENIMRMRRITGYLTGDLSTWNSAKRAEERDRVKHG", "LTIHYTKGEEWANTLSHGVGILIGIAGGGYLLLTAMKSGNPWATGGMWLYLFGMLSSYISSTWYHASKPSPHREVLRKFDHASIYLHIAGSYSPIMLIALREADYWGWGILSFVWLCALAGIILCFCNLKEHSNLETICYIAMGCSIFVGFKPLCQHVPPAFIYWLIGEGVSYITGAVFYSFPKLPYMHSVFHLFVLGGTVCHMMALWYIL", "MQAKIQFNCVISPYPAQKIVNLPLFKMNMELKEHFNNKIFKLISETADELGLECYVVGGYVRDIFLKRPSKDIDVVVVGSGIEMAQAFGKKLGRGAHVSVFKNFGTAQVKYHDTEVEFVGARKESYSHDSRKPVVENGTLEDDQNRRDFTINAMAVCLNKARFGELVDPFNGLDDLKERTIRTPLDPDITFSDDPLRMMRCVRFATQLNFYIDDTTFEALARNKERIHIISKERIADELNKILLAPIPSKGFIELDRCGLLPLIFPELVALQGVETRNGRAHKDNFYHTLEVVDNISKHTDNLWLRWATLLHDIGKPRTKRWEPRIGWTFHNHNFIGEKMIPDLFRKMKLPMNEKMKYVQKLVGLHMRPIVIADDIVTDSAVRRLLFEAGDDIDDLMMLCEADITSKNEARKQKFLDNFKLVRQKLKDLEEKDRIRNFQPPVDGEEIMRIFDMQPCREIGSLKSSIKDAILDGIIPNEHDAALEHMLKKAKSMGLTPKNL", "MKKIGLVALFALLLAGCDDGGEKKAQENLRKAEAALEKENFNEAKLQIDSVRILYPKAFEARKQGVKLMQRVDLKEQQKSLVYLDSMMVLKQAQLDSVKGNFVLEKDTAYQEVGNYFYPTQTVEKNVGRSFLRGQVNEQGEMSLTSIYCAGGTLHHTAVKVSAGDTFAETPASKDSYETTDLGRVIEKADYKMGEDGGVIAFIVANKDKNIQLQFIGDRTYKTAMQPNDRKAIAELTELARILSGMEQIRKDKKEANLKIEFVTRKMQEQEEEK", "MIEYIKGDIAEITPAMVVLDCNGMGYGINISLNTYSAIQNQSNTKLYIYEAIREDAYILYGFSTKQERELFLLLISVSGIGGNTARMILSALSPSELCGVISSGNDKLLKTVKGIGLKTAQRIIVDLKDKIATSGMETVSSEMFANPANTEIHDEAVAALTMLGFAQAASQKVVAAILKEEPAAPVEKVIKLALKRL", "MRKIRAAVVGYGNIGQYVVEALEAAPDFEIAGIVRRSGAENKPAELEAYAVVKDIKELKDVDVAVLATPTRSVEKYAKEILAMGINTVDSFDIHTQITSLRRSLDESAKAGKAVAIISAGWDPGSDSVVRTLLEAIAPKGITYTNFGPGRSMGHSVAVRAIDGVKDALSMTIPVGTGIHRRMVYVELEEGADFKTVEAAIKSDPYFVNDETHVKQVPCVDDLNDVGHGVNLVRKGVSGKTHNQLFEFDMKINNPALTAQVLVCVARASMKQQPGCYTMIEVPVIDLLCGDREELIAHLV", "MIASIVWDVDPILFKVGSWEVRWYGLMWGLGFILAYEIVSRLFKKEKYPEEWVDKLFVYCIVSTVIGARLGHCLFYEWDYYGAHPVEIFKIWKGGLASHGGVFAIILALMWYSKKVTRKSVWWLFDRMIPAVAIVCFCIRFGNLMNSEIFGYPTTLPWGFEFVRSREWHQLYEGLPCHPTQIYEMLYCLVAGVTAWVMYHTYHLQKRVGLITGVSLLIFFGSRFALEFMKNPQVAEEVDMTLNIGQLLSLPLILLGAYLIATSRARKE", "MAAKYDFKTAPDVQGKKEQPTLYPQIVASGTKDLKALAKELAKRTTLHEGTVIGLFCDLEDLVAEYLADGYHVKLGELGTLSTTLKCREVTDKKEIRAASVHFDSVKFNPTRRLCKMIRSREKLERAEYGFLTSSTRYSEEERFTLLANHLKEHTVITRKEYSELTGLLRTKASEELRRWSDKKRIVREGRAPHVMYTLPPEKAE", "MCVTVFFYFCVTYCLINIERNEKQKKNVTSVSGGSGCRIGCLYDKLPGLLLLI", "MKKKLLCALFLIMCTIVQAQDLTVQGKVISKTDGEPIIGATVVESNQTTNGTITDFDGNFILMVKQGAMLTVSYVGFKSVQVKAQATINVTLEEDSEMLEEVVVTGYSTQKKADLTGSVAVVSTKSLKTTSDTDPMRALQGKVPGMTVTANGSPSGTGTVRIRGIGSFNSSQDPLYVVDGVPTTRALNSLNINDIESMQVLKDAASASIYGSRASNGVIIITTKKGKKADKVKIDFSANLTAQFYTSQSLMKLSDTSEYATAMAQAALNDGLDPIAYASNYGLNLNAATGTPVTVWNPATGSYVNYTVNGLYDGYINQKKTMRYSDTDWLDEISHTGFSQNYDLSLSHANDKHSTMFSLGYKKNNGILKYTDFENISARMNSSYNVNKYITIGENFTVTYTSQVDCAPMENALKMAPTVPVYEEDGKTFAGPVGGMSDRQNPLRESYHNRDNHLDYWRLFGNGYVELKPIKGLVLRSNFGIDHYSSFINAMTNTFHSDIVNNDIAKTTLSHNNETNWTWSNTANYNFQIANGHDFTVLLGTEMSKQSVIDFSAYSEEYALEDKDYMWPNAATGTMRNSGAKVGYRLASFFGKIDYNYDDLILASFTLRRDGSSRFGKDNRWGTFPAATLGVRVSKWLRKDWMDDWKIRFSWGKTGNQAIDNNAQFGLYVADYGLDRVTSTAYDLLLQYSGMFPSGYRATQLANPNLKWESAEQFNVGTDFTLFQGSLYGSIDGYVKDVDDMLINPAYLGAMGEGGASWANGPSLRNWGMEFALGYRKTLACGLGFDVNGNLDFFRNKVTYLPETSAGSYAHTTTENLVQSGRPYGSIVGYVVEGIFQNQAEVDASGQPNARVGGLKYANLDGKDGITADDQDWIYNPVPVFSYGLNVALNYKGFDFSMFWQGVYDKDIYNNQKFQTDFWSITDAGSNKGSRLLGAWTTNNTSSGIPALTTNNTADEGRASSYFVENGSFLKLRNLQLGYNLPESFLKKIKMNSARVYLSGQNLLTIKSKSLTCSDPENPDWNYPLATSVSFGLQLGF", "MRKIYTLVAACLMGLTLTNCDDFLDYNPTAVVDEDKAFEEPDKMVNAAYAMLGDCWYAYPFNLFPYGDITSDDCLKGGSGTTDTGYHPLEIWSTLTATTPGEMDELWYRLYCAVSRCNRALVALDRNGERILGTRLKECRVAEVKFLRAHFYYKLVTLFRQVPWIDEVAYANNTIEQIRNDEFSYKELFGKVIADFEEAYNMLPEKQTDGGRANKVAAAAYLAKCYLNLAWGDGYEATTGESHINAEYMRRVVEYTDVVLSSDYGYLEDYGDIFLPEYKNSKESVFAVQCSDYQDDNTTFGRANWSNMLNGCWGMWSCGWDFHKPSQNLVNAFKTKNGLPMFDDYNEEIAYPVNGQMNGQKWDPRLFHTVGMPTYPYKYEAEYMMTKNNSRTPNTYGYYTSLKEVPQRSKGETYNGSWQAFAMNDYVFRYTDVMLMRAEALVELDKLSEARTIINDIRQRAANSIDKHIAYAKNQCEIALYPETYFQNKGMARQCLRWERRLEMAMENGRYFDLRRWGVASKTLNAYFVTEQHSIYDGQTYAQYYKDARYEAGKNEFFPIPYNQLYYIPGLYTQNKGY", "MKYRVTLLLMFMNIGICLAQHAGLKVHHLGANHTLIQVLEPQKYLLLPIEEAAPEATVNVLVNNQCDQTLNVRLAMNRVDYLVPFNLEEYKGKCVSFNIHTGNSRANVRDAMEDACWGEIVLSNTYHAENTEKFRPLYHHTPLWGWMNDPNGMFYKDGLYHLCYQYNPYGSMWGNLSWGHSTSKDLVNWKHEPVALVPDGLGLVFSGSCVVDKNNTAGFGKDAVVAIYTSAGASQIQSLAYSLDNGITFQTYEGNPIITSDKECRDPNMFWHEKSGKWILILAAALEKEMWIYSSPDLKNWTKESSFGKGYGAQEGVWECPDLMELPVRGTDKTKWVLICNINPGGPFGGSAAQYFVGDFDGKTFTCNSNPEVTKWMDYGKDHYATVSWSNAPENRHTVIAWMSNWQYANNVPTQQYRSANSLPRDLELFQGEDGECYLATTPASEIESLRAGAAMKYGSFSAGTRKVSKKLPIVNSGVCEIALELNARSAEMVYITLANAKGEETVMSYDLGKQVFSMDRTKSGLTEFSKDFPAVTVAPCPKGNKQALRLFIDRCSIEAFEGEGRFAMTNLVFPDEPYTTIAISTAKGTCKVNNLIVYPLNVNK", "MNNNKNLYAKLIPVMLCFFAMGFVDLVGIASNYVKADLDLTDSQANVFPSLVFFWFLIFSVPTGILMNKIGRKKTVLLSLMVTFASLLIPIFGDGYTLMLISFSLLGIGNALMQTSLNPLLSNIIAGEKLASTLTFGQFVKAIASFLAPYIAMWGAMQAVPTFGLGWRVLFPVYMVIAVFAIVLLGLTPIEEERPDKASGFKACFSLLGKPFILLSFIGIMCHVGIDVGTNTTAPKILMERLDMTLAEAGFATSLYFIFRTVGCFLGAFILQKASAKSFFALSVVCMLLAMVGLFIFHSETIIYICIALIGFGNSNVFPIIFSQALFALPEKKNEVSGLMIMGLFGGTVFPLAMGVAGDAMGQSGAVAVMTVGVVYLLMYTLKIKN", "MNNIIVGMGEALWDVLPEGKKIGGAPANFAYHVSQFGFDSRVVSAIGDDKLGNEILENFDGKNLKYQIEKVPYPTGTVQVELDPNGVPMYDIKEGVAWDNIPFTPALEELAKHTRSVCFGSLAQRSVVSRETINRFLDVMPEGEGQCKIFDVNLRQGFYTKEILCNSMKKCNILKINDEELVTVSRMFGYPGIDLQDKCWILLAKYNLKMLILTCGVNGSYVFTPGEISFVETPKVEVADTVGAGDSFTATFVAAILKGKPVAEAHKLAVEVSAYVCTQNGAMPELPARLKNELI", "MKKHLLFLILCLMGILTSCSQKHTRYYIGVSQCSDDEWRHKMNHEIVREALFYEGVEVEIRTAKDNNRNQIEDINYFIDRKVDLLIVAPNEAVAVTPVVEKAYGLGIPVVVMDRKILSDRYTAFVGADNCEIGKDVGQYIVNRLGGKGKILEITGLEGSTPAVERHRGLADALKEEPGIEIAASVDGAWLQSVAGEKMDSMLQDNKDINLVFAQNDRMAVGAYLSARQRQLEKEMLFVGIDALPGKGYGVEQVLEGVLDATFIYPTGGDKVMQVAMDILEKRPYERDTKLSTALVDKTNARVMQLQTDHIAEQDGKIERLNNQVDEYWSRYSVQTMFLYACLIILLLFAALLAIIVRAYWTKNRMNLELSRQKKQLEEQRDQLIILSKQLEEATHAKLVFFTNVSHDFRTPLTLVADPVEQLLEDKGLTSKQQSLLKVIHKNVNILLRLVNQILDFRKYENGKLELVRTNMDLRVQLQEWSHAFQTLALRKHIHFVLDVNDDRTDYLMALDAEKMERVYFNLLSNAFKFTPENGTITVTLSTLVKEENRRYARLVVADTGSGISVQHIRHIFDRFYQMDVNHAGSGIGLALAKAFVELHGGVITVDSVEGKGTVFTVDIPMEVVEAVDLMQEPHTTQPTVVEELEEMETEEWLPDEHKECILVIDDNADVRGYVKSLLKEEYTVIEAADGHAGLKKAMKYVPDAIICDVMMPVMDGLECCRMLKMELQTSHIPVMLLTACSLDEQRIQGFECGADSYISKPFNSKLLLVRLRNLIDNHKRLKQFFGDKTALAKEPVSEVDKGFVDRFRRLIEENLSDAELSVEELGSRMGLSRVQLYRKIKALTNYSPNELVRIARLKKAASLLASSEKTISEITYEVGFTSPSYFTKCYKEYFGESPTDFLKRRG", "MTIQQLSVFIENKSGTLQKVLNLLKEAGIQLIASTIADTVEYGIYRIICSEPKRAYETLKEAGISVALSDVFAITLDNQPGRAADAITIFSEAQIGITYLYSFLLGNKGILIFRTDNPDRAREVIILNNLSFVAEKDLSTLI", "MYLHKELETLTRPEIEKLQLERLQKTVRHCMNSPFYKQRFAENHLSPEDIRSLDDLQKIPFTTKQDLRDTYPFGLASVPLEKTVRLHSSSGTTGNPTVILHTQKDLDEWANAVARCLYMVGLRPGDIFQNSSGYGMFTGGLGFQYGAERLGMLTVPAAAGNTKRQLKFISDFGTTALHAIPSYAARMYEVMQEMGIDPRRDTKLRTLIIGAEPHSEEQRRRIEDMLGVKAYNSFGMSEMCGPGVAFECQEQNGLHIWEDYYIVEIIDPDTLEPVPEGEVGELVLTTINREAMPLLRYRTRDLTRILPGECPCGRHHKRLDRMKGRSDDMIILKGVNIFPIQIETILLQFKELGSDYLITLETAESNDEMTVEVELSQLFTDDYGRLQALTREITRQLKDEILVTPRVKLVPKGALPKSEGKAVRVKDLRKTF", "MKKTLFVIGMLLCGLSLYAQDMKTLFIAMPDSVAPLLTKVNREDCVDFLASNMKAEVKNRFGKVSELKRLTADYLFLQTTGSSSMEMKLLPLNDSVKVICVVNTVCGPACDSEVRFYNTDWQQLAKEDFIQLPSVGAFYLPVDTLTDEAYAAIREKADMDLVKATLSEDKPIISFTYTTPDYLAKTEREKLVVYIRKEPVVYEWKGGKYNDVSLK", "MYDKIKGCKLIGLQPFILELMYKLQITFSYGCRSGS", "MKKGIHPENYRPVVFKDMSNGDVFLSRSTCSTKETIEFEGETYPLVKLEISNTSHPFYTGKSKLVDTAGRVDKFMSRYGNRMKK", "MRYFWCELAIVSAFMITFAVEFNSLTIINIRTMVNYKDLGLVNTRDMFAKAIKGGYAIPAFNFNNMEQMQAIIKAAVETKSPVILQVSKGARQYANATLLRYMAQGAVEYAKELGCAKPEIVLHLDHGDTFETCKSCIDSGFSSVMIDGSHLPYEENVALTKKVVDYAHQFDVTVEGELGVLAGVEDEVSSDHHTYTDPEEVIDFATRTGCDSLAISIGTSHGAYKFTPEQCHIDPATGRMVPPPLAFEVLDAVMEKLPGFPIVLHGSSSVPQEEVETINKYGGALKAAIGIPEEELRKAAKSAVCKINIDSDSRLAMTAAIRQTFAEKPAEFDPRKYLGPARDNMEKLYKHKIINVLGSDNKLAE", "MEILSLPENEPFITGIEESIDICSNQIMKLADASIFHCRSGKAHIEIDLQAYEVTENTQLLLLPGSIFNCTCASHDFTVSYIVFSDTLFREITSRLDPSFFHFLKEHPCIVIPEERVKPFIGLSLVMKDLYEDRDNSFRIQIFKNFIQNFILDFYDKTQHLFLQKKTEGINRQEEIFKRFIQLIHKHCTTQREVSFYAAELFITPRYLSTIVQNVSGNTAKSIIDRHVILEIKALLQSTSLSIQEISNRLSFPDQSFFGRYFKKHTGKSPLQYRNRS", "MCRLEKFHLPLRPNLKDWNMMTLNKKWMRLMVLVGCAALTASCKQAPVAQMEAEYAVLKVSPSDKVLSTTYSATIRGRQDIDIYPQVSGFLTRLCVEEGQAVRKGQVLFIIDQVPYKAALETAVANVESAKAGLATAQLTYDSKKELFAKKVVSEFDLRTAENSWLTAKAQLAQAKAQEVSARNDLSYTEVKSPSDGVIGTLPYRVGALVSASLPQPLTTVSDNSDMYVYFSMTENQLLTLTRQYGSKAEALKNMPEVELQLNDKSMYGEKGRIETISGVVDRNTGTASLRAVFPNKNGLLYSGTSGNVILPVTMKGSLVIPQATTFEIQDITYVYKVVDGKAQSAPVSVTRVNGGQEYIVNDGLKEGDVIVAEGVGLLREGTPIKVKQN", "MNLRTFIERPILSAVISISIVVVGIIGLFTLPVEQYPDIAPPTIQVSTSYFGASAETLQKSVIAPLEEAINGVENMTYMTSTASNAGVVDITVYFKQGTDPDMAAVNVQNRVSKATGQLPAEVTQVGVTTSKRQTSILQMFSLYSPGDSYDEKFLSNYISINLKPAILRIQGVGDMMIMGGDYSMRIWMKPDVMAQYKLIPSDVTQVLAEQNIESATGSFGENSDETYQYTMKYKGRLITPEEFGEIVIRSSDNGEVLKLKEIADIEMGEESYAYHGAMNGHPGISCMIFQTAGSNATEVNNNIDTFLEEARKDLPKGVEMVQVMSSNDFLYASIHEVIKTLFEAIFLVILIVYVFLQDIRSTIIPLVGIIVSLIGTFAFMSIAGFSINLITLFALVLVIGTVVDDAIVVVEAVQARFDVGYRSSYMASIDAMKGISNAVISSSLVFMAVFIPVSFMSGTSGTFYTQFGLTMAVAVGISAVNALTLSPALCALFLKPYINEDGTEKNNFAARFRKAFNAAFDAMIERYKKGVLFFIKRKWMVWTLLAASVVLLAFLMNTTKTSLVPDEDQGVVFVNVSTAAGSSLKTTNDVMMRIEKRMMDIPQVLHVQRVAGYGLLAGQGNSFGMLILKLKPWDEREGKENDVQAVIGQVYGRTADIKDASIFAISPGMIPGYGMGNALELHMQDKQGGDVGTFFNTTQQYLGALNQRPEIAMAYSTFDIRYPQWTVEVDASKCKRAGITPDAVLSTLSGYYGGQYVSNFNRFSKVYKVMIQADPKYRVDESSLNNIFVRMSNGEMAPLSQFVTLTRSYGAESLSRFNMFNSIAVNAMPAEGYSTGDAIRAVQETAVQALPKGFGYDFGGITREETDQGGTTIIIFAICFLMIYLILSALYESFLIPFAVLLSVPFGLMGSFLFAKMMGLENNIYLQTGLIMLIGLLAKTAILLTEYATERRKAGMSLTSAALSAAKARLRPILMTALTMIFGLFPLMVASGVGANGNSSLGTGTVGGMVIGTLALLFIVPSLFIVFQYLQEKVRPIQFQPAADWQIQEECVEAKEERQHHIESKNEEKK", "MKKQIITLTVAALTLSSCGIYTQYKPATEVPDNLYGEEVAVADTVDNIGNLSWQEIFTDPRLQELIEQGLRNNTDLQSAQWRVKEAEAAMLSAKLAYLPSFALSPQGTASSFDKGKAVQTYTLPVAASWEIDIFGRIRNAKRQAKALLEQSRDYKQAVRTQLIAGIANTYYTLLMLDNQLAISVRTEKSWKETVDATRALMEAGLANEAAVSQMEATYYTICTSVLDLKEQINQVENSLSLLLAESPHAIERTGTWDSSYMMKEHFPVGIPVQMLANRPDVRSAERSLEAAFYATNQARSAFYPSIVLSGSAGWTNSAGSMIVNPGKFIATAVASLTQPLFNKGANIAQLKIAKAQQEEAKLSFQQALLNAGSEVNEALVKYQTARDKSVYYDKQINSLNKALESTSLLMQHGNTTYLEVLTAQQTLLNAELTQVANRFTEMQGVINLYQALGGGRD", "MKKQIMLGGLLLLLGSCTPQNKANDPNAIDIAVSLEHLTELKTSQLGKQIRYIPLETTDSSLIGNSYSIKLSKDHIFVSTNGRCLSFNKQTGKYLGSIGHKGEDPQGYSNANCFIHPHTNNLYFYRQPDKLVKYDTKGNYLGQVHLPQKISPSLYFTFSDSLILAHYGEGIGQPQASALLYFNEQGEVKDSLPEFANPGDPMGMDQISSINVFKQLPGNANIGGLIYINYQDGTMTVLPIDQPSLWLNNGSIHFRKAFNDTIYDIKGHEATVHTTFHTGQWHFPAEKMGQKEDTDNYIVITGILETPKHLFFISLQGLYDKRKPFYGIYDKEKHITYMNDANVGLTDDLTHFMPFYPITCTEEGEYAALLEIGKIDEWMDKNPGIVQEGKLSFLQEINEESNPVCVIVEP", "MKKSKTFLVCAMLSGSVLFSSCIGSFGLWNSLKDWNQGVSNKFVNELIFLAFHIVPVYEIAYLADALVLNSIEFWSGSNPTASIGEVKTVQGENGEYLVKTNENGYTITKKGEDKSVDLVYNKENNTWNAVADGQSFELVKMNEDGTAVLSLQNGTSMTVTPDAQGIATARIATGNSLFFAAR", "MKEQIQKKLNDSKVLRWSVLALVAFTMLCGYFLTDVMSPLKPMLEKELLWDSLDYGIFTSAYGWFNVFAFMLIIGGIILDKMGVRFTGMGACLLMVLGCGLKYYAISTTFAEGSTLLGMKTQVGLAALGYAIFGVGVEIAGITVSKIIVKWFKGKEMALAMGMEMATARLGTMLALAVTVPIATFFGITDDEGVFHPNIPAPLLLCLTMLCIGTVAFFIYTFYDKKLDASLEEEGAEPEEPFRMKDIWLIVTNKGFWLIALLCVLFYSAVFPFLKYATDLMVQKYNVDPELAGTIPSLLPLGTLFLTPLFGNVYDRIGKGATLMIIGSVLLIFVHTMFALPVLNVWWFATIIMIILGIGFSLVPSAMWPSVPKIIPEKQLGTAYALIFWVQNWGLMGVPALIGFVLNEYCKGPVVDGMQTYDYTLPMWIFAGFGVAALFFALWLKGENKKKGYGLEEPNIKK", "MKKGLIAAGLLVSLSGTAQDVSTYTPGTMGEGVVYYLPKTEIELQVTATKVVYTPGEFCQYADRYLRLTGISSQPEEHWEINSIKVNSIGIPDPDNAYAVKLKDKSAASQVELTPEGIIKAINTTSPIEKAPVTKVADTVKKRIDPRSFMTEEILIAGSTAKMAELVAKEIYNIRESKNSLTRGQADYMPKDGAALKLMLDNLDEQEQAMMQMFAGTTDRIEKSFTIRIKPEADMKEKVAFRFSKKLGMLDADNLSGEPYYISIINQETLPPMDPKGKEKKKTDGVIYNIPGKAQVTVFTPNKRYFDGELPVTQFGTTECLIDNLFNKKVNTRVIFNPNTGGIVKIDKD", "MKIISSTQLKELDKYTIAKEPVASIDLMERAAEELTRAITHRWDTSFHIVVFAGPGNNGGDALAVARMLSKQNYHVEVFLFNTKGKLSEECQTNLERLKECGSVYFTEVSTQFDPPVLTERHLVVDGLFGSGLNKPLNGGFAAVVKYINASKAQVVAIDVPSGLMCEDNTYNIRQNMIRADVTLSIQLPKLSFLFPENEDIVGEWQLLDIQLKKDFIDTAQSPYYITEEEEIRSLIKPRKRFAHKGTFGHALLIAGSYGMAGASILSARACLRSGVGLLTVHVPIHNHDLLQTTVPEAIVQTDIHDHYFAEPVDTDRYQAIAIGPGLGQEEDTALAMMEQIQGCPVPLVLDADAINIFGTHRNWLSRMPKRCILTPHLKELERLIGKCMDTYERLTKTKELAAYLQSYIIIKGSWSTVVTPEGNCYFNPTGNPGMATAGSGDVLTGILAALLAQGYTQEDACRLGVYVHGLAGDIAAEEKGEIGTTSSDLIDALPAAWKKLTETKGRFTKE", "MDTIQIKDKRFTPFIPEERILKEVARVASEINRDLEGTNPLFLSVLNGAFMFAADLMRNLTIPSEISFVKLASYAGTSSTGKVKELVGLNDDIEGRTVVIVEDIVDTGVTMKHLLETLQARKPKEIRIATLLLKPDKLKVELDIHYVAMRIPNDFIVGYGLDYDGLGRNYRDIYTVME", "MLNIVIFGAPGSGKGTQSERIVEKFGINHISTGDVLRAEIKKGTELGKTAKGYIDQGQLLPDELIIDILASTLDSFKESKGVIFDGFPRTIAQAEALKKMLAERGQEVSVMLDLDVPEEELMTRLIKRGQESGRADDNEETIKKRLVVYHSQTAPLIDWYKNEGKYQHIHGLGTMDAIFADIVAAVEKL", "MAESNFVDYVKIYCRSGKGGRGSAHMRREKYVPNGGPDGGDGGRGGHVILRGNRNYWTLLHLKYDRHVFATHGGNGSKNKSFGKDGEDKVIEVPCGTVVYNAETGEYICDITEHGQEIILLKGGRGGLGNWHFRTATRQAPRFAQPGEPMQELMVILELKLLADVGLVGFPNAGKSTLLSTVSAARPKIANYPFTTLEPNLGIVSYREGKSFVMADIPGIIEGASEGKGLGLRFLRHIERNSLLLFMVPGDTDDIRKEYEILLNELATFNPEMLDKQRVLAITKSDMLDEELIAMLEPTLPDNVPHIFISSVTGLGIQQLKDILWTELNKDSNKLEGVRTETIVHRAKDVAKLQEELKDMGEDEDFEYEYEEDADDDFDYEYEDENWDEEEEKK", "MKRLTSDNKMLGYELMKAYPNISCFSTTRHGGCSKGNYASFNCNGYCGDEAEDVNRNRELLRSLLPGESVELVIPHQTHSDHVKVVDTIQVNTELEGVDALVTDIPGYCLCVSTADCVPVLLYDTRKKVVAAIHAGWRGTVARIVEKTVSVMDNQYGSQGKDLIACIGPSISLEAFEVGDEVYQAFCEAGFDMNQIARKEAKWHIDLWEANRQQLLAYGVKPEHIEISGICTYHNNDDFFSARRQGIRSGRILSGILLGV", "MEIKVSEEIKKACPQFAGIAIRATVENTAYSESLWKKIDEFTICYREMYTTDSIKDMVTIHATREAYKKCGKDPSRYRPSGEALCRRILRGISLYQIDTLVDLINLVSIRYGYSIGGFDADKIQGDTLVLGIGKSGEPYEGIGRGELNIEGMPVYRDAMGGIGTPTSDNERTKLEAGTTHLLTIINGYSGKEGLQEAADYMLELLKEFAASKDEELIYF", "MKSTQLLFLLFISVAAWAGGPAKSNFTAMEVNHIRVKTPGLFNGRKSFSIHLDSIKENEYCFPLPGGKVISAYGARRGHSGTDIKTKANDTIRCAFDGIVRMAKTYAAYGNVVVVRHDNGLESIYSHNSRNLVKSGDIVKAGDAVGLTGRTGRATTEHLHLEFRIDGQHFNPNLIFDMKDRTLHKTEIVCTKAGNRVIVKQNLTPKK", "MDIEKAITEGIVFKGGKSPSGKQEDKVKTKAKKKSYITGLHGSGAAKMKAEFRKKRANRHKNK", "MKKIVLSIIVALIAITANAQVYVGGTFGVGSDKVETEGTEVKNTTFKILPEVGYELNEDWSVGTVVGYEYNKSGDVKTNTFTIAPYARYFFLNSDVVRLFADGGFGFSTSKTKGNDALNSWNIGIKPGIAIKLSDHFCLVAKYGFLGYSKKENHGYESENVGIDFDTDELNFGFHYIF", "MKKLAFFILLSLVTLGVSAQSNLKWNVNAGIGMSNWYGDDTDGTDAKFAYKVGIGLEVPFANTNIWSFQTGLNFISKGVKGDGVTDAWDVVDVTINQLYLELPLMVGARIHTASNFDLLFKGGPYLAYGVGGKTKIDGVSEKADTFGDDGLKRFDAGLGLGVAFEFGNIVVGVETGTSFTKVASGVSAHNLSALATIGYKF", "MALIDKVRVIKLLLFALFMMVSMVTPAQSQVDWSIKAGIGMANIIGDNMGSPKVKLAYKLGIGLECPFDKIWSLQTGVSFVSKGTNHTIVETDGISAQAKVNASYLELPVMVAARFAMDRNTYILVAAGPYGAWGIGGKTKALGYSWSSSSTPDWNTGNVVEINTFGKDGLDLRRFDYGVAIGLSVEYRHYVIGVEGRLGLCKLQKELQGKNITGFVTAGYKF" ]
[ "GTCTGCGTGAACAAAAAAACAATAGAATTGTTTCAATAATATAAACCGAGAATCTTTCGAAACTAGTAGCCAAAATGAAGCTTATCGTCAAAATAGGATTAATAGTCCTGCTTTTA", "TTAATCGTTATAAATTTAGTTGTTTCACCTTCTCCGGCGCCCTGCAGAGTGTCGGGGTTGGTATAGAATTGTCATTTAACTATAACGATTAATTAAAACATATATC", "TCGTGCCAACCCTTTAAAATAAACTGCT", "AACCGAATAATT", "TAACACACATTTAAAAGGAGGAAAAGAAA", "TATCTATAT", "TAAATGAACAAAAAAGAAGAACC", "TCCTTCTTTTTTTCATTTATCATTTGAGTTGAGCGGACAGTACTTGGTTGCCGCCCGCTTAATTCGTTTAACGGTTAATGAATATTAAAGATGAGTCATTCTTCTTGTTTATTCAAATAAACTATATACATTTACAGTGTACTAATCAACTAATACACTAAATAACTAATAAAACCATAAACACA", "CACACACAAACATT", "CACAACACCAAGCACATCTACGACAACACATACACAACATCGGTTAGATAAAGAAATCCCGGCAGAGCGATGACTCGCCGGGATTTCTTATATCCGG", "GTTAATATGTTGATTTAAGATTCGGTTCTAAAGATAAAAAGAAAAGATCAGATTCCTGTTTATCTCCTTGTAAAAATCTTAAGTTCGTATTTCTTGATATAAATCAAACCGTTACATCGTGAAAAGTCTGTACCTTTGCACCCCGAAAATCAAGGGTAACAAGTGGACGTAATTCAAGAACCGAGAAGTGTACGAAAGAACCTTGCCAGACTGGCGGTTCCTATTTTCATTGAAACATTGCTGATT", "ATCTTCATTTTCGCAAACTTTATGCATCTTCAGGCCGTTAAACTCAAGAAAAAGAATTAATTTTGCAGTCTGATAATTATCAAAGAATATAAT", "AAAATAGTTAGTTGGATTTTAATCAAAAAAGCCCGAGAGGGCGTTATACATTAATTAATAAACAAAAGGAAAACC", "TAAACAATAAAGAGACAAAACA", "TTGTAGGAAATAATAATTCAAAAAATCCTGCCCTATAACATAGAGCAGGATTTTTTTTGTGTTTAACTGTAAAAAAGTTATTTGAAAAGCAGAAAGTTTGAAAAATTTTTCTAATTTTGTTGTATCCACATAACGCAATTTGTTAACTAAATATATACAGCT", "GTTTGAATCTTTGTTTATAAACAAAGGATAGTCATTGGGGAGGGGCTGCTCTTTCATTTTTTGAAAGCGTGGTCCCTATCTATATGGTGGGATATGTGTCTTGTTTATATGATTACTTGTTTGGATACTTAAATGAAAGGTGTCTATGTATTAATTGTTTCCAATAAAATGATGTAGTGAGTAACTGTTACCTGTTTGCAGGTTGCTTAAATATACGGTAAAATTCCTGTAATCTCTTCTTAATTTGCACAGAATTTCAAAAAATGTGCTATTCTTCATCACTTTTATGCCTTTTCGAGAACAAAAATTGGAAATAATCGTTAACTTTGTCGCCAATAACAGAAATAGAAAAGGAGATTA", "AAATATATGAATAACTGTATATGTATAGGGAAGAATCAAAATTTCTTCCCTATGTTTTTACTCCGTTATTCAAAGCCTAGATGTGGTTGTATCAGCCTTTTGTATGCAAAAAGCAGGAGGAAAAAATATCTTAATTTGTTTGTCAGTTCAAAGAAAAGCCGTACCTTTGCAAGCCGATTATTATCAAAAGTTTATAAAGAATTAATTCTTAGCGTGTTAATAGTTCTTTGTCCGGGAACGGTTAGAAACGCTGGGAAGAATTGTTTTTTAGTAGTTAACATTTAAAAAAGAATTTAAGA", "TAAAGA", "TATCCGGTTTTACTTGGAACTGGTTAAGAAGCGTTTAGTATCTAAACCAGCGGGACTCTACTTGGTAGCGGACTACCCGATGGTTGGTTGAGATAAACAAAAAAGAAAGTAAACGATTTAAAGAATAACAAA", "TAGTATAAATTGAGAGTTGAGAATTGAAAATTGGGGAAGGGGCAGATTATGCTGTTGATTCTCAATTCTCAATTTTCAATTCTCAATTCTGTTTTAAAGATATTACTAACAATTAAAAAATAAAGGAATATT", "GATTTCCGCAATTATAGAATGGGGGACTGTGTGACAACAGTCCCTTTCTTTTTTCCGG", "AGATAAGACTTTTTTTATACTCTATCCGTCAATGTAACAAATAAAAGTGTACTTTTGCAAATTACCTAATGATAATACCTAAAGAAAAAG", "TAAT", "TTTGTTGCGCTTTATTGGGAAATATCTTCTGGCAAGATCTTGCTGGAAGA", "TTCTATTTATAATTAAGGTTGTGCAAAGATAAAACAATTAATTCTGTATCTTTGTCTCCAAATAGAAAGGATT", "ACTGTTTGTCCGTTAATAATGTACAGGGTGTCCATAATCGGACACCCTTTTTTATGTTTACTTGTTGATACTTAGTGGATTAATTCCATGGCATGTACTTTGCACTTTATAAAGTGAAGCGACTCAAGAAAAAAAACGGATCATGTTGCAACGTTTTGAGAGTCATTTGCGTCTTATAAATAAAGACACAAATAAACACGAATTATAAACATTAAAAATAAGACATT", "TGGAGTGCGTCCTTTGAATTTTAGATTAAATAATTGATGTGTTTTTCCCCGGGGAATTTGGATTGTATCCTCCCGGGGATTT", "CGTC", "ATCTTGATTCTTTGCTTATGAGAATGGTGTCCGGTATGTGAACCGG", "AACTAATATGTTTTTTTGCAAAAGTAATCTTTTTATGGAAATGAAGTATAAATAAAAGTGTATATTTGCAGATTATATTATATAAGTAGAGCATT", "CAGCCGATGAACAAATCAGTGCATAAATCATAAATTTTAAATATAT", "TTCCTAAAAAATGATACC", "AGAAATCAATC", "ATATAGAAGGTGCGCACA", "CAACATT", "ATGGTAGTTGGCAGAGAATTATGTTAATTATAAATTATAAAATAGTAAATAGTTAG", "GTCTGCATGACAGAAAAGGACACGGCATTTTTATAACAATGCCGATAGGCACGGATTTCCGCAAAGATTGAATCAATCGTCTTTGCGGAAATCTGCGTTTTTTATATTGTTTCTCTCCCGTGGTGAAAAAAAGACATAAAAAAAGAGCGAAAGTTTTTATCAAACCCGCTCACATCCTGAAGAACCCCCCTCCATTATGGGGTTCTTTTCATCTTTCTCGTCTCAGTTGTGTTATCCTCTCTCTCTTGTACCTAAAAAAAACAACTCTTTTAATACCTATAAAACTAATCTCCTGAAAACTTTCTTTTTCTAATTACCTTAAATCTTACTACTAATACCTTTCTAAATCAATCTTTCTTTACCTAAATCTAATACCTTAAATTAAAATCTTTACCTTAATACTTAATACCTAATTTTGTTCTTTTCTTTATTAACTTAATATTTGGTTTCACAACCACATTGCAAAGGTACGGCTTTTCTTGAAATTAGCAAGTAAATATATGCTGAAAAACATAAAAAAGGGTGAATTCATGATATATATCAAGAAAACACCCCTTTTTTATTTCTTTTAGCG", "GCTGA", "TGTTTGAAAAATTAATTGTTAATTTAAAAAACTTGGCTGTCCTTCCAGGCAGGTTTTCTGACTTATCTCTCCCTTAAAACGCCTTCCCGCAAATGGTTTTGTAGTGGCATATTGTTTCAGCTCTACGAGAATTACAGCAGCGGGTACTGTTGCTGATTTTCACGGCATTCCCTCTTGGAAGTGGTTAACTTCACCTTGTCGGACAGCTGCAAAGGTATAACATTTTGGGAAACTTTCTATTTAAAAAATGGATAAAAGTTTTCCAAAACGGATAACTTATTGAAGATGGGAAGG", "TTTC", "ATAAAACGATCAAATAC", "TGTGAATCGGAACACAAACAATGCGGATTTTGAAGATATTCCCCAAAGTCCGCATTGTCCGTGTTCCTTGTTTCATGTACACCCC", "GGTTGTTTCGTTTGAAAATTTACAGTTCTTTGCAAAAATAGGACATCTATCTTTTAAATTATAAGTTCGGCAAGAATATTTTTAAATTATTAGGCCGGAGTAAACAAAAAAACGAGGCAAAATGTTTATTTTTGCAGCCAATTAACAGATAATAGTAAACTAAAACCAATAAAAG", "AGAGGTTTCCTCAAAAAGAACGATATTCTGAAAATCTCTTCTCCATTTTATTCCGGAGAAGAGATTTTTTTATTTCCTTTGTGCTCATAAACAAAGAAATAGAACA", "TAGAATTCTTCCTTCGGGGTTGTCTGGCGGGACTGAAT", "AATTGATGTTTGTTTTTAATGTTTTCTTGCCCTTGTCTGTGGCAAGCCTGTAAAAGTAGGTATAATTGTTGGTTTGTGGACTATCGGTTGGCTTTTTTATTTTCGTGGATGCTTTTTTAAAATAAAGTCAA", "CCTTCCTGAGTGTTATTCCTAAGGGTACTTAAGCTTTTTTGATAGGAAGGCTAAGCTTTTTTGACAGGAAAACTAAGATCTTTTTCCTTAGTTGTTTTTACTGCCGCCGTCAGGCGGTTGTAAGACCGCCTATAGTAGGTTCTACTGCCGCCTATAGTGGGTTGTACAACCGCCTTGCGGCGGCAGTAAAAACAAACGGGAAGAGGAACCTTGAATATACAGTGTAAAATGCTTAGCTGAAGGGGAAAAATTGGTTGGCTGGTAGTGCGCCGGTCTCAAATGTTATGCCATAAACGATAATATGCCAAAAACCTGATACATTCTGAAATCAGAAAGTATCAAGTTCGCTTCATCCTTTTCTTCGATGAAATGGGAGAAAATGAACCAGATTTGTGTGAGAGCGCTTTCGGGGAGTGTTACATTTGCAAGTACTTTTGATACATTGTAACATTTTTCTTATTCAATGCAACATTTGTTATGAAAGCTTTCCGGGTTTTCGTGCATCTTTGCATCGCGATCGGGATACGGCAGCATGCAATTGCGACCCGAGGCAACGAGAATGTTAACAAACAAGTGTAAATCTAAAAATGGAAGGC", "TACTTAAAAAACAAAAAAT", "TAGGTTAGGTTGATTGAAGGAGAAGGTAGAATGTTTTTTTCAGGATGAACCGCATTATTCATTTTAAAAATAAAATATC", "CCAAGATTTAT", "ACAGTAAATAAGATC", "ATGAATGATTGCGGATAATCATTCAAATTTTATTGGAAATCATATCGTCTGAGGCTGTATCGCGAAGAATCTGTAAATACAAAGTAGATGTAATCAGGTTCTTCGCGATGTCCTTTTTTTACTTTCTCTTGCAGATGTTTGTTTTATTAGCGGTTACCTTTTATCTTTGTTATCTATAAATACCTTGAGGAAC", "TTGTGGGGGCGGACACGCAGCTCCGCCCCTACAAGGAATACT", "AAACTTATTTT", "AGCATTTCCTGTTTAGTTGGTTATCAGTCCGACAAAAATAGAAAAAAATATAATGCGGTTGGGAATAAGCTGACAAAATATGTATCTTTGGAGGATTTTAATTTGAAAATGTG", "AGGTGGAT", "TTCTGTATATTATTTTATTGTTATTATTTTTTTTACTTGTCTGGTAAACAATATTGGGTGTAATGGTCCATTTTGGACCGCAAAGATACTGCTTTTCTTTGAAATAGAAAAAACTTCGGGCTGTTTTTTCTTCTAAAAGCCTTATTTTCTTCTTTTATAGTATTGTAAGGTAGACATTACAATATAATCAGTTTGTATGTTTTAGTGAAGAAAATAGTTAATAAAATCATTTTTT", "TTGATTCATCCTATATAAACGGAAAAAAGCTGCTTTCTTTATTGGAGGCAGCTTTTTTTGTT", "ATTTATTCTTGTTTTGATGCAAAATTAACTATTATTTTTGTTATAATAGGAAATCTATTCCGAATTTGAACAATGGTAGCTAATAATTGA", "GAAAGACT", "TTTAGCATACTGTTATCTTCAACGTGTTGAAGAAAGAGAACGCTGCTCTCCGTGAGGAAAGCAGCGTTCTTTTATGGTTG", "AGTAGTATTGTTTTATGGAAGAAAAGAAGTTGTTTGCATGGGCAACTTCTTTTCTTTGTGTTTAAATGATGTTGTGTTTA", "GATCAATAAAGTTAAGTTTATAAAAAGTAATTTTATGACGATTTCCTACAATAAAACGATTTAATCGTCACGCAAAGATAGAACTTTTTTATGGAAAGAGGCTTCTCTACCTAAAAAACTGTATCTTTGTAAGCTGAACATGAAAAACTATTAATCAAAAATCTTATAAAAGA", "TTGATTGTCTTTTAGTGAAAATGAGGGTGTCCAGTGTATTATGGATACCCTCATTTTGCTATGTAGGGGTGAGATGGAGTT", "ATTTCTATATTCTATCATATATGTAAGCAAATATAG", "GACAATCTCTTTTGTTTGAACGTACAAAGATAACACTATTTACAAAAAGAGGTTATGTGAATACTTCGATACTTGGAATAAAAATGTTATTTTTGCAGCAATTTAATAAAATAGCGGTT", "AATTTGTACAACTAAATATAAAAATAAAAGGTAAAACAAG", "TAATATGCTAATGTGCTGATGCAGCAGTCCGCCAATGTGTCAGTCTGCATAATGATACATGGAGTACAGTTTCGTTGGCACATTAGTAAATTGATAAATTAGTAAATTATTAATT", "GGAACACACTCATTGGAAGAACGCAGTATAAAAATAAAT", "AATGAGATA", "ACG", "GAATGTAGATTGAATTTAATATTCAGTACTTTATTAATAATTAAACAATTATCCTCTCCTTTGTTTTGGAATTATTCGTTTTTATATAAAATATGTTGTAAAACATAGAATAAACTGTACCTTTGCAATGTAAAACACAAAGAAGGCAAACCTCTTCGGCGTGGATTAATAGGTAAAAAGTTTAATTTAAAAATGACAAAAGAG", "TCATATATAAATGTATCTGATAATCAGGAATCATATAACTGTATAATAGTTTGGTGAGCGGAATTTTTCATTTTATGAAAGATTCCGCTTATTTTCTTTACACATTAGGACTGGTTTCCAAAATAAAGCTTATATTTGTGAATGTAATGACGGTATAAAGGAATTGGGAACTGAAATTTATTATTAACTAAAAACAAACAGTC", "CCACAGATATAATCTTACCTTTAAATATATAAAGAACGGCTGTTTCTCTTTTAGAAAGAAGCAGCCATTTTTTTAGATGAAGCGTGGTTTATGAAATAAAAGCTATATTTGCAGATTATGATTAAAGACACAATTTTTTAGGGAT", "GACGATTTTTTATATGGATTGTAAACACGGATCTTACAGGCTAAGTATGGTTTGCTTTTTATCTGTAAATCCGTGTTGCAATTTGCACTGAGTATGAATAA" ]
[ true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, false, true, true, false, false, false, false, true, true, true, true, true, true, true, false, false, false, false, true, false, true, true, false, true, true, true, true, true, true, true, false, false, true, true, false, true, false, true, true, true, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 30, 32, 33, 34, 36, 38, 39, 41, 43, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 99, 101, 103, 105, 107, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 171, 173, 175, 176, 177, 179, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 31, 35, 37, 40, 42, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 100, 102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 172, 174, 178, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207 ]
[ "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124015|+|1744:4509", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124016|+|4546:6123", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124017|+|6237:7208", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124018|-|7300:8439", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124019|-|9182:10507", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124020|+|10656:11906", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124021|-|11970:13376", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124022|+|13811:14053", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124023|-|14055:15281", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124024|-|15457:16878", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124025|-|16909:18390", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124026|-|18444:20345", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124027|-|20348:20656", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124028|-|20662:21165", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124029|-|21181:21633", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124030|-|21633:22709", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124031|-|22833:24392", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124032|-|24389:24985", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124033|-|24976:25326", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124034|-|25430:25807", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124035|-|25910:27364", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124036|-|27364:28704", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124037|-|28717:30651", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124038|+|30708:31694", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124039|+|31670:34135", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124040|+|34225:34788", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124041|+|34809:34901", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124042|-|34940:36244", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124043|-|36286:39198", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124044|-|39451:41235", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124045|+|41448:42143", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124046|-|42261:43007", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124047|-|43270:44601", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124048|-|44606:44869", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124049|-|44984:46306", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124050|-|46707:48122", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124051|-|48140:49765", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124052|-|49778:53143", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124053|-|53397:54410", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124054|+|54479:55120", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124055|-|55313:55993", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124056|+|56322:57719", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124057|+|58207:58794", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124058|+|58914:59858", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124059|+|60012:63560", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124060|+|63573:65624", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124061|+|65755:68301", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124062|+|68312:70528", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124063|+|70587:73466", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124064|+|73588:73833", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124065|+|73963:76566", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124066|+|76622:77812", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124067|+|77802:79031", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124068|+|79447:80883", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124069|-|81090:82523", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124070|-|82562:84562", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124071|-|84586:87756", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124072|+|88011:92288", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124073|+|92403:93407", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124074|+|93477:94940", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124075|+|95037:95774", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124076|+|95784:99125", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124077|-|99179:100654", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124078|-|100874:101836", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124079|-|101849:103240", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124080|+|103530:104759", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124081|+|104774:105724", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124082|+|105942:109172", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124083|+|109176:111329", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124084|+|111359:113737", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124085|+|113984:117148", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124086|+|117164:119119", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124087|+|119428:120927", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124088|+|120965:122572", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124089|+|122766:125312", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124090|+|125353:128721", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124091|+|128735:131119", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124092|+|131137:133137", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124093|-|133231:133722", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124094|+|133911:135524", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124095|+|135545:136705", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124096|+|136712:138439", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124097|+|138635:143035", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124098|+|143231:143965", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124099|+|144075:146516", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124100|-|146602:147684", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124101|-|147792:150035", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124102|+|150326:151849", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124103|+|151962:152984", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124104|+|153090:153938", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124105|+|153947:154447", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124106|+|154447:156297", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124107|+|156278:157732", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124108|-|157736:158233", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124109|-|158196:159710", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124110|-|159800:160924", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124111|-|160952:161905", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124112|-|162261:163556", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124114|-|164826:165962", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124115|-|165973:166500", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124116|+|166723:167451", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124117|+|167480:168328", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124118|+|168404:169093", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124119|-|169244:170719", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124120|-|170748:173519", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124121|+|174225:174824", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124122|+|175162:175905", "2510065017|RJ2H1_RJ2H1-contig-045.45|CDS|2510124123|+|175916:176422" ]
[ "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000001|+|1579:1743", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000002|+|4510:4545", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000003|+|6124:6236", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000004|+|7209:7299", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000005|+|8440:9181", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000006|+|10508:10655", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000007|+|11907:11969", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000008|+|13377:13810", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000009|+|14054:14054", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000010|+|15282:15456", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000011|+|16879:16908", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000012|+|18391:18443", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000013|+|20346:20347", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000014|+|20657:20661", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000015|+|21166:21180", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000016|+|22710:22832", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000017|+|25327:25429", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000018|+|25808:25909", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000019|+|28705:28716", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000020|+|30652:30707", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000021|+|34136:34224", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000022|+|34789:34808", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000023|+|34902:34939", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000024|+|36245:36285", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000025|+|39199:39450", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000026|+|41236:41447", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000027|+|42144:42260", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000028|+|43008:43269", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000029|+|44602:44605", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000030|+|44870:44983", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000031|+|46307:46706", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000032|+|48123:48139", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000033|+|49766:49777", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000034|+|53144:53396", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000035|+|54411:54478", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000036|+|55121:55312", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000037|+|55994:56321", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000038|+|57720:58206", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000039|+|58795:58913", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000040|+|59859:60011", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000041|+|63561:63572", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000042|+|65625:65754", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000043|+|68302:68311", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000044|+|70529:70586", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000045|+|73467:73587", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000046|+|73834:73962", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000047|+|76567:76621", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000048|+|79032:79446", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000049|+|80884:81089", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000050|+|82524:82561", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000051|+|84563:84585", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000052|+|87757:88010", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000053|+|92289:92402", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000054|+|93408:93476", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000055|+|94941:95036", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000056|+|95775:95783", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000057|+|99126:99178", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000058|+|100655:100873", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000059|+|101837:101848", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000060|+|103241:103529", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000061|+|104760:104773", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000062|+|105725:105941", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000063|+|109173:109175", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000064|+|111330:111358", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000065|+|113738:113983", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000066|+|117149:117163", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000067|+|119120:119427", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000068|+|120928:120964", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000069|+|122573:122765", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000070|+|125313:125352", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000071|+|128722:128734", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000072|+|131120:131136", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000073|+|133138:133230", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000074|+|133723:133910", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000075|+|135525:135544", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000076|+|136706:136711", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000077|+|138440:138634", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000078|+|143036:143230", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000079|+|143966:144074", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000080|+|146517:146601", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000081|+|147685:147791", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000082|+|150036:150325", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000083|+|151850:151961", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000084|+|152985:153089", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000085|+|153939:153946", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000086|+|157733:157735", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000087|+|159711:159799", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000088|+|160925:160951", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000089|+|161906:162260", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000090|+|163557:164825", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000091|+|165963:165972", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000092|+|166501:166722", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000093|+|167452:167479", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000094|+|168329:168403", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000095|+|169094:169243", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000096|+|170720:170747", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000097|+|173520:174224", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000098|+|174825:175161", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000099|+|175906:175915", "2510065017|RJ2H1_RJ2H1-contig-045.45|IG|IG_000100|+|176423:176434" ]
[ "MMKAQMKGISLMIILLMLVLTVQAAALRGTVTDKATKEPLIGAAVQLSGTNTGTITDVDGNFELAGLRNGTYKLIISYVSYCTQTVEVTINGMFEIKVELEQDNQQLGEVVVVADAKKNTENAIITQQRTSLVMQTGVSAQQITKTQDKDASEVIRRVPGISIIEEKFVMVRGLSQRYNNVWINNSAVPSSEADARAFSFDIIPSSQLDNMLVVKSPAPEYPADFSGGFILVNTKDVPSSNLFTISVGTSLNDQTHFKKFLYNKGSGTDFLGFDNGFRSLKGGINAVLSPMNNGYDLLNNGLNNDWTVKDRRPLADLSLNMNFSRRWVDSSGRTLAILGTVNYSNSYRTYLDMDNNLFGAYDMTHDCSNYLRKSIDDQYNHSVRIGTMLNFTYIPASGNSRYEFKNIFNQLGKDRYTYRKGTDAQSDYEESAEYYYQSRTTYNGQFTGKHTLGNTDKLDWSAGYSYANRNMPDRRRYTTVLNEETNQLEVENLNEINREFSRLDEHILSANINYQHDFSFGIFTPSLKVGAYTEHRAREYNTRFFIYSWKNGLPGAYKVMNVPNELLQEKNYGENGLYLLEQVDWRNNYEGNNLLSAGYVGGNLPLGKLNVYAGVRFEYNRMELVSHTQKNEESPTSVFYTYDDFFPSVNAAYRLNDKHQFRLSYGRTVNRPEFREVSSSVYYDFDLASNVQGNYNLKPAYIDNLDFGYEFYPSSGELISVSLFYKRFKNPIEWTYTVSGGTDLIYSYVNAKGADNYGVEVDIRKNLDFIGMRNFSLSLNGALIKSKVKFEPGAKEKDRPMQGQSPYLINAGFFYQHADSGWNAALLYNRIGKRIIGVGRSLGTADNEVRVPDSYEMPRNAVDLSVSKKIGNLEIKVAVRDLLAEKVSFKQFEETRHGKVEQITRQYKSGRNFNLNINYTF", "MEMRTDKFMIYVFSAIMLGGMVACSSDDPETDTGNGNGNGGNAEAPYVWGAEGAIKTCDHLLFDDDKTENAKGTVIGNGDQEFIFKGTQTLSKGTYLMKGWIYVGTGSVLTIEPGTVIKGDKDTQAALIVEPGGKLIAEGTKDAPIVFTSEQPKGQRKPGDWGGLIICGNAKNNQGVLNQQIEGGPRTKHGGNDDADNSGILRYVRVEFAGYPFQKDKEINGITFGSVGSGTTIDHLQVSYSNDDSYEWFGGNVNCKYLVAYNGWDDEFDTDNGFSGKVQYCLSIRDPRIADTSQSNGFESDNCGDASLIEPYTTAVFSNVTFIGPLGRDANFVNNESYITGGSFNPNNGSALGKFQSAMQIRRSSRLNCFNSVAVGYPVGLIIDGEKGNTVEMAKAGNIKLENIWFAGMTVVGSDANKVYDDVLYDAVNKQIIDAGQESYSSTFFKTQKGNKVLTDVNELKFKDGRNIGVNYMPDADSPVLTAASFNDALLSSGFETVEYIGAFGTDDNWLDGWTNFDPNNTDY", "MYPFKFNPILKSTIWGGEKIIPFKHLNIEQPQVGESWEISNVPGDESVVANGTQAGKNLSQLVKEYKGSLVGESNYQRFGDNFPLLIKFIDACDDLSIQVHPDDELAKKRHNSMGKTEMWYVIDNAGGKAHLRSGLSKKITPDEYAAMIADNTICDALADYAVQPGDVFFLPAGRIHSIGAGCFIAEIQQTSNVTYRIYDFNRKDKNGNTRELHTELSKDAIDYSVEEDYRTHYTPKQNESVELVTCPYFTTSVYDLTENMTIDYSELDSFVIYICMEGTCTVTDGDGNSLELQAGESILFPATTKEVKVTVEGHVKFLETYV", "MSSMILGGCIPSPKKQTEAITVEENPNMMYMLVGSYATPEEEGIKVYNFDEQNGNSQYISGIKGISNPSFLIPSADGERIYAVGEDSGKSSTANAIKFDKEQKKLTLLNSQPTDGGAPCYITLSPSEKFVLTANYIGGSITVFPLDKDGKLKSETRLISFTGNSLDKERQTQPHLHCIEFTPDHKYLLASDLGTDQIHVFPVSENVTDGVSHSLLNESEEFNIKVESGSGPRHICFHPNQKFAYLINEISGKVIAFSYDKGKLNAIQYIEADTVGAKGSGDIHISPDGKFLYASNRLKADGIAIFSINQEEGTLTKTGYQLTGIHPRNFIISRNGRYLLVACRDSNSIQVFERDSQTGLLKDTGKTIKTNKPVCLKFTF", "MRNKLAQKIKLGAALLLMAGTSAFAQTSNQIYTPTPENIKARQEFQDNKFGIFLHWGIYSMTAQGEWYMNTHNINRNEYAKLASGFYPSRFDAAEWVSAIKTSGAKYICITSRHHDSFSMFDTKESDFNIVDATPFKRDILKELAEECQKQGIKLHFYYSHLDWFRDDYPEGNTGHGTGRPKGHGNWASYYKFMNKQLTELLTNYGPVGAIWFDGIWDQPTNFNWQLEEQYALIHKLQPSCLIGNNHHRTPYAGEDFQMFERDLPGENKAGFSAGQGISELPLETCETMNGMWGYRIEDQNYKSPKELIHYLVKAAGKNANLLMNIGPQPNGELPATAVEHLKQVGKWMNQYGETIYGTRGGDVVPHTWGVSTRKGDRLFIHILDLQDDALYIPLKAKVKKAIQFISKTPLSFKQEKDGIFIKLPQVPDDIDYVIELVIKQ", "MFSIVWKLIILYLCESNIEFYMRVKEIPILLLTGYLGSGKTTLVNHILSNKRGIKFAVIVNDIGEVNIDADLIQKGGIVGKKDESLVALQNGCICCTLKMDLVEQIDDIMKQERFDYIVIEASGVCEPAPIAQTICSISSMGNTYGGCRLDCIVTVVDAFRLQSEFSCGNDLTCKGIDEEDIENLIIQQIEFCNVVLLNKASEVKRDELERIKQIIRTLQPAAEIIECDYADADLDKIIYTEAFDFERTATSAGWIRGIESALTEEQKKEAEEHEHHHHDEEHAHHHHHEGSEVDEYGISTFVYYRRPAFDIHKFDRFVSTQWSRNIIRAKGVCYFSNNRDMSFLFEQAGVQKKLKEAGLWYATAPEEELIEVIRQEPGLLRDWDDKYGDRMQKIVFIGRHLDKEELIRELDECLE", "MVKKLVSVLCICAYFGLAHAQIPQEIWKESEQIEKQIKKTSFPDRVYNIKDFGAKEGNNGEILCHEAINLAILTCSQTGGGTVLVPPGEFLTGPITLKSNVNLHLEEGAYLKFSSEKYLYTPTVLTRWEGVDCYNLHPLIYAYGESNIGITGKGIIDGQASNDNWWSMCGAPHYGWKEGMTAQKNGGRDKLLMYAETFAPIDKRQMTFEDGLRPQLINLYRCNTILIENVTLKNSPFWVIHPLFCESLTVRGVKVSSHGPNSDGCDPESSKNVLIENCIFDTGDDCIAIKSGRNADGRKWNVPSENIIVRNCEMKDGHGGVVVGSEISGGYKNLFVENCKMDSPNLERVIRIKTNNCRGGVIENIYVRNVEVGECREAVLKINLQYENREKCDRNFPPVVRHVYLDNVTSEKSKYGVLITGYDDRVNIEDIHVTNSRFNNVEKKGNLITGAKDVVLKELYINGNKVRK", "MVLVLVKLPKGEMFISTNELHLSLVIESLFDNTNKFTDSGSVTLKIKLDKAQSKLRIEVTDTGCGIPPEEREEIFLCLSV", "MKKVLYITILACSTLWGSCTEKNKQSARTDSFIEKNVAFARAQIGNEIQIIEKSEKFINPVTLKTDSTIYYCDYADWRSGFFPGSVWYLYELSGDTTLLSLADKYTSAIEEAKKLTWHHDVGFMINCSFGNGWRTTKVPKYKEVMIEAARSLATRFREKPGIIQSWDVTRGWQSERGWECPVIIDNMMNLELLFEATKLSGDSTFYHIAVNHADRTLKEHFRTNGSCYHVIDYSLADGMVRHRQTAQGYADESTWSRGQAWAIYGYTVCYRETHDKKYLNQALKTFQFMKTHPRLPKDLIPYWDMDAPNIPNEPRDASSASCIASALYEISTMDVPDANSYKNYADSIMASLASPNYLASLGKNGNFLLMHSVGSIPHGTEIDLPLNYADYYFLEALKRKRDIEEGTH", "MMDITAILHMHAELSLTAVFILMFLLDLFLPATQRHWLRPMACILLTIQLLANLWPEEVTLFGGMYHSTPMASVLKSILTIGTILVFLQADTWLKREDTRHKQGEFYILTLSTLLGMYFMVSAGHFLLFFLGLELATVPMACLVAFDKYKGHSAEAGAKFILSALFSSGIFLYGISMIYGTAGTLYFEDIPAGLTGTPLQVLALVFFFSGLAFKLSLVPFHLWTADTYQGAPTTVSAYLSVISKGAAAFALMIILMKVFGPMTEQWSEILCIIIVATITIANLFAIRQNNLKRFMAFSSISQAGYIMLAVLAGTPQGMASLVYYIVVYIAANLAVFGVINTIEQHTHGKIEREDYNGLYKTNPKLTMVMTLALFSLAGIPPFAGFFSKFFVFMAAFHSGYYLIVFIALVNTIISLYYYLLIVKAMFITPNEDPIGNFRTATPMRISLLVCVAGIFVLGIISGVYQLLSDTAIL", "MNILSFFIIVPLLMLASLWASRSVNQVRGVMVVGSSILLALSVYLTIDYIELRQAGATGEMLFTASTVWYAPLHICYSVGVDGISVAMLLLSSIIVFTGTFASWKLQPLTKEYFMWFTLLSVGVFGFFVSTDLFTMFMFYEVALIPMYLLIGVWGSGRKEYAAMKLTLMLMGGSAFLLIGILGIFYGAGGETMNLLEIAKLHIPHEMQRIFFPLIFLGFGVLGALFPFHTWSPDGHASAPTAVSMLHAGVLMKLGGYGCFRVAMYLMPEGAAMWGDVFLILTTISVVYGAFSAVVQTDLKYINAYSSVSHCGLVLFALLMMTRTSCTGAILQMLSHGLMTALFFALIGMIYGRTHTRDVRLMGGLMKIMPFLAVGYVIAGLANLGLPGLSGFVAEMTVFVGSFQNDDTFHRVCTLVATTSIVITAVYILRVVGKILYGKVLNPEHLKLTDATWDERVAVICLIACVAGLGMAPFWISDLISNSVEPIISQLLN", "MEHTILILILPFLSFLILGLAGMRMKNGLAGTIGTVSLAGVTLLSYLTAFNYFAGGRTAEGVYPTLTPYNFEWLPFTTNLHIDMGIMLDPISVMMLVVISIVSLMVHIYSFGYMKGEKGFQRYYAFLSLFTMSMLGLVVATNIFQMYVFWELVGVSSYLLIGFYYTKKEAIAASKKAFIVTRFADLGFLIGILIYGYYAETFSFTPQLQVLAVAGSMIPLALGLMFIGGAGKSAMFPLHIWLPDAMEGPTPVSALIHAATMVVAGVYLVARMFPLFVGYAPEVLHWIAYIGAFTAFYAASVACAQSDIKRVLAFSTISQIGFMIVALGVCTSMNPHEGGLGYMASMFHLFTHAMFKALLFLGAGCIIHAVHSNEMSAMGGLHKFMPVTHWTFLIACLAISGIWPFSGFFSKDEILTACFQFSPVMGWIMTGIAAMTAFYMFRLYYCIFWNGEWKGHSHESGPDAHTPHEAPRTMTFPLMFLAAITCIAGFIPFGNLISSNGEAYTIHLDMQIAATSIIIAIASITLATWMYAGKRQPVANYLARTFPRLHTAAYHRFYMDEIWLFVTKKIIFRCISTPIAWWDRHVIDQFFNFTAWSTHATADEIRDMQSGNVQQYSIWFLAGALILTLILLI", "MVHMEYYLVVSTFMMFAGIYGFFTRRNLLAMLISVELILNSVDINFAVFNRYLFPEELEGFFFALFAIGVSAAETAVAIAIIINIYRNVRNIQVKNLNEMKN", "MTLQLIVFIVLALFIAVCSVLAVTTSRILRAATYLLFVLFGTAGIYFQLNYSFLGAVQLLIYAGGITVLYVFSILLTSSQGDKAERLKNGKMVAGAISTIAGLAICLFVMLKNEFLPSHFVHGELDVRTIGHALMGMEKYQYILPFEVISVLLLACIVGGILIARKR", "MKEEKHSYIKGLLHGIGTLLTGMKVTGREFFTPKVTEQYPENRATLKISPRFRGRLIMPVDENGNNKCIACGLCQMACPNDTITITSESVTNEETGKKKKILVKYEYDLGACMFCQLCVNACPHDAIRFDTEFENAVFDRSKLVLTLNKK", "MFDFSIVTQWVHSLLTSFMPEELAVLIECIVIGVCIMLAYAVIAIIMIFMERKVCAAFQCRLGPMRVGPQGTIQVFADVFKMLIKEIITIRHADKFLYNLAPYIVILASIMAFSCLPINKGMEVLDFNVGIFFLLAASSIGVVGILLAGWSSNNKYSLIGAMRSGAQMISYELSVGLSILTIVILTDTMQLSEIVERQADGWFLFKGHIPALIAFIIYLIAGNAEVNRGPFDLPEAESELTAGYHTEYSGMHFGLFYVAEFVNLFIIAAVATTIFLGGWMPLHIPGLDGFNAIMDYIPGFIWFFGKSFFVVWLLMWIKWTFPRLRIDQILTLEWKYLVPIGLCNLLLMVIIVVFKLHF", "MSERIEIPAEKLHEEMLKLRQGKHMDFLRSLTGMDWGEEGLGVVYHLEDTNTRENIMVSTRTTNREKPELPSVSDIWKGAEFNEREVYDYYGIRFIGHPDMRRLFLRDDWVGYPLRKDYDESLNPLRMTNEEPVDTTQYIEVQHDGSVIEKRETIFDEDEYIINIGPQHPATHGVLRFRVSLEGEIIKKLDVHCGYIHRGIEKMCESLTYPQTLALTDRLDYLGAHQNRHALCMCIEQAMGVEVSERVQYIRTIMDELQRIDSHLLFFSCLCMDMGALTAFFYGFRDREKILDIFEATTGGRLIQNYNTIGGVQADIAPDFVQKVKEFIAYLRPMLKEYHEVFTGNVIAQERLKGVGVLSREDAISFGATGGTGRASGWACDVRKRHPYAMYGKVDFKEIVHTEGDCFARYMVRMEEILESMDIIEQLIDNIPEGNYQEKMKPIIRVPEGNYYAAVEGSRGEFGVYLESRGDKFPYRMKFRATGLPLVSAMETMCRNAKIADLIAIGGTVDYVVPDIDR", "MEVKKPTIKSIPYEDFKDNEYLENMVKQLNEGGTKVLVGCLDDLINWGRSNSLWPLTFATSCCGIEFMAVGAARYDFARFGFEVARASPRQADMIMVAGTITHKMAPVLKRLYDQMADPKYVIAVGGCAISGGPFKKSYHVLNGVDKILPVDVYIPGCPPRPEALLYGMIQLQRKVKLEKFFGGVNRKENEPQNPEQA", "MYFTLLVVVILTAIALVAVALGIARAISPRSYNSQKGEAYECGIPTRGRSWMQFKVGYYLFAILFLMLDVETVFLFPWAVVVQDLGVYGLFSILFFLVILVLGLAYAWKKGALEWK", "MNNMLRYIVYILLFLLFTITVDQDYHTVETTDLPPQTSCYQQQQDPCKRTVDFLFTNNFADMPQIAISLDNPSTSKFKCIVRILAALNELKKQELQTNHIVCHTFHSLYTDAVDYYIYALRRIII", "MINAKMIARIMGALFFIEAGFLILCSFLAVYYNESDISAFLYSAAITAGAGSIAALAGKNAEKRISRRDGYVIVTLAWVFFSLFGMLPFYLSGYIPTITDAFFETMSGFTTTGASILDNIESLPHGLLFWRSMTQWIGGLGIVFFTIAVLPIFGVGSVQLFAAEATGPTHDKVHPRIGVTAKWIWTIYLGLTITEIILLVAGGMNFFDSVCHSLTTTATGGYSTKQNSIAAFNSPYIEYIITLFMFLAGINFTLLYLLFLKGNFKRLFQNTELHWYLGTVGFFTLFTTVTLIFTSPFSIEESFRKAIFQVVSLQTTTGFISADYMTWVPVLWTLMCIIMLFGACAGSTTGGIKCIRIAIMSRVSKNEFKHIIHPNAILPVRINRQVISSTTKSAVLAFIFLYMAIIFIGWLVLMLFGVGFEEAYSVVISSLGNVGPGIGKCGPSYSWNGLPDAAKWISAILMLIGRLELFTVLLLFMPSFWKKH", "MKIIIAGAGAVGTHLAKLLSDEKQDIILMDENEEKLSKLDSNFDLMTVNASPTSISGLKNAGVAGADLFIGVMPEESRNMTACMLATNMGAKKTVARIDNYEYLLPKHKEFFSQLGVHSLIYPEMLAAKDIVDAIKMSWIRQWWEFCGGALVLIGTKMRETAEILNVPLHELGGRNIPFHIVAIKRGNETIIPRGDDVIKLHDIVYFTTTKKYIPYIRKITGKENYPDVRNVMIMGGSRIAVRTTQYVPDYMQVKIIENDINRCNRLTEVVDDKVMIINGDGRDMDLLMEEGLKNTEAFVALTDNSETNILACLAAKRMGVTKTVAEVENIDYISMAESLDIGTVINKKMIAASHIYQMMLDADVSNVKCLTFANADVAEFTVKNGSRITKCAVKDAGLPKGATIGGLIRNGEGILVTGNTVIQPNDHVVVFCLGMMIKKIEKFFN", "MLFLHDIYHIIGMEQDNLYNLLQSIDTPDDLRHLSADKLPEVCKELRQKIIDELSCNPGHFGSSLGVIELTVALHYVFNTPYDRIVWDVGHQAYGHKILTGRRDAFCTNRKLNGIRPFPSPSESEYDTFTCGHASNSISAALGMAVAAKKHGENNRHVVAVIGDGSMSGGLAFEGLNNASATPNNLLIILNDNNMAIDRSVGGMKQYLLNLQMSEGYNRIRYKISQMFHRWGILNEERRKSLIRFNNSLKSMLVQQQNVFEGMNIRYFGPIDGHDVNNLARVLKEIKDMQGPKLLHIHTTKGKGFGPAEKAATIWHAPGIFDKETGERIVVDTKGMPPLFQDVFGNTLLELAQTNDKIVGVTPAMPSGCSMNILMKAMPERGFDVGIAEGHAVTFSGGMAKDGLLPFCNIYSSFMQRAYDNVIHDIAIQKLNVVLCLDRAGLVGEDGPTHHGAFDLAYMRPIPNLIVASPYNEHELRCLMYTAQLPDKGPFVIRYPRGRGSLVDWKCPMQEIEIGKGRKLKEGKDIAVITLGPIGVQAEKAITHAEQETGKSIAHYDLRFLKPLDESMLHEIGKRFKQVVTVEDGVLKGGMGSAILEFMADNEYNPQIKRIGLPDQFVQHGSVKELYHICGMDEEGIYKVLISF", "MNFRTQVELPKRETEIRHSDRIMLFGSCFAENIGNLLLANKFRCDVNPFGILYNPLSIVEALWQILSHQTYTEEDLFYAGGCWHSWMHHSVFSASTAASCLSSINTRLEQASAGLPQLDWLVITWGTAFAYWLKERTMVVGNCHKQPDSLFTRQLLTVEEIVTEWECVLVELRKVNPFLKILFTVSPIRHSKDGMHGNQISKSTLLLAVDELCRRWSDCYYFPSYEIMMDELRDYRFYADDMLHPSPVAVSYLWECFTECYFSKETDRIMKEWEDIRKALAHKPFNAQSETYRKFLTQIVLKIERLKEKFPYFDLQKELEQCQARLKI", "MSSSIENIEKVLGAKRFGDRSAQIDWILTDSRSLCFPEETLFFALKTKRNDGHKYLPELYERGVRNFVVGELPADMKSFQDANFLQLANPLKGLQKLAEKHREQFQIPVIGITGSNGKTIVKEWLYQLLSPDRVVTRSPRSYNSQIGVPLSVWLMNERTELAIFEAGISEMGEMEALQTIIKPTVGILTNIGGAHQENFFSLQDKCMEKLTLFKDCDVIIYDGDNELISSCVAKSLFTSREIAWSKKDNERPLFIESIQKGEHATTIKYRYLGMPNEFSIPFIDDASIENSLHCLAVALYMMVSPEQITERMARLEQIAMRLEVKEGKNGCVLINDSYNSDLASLDIALDFMSRRSDDKEKKRTLILSDMLETGQSGKLLYRQVAELVHSRGVEKIIGVGEEIRTAAARFEIEKYFFRTTEELLESDLLAGLRNEVILVKGSRAFHFDRISDRLELKVHETILEINLNALVDNLNYYRSKLKPETKMVCMVKASAYGAGSYEIAKTLQDHRVDYLAVAVADEGSDLRKAGITCSIMIMNPELTAFKTMFDYKLEPEVYSFHLLNELIKAAEKEGVTNFPIHIKLDTGMHRLGFAPEEIPELIDRLKKQTAVIPRSVFSHLVGSDGAQFDSFTRRQIEMFEAASECLQEAFQHKILRHICNTAGIERYPGAQFDMVRLGIGLYGIDPFTNQIIHNVSTLKTTILQIHEVPKEETVGYSRKGHLERDSRIAAIPIGYADGLNRRLGNGHAYCLVNGQKAPYVGNICMDVCMIDVTDIDCKEGDKAIIFGDDLPVTVLSEILETIPYEILTSVSNRVKRVYYQN", "MKRIFFLLLCLCFSVLSFAQRTNETKQLTKQDSLRLQQLLSGQVEIVIDDETQKEIDRLFNPEKWKMKSTPFPKKNMKFETELPRYYISQMDTIDGRGYIRLLPYGPFETPEEEQIYVEIPINKELLAGYDPRLEPAPAGKFTPSALMVGAGISATFDADKLLGIIFSKKMRAKARNAKEADAWKSY", "MPDICGIHRDLVNFMLKKQEPRLDSASRGS", "MKLITFVCAAFLCLPSLAQEKFPDGTPVSDWFKDSKIIDINTLGKKYILTDYGVINDSTLLQTEKIQSAIDAAAQNGGGVIVIPKGTYLSGALFFKPQTHLHLEEGAVLKGSDDISNFPIIDTRMEGQSLKYFAALVNADKVDGFTLSGKGTIDGNGLRYWKSFWLRRKVNPQCTNMDELRPRLVHISHSNNVQLSGVRLINSPFWTTHLYKCNHIKLLNLYIFSPEKPVKAPSTDAIDIDVCSNVLVKNCYMSVNDDAIALKGGKGPWADQDPNNGGNSNIIIEDCTYGFCHGALTCGSESIHNRNIILRRIHITNANRLLWLKMRPDTPQQYEYILVEDITGDADHFLYIKPWTQFFDLKDRKDIPVSYSNHVTMRNIDFKCDNFFSVEKSDQYQLTNFTFENLNIKAKNGECDKEMIDNFIWKKVSINNIK", "MKTVFNGIMKNKWTKLAALAIAALWSGPTVQAQAPHPERIYLSGTGIDNTKTWEFFCSGGQNSGKWKKIEVPCNWELQGFGEYTYGRWYTIKGERPSDETGIYRYKFESPATTPGERIKIFFDGVMTDTEVFINGKPAGEMHQGGFYRFSYDITDLLKPGKKNLLEVKIAKESANKSINAAERKADWWLYGGIYRPVWLEVVPAVHMRHFVLNADQYGKLQAAIEMEGDAKGYELSVSVRSLKDGKKMYTQGHKPTVSHQIKDSNKEQLVEGNWMNIQPWSTEDPNLYVARLELKDPEGKTVQSRETRIGFRTIEFFPQDGVYLNGTKLVVKGVNRHSFSVDGGRATSAVMSRQDALLVKEMNMNAVRSHYPPDEHFLDMCDSLGIVYMDELAGWQNGYDSKVGPKLVKEMIERDVNHPSIIIWSNGNEGGWNYNLDPLFARYDKLQKRHMVHPWADFNDLDTHHYPTYLTGVARFTNGYKVFMPTEFMHAMYDQGGGAGLRDFWDRWCTNPMFAGGFIWVFCDEAPKRSDKGGILDSDKSNAPDGVVGPRREKEGSYYAIRAQWSPIQLKPLLITDHFDGSFLVTNEYTYTNLDKCRMTYKIRTCETPLKNAMESGKVIAEGHVQLPAIAPGETGKARFTLPASFREGDVLELEAFDKEGKSICNWTYPIRLAKQYFDHKMAQTPMTLEAVQPATATQTATSIELKSDRVTVTFDPATGMISRIISGGTEVPFKDGPVAVGMKMRYEPTLSYVRNSNEGAVYCAKYKGAADSIVWRLTDKGLLYMDAILLNRASGGGGFDDAFMDSKVFNLGLTFSYPEKNCSGMKWMGRGPYRVWKNRIPGTNYGVWHKEYNNTITGESFENLVYPEFKGYHANMYWATLESDTTPFTVYSRNDGIFFHVFTPEEPKGRVKDTMPKFPEGDISFLLDIPAICSFKPIEQQGPNSQPGNIRIKSGDEGLHLNLMFDFRQ", "MTRNAFWGLCIGICMASTQSVAQKIENTNIQTDNQKNYTPSHINEITNGESLYTVRSDTSLHNIRTIQANSNPEVLDKLTAELKPAIPYLNEVGAQAMPRNIVPIKNPYFNMPQLKRPVFPDFIVNMKDKGMTEDAPITDLVNRTIAEVSKQGGGTVVIPEGKWKSARIVLKSNVNLHLAKGAEIEFAGRAEDYLPAVFTRHEGVEIMGPAAFIYANGENNIAITGEGTIYGPPMDAEIRKRPNGASVVEKDVPWDMPIEQRIYDGMEGRTFYRPKTISPINCTNVLIEGITMERSTLWNVVPIYCENVIIRGITVNSTKVPSGDGIDIESCKNVLIEYCTLNCGDDCFTLKAGRAEDGLRVGKPTENVVIRYSLAQHGHGGITCGSETAGVIKNLYVHDCVFDGTRTGIRFKTRRNRGGGSDNTYYERLRMINVGKAFTWDLLGSAYYMGELAARYPARKVNRLTPDVKNILIKDFIVESADQFFTANGIPEIPFNQVVVENGEIKCKKLIGALNDAAGFTMQKLTIEAQHNDIHILDGKDILFEDIHFKLPAGEIMVNVEGERSGNIVFKNINANQEKVEYKKESPMRIEIK", "MKKYIITLLFCTLFCHPGIAQGLKSVSILGDSYSTFEGYVQPDTNFVWYLKTPPKGRKTDMVSVRNTWWHQFIKENNYRLCVNNSFSGATICHTGYRSEDYSDRSFITRMKALGCPDIIFIFGATNDYWAKSPLGEYKYADWSKKDLYSFRPAMAYMLDTMIDYYPNVEIYFLLNDGLGNEITESVRTICKHYQIDCIELKGLDKMSGHPSVKGMKQISEQVKAYMAVHGK", "MYIPPFTISTNAINLIAEISAQIEPYAIRLEQSDGLRLRKANRVRTIHSSLAIEGNMLSENEVKDIIDGKTVMAPLRQIQEVKNAIKTYELYEKLNPFDVNDLLKAHGTMMMALTDDAGKFRRGGVGVFSEERLVHMAPPADHVPILIDDLFEWLKQAKDHLLIRSCVFHYEFEFIHPFSDGNGRMGRLWQSLILGRLHPLFEYLPVENMVYANQEAYYNAIQHSTATADSGPFIEFMLQEILKSQDS", "MTDNDGIWAPTLRYHNGTFYLITTAHGCGGNFYMTAKNPAGPWSDPVWLKDAPGIDPSLFFDEDGRCYYTGNRWDFKNAWPAQCAVWMQELDLQKRCLVGERKTLAYGHAANAKYAEGPHLYKIGDHYLLLMAEGGSDYNHAVTALTAKSLWGPYVPCTVNPVLTHRHLGKDYPVQSLGHADLVQTPTGDWYAVFLGKRIVEGGLVPLGRETFLCEVNFQNGEPIFNPSIGVIGNRLKRPPLPWTPVSKTDKQNDFESSALSPEWATMRIPEQPFHHFADGNLFLSLRPEMADSLVCPSMLLRRIHSHNFSATTTMSFSTRRANEWAGLVLYRTAKGYYSLLKGKNEIRLTKVLLGKKTIIATLPWKEKSVILRLVAKGATLTFYAGLSNDMLYQVGEVQSVDAVSDNKVNRFNGTGVGIYATSNGKQSINKASYQWFEYKEE", "MRNIIIMRSFIFLNRKIRRLLLLLVTIFLCVRMMGETIIPDSISNPIRTGFHPDPSICRVGEDYYLVTSSFTWFPGLPIYHSRDLTN", "MMKYLPFLLFLLLKAGTATAQNNLVVNGIPWFDDKGNIVNAHGACIVEENGRYYLFGEWKSDKSNTFPGFSCYSSDDLVNWKFENIVLRVQPEGILGPNRVGERVKVMKCPKTGEYIMLMHADDMGYKDPYIGLATCKTIAGDYQLQGPLLYKGQPVKRWDMGTFQDTDGKGYLLIHHGPVYRLSDDYRSIEAEVAHIKGMGESPAMFKKNGVYFMLTSNLTSWEKNDNFYFTAPQIEGPWTKQGLFCPEGKLTYNSQSTFVFPLKCGNDTIPMFMGDRWSYPHQASAATYVWMPLQVDGTKISIPEYWQAWDIRKLKPADALNKGKKLYGAWKSNQKGDVLEIAFKGTHAAIVGETNPHGGYAKVSVLNAEKDTVYSSLVDFYSKYPEKAIRIITPKMPKANYTLQVEITGVRPVWTDKTKTIYGSDDTFVTIDNVYHF", "MKNNILTGSLYTAGILLPQITFAEIQNDKVSPDRPNIIFILADDMGYGDLSCYGNQYVKTPNIDQLAETGTRFNQCYAGSGISSPSRCALLTGKNTGNTRIRDNMCTAGGIAGIKINPNGDSTIVRRANLLPQDTTIATVLSAAGYRTCLVNKWHLDGYDKGASPNHRGFDEFYGWTISTVHSNSPYYYPYYRFHGDSLIHIPENANGKHGIHNNDLSTNDAIAFIKRNKDNPFFLYLAFDAPHEPYNIDQTAWYEGQETWEPNTKRYASLITHMDAAIGRLLNELEQLNLRKNTLIIFASDNGAAIQAPIKILNCNAGFKGRKGMLYEGGIRVPFIVNQPGMVPIQTLDNLIYFPDMMPTLAALAKGTKHLPKQINGINILPLFYGKQVDTDNRLLYWEFPGKQRAARKGDWKCVTIHPNQPLELYNLKEDPEETNNLAQKYPRRVKEFDEEMQRMHIPTPNWPQPGEQF", "MKTFNKLISFAIISMTSLTYTACSDGFFDRYPTDSMQMETYLKNDAELQNILLNGYYHLQDITLNVNYVNSLATDEGYDYKKNNSLDHISLNESTWDATLGITSEIWEHCFNMINRCNNVLQKLDNASETNRTQYEGEAKFLRAYAYFTLVRLFGAVPITTDPIDDYSTLYDYGRSSVNEVYSLIKDDLKTAIANLPNYYSANNMQGRATKIAAYTMQADVFMTLQDFNSAKNSLENILDYANQNKEKLDLENDVLQIYASDNPMGKEIIFAAQYNNGATVVANPLMGRCIPAARPSTQPAYIYPDGTSSTITVSQGTSCLLMTWELYNTFKANNNDQRFQKLIYNGIYTDDISVASNEVDITEEGYTYLPVTLKYFDFGNEGMTTCACGNDNIIYRYADVLLMYAECLNETGNTPSAANYLNMVRTRAGLSNTTATTQKEMSIAIENERMLELCFEGHRWYDLIRRGRITEVMEKHFSHRTQGLNPTFQSSNNGMNVSSPSDITGTPTTWKWTGTSAAVLFGIPYDQIQLSNNWEQNELY", "MKLSVLFLICSLSMTYAAESYAQKTMISLEVRNETVGTVLEKLKKESGFDFFFNNKHVDLKRIVSVSANNNNIFKILDQIFAGTNVKYSVLEKKIILSTEIVQGIQQEQNKVTGIVKDNAGIPIVGATIMEKGSTNGTVSDLDGNFSLQMNSQGTILISYIGYITQEIKVDKNDKLIITLEEDTETLDEVVVVGYGAIQKRSVSTAISTVKGGKISEMPTSNISQSLVGMSSGITLQQISGEPGAAPAIRVRGAGSINSGNDPLFVIDGYPTTDAELFNNINPADIADIQILKDAASSAIYGSKAGNGVIIVTTKQGQTGKPKVSFSTQVGWSEAQNYVDVLEADDYMDMIIEARTNNGSIQNFPKLIQMRESGNYENTNWQDAIFRNALNYRGTATITGGTEVLKYNFSANYQNEDGILLNSFYKRVGIKGGFEANLSKKIKLGVNFSTTYSKRRLQQPTGGNTEDVTGVIAQALSMPPILPVYQNNGDYTQIAQHYADLGLNNQLRNPVSNLLENRNDKWSIRTMSNAYFEVKPIKGLTLRTSVNFTTNAAKQDYYQSAFLLGKSYTGNKSTPDLTSIDGYRLSGFGYNAYWSTTATYDVTFNEKHHLNTMIGYDFEYNSDFEVQQDDRTDSDNPIAYNNTSITNVNGAKLWTGSSEYSNYVFDAMFARLVYDYNYKYVLSGSVRRDRSSKFGPDKRAGWFYSGSLAWNITEEDFMKDIHWLDIAKLRASYGITGNDQIGNDYAWISTISSDQNVVFGTTAIPTYYPSGYSNRQLGWEKNKQMDLGFDIGVFNALNIVVDLYKRTSDIVMPANIPNFNGIAGSVYMNAGQIENKGIEIQVSATPFKGDFSWETTLSWSKNNNKILSLANNQNQLANASAGTKWGNVMRNYVGRPMGDMYMLKVIGTFNTEKDLAQYAKNGTQDIGDLIFEDYNKDGTIDVNDYQLVGNYQPDFTFGWNNTFIYKDFDLAVTIDGQCGGNVIYAAARAFSLNRYDDNVLAESGLGRWKSSTDPGNGRSHKAGTNNLGSNIGPSTRYLYDADFLRIRNVSLGYTLPKKFCKIIGIENMRISANVQNLWTFDKYPGYSVEANYEGNSATNNGVDFGGYPISRTFTFGLNFNF", "MENHTDIETLLTKYFEGNTTPPENEQIEAWLNADEEHLRIAKQLNTLYLAVDTQHITKKIDTEKALDKVKSRTKVRNLSWWGWTQRIAAILSVPLLIGVLALYTDRQQPVVTAQMVEIKTNAGMTTSVLLPDSTVVHLNSESSLRYPTFFAGDVRQVELNGEAYFDVTQHPRKRFIVSTPHHSQVEVYGTSFNVEAYGNETPISTTLIEGSVGFIYKNSKGKFQKSMLSPRQKLVYSPQTGDIKCYATSGESEISWKDGKLIFNDTPLDEVLHMLGKRFNVEFVLSNKGLKGCSFTGTFTHQRLERIMEYFRISSHIRWRYIDSADIKTEKLKIEVY", "MLFFKKKFFPIKTTIFVHKENSYYTMNHTVEDLSLFNALRQGDGNSFDHLFRRYYPMLCAYAHRLVSLEDAEEIVQEVMLWLWENRGDLIIESSLNQYLFKMTYRRVLNHLTREQVKTKAEAAFYERTQAALCEVDYGRFEELDRKIKEAMAALPDSYREAFVMHRFKELSYKEIAEVLDVSPQTVAYRIQQALKLLRVSLKDYLPMLVWLVG", "MAIKFEFYESPNTIGTRKKRYHARVVNWQRINTDYLAREIQYGSSLTVADIKATIISLSEKLAYYLKDGARVHIEGIGYFHISLTCPETRTPSSTRANKVKFKSVTFRADKYLKHQLSDVKTERSKYKPHSMPVTKESIDEALTEYFLTNSVLTRRKFESLCGLTRATAGRYIAQLAKDKKLRNISIPRNPIYEPMPGFYGKEKLPEPENETENVSATNDTDLTIK", "MKKLVEKLLSWCKKSMKMRRCVGKSTAPVTGQSVGQTGNAEGVLPSTATETVDEIVLPAAVETAGMAETIDEKATFGKSGRKKPENMLAALQCFLTARYDFRYNLLTEQTEYRGKEMPDEEYGIVAQRDLNTFCLEARSGGINCWDKDVSRLLHSRKVENYHPFLHYMSHLPQWDGVDRVTPLAVRISRKPMWVKGFHRWMLGVAAQWLGQAQDCANAVAPMLVSREQGKRKSSFCKILMPKELTPYYIDKFDLTSESGCEQKLSLFGLINMDEFDKYRAGQMPALKNLMQMTTLTFRRAHRPAFSHLPRIASFIGTSNMTDLLTDPTGSRRFLCAEVDDKIDCTPPDHAQLFAQLKAELAGGERYWFSEEEEKEIQHSNRNFYKMPAEQELFLRCFRMPQEGELSKPYTTTDLFNYLQKHYPAAMRGVTPNRLGRMMVALGIQRVHTEYGNVYRLVKLKDSSAA", "MKSTTDIQMSKMPGNSFNDIYTSYYKKSFFFAKSYVHNDLAAEDIASEALIKLWEKLKAESVEEKYILPLLLTILKNKALDYLKHEEVKRSAFEVMADWQQQELSIRMSALEACNPDEIFSEEVEIIISATLSTLSEQTRRAFILSRFENKSNKEIAEEMEISIKGVEYHISKALKVLRVTLKDYLPLFYFFFYY", "MNPELLQKYIAGNATEAEKQRVTKWIQENPENMREYMAQRKLHDMALWRTEPVAEENSRERKHFSLRGVCMEAAKIAAVLAIVLLGTHYWTGKHQVPEDKTWQSIYVPAGQRAELMLADGTKVWLNSRSTLTFPGSFKGNIRNVKLDGEGYFAVTKNVEQPFIVETNKCNVKVLGTEFNVMAYAADSVWETSLLEGAVEILVPGSNNSGMRLEPNMMASLKGNRLVKGRIKEADYFLWREGLLCFNDISVRDMIEKLKLYYGVDIVVNNTRILKNRYTGKFRTKDGVEHVLKVLKLNNKFTYTKNDETNVITIN", "MKNILYQESIVEIKHLFRMMRNTLLALFVFAGTAFATESYSQTMKVTVVADNVSTGKVISEIEKQTDYLFVYNVNEVNLKRNVKVNAQNKSVAEVLNKVFEGTDIYYAMEGKNIMLMSKAKDGEAVQQANKVTGIVKDANGEPVIGANVTVKGQSIGTITDIDGRFVLDAPKDAVLQITYIGYVSQEVKVFGKKELNVVLKEDTETLDEVVVVGFGTQKKVNLTGAVGLATAKELESRPVTSATQALQGLVPGLQISTSTGELDKTADISIRGTGTIGEGSSGAPLILIDGMEGDLNTVNPQDIENISVLKDAAASSIYGSRAPFGVILVTTKSGKKGKTSVNYNNSFRISTPVNMPKMMDSYTFANFMNAGSLNQGGGLIFTEDLMQDMLDWQAAGGGSTGGVKASSNGQWGKPDYDPFTTAWANTNWYDEVYKSSSFSQEHNVSLNGGSDIVAYYASFNYLDQGGLLKAGDDGLHRYNVTAKINADLTQWLKFNYSTRFTRNDVWRPTSFNSSFYDQLGRATWPNMPVKDPNGYYTNNGWTNPVQNLVEGGKRNAQTDRLYQQASLVIEPIKNWITHVEFNYSIMNSSVKETSIPTYNHDVEGNLIDTHGTSYLYQDQTKENYLNLNIYSEYSQSFNNAHNAKVMLGFQTEDMKQEFSSTKKYGVMMGGMPYFDVTTGLDGQGNPKATEAGGNGKRWKTAGFFGRLNYDYLGRYLAEINMRYDGSSRFRRGSRWQWSPSFSLGWNIAQEKFWESLTDIANTLKLRVSYGELGNQNTTAWYPTYRDMILKSSNGTWLQDGVKPNTAEPGNLVSNSLTWEKVRTWDIGFDYGFLSNRLTGSFDYYIRYTDGMVGPAPELPSILGTSAPKTNNCNLRTNGWELSIGWRDRLKNGFGYSANLTLSDAKTIVTSYPSNATNTIGLNKYVEGREVGEIWGLETIGIAKSENEMQAHLLSLPNGGQDAIGSKWSAGDIMYRDLNEDGKISKSAGTLDDHGDLKVIGNSTPRYFFGIDLNADWKGFDIRLFFQGVMKRDFWGTNNFCGYLFGAYGTQSMWGARGLKEHEDYFRAEDIGLSGHTIPANLDAYYPRPIFSEGGKNQEIQTRYLQDASYIRLKNFQLGYTFPAKWMKSMGLTKCRVFVSGENLWTGTSLSSLFDPETISGGNGGNAYPLSSTWSFGLSLTL", "MKIKHIFLSVLIASSGFIFTACDNYLDEVPEASISPEVYFTEATHIQASADNLYSDILPSHGTGNTYGIYKDDATTDNQIEVTAPNRFTSTLWKVDNAETSNWNFDKIYKINFVLSNVLPNFGDKNADGNDLSGNANTINGDLNSIKHYIGELFFLRACEYFKRYQLFGDFPIITHPLLDDKEALSEASKRSPRNEVARFILSDLDKAITLLKAKNMPTTRINADAAILLKSRVALFEGTWLKYFKNTAFVPNGDGWPGKTKDYNSTYQYPSGNIDSEIDYFLEIAMTASKDIAERYKNRLTENTGVLQQSTNEDANPYFDMFAQEDLSSVDEVLLWRRYAYNLVHHNVNVYASWGNNGVGVTRSFVNNFLMADGTPVYTHGDYMNGDGYYMGDKTIHDVRQNRDSRLVIFLKEPGQHNILIKDVVGETANVEETYPLITITDGARRYVTGYALRKGGAFHQKYYSNSKGYTASIAYRATEALLNYMEASYEKNGTLDGAATEYWKIIRRRSHVDEDFQKTIALTDMSKEAENDWGAYSGGKLIDATLYNIRRERRCEFMADGLRYMDLCRWRAMDQLIEQPYIPEGFHLWNTPMQTWYADLLYDGSDASNVSSPNVSEYLRPYQKNSKQTCYNGFTWRMAHYLHPIMVKQFLITAPDNKTVENSPIYQNPYWPIVPDMPAER", "MSATLYNSDICEYTQADTNRCSFFIIMYQIKNIVIIFFLMLVPVGVTAQYQKAQEKAPLVNVPLENFASQQKVLFNFGWKFQLVTNENKNTDFASPTLDDSSWRTLDLPHDFQFEQPWTENGGGARGFKPMCEGWYRKSFPTDPSWKGKRVVLDFGGIIYLGDVYLNGTKIASTDYGYVGLEADLTPFLRHDGENVVAVYASTGPKKGSRWYTGGGLFRDVYLQVQNPTHIARHGVYITTPEVSSSRATVAVQVEVDGWQKHDVRIRTTLRNPKGVIVGSVQSGMPEHTHQTCTEVRLPALTLENPQLWSCESPQLYNAEVVVTADGMVVDSLTEQFGIRSLEFSPEFGFKLNGKKIFLQGNANHHDLGALGATCYDKAIERMMLQLKSFGYNCIRCSHNPYSDSFARIADRVGILVVDELTDKWSDNDYWGGRQPFTHIWHKLITEWIKRDRNRPSIILWSLGNELQIREGWAGFEGTNDWGITTYNIFNQLVKRWDHTRLTTVAMFPARAGAITRHDKEFNDYLVPPELACATEVASFNYQSDKYDAYLKYRPDLILFQSEAETSNLLQPYYNMDKERMVGIAYWGESNKWPKKGWNYSFFEHTMRPYPQAYLIKTAFMPEIPEVHIGVVDAAGAESVSWNDVIVGRMALNECWNHTPGSRRSLFTFTNAHSVELLVNGQSMGIQKNDTTRANLRNMIYWKDVPYGNGGSVVAIARDKSGKEVARHRIETAGKAVALRIEAETPTDWKADGMDLQYINVTVIDKKGRPVWDYNEPLTLQMEGAARLVALDNGDHYTDELFHGITSKRMYQGRMQIILRSDQEAGNVTVKISSAGLKGTLRLKTIKE", "MNKRLKLLGIFITASLCCYAQTKEFDPSLDPNVTIVSRQSQEEWNSRYMWYPGQLAAFYQQQCARISKERCVNVGYPGKFFAKNNHAWFRKEVRLKKESSLCWEGPSDIILYINGVKQSVSGKQVILPVGRSSLLFEVTTDDSLPCIILKGAGLENPDEWQVSMDKEHWTIPESAVMYNKPGVLPDAPQDMTARIKPSQILPMRNAEMQGKDGISIGKNGYVLIDFFHLEIGTLTFQAKGKGTITVRVGETPEEALERDDKKLEQYPLAPVTLSEEGGTITLPERALRYVSLECDKGAEITSLRFDASLWPVEHQMQFETDDDYVNNLFKMSSATLHTSMHRFYLDGVKRDFLPWSMDALVSTLAGDYLFGDQQVSKNGISIALMPLDPQKSDIGIPDYPLHALFGLKQNYLRFGDLATSLQYKDRIIQLLDFYASIVDENGFVHGNYGDRQFGYTPGWSTYNGPVRKGVAAYAQIMLYYNYVTGAYFADLWKESALADRYRKLARNLKKKIFEHFWDDDRKVFINGTMNDNVTVDKRISHHAQYWGILADIFPEEHYDNLFENILPNLPNYYEVVSYEKGYEFLAYAKAGRIKELWDHIYGVFGDWMDQGHTRFPENFMMNASRARQLVFYNRPYGLSLCHGANGVPVVVGALNGLIGFSQSSMKTNEYTIKPELLHLKWIHSRIPVKEGYIVLKLNAEGESTIDIPAGCTVRIIKKIGKKPLVLREQGGYSFRLKD", "MYLIKPTSCKFLNFFLALYSFVFNRSTCVKNMKNIGRIVLPIIILLLTVRINAVPVKAFDMIVRNLPNSEQLPTKELLCIFQDSEGYMWYGTEGGGLCRDDGYTVKVFRSDFKNPGILENNSVTCITEDGEGKIWFGTKRGAYILSKTDYEIRALADETIKSWTITTMTATSDGTIWISTNRHLFRYNESGERTGKYILKWKGRENTVNSIYEDKKQTVWVTQAKGGLFCYDKVKDSFISYPWPYDEYPTSMTEDHNTPYYWVTTWGKGIVRFDPKAQDTDKMFGLQTVDNASSNSDTRKLHHIIQDSVKGYLWVIAADNLYAYKITADASLDKVDLSRLLSADRKILTKILSDQSGNLWVTGYYPSSFIISFLPNEVLPLSMEGVKQNLGVIASPMQFSQEKNYYWIRQKKLGLYAYDPQRDRMSVVKNDRELSFFFERPSDREGLYMVRDHSVILIRYKENRLFESIVCAIPIKQGERIRALHDDHHGNLWIGTTYHLFRYSLEEDRLTTVCDDVSFINAIVSSNEGVVYFATESRGLWRISGESRLQIKDTGENYSVLTVAPDNNLWVGTKQGNVYSYSPDTNDFISRTKDCGLTGDAVLDIKSDDDGNLWILTSQRVMVYHPGTHIFTMMSCTDSWINLEDFQSLYKGPRGEMSVGGRGGIVTFPHYNEKKRRIPEPTVRLTSVEMNNTSEIGVDNQKKISLSPHERNVKLFFSTFDHLNTNKVRYAYRYKQRNDNWVALPAGENSIGLSDLSKGEFELEVRATDENGLWSKSTLTVMIQCLPAWYETGWAYLFYILVVLSVVWGLGRMYMKLRKPIIAQTVLPLEQNPEQRHEIDPLPEEGKVEANSISASDEQLIRKALDMVEKNLSNPEYSIEDLSRDMCMSRATLYRKITSITGSSPSDFVKNVRLRKAAELLKEGGLSIAEIADQVGFNTPSYFTKSFKKLFGVLPTQYK", "MNRQNLLILLCMLLLFPVSGQSNNREKYNFNPGWLLYIGDTPGAERTDFSDENWKKITLPRAFNEDEAFKVHIWGMTDTIA", "MAVGFDLTPFLNFGGENVIALRTDNDWRYKERSTGSLFQWNDRNFNANYGGVPKNVWLHITDKLYQTLPLYSNLQTTGTYIYASDIKVRTREAVVHAESQVRNEYGKSVHVDYEVSIYDYDGKRVSTFRGQPTTVQSGETVVLKASAPLKDLHFWSWGYGYLYDVKTTLLVNGRPVDEVVTRTGFRKTRFGEGKVWLNDRVLQLKGYAQRSSNEWPGVGMSVPPWMSDYSNGLMIEHNANLFRWMHVTPWKQDVESCDRVGVIQMLPAGDAEKDVTGRRWEQRKELMRDVIIYMRNNPSILFYESGNESISREHMVEMIAIRDQYDPHGGRAIGSREMLDIREAEYGGEMLYVNKSEHHPMIQTEYCRDEGLRKYWDEYSYPFHKQGDGPLHKGQDAGAYNQNQDRLTVEFVRRWYDLWRERPGTGRRVNSGGAKIVFSDTQTYGRGAENYRRSGVVDPLRIPKDGFFAHKVMWDGWVDVENYHTHIIGHWNYTPDVRKPVYVVSSGDAVELFVNGKSKGFGRQDYRFLFTFDSVQWEKGTIEAISYDEQHKELSRHSFQTVGEPERLKLTLMHGPQGVFADGADIAMVQVEVVDDNGERCPLANHKIKFDLQGPAEWRGGIAQAADNYVLAKELPVECGITRVMIRSTTQPGNVVLKVAAEGLASEEIAFSTRPVEVKNGLSTFFPSVGLPSRFDRGETPSSPSYKETKVDVRVADVTAGTNQRDAGKSFDDNELSEWKNDGRLNTAWITYKLERRAEIDDICIKLTGWRQRSYPLEVYAGEHLIWSGNTEKSLGYVHLMIDRPVRSDEITIRLKGATQDSDAFGQIIEVVEPKAGELDLFKAEGGKKVHNELRIVEVEFLETLNQ", "MKNIFIGLIAVWGLFLVSCETREPMVEVIERGLEVSKRQAVFLAKEVENQEGRLPRTYEGGELKTSDYRAWISGFFPGVLWYLYENTPTDELKRYAELYTERVESAKDMTTTHDLGFMLYCSFGNGYRLAKNPHYLEVMTVGAESLATRYDERVKAIKSWNSNEKWQFPVIIDNMMNLEFLFFLAKTTGEKRLMNMADSHAQTTLRHHFRDDYSCYHVVSYDTLSGQPHFKGTHQGYADNSAWARGQAWALYGYTMMYRETGKTEYLEQARKVASYIKNHPNLPDDKIPYWDFDAPDIPAAPRDASAAAVMASGLIELSQLDKSKEGADWLELAEMQIRSLSSPEYLAEPETNGGFILKHSVGNYNKNSEVDVPLSYADYYYVEALLRLKKLQNEN", "MRTRNCLLIAFCFIILCGKLYAQPTGSEDRMYWVQTLTRIADPVLVNLSQGTLKKNMPFESLSDEPLRRSVSYLEAVGRTVCGIAPWLELGPDDTQEGKLRERYIQLVVKGLKQTVDPQSADYLMFDNRHSQPLVDAAFLVQGLLRAPRQLWGNLDVDIQKKLVYELKRTRGIKPNESNWLLFASMVEAALLEFTGEYDSQRLYYGVNRFIDEWYKGDAWYGDGAELHLDYYNSLVIHPMLTDVLSVMKKHGLERAEFLERQLVRQQRMAAQLERMISPEATYPVVGRSIVYRFGVFHALSQIALLDKIPQPLSKGQIRSALTAVMQRQISMPGTFDENGWLKIGFSGSQINMSEPYINTGSLYMCTAVFLPLGLPANHPFWTEPYSEWTNLKAWKGVDVGADKALRKG", "MKELKMYIDGRFIENQSDKWIDVLNPSTEEVISKMPDGTPEDARAAINAAVKAQLSWESLTSIERAGYLTRIAQGIRKREQELTDIIIREGGKTRGLANVEVLFTADYLDYMAGWARRYEGEIIPSDRPHENIFVFKKPIGVTTGILPWNFPFFLIARKAAPALLTGNTIVVKPSQLTPENAYVFAQIVDEVGLPKGVFNLVNGRGSVIGHELAANPKVGMVSLTGSVEAGIQTMAAASANVTKVSLELGGKAPGIVMPDADLDLAVKSIIASRVINTGQVCNCCERVYVHSSIKEAFLEKLLAGFKQVKVGDPNQYTDLDMGPLIDANALKSVEEKVKKAIEQGAELLCGGHRIGTKGYFFEPTILINCTQKMDIIQEETFGPVLPVVEFTEVEDAIAWANDCEYGLTSSIYTQNLDMTFKLIRALKFGETYVNRENFEAMQGFHAGWRKSGIGGADGKHGLEEYLQTQLVYLETKE", "VSFRYFIQLMKRIYLTLGIITVLGVSSCQQASQSVASANDTNTPLHLLTPDYSIPYKEWNITEIKQCLDRIFSYLDQTTPPRVIDRKSGKEITDYTQINQYSQLERGNFRLASYEWGVTYSGMMEVAHATSDSKYQEYVSKRFRFLSEMVPYFSRLTQEYNVVDGQMRQIIQPSTLDDAGAMCTAMIKMQRILPDLNCKSIINNYMDFIEHKEYRLQDGTFARMRPQANTLWLDDMYMGIPALAQMGIYTGEKHYFDEAVRQILQFSKRMFVEEKGLYMHGWVEGASTHPTFHWGRANGWALLTLTEVLEALPQEHTEWKNILKLYKAHVAGIAACQSGNGFWHQLLDRNDSYLETSATAIYVYCIARGINNGWLDAVSYGPVAQLGWSAISTQINEKGQVENTCVGTGMAFDPAFYYHRPVNVYAAHGYGPVLLAGAEMINLLNKYYPKMNDSAVQFYTTKQNALRPIFGVDGQEF", "MKLNKHILASVLVGALATPALTSCSDFLDENLTTERNTDFFDTNEGVQSLATAIYYNLRFHHSFEWAFSTTNYGTDEFIVGNDGSNAMWDSYIANLSSDIATVNINTTHAYDVWDNMYSGISSANLLLEKLENYSGSNKNELSGVAHFIRGFNYFKLVSQYGGVPIKLASSNTVEREFSRASAQEVMEQVFSDLTAAYGELPENEAEEGRLTKYAAAHFLAKAYLWRASELNDNWNSSTKSSDLTNVVKYATEVISKHPLAPNFKDLWNYTEVDGPNEQLNEIILAAQRTSADATKGQYGNEQHLYFCSQYRDLPGMARDIAGGREYNRLRTTYYSYNVYNHLNDSRLWKTFRTKQNGNRSAWNAGGVDYVAGDRSVMFLLNKPGDSRVTAPQSNATLADAETKKPVSTVFALYPAGTTATDKVLEDDAYIKYYATCSKYADGSRKSISEEQGNRDGILARSAEDYFFLAEAYIRQGDYSKAAEYLNVIRRRAEWKAGEDRQEHVDGGAAFHEGSLGWGIWGADAEISTYCNRSSYYESNNLQLGSLDAIPSNLEVTDITSIASLPAEDQAICEKLGYSSAYDVAMCFLLNEKSREMMGEFVRWEDLARTKTLEARVKAYNKNAAPNFNPNKHYLRPIPQTFLDIIQKDGHALTTEEKSAMQNPGY", "MKDKTLSSNYSFKGTIWLFMLVLSSFMYVANAQQRNVQGMVTDPNGEPIIGASVVVKGTTNGTITDFDGKFTLSNVPEKGIITITYIGYKGQDIPVAGKSTLKVTLAEDTKTLDEVVVVGYGTQKKSDVTGSMVSVGAKELKSRPTANVFEAMQGKAAGVDIRTSDRPGEVGDIFIRGSRSLSASSQPLYVVDGVPLNGTVGKTHEENLDNVSPRGGTLESLNPSDIESVEVLKDASATAIYGSRGANGVILITTKRGKEGKFTFSYAGSVSTDNIKDRTTWMSAGDYLTWRRWAYYYSDPNKYPRGDEPTIENDKQIFNAASDPYAWANIERGWSGNTWDGSKVQTTDWADYVTQTGITTEHTISGSGGSEKNHSYVSFGWLKNEGTIKGQDYTRYTAKINNDMKLTEWLTLAASINATYSVQNYGMSNDGGTTSGPRSAYAAAMRNLPYAVAYDDEGKRIEYPGADSKIKTVIDEWNYSTDERKVFRALGSFYAQLNFGKIWKPLEGLSYKLNFGPDFRYYRRGMFNSAESTNREGLNYASLTKSTDFSWTLDNLIYYNRTIGKHDFGFTFLQTATKYEYEANNMSGEGIPLESSLWNAFKSLSSLKSWESSLTEKQLLSYMARMNYTYKGRYMLTASVRRDGASQLADGHKWATFPSVALGWRIDQEEFLKEISWISQLKLRLGYGVTGNSAITPYQTKGGISSLYYPFGPNPTQGYIAYENEAGITNTLANQNLGWEKTAQWNIGVDFNFFNGRINGIVDVYTSKTTDLLMAQSIPTLTGYARTYANVGKTKNFGYDLTFNFVPVRTKDFEWNIGVNAAYSRNEIVSLANGKEDDPANGWFIGESTNSIYTYESAGLWKEEDRADMEKFNANGHDFQIGMARPVDQNGDYKIDANNDRTIVGHYDPRWTLGLNTNFTYKGWDLGIQLYGRMGFKYYDSSVWVGGRYNVRDYDYYNENNKNAAHPKPIYDEGGKDAYYNETYLKNGSYLKIRNISLGYTFPNRLLTHTGLSALRMYAQIKNPGYIFSGCKTLDLDTYSNTYNSGFTFGLNLSF", "MKKAISLLLLSLCLSVLHARDINFSQISSKNGLSQNTVRAIAEDKNGFIWAGTLDGLNRYDGYNIRSYQLRTGDQHILHDHRIRNLYATRDGNLWVQTYQQEYSCYNPISDQFVHIRDKNGKLLAHENFYESSGGDVWLWGKGTGTIRLHKKEDGNFDQQSYLQAEDACNFLLEDSSGKIWIGGKNGLKSISEQGEVKEFYTYEYYFTHAIEVEGMIYFSTMESELLIYDMKRGIFEEVTPAFNDAMTHLFRLSSHELLVFFDSKGVWIYDISYGTFHQSGLNCEKEHLTSDVEIIYDKKKGVWFYSKSGRLWYCNGEDSRIRRINIDLNKDLAMMGTGQTALSIDAVLAGSDGLYWIVTYGFGLFCYNPVDETLVNYTNQPDPSSLASNYLLSITEDRLGNIWIGSEYAGIIRVVKSPEYVHVVHPEAGTIIGKTNNVRSIYEDSKENIWVGLKNGELYVYDSAMNLKKHIDWVINPYALVEDNQRRMWVGTKGYGIYLFDIENYNELAHLTHKKNKPESLCSDAVFHILKDNKNRIWVASFENGGIGLAQETKEGITFKNFITGKGNKSMIRYLYQDNKERIWGGTSDGLVRFNPGELIRNPDAYVFYNMDLTSPYSLSSNDIKTIYQDTNGDIWIGTAGGGLDKYIEAREGTSDQFLSFTIGEGMPDNYVLGILEHGDYLWLSSENGLSRFCKNDYSVISYQFAQKAYANIFNEGARFKCKNGIMLWGTLDGLMIFDPEKFEPNTNPFPVLVTSLQIDGVDWNEVESSVEKKSITYTEEIQLTYEQNVFTVEFATLDLQNPEQNQYTYILENYDKSWSIPASLNTVTYKNLPPGKYTFKVKGANSYGIWNDEVTSLKITITPPFWKSNMAYTVYVSLFIFILFVFYRLIAKFNRLNNAVEIEKQLTNHKLRFFTNISHEFRTPLTLIQGAVERLNELGDLPVPAYKQLSVLNRNSMNLRRLIDQLLEFRKLQNDVLKLDLEEIDMVSFTQDIYGGFQEIAVQKNINYQFDCSMESLILFIDRKKIDKVLYNLLSNAFKFTPKGGCIILSLAEDEKRRKCILSVKDSGIGIPKEKQHLLFSRFSQIHFSKSGTGVGLSLVKEFVDVHKGKIYFEDNPSGGAIFKVELSTSKETYVGENFVTVRPHMDIIEDSPVVKSVQVEQEKVFPSVESNILAEYRLLVIDDNDDIRDFLMDGFSGSMIVDTAADGKEGLQKAIDTNPDIIICDVMMPEMNGFEVTRQLKKDFQTCHIPIILLTAHSSLEHELEGIDSGADAYITKPFSLKYVQKRVMKLVEQRELLKKLFSKEFTIDERVTNTTDKEFFDKIEKILDDNYEDSTFTIDRFIELSGIRRTIFFKKVKGITGFSPNELIKMKRLNKAAVLLRQGEFTVSEVSYKVGFEDPFYFSKCFKAHFDCTPKNYKKSI", "MKLFFSFVFALLAFTACTKPEKEVYIFTSHREPALDGLHYLYSYDGYHWDSIAGSWLKPEIGNKTPYYNYFTKQTEEQKYAPNSMMRDPSMTQGPDGTFHLVWTISWNGEQGFGYASSKDLIHWSEQREIKVMKDSLTNNVWAPEVFYDDEKEQFIVVWSSAIPVERYTAADSLGANKSHRAYYTTTKDFQTFTPAKAFYDPGFNSIDGFIVKRDKNDYVLIIKDNRKPGYSDLFCVSGPSAEGPYADPSVKFAPTYSEGPCAVKVGDEWLIYFDVYREGRFGAVSTKDFKNFTPIDDQISIPQGHKHGTIIKVPESVLLNLKAEEAKRFPQKD", "MKNKNLVLLFLLLMVEGGLLSAAAQSKSSVYKPWSHGQLKVSKENRYLMNADGTPFFWLGDTGWLLPEKLNRDEAAYYLEHCRQAGFNVVQVQTINGVPAMNFYGQYSMIDGFNFKNIDRKGVYGYWDHMDYIIQKAEQNGIYIAMVCIWGGLVRSGKMNVEEAKAYGRFLGERYKDAPNIIWVIGGDTYADRNTEIWEALANSILAVDKNHIMTFHPFGRTSSATHLNNKEWMDMNMFQSGHRRYGQKKGDGDTSVTGLEEDNWRYVEEALSMTPLKPVLDAEPSYEGIPQGLHDPAQPRWRDCDVRRYGYWSVFAGSCGHTYGHNNIMQFLKPGTPGGYGADGIEKPWYKAMQDPGFNQMKYLKNLMLTFPYFERVPDQSVIAGTNGNRYDRAIATRGKDYLLVYNYSGNPISVDLTKISGAKKKVWWYSPKDGKLSFIGEFDSKITPFTYDGSYNRDNDQVLIAIDSSKNYISTEWLELPMVNQ", "MKRIGLFLFFCILSLHGIAQVENDKDTLIIRQDKINEFINEMNEADKSMDFINEPPTLTPPVLSDTLRMPKFDFTPREEMPKISPYTFSGNPFGHDYIDGRAYQINSTGILSGYHSFQGLPSIGEARNAGVMYTQRLNDFITVTGGVYAAKYNVYGVRFNDLGAKGRLSFRINDRMKINMFGTYSVYNGYGMTPASQLFMNQNSYGGTLEFKISDSFGIEAGAEREFNPMTRKWETHPIIMPVFY", "VYLYGMNEEIEEYYEELYRLYIDENQPLERRYRQLRESLERVVREKIQGNSLQTTDLAARINYVATQYGLDLKEQNQLHTFRLTSNDILNHRKSPVKEEFLRDLRAVAYAYRKMFAQDIPLKLFSVLPKQEIASSGKKEKMEYIRRIRVCFDYADDTYLYVHPVDVIADELIRVVYNKPGINHEFEETIKELWRYAQLNLLDVSVDRDGIYTPSFIVLEPDYLIDISSLAECYKDYGSHPANYFLSRLVPIDNARPLLLGNIANLFLDEWIHAGEEEPDYIDCMKKAFRQYPIELAACAELRDPSKEKEFAKDCRMHFEHIRDVVQHTFLEPGYNLDKKEAVLEPSYICEALGIQGRLDYMQRDMSSFIEMKSGKADEFSMQGKVEPKENNKVQMLLYMAVLEYSMGQDRRRMHPYLLYTRYPLLYPARASWAQVRRVINLRNRIVAAEYGVQFHNHPDFTRNLLAQINPEVVNERKLSGRFWEQYLKPSISRFREKLSALEPLEQAYFYTLYNFITKELYTSKSGDVDYEGRAGASALWLSTLDEKREAGEILYDLQIMENRASQAHKAYILLSIPQYDEMFLPNFRTGDVVVLYERNNDLDNATNKMVFKGNIEQITDTELRIRLRATQRNASVFSPDSRYAVEHDTMDTTFRSMYLGLSAFLDANTERRELLLGQRPPRFDSSFDEAIALTGDDFERVALKAESARDYFLLVGPPGTGKTSRALRRMVEHFYAASSMQILLLAYTNRAVDEICQSLSSITPGIDYIRVGSELSCDVRFRGHLLENILAECNSRREVNIRMADCRVYVGTVASIAAKAELFKLKRFDVAIVDEATQILEPQLLGILCAKFADERNAVGKFILIGDHKQLPAVILQNSGHSEVHDEGLREAGLFNLKDSLFERLYRFHLKEESPKAIDMLCRQGRMHPGVAFFPNKAFYAGKLEALGLPHQLEHIEAPVRFIPSKQDLESVSGKTNRYEAQIVAGLAKEVYLAHEEEFDPNRTLGVITPYRSQIALIRKELQALAIPALSRISIDTVERYQGSERDVIIYSFCVNHLYQLRFLPNLTEEDGVQIDRKLNVALTRARKQLFITGVPEILSHNSIYQYLLKTIY", "MSTFQKVGEKMTNFRWIICGLLFFATTVNYLDRQVLSLTWKDFIAPEFHWTDSNYGDITAIFSIVYAIANLFAGRFIDWMGTKKGYLWAIFVWSLGACLHALCGWATEETLGIHNAEEMLSATGAVASTIAITSVYYFIAARIVLGVGEAGNFPAAIKVTAEYFPKKDRAYSTSIFNAGSTVGALAAPITIPPLARYFQSIGVGNGWEMAFIVIGGLGFIWMGLWMFLYKKPNVNPRVNAAELEYIEQDNNNPEESAEQQAAANDFDNKKISFLQCFKFPQTWAVFVGKFMTDGVWWFFLFWTPAYISDVYGFASDTGTAQMLIFVLYAITMLSIYGGKLPTIIINKTGKNPYAARMQAMLIFALFPLLALFAQPLGNYSYWYPIIIIGIAGAAHQSWSANIYSVVGDMFPKSTIATIVGIGGMAGGIGSFCINMGSGRLFDYAAETNMTFMGFEGKPAGYFIIFCVCAVAYLVGWIIMKALVPKYKPVDA", "MIMKKLAIAMMLSVSALAASAQVNYKVQTACHPQDVKHYDTERLRSSFMMEKVMAPDEINVTYTLYDRLIYGGAMPVNKILKLETFRELGPEITYFLERRELGVINVGGDGVVTVDGKEYPMKYKEALYVGCGNKEVTFKSNDAAKPAKFYINSAPAYKPYVTQLITTDAKLQKANPKQYALAISDHYGKMEDSNDRIVNQLIVKDVLERVKNGGTNQLQMGLTELAPGSVWNTMPAHTHTRRMEAYFYFNLPEGNAICHLMGEPQEERLVWLHNEQAITSPEWSIHAAAGTSNYTFIWGMGGENLKYSDKDEIKYTDMR", "MEQVFSYIIGLGAAVMMPIIFTILGVCIGIKFSKALKSGLLVGVGFVGLSVVTALLTSSLGPALSKVVEIYGLQLKVFDMGWPAAAAVAYNTSVGAFIIPVCLAVNILMLLTKTTRTVNIDLWNYWHFAFIGAVVYFATDSILWGFFAAVICYIITLILADYTADKFQGFYDKMEGISIPQPFCAGFVPFALIINKVLDKIPGFEKLNIDAEGMKKKFGLLGEPLFLGILVGCGIGALSCKNGQEIVDKIPYILGLGIKMGAVMELIPRITSLFIEGLKPISDATRELIAKKFKSAVGLNIGMSPALVIGHPATLVVSLLLIPVTILLAVVLPGNEFLPLASLAGMFYVFPLILPITKGNVVKTFIIGFVVLAIGLYFVTDLAPYFTKAAHDVYAKTQDAAVNIPSGFEGGALDFASSPFSWAIFHLTYSFKWIGSGILVLITLFLMVLNRRSIIKYQKTMKN", "MKKNSICKIIVSGLLAAVPLMGMAQQVCGNKPWSVRMAESEMVRCPESWQLDFQTRLKWDYCHGLELQAMLDVYDAYGDKKFFDYAVAYADTMIHQDGSIETYKLEEYNIDRLNSGKMLFRIYEQTKDEKYKKALDLLRSQLDTHPRNADGGFWHKKIYENQMWLDGLYMGQPFYAEYAYRNNRVNDYADIINQFVTVARHNYDPKTDLYRHACDVSKREKWADKTTGWSQHCWGRAMGWYAMACVDVLDFIPEHEAGRESVIEILNKLAAQIKRTQDPATGVWYQVIDRSGDEGNYLESSCSTMFVYTLLKALRKGYICPSYMEVAKKGYEGLLTQFIEVDDKGVVSITKGCAVAGLGGKPVYRTGDYNYYITEKIRSNDAKAVGPFIMASLEWERLFQKNSCGTACE", "MRKVLGLLLLLSVVSAAWAQERQDTIVVSRDGTGNFRTLQEAIESARAFMDYTVTIYVKNGVYKEKVIVPSWVENIDIIGEDRDKTIITYDDHANINKMGTFRTYTVKVEGSDITFKNLTIENNAAQLGQAVALHTEGDRLKFINCRILGNQDTIYTGAKFTRLYFKDCYIDGTTDFIFGPSTALFEDCIIHSKRNSYVTAASTPKEAKYGYVFKHCKLTAEPGVDKVYLGRPWRPYAYTLFIECELGKHIVLAGWHNWGKQSNEETARYMEYKNTGEGANASERVAWSKQLTKKEAEAVTVDAIFRTQSDWNPID", "MNLKELARKAVSTLFLSMLCLVAFAQTATGLVKDKTGEPMIGVNVLVKGTTNGTITDFDGKFSIPDVPSNATLVVSYIGYLTKEVKTGKDLVIVLEEDNKTLDEVVVIGYGTVKRRDLTGSVASVTGEKLAANPVANVAQALQGQLPGVSVTSQDGRPGAGMSIRIRGGGSITQSNDPLFIVDGVQVSGIDDIPADNIESIDVLKDAASTAIYGARGANGVILVTTKGGKDGRVSVKYNMYYQMKENPKLLETMDPYDYVYNTWAYMKSLGDSYGDGVARYFGLGSKYGNHLNEYKNMTTHNYINDLMQTASSWNHDVSLSGGTDKTKFYSSVNYMDDEGIRVKSGFQRWNANFKLTQKINKKLTADFDLRYSEIEINGSGFGNATSAYTYRPVDNPLGDASFTAGFGQGDTNMEETSNPLYYLNTVDYIKNMYRIRAKGALTWNVIKGLTAKTELSLNRNWNQEKTWNAGQTEKDNSSAKLKKSDGYGVRWATTLNYEVQGLGDNHNLSFLVGNEVLASKSDYTEIYGVGYPEGFTMDDAFGMINMTTPSLGQDYFKGEIGTPNHTLSWFGRANYSYKGKYLLTATFRADGSSKFAPSHQWGYFPAAAAAWRISDEAFMENTRDWLDNLKLRVSYGTSGSDNIDASLWRETWKTEQITVDGEKVTTYVPGDMKGNPDLKWETTISRNLGVDFGFFNNWVRGSLDYYWNTTKNILMKVPIDAASGYSYQFQNVGKTSNKGVELALGFDIVRGKDFNLGVNLTYNYNKNNIDELMDGVLADTRAMNDWGSSMAKPAYDYIIREGHPVGTIQGFKSEGYYTIDDFTYADGKYTLKPGIPDIQGIVNYPDGVKVLAADGQTAVPGMPKFADTTGNGVVDEDDKTIIGEAMPQHTGGFTINGNWKAIDFSVGFTYQIGGDVYNANAMHSLMGNKDNSAGQNRLKFVSETFKYYDVDTNGDLMLVKDPTALAALNANTNYSSFFSEYGIVSSKFIEDASYLRLNTLTVGYTFPKNWMNKIGLQNARVYFTGSNLFCIDGYSGIDPDVNTKTDGKDGFPTPYFDYQSYPKARTYTFGVNLTF", "MEMNRMKKIVYSTLFFAGMFLTTACSDYLEVGSPSIVDSDFVFSNPTTARAALDGAYEQWRDCAQNKVFGDGLFYAADIAGSDIERHPESFSNQLGRHYPECLYQNGTYASSYGLTSYLKENDIYASLYAVVSKANAVITSMENAENFESIINGGQSEMGQMYGEAVAMRATAYRELCKNFGDVPYVGVYGVVPKGLVSRDSIYDVCIEDLQKVEPLMYTIGSIPGIAAANKNYFSKTYVQALIGRMCLDAAGYQTRRGDIKRVNGKGESMTFETKGKENNGATYGRRSDWQDLYSIAKKYYEALLADPGNALFHLTDPRGASDKSGRTFNNPYQYFFEQMHMDDAIYADESIYEYPMQQGGGNDGRPYSFGRPSSGGSKAAYPCKSYGQGRINPAYFYGVFDPNDMRRDVSITMTGSNGKGVEKLIPFVPNSKAEGGGLTLNKWDENRQANPWVAAQRKSGINGPYMRMSEVYLGYAEVCAALGDVVTGKQYLKTVRERSFPQGLANTDAFIASFGNDLVRAIIEERGFEYAGEGDRRWTLIRSGYLPEDIKRIKDMTKAMMDGLATKGYYEFENGNIISAYIWTKLVDAKTIYGHRLTAQCPTDKVNDPVLYPGWRGQKDNWEEMGLNYGSSTPATNLAIKGLFEIVSEEEAASLESQGYTKVNWGIDLVDNRDEYDKYLFWDYDYVSAPIYLWPFTPNVMAAGGFTNGYGFKQE", "MGRGRIVNALSFFVFLKNVFLNRHSCYYRIDNLNLRFAFLKLVISATIAVTILPNHLWIGRCRGQQFVVGSYNKKGRNLFCDRFVRLLQNCAHKRPIFTLFFFDSLLLLYQDIILIRYEMKLKVLVSTIVSIMIWPASIVAQGELIPMIEIPAGNFYMGTLGEDENYDEAPMHKVYISKPFKMGLTEVTNAQYELFCPEHKSLRGKNGFSSEDDEAVVFVTYQDAVAFCDWLTRKEGKTYRLPTEAEWEYACKAGRYWNFYMDDKLPAAWQKNQVIAATPKPLSLKVAQTPPNEWGLYDMCGNVEEWCLDWYGPYIDKEQTDPVGYSDGIARVTRGGSHNTPVKYLRSANRMAMLPEDKHTMTGFRVVQAEYPQTAPLSQPKDEYVVSQIKWDWDSQCVTEPVFAAPLVYVHEPDVHSGTPFFKHNHQPALTWCDNGDLLAVWFSTNEEKGREMVVLSSRLRAGSCEWEKPRMFYQIADRNLTGTALLNDRQGTLYHINGVEAAGHWQNLMMTLRTSTDNGQTWSKPRMIAPEHTKRHQVIAGTSITKEGWFVQACDAGPGGRDGAAVHISKDKGKTWTDPWDGAPLPDFKEGRTGTTIAGIHAGVVQLKDGRLMALGRNNSIRDKEGRLRMPMSVSDDMGKTWHYSASEFPPIDGGQRLVLMRLNEGPILLISFTEHPYRTPKEERGMMFTNQSGKPFKGYGMYAALSYDEGKTWPVKRLLTDGIYRFLNGGAWTQFFEMDENHAEPRGYLAGTQTPDNMIHLITSRFYYKFNLAWLKGNESAISPHSLSD", "MENVQKTRRLFASAYGCKASRSFLIWGLSAAMFISVIPMMAEVSSDVRNFGTQLVTQVKSVTGTIIDETGEPMIGVSVLVQGTTTGTVTDLDGKFVLEVPANATLVISYIGYKTQNIKVGSQHAFAIKMESDNEVLDEVVVVGYGVVKKRDLTGSVSSVKAGDIQKTASSNAMQAMQAKVPGLDIQQSSGQAGSGININLRGNRSINADNSPLILVDGVEYGSTIDINPSDIESMEVLKDASSTAIYGTKGANGVIIISTKRGKAGKTKVNLNAYVSVNEPTNIPKVMYGEREVRRLLDAKNYKDDIADGSWGTHHAKVEDVLGTAPNFGLPYSEMDVYNEGSYTDWPNLLLKNGLTQNYDLSISGGSEKTTFNISMGAMVEGGLLKNDKLARYNGKLSVDHKVNDILKVGMDMLYTHKNHDKRSGNVFGRSLYMSTIAHPYDADGNIILRPSPYYEAHANPLLDDQEGAYDNNIVTNRLFATSYLQLTPIKGLTLKTLFNVDYQQQHEGLYRDYQSVSELQSAKGSYISNDQNHYINYTWDNTLNYITDFGGSDHSLTLLLGSSTKRNEARSSQVSGYASAEHYYKSSFYDVSLIKTPVNTSSYTKTTMQSYFGRVNYSYKSKYLLAASLRADGSSVLAKGHKWGYFPSVAVAWRVSDENFMESTRDWLDNLKLRASWGSTGNAGISAYQTLPMVNADNQIYYEFGSGVTTGRIPTTLGNENLTWETTTSYNFGVDFGFWGNRIYGSADFYINKTKDLLYAQSLPLSSVYSHVLSNIGKTKGHGFEIQIGGVPVRTKNFNWDTTLSVSTAKDEIVELSNGLEKNINGRTGQIVGQPLNIYYYYEADGCWGVGEFETYKADWEASHPGKTLDFPEANSTTGDVKIVDRNDDGKITDDDKRVYDRSPKCILGWNNTLTYKDFSLSFLVYARLGGWMEYGLYQQFRYDNANWADLDYWTPENQGARFPTPGLTKQNTYAASTFYEKSSYIKIKDITLSYNLPKTWISKIGMSNLRVYGSMKNFFTFSSVDNYDPERDGDISFPLTKQLVFGVNIEF", "MKFNYMKSAAMALAFACCVSSCSDFLEEENKTGATAELEYATVSGIDGLMGSAYSFLRLWAGKPAALAMNGSGTDDLFYGIDCGMKPLGDYTFTAEQDGKTNFDSYWEAFYCGIDVCNLALKYVPLNTAIGEAKKQTYLGEAQFLRAFYYFLLVNTWGPVPYNATPFTEVYNTAVRMPEEFIYSKILEDLDNSIANLTVNDNDKESMRVSSVAAHALKARVLLYAASWMGENSIITNDVYKGKNLYALAQQEAQKVIDSNFAQFYDNTSDLWLMTNEDLKVNKEAIFGMHYTPNVTTFENQGPIQYSTGKVYNKQMDRDKGGNALHLVFVGLWNNSGSDLSDVFVRATKPNQQLQGVVINSYYSRYSRGFRNYLLTPYSWNLFCKVKDTDQRYEATIRDHYDIAPGLVSKNYPLMKDTAIYFINADANSPEGQVAIARGKNRYRVYTRTGGDLPLYTTNDPATAVPTTGIITPVSSVYNDDRYNSSQFAGDQLFVSIKKYEENCPGYSANSLITPSTSERDIMLIRLAEMYLIKAECQMELGQNGEALNTLNYLRAQRAISGKDNSISGTVTMETILDERCLEFLGEGDRWFDLKRTHTLIDRVKKYNKQASPNIKEYHYLRPIPSVQLQALDQVSVTPAPGSGFWQNEGY", "MKNILSVCAFLLAFGALPLWGQSQDPLNEDQTTVRILEGDNSDPSIVRYGKSYYLVHSSFVYTPGLVVYKSDDLVNWVPCSTALTTFTGDIWAPDIVFHNKRFYIYFPTLNGKGKKTNMVTWADTPEGPWSTPVDLKIGGIDPEHVVGEDGKRYLLLSSGALYPLSDDGCSITGEPVRIYKEWEIPEEWDIEGVSMEGLNVKKVGEYYYLFVAEGGTAGPPTSHMVVQARSKNIMGPWENALFNPLLRTESRNEKWWSKGHGSIVDTEDGRLFMIFHAYENGFQTLGRQTLLRELVQKEDGWLHLKEGAISLPAPERLKLSGIEDFVWQTCREHNLNDRFRITSDKISVEAKGNTPKEGSPLLARTSAHKYEVEACLELKGENTSAGLVAYYDENYHFGFGFNHKQMLRYRRGQVSRTESKLPQANQCGKMWLRLRNDANVLSAWYSADGKKWHKYPWGFEISGVHHNTVYGFLFVRPGIFAGGDGQVEVTDFVLRNLD", "MKYCCSFLLLLLLGISGGFAQDKVECWGRYEISLPAKVKGNPFDIELTATFSGPDTTLTVRGFYDGNDTFKIRFMPVSQGVWSYVTQSEIPVLNKVKGRIECIAPGKGNHGPVKVDGTYNFKYADGTRYYPVGTTSYDWMHVAGNQPDQTVKSLELSKFNKIRMLFFVQNFDPDYPEPSMFPFEIKKIVKDEKGKPVYEWDFTRFNPAYFAHVEACVDKLVGIGVEADLILFHPYDGGRWGFDRMPLEAGVRYLKYLTARMSSFRNIWWSLANEYDFLRELKPEYWDTFTHTVVENDPYSHLCSIHTYTAKYYKYWEPEYTHASIQDQAPVEGFGRAATVKNIYKKPIIFDEVCYEGNMDNRWGSLSGQEYLYRLWQGLIVGTYVTHGECYMDNSKDYSRDFLAVGGTFQGESWKRIGFTRQILDALPNPLHLCDSSWDPYTSTAGENYYMIYLGKEIKPEWAFDLPVKNAFYPRLKEGVRFKVEVIDTWNMTIAEWPAVFETTAPVKDRVYDKNQGRVRLPASPYLLLRITEVE", "MKHLHFLAFALCWLVWGHLLKAQSIDHYSSLDPSQPIEFKGNCLRYADKEIILGPKTFFVDGQLSDREVADNPYVFNSFNKAAANFSAGTEAEPMKVYLAPYVYWIDDPDDPAIRVGKDGREPFGLVVKCPYLHIIGLNSHPENTVLASSRGQTQGAVGNFTMFDFWGDGLLVKDLTMGNFCNVDLEYPLKKELSRKKRMSAITQAHVAYCHGDKIVADNVHFISRLNMNPLNGAKRILFNKCHMESTDDALTGTGVYLDCTLHFYGQKPFWRSDMGGAVFLNCDFYVCHEEDRQYFCKSVGPLSIVDCRYHSKKPVYAGWTHDPTGWLRCYQYNVKLNGQPYVIGADKPYNTVCMDQLNQLRAFRLEEHGEVLYNTYNLLRGEDDWDPLQVKDRVIAIGKRDGKDYTRMPSCLSVEPLTASIQTGGRTVRLTATVKRHCNYVLNNVPVKWKVQQGYEKNVKLSTSEGYECVVEATNVEDETKHFTVIAYTEDGLECATELTVAPDYVSAPSFTENPKLNITKGVATVSYALDLNGRKDESLITWYRCTDRKGTNRLPVSVSRLNEPEYSYTLVKEDVGYYLMAVIAPKHLRCLPGEERIVVSNSPIKKGQVNITHIFETDFQNFPCKNQPQLLPGFWTIGGYKPLDTAEYDWQVVPDKDYWIYGPGMNGSHGTGLLQDQKGARLLYTPLDGSYGDMAITLNVDASKTAGQGFGSATGQYLDLYIKFDTRTLTGYALRIIRTTKYSNAVDFILMKYENGVAEAISQPVSSTCYRTDCTITLTVKEGKLTAHASTTTPLPAPVTDPNLKLSVDLEADIASNTFGGTGIQHTGSCGESTTMLHYMKVEWE", "MKKSIIAIAFLLLCPFYGVRAQERPFFDLSGKGWHLWQGKNAAWQTEDIYLTLEEARKSPVIVPTAGWDILTSAQTLSVQVPGTAEEYLQTQSGPEGDITGVTWWSRTMDIPVLKKGQKVFLNFGSIRSRAEIFINQRLVDYQIVDNVPFETDITPYIKSGEQVQLAVRITDAGGNHDWRDSRTIPWGDKMLPPGHGFGGITGKVSMKVCNSVYVADIYMQNTPQITTANAILTLQNEKGKTAKQDLRITVYEWKNKEKIVYSKEIKGISLNKGMNELKIPVSAPDAKLWSVDDPNLYVCEVELSEGQNWVDKDSRRFGFRWFEASGIGDDAVFRLNGKRIMLRSAISWSFWPVNGIFPSEELAERQIRIAKELGLNMLNFHRFIGNTDVMNYADELGLLYFEEPGGFRLDVRQPFMNAVLHEKVIRMVKRDRSHPCLVIYNMMNESGNAAPEKLELEIQAMKDMRVLDPSRLILRTSAWAKGDDIEDQAKIHIRPYDEKVYWSGWYDYHRAGGPAVWNEGLYKGPEDYYNDTKNKREIVFFGEEGALSSPPRLEKNKEDLEKYSYKGWDGREFLRWYDVFNKFLDAKQLRTVYPTVDDLCVAMGTVSYEHQGRKIESARMNNLTDAYVVNGWESELTENYSGIVDCFRYPKSNPAIIARYNQPLYVAVKTRQQVAAAGGEVTVDFYLINEKNVRGNHQLKISVTDSQGKVMEVGTYETEAAGGEVYGQLLVKDVKIPVPTAGGLCRIEAKLCKENSVVTTGYDDILSVNLASNMLDGKGAVWEDGSALQNFLKGKTKEAVAAYEDNLGKLDWIMVARPPRKDQLTMVPMEALRSADGKPGLDVVYYEDMEFQKEVYHEVAKVVNLSAIEGATPSPFVYMLDGYGIKWSGKVLPSVSGEYTIIPQSNDRSMIEVFVNGKKIYEITRKKEHLGDGKVYLEEGKSADIEIRFRHPRSNARCRLDWAVPNDKMPDAQRLMERAVNDGTKIFIIQSADEWSEFIAANSKAVFKDKFFVGTNWLGGVMFNKPHDIFKELPVGNALNWPYQALIHTGVERMGLVMEGEELLVGAYHTYPMAIGTAMGIVPMGKGSVLFSTLDIYGNIINDSAAGLVAKKLIFNMIDFK", "MKKRFLCFCLCLYCIGLWAANTSFKKTGNDLLFLLPQGNVKLEFCTDDMFRVRHSQGTVFAENEQWMVRKYDFTPVHYTVEDKGTAWLITTGKLIIEATKNPFCLTVSDKNGKLLYTELAEQRFYKDSVKTKAVLQPDEHFFGFGERMDFMDQRGRKVYLNVELGRGIKPAVGGKDILRANYCPVPFMMSTKGYGLFFHTPFATEWNMGWDSSDYYSFKAFGGDLDYYFIYGPDFYTMVDRYTELTGKSPMLPRFAMGLHVGTYSGGTWKNEEMTSDKYPPALCKRLREEGVPFDLLWLDSTWRLFNTTYGNGGCCFEWRNTFKDPKAMFDSCYAQNVKMVGLHIRSILDNGPEYHLLDKAREQGNVLYPGAKTEGVVNFFDPKAVDWWWENGVMKVASIGAKFVKTDVGGTMDLKGLHNIFPLAYAEAPYRKFQEYNNMRGLTHTREGYAGIQRYPYIWAGDWGSEWQWFEPVIRAGLNIGMSGVGNWTHCMGGFEQYSPYDTELYTRWVQFGMFSPIAMVFGMDHPRYHEPWTYGPEALANFIKYDSLRYTLIPYIYSNAYQLYKTARPMMTPLVMDYPQDENTYQLTRQYMFGPWMMVCPVTTKGALSQHVYFPGGEWFDYETGERYEGRQYKSFLTPLDVLPIYIKAGAIIPMQPVMQWVDQHPVEMITLDVYPSGISSYEMYEDDGISMDYQKGIGSLTRFTSRLADDSWTFTADKPVGKYKPAKHTYLVKAYLQNVPQSVIENGKSLPVLSSVTDAERNTGWFYDIEHKRLYVKTAGDNRQKIEIVVQ", "MRKIIGFRTLCVGLGIWVGTVVVSAQGEFKALPWSQSTAYNSYLMRDVHRQFASRQLAIQQAFTSPAGMQEYLEGCRERYKQIVGTFPEKENLNVQVVGKIQGTGYHIEKIIFESKPGRYVTAHLYMPENMTVPVPATLELCGHGLNGKGSSSHAAMLMASNGIAVLVVDPIGQGERLQLIDREGKPLTRGATTEHTLLNAGFNLLGTSLAAQEYWDNHRALDYLLTRKDIDPERIGVYGSSGGGTQTAYYIGLDPRVKVAAICSFFSTRERTMELQGPSDGCQHIPYEGREQLEVPDFALMMAPRPLLILSGKYDFVDLWGAQQGFAELQQCYKVLGVPEKVDMLTVETGHGLGTEKRQKLVSWFKRWLKDDQSPVKKSAQDRFRLSDMLCTTKGQVNVSMPGALSIMQENVNQLDEWASKREAFLSKGKKTVQAKMLDLLGLKGLPDHKIRIEATGHDSMREYEQYKFQLIREGEMPVPCILIMPSRANADSPVELRLQEEGKGTYLSEYANFAAALTEGKILLLADLRGFGETTDPAFYTDAKYWNREYRNAMVSMHIGRPIMGQRVVDILTLLDFCSEHEFLKGHPVKVFANGIYGPVAIHAAYLDERINSVEIKHSVKTWKEYIERPMQWDMYSNVLYGALKYYDLPDLIRLSNCPICFAD", "MNRKVRTALYACALMAPLLLQSCNAQSEKKESNKECTEETNKNTEKKVMKTGSENFIFAEGKEWEPAGEGVVRQIMGYNDDIMVVKVKFEKGAVGAVHHHIHSQVTYVESGKFEFIINGVKKIVSAGDCLYKEPDAVHGCVCLEPGMLIDCFSPMRADFLEKK", "MKSTLKKNWERIVLCLCMANFACIGIAQTNKKLDDQVINTMKTATRFMMNKVSYNGGFVWNYLPDMSRSWGEMEAKRTMVWIQPPGTPSVGHLLLDAYHATGDEYYYEAAKKVANTLIWGQLECGGWNYVFDFAGENSLKSWYDTVGKNGWRLEEFQHYYGNATYDDAGTMEAAKFLLRMYVEKNDPAFRPALEKTIDFVLKSQYPVGGWPQRYPLMYDHPFQGKKDYSSFITLNDDVIPDATEFLIQCYQAMGLQGVKEPIMRAMYLMISLQQGEPYAGWADQYTVDDLKPAHARSYEPRSVNTGTTVRLVNLMMDYYKLTADTRFLSGIPAAIRFLESMKLPESDVKKWKSQSTNPEAILVPRFVDPDTGKPLYVHRKGSNVKNGTYYIDQNIENTIAHYNSATFVNPGELRRRYEEVKKLPVSELAKNSPLLQDHLVPLPKYYTRLRGKATEEVARKLVKSLTKDGCWLSPLKSTSNPYKPYTVSGPSEETKYIATFVGDEHDTSPYPCTTGELCISVGDYIDNMIKLISYLEK", "MKNTVICLLLFGISLTASAQEKVETVSMRYETQNDMPLFYQKMKENLTYPMAWGNSAIRNFEKWREEARKTLLDCMLPAPPATAFDKKVIDTEQRNGYRAEKILFSVSEYSRVPAYLLVPDGNGPFPAVLLLHDHGAHFSIGKEKMVRPFGVEASVLADADDWAEKCYDKQYVGDYLASHGYVVLAVDALFWGERGRKEGVRYDSQQALAANMLQMGMSWGALIAWDDIRSAEFLASLPMVHKEKIGTMGFSMGAHRAWMVSAATDVVKAGAAVCWMNTTDSLMTLTNNQNKGGSAYSMIIPGIRNWMDYPHVASIACPKPMLFINGLRDKLFPVKGVESAFSTMQDVWKSQSVENLLTTKFYDLPHFCSKEIQDDILEFFNQNLK", "MKIKMLMAFVALLLFSAFTADRTITIFMIGDSTMANKPLEGGNQERGWGHVLGGYFSENIRVENHARNGRSSKSFIDEGLWEVVINKVKPGDYVFIQFGHNDEKADKKRHTDPGSTFDANLRRFVKETRAKGGIPVLFNAIVRRNFRNNKNAVAEDDVRKDLSKGSVSQDGEVLIDTHGKYLESPRNVAKELDVPFVDMNKITHDLVQEMGPEASKKLFMWIPEGVCAACPKGREDNTHLNVYGARTIAGLTVDAIAKEVPALAPFVRHYDFVVAKDGSGDFFTIQEAIHAVPDFRKAGRTTILVRKGVYKEKVVIPESKISISLIGEDGAILTNDDFASKKNYFGEEMSTSGSSTCYIYAPDFYAENITFENSAGRVGQAVACFVSGDRAYFKNCRFLGNQDTLYTYGKDSRQFYDHCYIEGTVDFIFGWSTALFKDCTIHSLGDGYVTAPSTDQGKKYGYVFIGCKLTGVAEAQKVYLSRPWRPYAQAVYIHCDLGKHILPVGWNNWGKKENEETVFYAEYRNTGEGAATASRASFGKQLNDIGNYNEAQILAGDDGWNPVENGNVLLQNLKR", "MKRHILFILTLLLFFYAKSQPNCIFTHYSSENGLSQNSIMSMVQDHNGVLWFSTWDGINRFNGYDFKVYKARQGNKITMTNNRVDLLEVDPYNYIWLQTYDYRVYRFDQRTEKFEQIPAEGEEEGMRFSSIKILPDSVIWLLSENEGAVRVKTNPKDYSITTQVYATHSRGGNAVHINQVFSDAYNQEWLLTDNGLLKITNDKEEPVSYFVNIQSGKDEPVQAFYSFCSYGDELYFGSDRGRIWCYSLQNEIFRLWELPVKDKVIAINEIKGTGLLITTAHEGLILYHSDTKECKVYNKSNCPEFPADAFRSVYVDSKQEAWFEMTEWGKVCHFNPLTEVFKQEKMQVEPRGADRSYPSFHICEDLNGNLWVHPQGGGLSWYDREANRLLPFYNDPDSPDWHFSNKLHSMLSDKQGNLWLCTHSKGLEKITFLGSQFHIYPRMGHSYDLNSNTVRALFEDSEHRIWMGKRDGQIEIYSSDLDFQGILTEEGDIAKSGRSLRGVPYHIMQDSHGNIWIATKGDGIILAEKEGTRFKFTRFKYDEDDIYSLSHNSVYWLHEDKHGRIWVATFGGGLNYIQKTPEGKYVFISSRNNLKGFPYDRCYRVRHITSDKKGNIWVGSSDGALSFKEDFKDPESIVFHLYARIPDDMNCLSNNNVYRIVTTSQGEVYLATFGGGLNQLVSMNGEGKAVFKSYTVKDGLPSDILLSVEEDDTGNLWISTENGLSKFIPSEQRFENYNERDFGGKIRFEEGTSLNLSSSTLLFGTSRGMLYFEPEHIKKSNYVPSIVFGTLKISNQEVIPGVSGSLLRQSLDNTEHLVLSHKENIVTLSFAALDMIYPENIRYAYRLHGFDKEWNYVDKQRTATYTNLPKGDYVFQVKSTNSDGVWVENERSLRITIQPSFWETAWAMAIYILAFLLILFSGVYILFTIYRLKHEVSVEQQVSDIKLRFFTNISHELRTPLTLIAGPVEYVLKNKTLPDDVREQLHVVERNTDRMLRLVNQILDFRKIQKNKMKLRIEQIDIVPFVRHIMDNFESLAEEHHIDFVFESEMPSLKLWVDADKLEKIVFNLLSNAFKYTPQGKMITLFIHENEHNVAIGVQDQGIGISESKKASLFVRFENLLDKNLFNQQSSGIGLSLVKELVELHKATIRVDSKEGEGSCFTVEFLKGKEHYTENVEFILSDSVEMRPEEVEESVQGHEEKRNESKTMLLVEDNLELRFFLRSIFISNFNVIEAVNGAEGLDKALKFVPDIIISDIMMPEKDGITMTEDLRANMATSHIPVVLLTAKTDMDSKLEGMELGVEDYITKPFSATYLKARVENILTQRVKLQQLYCANLMNIQPVAEEEQQTQPEMSSHDRKFMEKLTELMEKNMDNGDLIVDDLVQELAVSRSVFFKKLKTLTGLAPIEFIKEMRVKRAAQLIESGDYNMTQIAYMVGINDPRYFSKCFKQRFGMTPTEYKENAKNKR", "MKKVILFMAGILASMMLNAQSRWSVTPEAGLVVNKENEGTSVTLGFKAGAGVLYQLKEGVGKKPSFGLKSGVYILMQKGGYHPMSWGNISTGGGFSMDYEKTNVESTRYYLQLPVMAHWGFKLCDDVRLKLAVGPYVAVGIGGRTNAYVSSSKYNIDEETGVGQYEYRNDYYRFGTFKGKTVNEEFGFEASPRLDWGGTASVGIAVKRISFTVGYDLAWGKYNKEQNDLRIRNHMVSFTSGYSF", "MRKMLFITLLMGGLCTTVSVAKAQERLPEYLQAEKYTQEKLNTMLFSTVVDPHWFQKGNNFWFEYKTSEGTFWYVVDPAARTKKLLFDRDELASQLTEIVKDPFEARHLPITNLKAEEDGRTFTFEVKSTKDATPKKDGKDKKDKKNEKEIFYFSYDYPTRKLTHLKDKEDDLKKIYWGSVSPDGKTVIYAKDLNLYRMSREDYEKAKKNEKDSTIVEIQLTTDGMKDFGYGIPYSMLNTDTLCNGKRRRVGGVWSPDSRYFAMTVSDDRAVKELWVINSMARPRPTLETYKYQMPGEKEAPIEHLYLFDLVDNKRKEIKVAAYKDQSIGLEYKPMMQKQRGMEDQAAVWQGDNNRFFLTRSSRDLHRIDVCSYTIGQDSVVPVIKERMNTYQETRPLRVLNGGKEIIQWSERDGWAHLYLYDDQGNLKNRITKGPWHVEEILKVDDKARVIYFTANGMNAKEHPYYEHLYRVNLDGSGLKLLTKGDYFHRVEVDDDARFVVDNYSRVNTVPCAILLDTNGNKVMDIQESDFSQLFAAGYKFPEIFKVKAADGVTDLYGVMYKPFNFDSTKVYPIVDYVYPGPQVEGVYYPFTRMSPRTDRLAQAGFIVISVGQRGGHPSRSKWYHNYGYGNMRDYPLADHKYAIEQLAQRHHFIDIDKVGIHGHSGGGFMSTAAMCQYPDFFKVAVSCAGNHDNNIYNRWWSETHHGVKEVVSEKGDTTFVYKIAANPEIAKQLKGNLLLIHGDIDNNVHPGNTLRVVDALIRAGKRFDMLILPQQRHGFGDMNEYFYWKLVDYFSEHLKGKSEKSVDIPKR", "MENLKKIIKQFPKVGEVKEIKALTSGLINQTYLVKSVSPEEPDYVLQRINHLIFTNIEMLQHNIEVVTRHIRQKLEARHEEDIERKVLHFLPSTNGKTYFYDGEGYWRISIFIPRSQTLETVTPESSYLAGLKFGEFQAMLADVPEQLGEIIPDFHNMEFRLKQLREAVSANISGRLAEVQDIVDAIEKDADTMCSAERFYREGKLPKRICHCDTKVSNMLFDENGKVLCVIDLDTVMPSFIFSDFGDFLRSAANTGKEDEADLNKVKFNMEIFKAFTKGYIESARTFLTPLEIEMLPYAATLFPYMQAVRFLADYINGDTYYQTRYKDHNLVRTKAQYKLYLEAKSATPDMKAYINSLL", "MFLGIVVFLFLLAIFDLVVGVSNDAVNFMNSAIGAKAASFKTIIAIAAFGIFIGATLSNGMMEIARHGIFRPEQFYFQELMCIFLAVMVTDVVLLDIFNSLGMPTSTTVSMVFELLGGTFVLALIKIAGDETGMLGFADLLNTEKALSVILGIFLSVAVAFFFGTLVQYLSRLLFTFNYTKKLKYTIGLFGGIAVTAIIYFMLIKGLKDSAFMTTENKHWIQENTLMLVSCSFVFFTILMQILHWCKINVFKVVVMLGTFALAMAFAGNDLVNFIGVPLAGFSAYTDFMANGNGEPMGYLMNSLNGPAKTPFLFLFLAGVIMVYALITSKKAQNVVKTSVDLSRQDEGDEMFGSSAVARSIVRSTMSASESIAKILPDNLKRWADSRFNKDVMIMENGAAFDLIRASVNLVLAGLLIALGTSLKLPLSTTYVTFMVAMGSSLADRAWSRDSAVYRITGVLSVIGGWFITAGAAFTICFVVTLIMYYGGTFAMLALIALAIFLLVRSNIHYSKKQKDKGKDDIFSRLIASKDKEERWRLLRQHVNNTLVAEMAFTNETYRQITDGFINENLKALRKAVNNTDNQKEMLKKIRRKEILGLRRIDNFTAIEKNTWFHLGSNSCEQMLYCLKRICDPCKEHVDNKFTPLSERATNEFIPIRDEMTALMTKATEVLANKAYDQTDALLREGAILKGKISTLRKEQMDRIQERDVNVKASMVYLNVLQESQELVSYWRHLLRADRMFQTDLKK", "MSEAKRIKTALVSVYHKEGLEEIITKLHEEGVEFLSTGGTRQFIESLGFPCKAVEDLTTYPSILGGRVKTLHPKVFGGILCRRGLEQDMQQIEKYEIPEIDLVIVDLYPFEATVASGAEEQAIIEKIDIGGISLIRAAAKNFNDVVIIASQAQYKPFRDMLLEHGATTSREERRWFAKEAFAVSSHYDSAIFNYFDGGEGSAFRCAVEEQKQLRYGENPHQKGYFYGNLDAMFDQIHGKEISYNNLLDINAAVDLIDEFDEVTFAILKHNNACGLASRPTVLEAWKDALAGDPVSAFGGVLVTNAVIDKETAEEINKLFFEVIIAPDYDVDALEILGQKKNRIILVRKEAKLPKKQFRSLLNGVLVQERDLDVETPADLKQVTEKAPTATEIEDMLFANKIVKNSKSNAIVLAKNKQLLASGVGQTSRVDALKQAIEKAKSFEFDLNGAVMASDAFFPFPDCVEIADKEGVKAVIQPGGSVKDELTFQYCNEHGVAMVITGIRHFKH", "MGLFSFTQEIAMDLGTANTIILNNGKIVVDEPSVVALDRRTDKMIAVGERAKMMYEKENPNIRTVRPLRDGVIADFNACEQMMRGLIKKVNMGNRFFSPSLRMVIGVPSGSTEVELRAVRDSAEHAGGRDVYLIFEPMAAAIGIGIDVEAPEGNMIVDIGGGSTEIAVISLGGIVSNNSIRIAGDDLTADIQEYMSRQHNVKVSERMAERIKIHVGAALTDLGDDAPEDYIVRGPNRITALPMEVPVCYQEVAHCLEKSIAKIETAILSALEQTPPELYADIVLNGIYLAGGGALLRGLDKRLTDKINIPFHIAEDPLLSVAKGTGIALKNVDRFSFLMR", "MKNLLNFFLKYNYWFLFVLLEIISFALLFRFNSYQGSAFFTSANFVSGAMYDAANNVTGYFHLKTINDELVQKNVELELQLESIRKALIEATEDSSGVEQLKQEALAGYDIFKASVINNSVTHADNYITLDKGEADGIRSEMGVVNGSGVVGIVYLTSPHYSIVIPVLNSKSSISCKIKRSDYFGFLKWDGGSSEFAFIKDMPRHSLFSLGDTIVTSGHSAVFPSGIPVGTVDDIADSHDGLSYLLRVKLFTDFARLNDVRVIAQKGQEEQLELEKQVKTTK", "MLTYLQRIEWFIGLVLLQVLVLNHMHINGYATPFFFIYFILKYNSGVSRNVLMIWAFLLGLTVDILGNTPGMNAAAATVLAFMREPVLRLVTLRDSVEDFEPGIKSMGFSPFFRYILLCTFLFCTILLVIDTFSFFNLPVLLLKILTDASITIICILCAEAIRRKK", "VERDYNLEKRKYVIGASVIVIVLIYLIRLFTLQIMSEDYKKNADSNAFLNKTQYPSRGVMYDRNGNLLVYNQPAYDVTMVMKEVHNLDTLDLCKTLNITPDYFKKRIREIKDRRSNPGYSPYTHQVFMTQLSAEECGVFQEKLFKFPGFYIQRRTIRQYNYNAAAHVLGDIAEVSKGDIEADDYYVRGDFIGKQGVERSYEKQLRGEKGVEILLRDARGRIQGRYMDGKYDKTPVPGKNLKLGIDIELQMLGERLLEGKIGSIVAIEPSTGEILCMVSAPTFDPRLMVGRQRGKNHLELARDSWKPLLNRSIMGQFPPGSTFKTTQALTFLQEGIITPQTAYSCYHGFVYAGLRVGCHSHGSPLPLVPAIATSCNGYFCWGLFHMIGAKKKYGSVQTAMNTWRDYMVSMGFGYPLGVDLPGEKRGMIPNAAYYDKNYRGSWNGLTIISISIGQGEVTATPLQIANLGATIANRGYYITPHVVKEVEDEPLDTLYTTKRYTKVSREHYQTVVEGMRSAVLGGTCRNANIPGIEVCGKTGTAQNRGKDHSAFMGFAPMNDPKIAVVVYVENGGWGATYGVPIGALMMEKYLKGELSPESEAKAAEIQNRRIDYGIHER", "MAYTNDSIWKSVDWMTICIYLMLVIFGWFSVCGASYDYGEIDFFSFDTRAGKQFVWICCSLGLGFILMMLEDKLYDMFAYILYGGMMLLLLITPFLAEDTKGSYSWIKFGPVSLQPAEFAKFATALALAKFMNVYGFTMSKLKYSLPVVGMVLLPMLLIILQRETGSALVYLAFFFMLYREGMPGSILFAGICAVVYFVVGIRFGNELMADDCTSIGEFSVLLLIVILSALLVNSYCKKASVVWYIGGIGVGGTLLALLFSYYVIPFDITWFQYGLCVVLLFYLIFLSMHERMRNYFYIALFAIGSVGFLFSADYVFNNVLEPHQQIRIKVVLGMEEDLAGAGYNVNQSKIAIGSGGLWGKGFLNGTQTKLKYVPEQDTDFIFCTVGEEEGFIGSAAVLFLFTGLILRLIVVAERQHTRFARVYGYSVLSIFLFHLFINIGMVLGLTPVIGIPLPFFSYGGSSLWGFTILLFVFLRIDAGRGKR", "MKSHKLLYQLKNKLTWLPILILAACQNNIIYHSYAPVPLDGWDKSDTLVYTLPNSIPTGNYEAEIGIRYQESYPYRDIWLEVSHNTKDTLTYVTDTLQLFLVDEAGNKTGNGLCGLYQCDLPYKASIPIRTEGSARTFRIVHIMTDNPLTGISDIGIRLRKPENQ", "MDNEFKLKNGSGCLCCKGCGRQDKQLNTYDWLADIPGNTEEQEMVEVQFKNTRKGYYKNSNKLKLEKGDIVAVEASPGHDIGTVTLTGRLVPLQMRKANIKPDAEIKRIYRKAKPVDMEKYEEAKAKEHDTMIRSRQIAKNLNLDMKIGDVEYQGDGNKAIFYYIADERVDFRQLIKVLAEAFRVRIEMKQIGARQEAGRIGGIGPCGRELCCATWMTNFVSVSTSAARYQDISLNPQKLAGQCAKLKCCLNYEVDAYVESQKRLPSKEMTLETTDGTFYFFKADILKGTIMYSTDKNFIANAVTITARRAFEIINMNRRGEKPESLTESVKKNEPQKPVDLVEQESLTRFDKRKGNNSNRKKKRKPENNGNNGEGTPNENRRPQQPKENRSQQPKENRPPREGRPKQPREPKENKAQQPREENGNRPKEENRNRESRNREERSRENREDGNRNRNKRRPNNGNNEGGSENRNNGGGKENDNKNNGNNSQIPNEKPQTPVSTKE", "MLFKDVIGQEEAKQRLIAEVKEGRIPHAQLICGPEGTGKLPLAIAYARYICCENRGEQDACGICPTCVKFNKLIHPDLHFVFPVIKKKAGKDTVCDDFIADWRNFVLQNPYFNLNHWLKEMGAENQQAQIFVKESDEIVRKLSLKSSQGGYKIMIIWLPEKMNVECSNKLLKLLEEPPAMTVFLLVSEEPDAILQTIQSRTQRFNIHGIKEPEISKVLQTKYGLQPEDADDIAHRSEGNFLKALETIHLSEENKLFFELFINLMRLSYQRKIREMRQWSDAVASMGRERQKNFLAYCQRMIRENFIYNFHQRDLVYMNPEEQNFSTRFAPFVNERNVMGIMDELSEAQLHIGQNVNPKMVFFDFSLKMIVLLKN", "MRVVDLIKSTDNTAFSFEILPPLKGTGIEKLYKTVDTLREFDPKYINITTHRSEYVYKELGNGLYQRTRQRRRPGTVAVAAALQNKYNITTVPHILCSGFSREDTEYVLLDLQFLGITDLLVLRGDKAKHESAFVPENNGYNHAIELEEQINDFNKGVFVDGSPIKVTGTPFSYGVACYPEKHEESPNMEQDIYWLKKKIEAGAEYAVTQMFYDNRKYFEFVERVRKEGINVPIIPGIKPFRKLSQLNMIPKTFKIDLPQELASEAMKCQTDEEAESLGIEWCIHQCRELIACGVPSIHFYTVSAVNSVKEVAKAIY", "MKFDIKKHIYLILLFILSFTVETAQSQEVTNIHIAATDTVPTYTSDSAVINFLKDAGIPITQNSKLKLLKSGRAKFIDLFEEIRHAKHHIHLEYFNFRNDSIANALFDLLGEKVKEGVEVRALFDAFGNLSNNKPLKKKHIQAIRDKGIEIVKFDPFKFPYINHALHRDHRKIVVIDGKIGYTGGMNIANYYIKGLPEIGDWRDMHIRIEGNAVNELQDIFLAMWNKSTKQHVSGSQYYPLRNDSTFKGNKNVAIVDRIPKKEPRLMRQTYIKSIDAAQDKIQIVNPYFTPIPSIKKAIKRALKRGVEVEIMIPGKSDIPFTPDAAFYTANKLRKKGAKIYVYNGGFHHSKIMMVDSLFCTVGSTNLNSRSLRYDYEVNAFIFDKETTHELSTMFEHDKLDSTLFTKEEYKKRSGWKRFVGWFANLFTPFL", "MIQKKITFDSFIRGILTAMVIIGILYLVNRLSGVLLPFFIAWLIAYLTYPMVIFFQNKLRLRNRVISILVVLLVLLSIITLAFVGLVPPIIEEFGKLKELLTAYFIEGSKQAAIPGTVANFIKEHIDMLKIHEALNESNFANTMRNVLPQVWALLTQSVNIVFSVFTSFIILLYTFFILLDYEAIARGWIKLIPARHREMTVHIVTDVQDGMNKYFRGQAFVAFCVGILFSIGFLIIDFPLAIGFGLFIGLLNMVPYLQLIAFIPTVLLALLKAADTGENFWWILFCAFLVFCIVQIIQDGLIVPKVMGKITGLNPAIILLSLSVWGALMGIVGMIIALPCTSLMLSYYQRYIRIKEKEFSGGIEHTDFQHKENSNEK", "MFSGKTEELIRRLKRAKFARQRVEIFKPAIDTRYSEEEVVSHDSNSIASTPIDSSASILLFSSDKDVVGIDEAQFFDEGLVDVCNKLADNGIRVIVAGLDMDFRRVPFGPIPALLAIADEVTKVHAICVKCGNLAYATHRITKSEKRVLLGEKADYEPLCRTCYMEALKEETENK", "MHLNSFYICSSITECNCFMGKLYVVPTPVGNLEDMTFRAIRVLKEADLILAEDTRTSGILLKHFEIKNAMQSHHKFNEHKTVEGIVNRIKAGETVALISDAGTPGISDPGFLIVRECVKSGIEVQCLPGATAFVPALVASGLPDERFCFEGFLPQKKGRVTRLTSLQEEKRTMIFYESPYRLVKTLTQFAEFFGAERPVSVCREISKIHEESVRGTLTEVIAHFTQNEPRGEIVIVLGGKED", "MKKLVFLSLLSVALLTSCGNGAQKDALKAQNDSLMVELSNRNAELDDIMGAFNEVQEGFRQINEAENRVDLQSGSIRENSADKIKEDIRFISEKLQSNREQIAKLEKQLKNSQYNSAQLKKAVANLTKELEAKQQQIETLQAELASKNIRIAELDDAVAGLSQNVSELTAENEAKAATVASQDKALNAAWFVFGTKSELKDQKILEKGDVLKSADFNKDYFTQIDIRTDKEIKLYSKRAELLTTHPAGSYELVKDAKGQLTLKITNPTEFWSVSRYLVIQVK", "MYRSIFIDLDDTVWAFTENARDTFQDMYDKYHFDRYFRSFSHFYTLYSGKNEELWNEYGAGRITKDELNEQRFAYPLLQVGVADKALVKAYSDNFFDDIVYKKKLMPHAREALEYLASAYNLYILSNGFRELQEQKMRSAGVEGYFKKIVLSEDIGVHKPFPEIFYFAMSATQSELHTSLMIGDNWKNDVEGAKNVGMGNVYYNIKGEKSLPFKPGFDMRDWREIASFL", "MKKYILNAIAIFTFATGLSSCGDSFLETDNYKGVDLEGGLNTVTNVSTALNGTYYQLFYYAFAGNYALAIGDIPTDITYWNTKTGHFDGIYTYTFTDTDTYLKSIWEYGYKTADNAARVIQASQALYNNASDDEKTELNMYMAEAYALRGYAQLLLTNIYGHQIKVNGQDFSSELGIVIIDQPKEALTKISRSTVGESYEAIINDLKNALSHFEAAGKDRGELQYLGKAATNGLLARTYLYLENWDEARNYAEQALKTAGITTLTNDANAYKALYNSEISNSESMFALAITNTTNWSANSYGTLWSTYNFSPSPKLISMYATNDCRKILIDGRDKTSTESEPVYTGGKIAHFSSGNPARGTNYIVNAPEMYLIKAEANVQLNKLNEAKEALLVVAKRNLDIKSTNDLPSEKENLMSFIKDERARELFQEGMRLYDLRRWNERVSVYANSAPAIKFTYTNYEISNLVFPIPSAEINAGFGVTQNNWTNTLPK", "MATQEVAIKQTVNITLKSDAEVLEEVVVTGYGVQRKASFTGAAAIIGEDVIAKKSDANFVKALEGAVPGVQMSNSTSMPGVWSEIYVRGRGSLNSGTQPLYVIDGMPVNSETDGMSTTTNNNFDPMAAINPSDIESVTVLKDAAATAIYGSRAANGVIVITTKKGKEGKMSINLDIKQGFVSMGNHNMDYANAQESMNLFAHGRSVAYGNTYDESYDYLKKVYQGYGWDGVSSYDWMDAITRKGYYQDYNVNLQGRSGSTGYYVSLGYLDTEGLIIGSDMKRYSGRLNLDSKFSCFTIGVNASYSNSTQNGFSQATSGSMSSATVAAISSMNPMMPFYNEDGSYANISNYNPLALYDEKAGEINENNNQTLNFNPYLQIDLGKGIYAKTTLGVNIADLRQYQYWSALYNPQAVDYNGLGQQYNSRYTTITWNNVLGWNYTFDKHNINLLLGQEMQRKNYFYEYYSGSDFPFAADGKTDLSTAGTPQGSEYYKKEARLASYFMDAHYSYEDKYYVSGSFRRDGSSVFGSNHRWGNFWSVGGKWRVSGEEFLKDNSIITNATLRASYGTVGNQDIDWYAARGFYSSGYNYNEKPGMTPTSISNSDLTWETSKKFDIGFDLSLINRIHLTFDYYNEETSDALFEVPLSMTTGMSTVYQNIGAIRNRGIEASINASVINNNNLTWNIYANMTWNKNKIIKLSTDEPIEYTYQIIEEGRPYRQFYMKEYAGVDRENGKPLWYLNEEGNETTSDYNAAAKRYVGDADPKVLGGFGTNLSWKGFDFNMNFTYRLGGKVFDSGASFTGFGMANRTPLKDVALNSWTEENKDAKYPQYIYGDPNKATQTSSRFLYSSNFLRISNMTLGYTVPVNLTKKIFIQKLRAYISIDNLYTFTSNDFVGYNPETYSNGVIAWQYPVTRTFIGGIQLSF", "MKTNKAEIYKNAFRLFLQDNYEKVTVVKLEKAIGLSCRGIYHHTKDKLGLFKAVVDTYIFEPHKVENKFVFAEDISLRDFLQTYIEGVERTMDYLSNELGVDRKKCAKCYFQFLFQAYKYYPNFVERMDAIFEKDQQMWRKIIDKAIASGEIRNDIATEEVVDMFRMAHLGMSYVLAFTTGLDTERLKRQFEAVYKLLK", "MKTLIIAMGILSLFASCSGRKEKLAKKEDSALNEFEVEKSKSYLDTDTLGNIVSFNKGNDTIEICTKRFDIAAFERHKKDHENSTYVGYTEIVSNDTLINYLKDKAPNNVEYAIIKHPKKSYLSYESVYYENGNIKSEKTYGPGNGMLMGISRYYSIDGELQREVNEDDGYSFTFEQLMSLLNEKGMYFPKDVDLNYRYQINKLIAEDGAKIYFVIYPLSDETDLAITVSGKDGSIIEEHRYSHQYY", "MITQEIKTAFGDMPYPGAKCITNDLEGNDLERKQIREGFSRYENWQDVPRELLLQERDALPLFEPQGFRFYLPAYMLFALEDYESADMIPESIVHSLTLPDAGTELYEFVRERLVLFSEEQRKAVLHFLEYLERCHAEDFTDICVGDWCSATPRRAIERWCRLVTDEI" ]
[ "ATTCTTTTTCTATTTTACAATTTATTTGTAAAGGTAGGAGCTTTTTCAACAAAATGCAATGAAACGTCATTGAAATAAATACGCAAAAGGAGTATCATGCAGATGTATTATACATGTAATGCTTTTATCTGATCTTTGCCATCGGAAAAATAACAAGGTAAAAGT", "AATAGAATGAATCTTTCATTATTAACCAATAAACAA", "TACACCTTTGAATATACACACATAGCTGTTAATTTTAGGGGATTCTATGCGGTAAAGTCATAGAATTCCCTTATTTTTGTATCCAAACCTAGAAACTATTAATTAATACACCT", "AGATTCGTTTTGTTTTTGATAAAATAAACTGCTGTTTCCAATACATACAACGAAAGCAGCAGTTTATTTTATTAAAAACAATGTTCCCTGT", "ACACAATACCATTAATTTTTTGTTCATATTGTCTGATCTGTTAGGATTAGAAAAATTATTTTCAAAGATACATTGTTCTCATTAAAATGCTATAAATAAATGAATAAATAATTGGATATTAACAGAAGTGTGTGTATATTTGTAATCTCTTTATGAGTTACCAGTACGGGGTTGACTGGATTTGACAGCGTGGCTAAGTGGTATGTAAGCATGCAGTGCGTGGTTGATTGGCACTATAATCCCAGTTTTCAAAATTTTATCTGGCAACGAAAATTATGCTCTTGCTGCTTAATCGAATCATAGTAGATTAGCTTTATTTCTGCCAAAGTGGTGGAAACGAGACATCACTCAAAAGCTCTTGTTCCGAAGCGTTTGATCAAGTGGTGCAGTAAAATCGGGAATAGTTAGAGATCCGCCTCGTGTCTCTTACGAAAATTTAGAGGATAAGGTATAAGTTGGTGGCTTCGGTCCTGCTTATACTCGAAAATTTAGGCGAAGATAAGCATGTAGAAAGCGTATGGCTTCCTCGTTTGGACGAGGGTTCGACTCCCTCCAGCTCCACAAACTAAGAGTGATTCGTCCTTGGGTTTTCATAATCCAAGGACGAATTCTTTTTTAGAAGAGTAATGTATGTAGCTTACTCATGTTTCTTTTCTGATTTTTGTAGAATACATTTTCTCTCTTTTTCTAAAGATATTCTTGTTTTTCACGTAAAGACACTTGTTTAAAGATGAATGCTATT", "TGTTATTATAAATTTGTTTTGCGAAATATAGTTATACTCTTGGAATATTTAAAGCATTTTTTGAAAAATGTTGCACTGTGAATTGAGTTTTAATGGATTCTAACTGGGGGTATGTAAAGAGTCTTTTTTGTTTATAAGGTATAAAAAG", "GTTCTCAAAAGAGGATATAATCTTTGGTATGATGGCATCTCATTATACCAAAGATTTTTTATC", "ATCTTTGATGTTTAATAATTAATAATTTCATAGTTACCAAAAAATATCTACGATGCAATATTAAAGCATATAATTAGAAAATAAGTGGATTAATTGCCCATTATTGTGTAAATTCTAATAATAGAGGAATAACTGCTGCTACATTTTTATATTATTTGTATATTCGTACCTTAATAATCTGATATTATGAAATACATCACTTGTATATATTGATATCTGTTGGGGAATTCTTGTCGTGATAGGAGGTAGTATTTCTACTACCAAAGATAGTCTTCTGAATATTATAAGATATACAAGCGCAGGATTCCATTAATTCTTCTAGTCTGAAAAAATAATTTAGTGAACTACCTGTAAAGTATGGAGTAGATCAATTGAACTATGAAAATTACCGTTTGGAAACAAAGAACAGGAGTTTTTTAGTAATTAGACTTTTT", "C", "AGTACTTATTTTTTTATAATTTGCTACGAAGATAATGATAAATTTGTTGAAGAGAAACTTTAAGGTATTCTTTTACTTTGAAAACAGATTGATTCTGCTTCCAAAGTAAAAGAATATTGATCATAGAAATACAATAATCATTTCAGTTATTATTCTTCTTTTTGTAACTGAACTT", "TGCTATTTTTTAATTTTTAATTCTCAAATT", "CTTTTTAAATTAAAAATTAAAAAATGGCCATGCGGCGGATTTTTTATTATTCA", "AA", "AAGTT", "AATATCGTTTGTTTT", "AATTTAATAATGTGTCAATTTGCTAATTTGCCAATAGGCAAACTTGTAATTATTTAATTTGTTAATTCTCAGTCTGATAAATAGTGCATTCTCCAAATTGTATATTGAAGAATCGGCACATTA", "ATCTAAACTTTTTTTGATTAGACAAACGGATAGAAAAATTTTCCTTATAAGACGTTTGTTATAAGGATTTCTACTCAGCAAAATGCTGAAAAAAGATTAAAAA", "CTTGTTACCCTTTAAATGAGGGTGCAAAGATAGCCAATATTTGAAGCTTCATTGAGATTTTTTGAATTATTTATGTATAAAAATGGTTATAGGACGTAAATG", "TGTTCGTCTCTC", "AAATATGTGTATTATGATTACATTTGCCCTTAAATTTTAAAAGCTTTCCTTATCGT", "CGAAAAAAAGAACGAAAGAAAAAGACTTGAAAAGGATGAACATACGTTACTTTTGCGTATCTTTAGGAAGTTACTAAAAATAGATAGCT", "ACTATTAAGTAAAGAAACGA", "TTAGATATTGTTGAATTTGATTTATTCAACTGCTGATC", "GATTTTGCTATTAAATTAATCACTAACTACGATTCACTTGT", "GATACAAAAATAAGGCGGGATAACCCGCCTTACAATGGAAAATCATACATTATGATGAAAAATGATACAATTCTAGGGGATTATAGTTGCGGAAGTGGGTGTGATAAGTGTGATTATTTAGGAGAAAGATTGGAACGCAAATGACGCGAATGACACAAATAAAGCCCCCTCACTACATAGAGGCAAAACCTACCGAAAGGTTAAATTTGTGTCATTTGCGTCATTTGCGTTCCAAATCAGACCGATATTTAG", "ACTTAGTCTTTTAAAAGTTAAATGGCCTTCATTTTGCGGCTCTTATAGGTAATGACGTTTATAGATCGAATTTGTAACCATTGGATTTGTTCTTATTTTTATAAAGCGGTTCGATGTCTTCTTGTTTGTCTGTAAAGGGAAGAAAAAGGTTGTTTTTATAGGAGTTAAACCAAAATATGCTGTATATTTGAAGGCGAAATAATCAAATACCT", "CATGATCGTTTTTAAAGCCTGTTTAAATTTTTGTTTTCATTTCAGAATGACAATGCTGATGAAAATTTAAACAGGCTAAAAGGAATATAATTCTTTTGATAAACCTAGTCAATGGCT", "GGTATAAACAATTATTTATCGTTGTACTTATCTGTCACTTTATGTAAGATAAGCTTAGGCTAAAGTACAAAAAAGAAACGAATAAGTGGATGCAAACTGGGCAAAAGTGCGATTCGAATTTCTGTATTCTAAATATAGTTGCAAGGAACGGATTTAATTAATATTGATAAATTATCAGACATATTCCCATAACTTCCGGTTGATTTTAGTGTTAGATATAAAAAAAAACGGAAATTATGGGAAAGGGGGATTAAACTTACAA", "ACCG", "CGTTATTAATATATTAGCAATTATTATATTTCAAATTTTCCAGTGTTACATTCCTTCTGTCTTTTGCATGGTTCATTCTATATCTCAGCCCTTTTGCATAAGATATGGAATAGC", "GTTCTTTTATCTTTTTGTTGTGCAAATATCTGAATTATAAATAATTTCTAACATGGATTTTAGTCTCAAATCCTGTATTATCGTACAAAAAAACGCGTGAAGTGTTATTGTTCTTTGTTGAAAGTATGGGTGTCAAGTTCTCATAGTAGGCTTTATCTTAAAGGTTTTCACTTGATTTTAATCTTGAGGGGGGGGGAAGTATTGTGCTTCTCTTATGTCAACATTTATATTGGCTAATAAGAATCTCATTGTTATCAGTGAAAATAGTTTTTTTAGTGTTCAACAGAATTCTATTTAAAAATGGATGTATAAAAATGTTTGTATATACTTTTGCTAATAAAATTATTTGTAGTTATATGTAGAACGAGGACTGCATTAGCCCTCGTTCTACATATAGACT", "ATTTTATGGATAAAGGA", "ATTGTATCGTTG", "AGGTAATGGAGTTTTATTTAAGATTAACCTACACGACTTTATCATCCATAATAATTGGACGCTAAAATAAATTTTCATAATTTTGTAATTGAGATAAAGTGAATAAAATGTTGTCTTTGCTTGTCGCCAAACAAGCATGGAAAGATGATTTTTTCATACGGGGTGATACGCCAATATCCTCCCGTATGTTTTTGTTTAGGGAGCCTGTTGTCTGTTCATTTTTCTAGTATTTAAATTAAGGGTTAAACGTATA", "GAATTATACTTTAATGTTTTCCTTTATATTATTAAGACAAGCGAGAATGAAAGGTATCTAAACGAAAA", "AAAGTACCTCTCCCTGAGTTTCCCTTGTTTTTTCCTTCAAATCCTTCAGCCCCTTCGCAAACGCCCGTCAATAAAGAAAAGCGGCTGAAGGATTTCCTCCCGGAATTCCTTCAGCCTAGTGTAGAAGTTTCAGCTCTTTATGGTTTGAGCTTCGTTTGTAGAAGTTTTCTATTATATTGTTTCGTTGTATAT", "TCGCTTATTTGTTTTTATCCGGTTGTTTATGCTTTCTTGTACGGAAGAGTTTGCTGCAACTTCCTTTCAAGTTAATCGTTTCACTTTAGTGATGAAATCATATCACTCTAGTGATGAAGTTGTATCACTTAGGTGATGAAATTTCATCATTCTAGTGAAACGATTAACTTGACGGTGCAAAAGTATGAAATAGTCTTGATAAAAACGAATAATTTCCCAGTTATTTTCGCTTAGTCACTTTTTTGCGGTAGTGTGGGGTAAATGCTTGTAAAAGGGTGTTTTGAGTGTTATCTTTGTGATATTACACAACCCCAAATAATGAAAAAGG", "AACTTTTTCCCGGTGTGATCCTTCAGCCGGAACGCCCTCCTGCAAAGGGTTTGCGGGAGGACTGAAGCTTTTGAAGGATTTTTCAGGGGGAATCGTATAAGGAGAAATAAATGAAAAAGATTGCTTGGCGATTTGTTTGTTCGACTTTTTTGGTGGTGTTTTTATCTATAAAAACATGGGAAGTGACAGTTTACATATTTATTACATTGTTTCTTCTTGGGATTATCCGGAAACGAGATGCTCCAAGTTTCATGCTGCTCCGGGAGATACTTTGCAGTTGAAAGTTTCCTTCCGGTCGGACAGCGTAGGGGTGTTTGTCCGTGAATTTCATATCTATGGCAACTTTTCCTTTTTGCCACTTGGTCTGACGGTGGAGGGCGCGATTGCATATAAGGCAAGCTCATAGCATATAAGAGAAAGAATTAACAGGATAATTTTGTTTTTATCGAAGGTTTATTGCTAACTTTGCTCTACCATCGTAATATGG", "GCCTGACCGGATGGGGATACGGATGTTTTTGAGGACAGAAATGATTTTTTTTATATTTTTCTTCATTTTCTTTTAGGGTAGTTTCTTCTTGAGTCGTTTCTAATAAAACAGCATAGAAT", "CCCTTAAAAAAGAATATGCCTATGAAATAACACACCTGGTCTAAAAAGGAAATGGGATAGTGCTGCAACACCATCCCATGGAATGTCAATGACTCATTGACAATTTTTTCTCAAATAATCTTAAGTTGAACCTAAAATTAGTAATGCAAATAT", "TATACATATACA", "GTTTTAGGGCATTCCAATTATAAAATATTGGAGTGCCCTAATGACAACTCGATATCATATGATTGATAAGAAAAGAGTAGCCATCATATGTACTACTTGTACGATGATACAGGAATCGTAACTAAATTCT", "AAGGAAATAT", "GCGTTGAATAGAAAAAAGTGTCTGTTCAATAGCCATATTCTTTATTAAGTCATTCTTA", "GCTTGTAAGAGGCTCTGTCATCGTATCTTGCAACATTTGTTTCACATCTTGAAACGTGGGAGCGGTTTGTATCAAGGTGGATTTTCTACATTTGCTGTTGATAAATCAAATGAATAATACC", "TATAGAAAACATTTCAGGCTCCCTAAGACTGCAAAAGGGAAGAAGGTCTTTATTGAGTTTGAGGGAGTACGGCAGGCGGCGGATTTCTATTTGAACGGCAAGCATATAGGATTGCATGAAAATGGGGTG", "TTTCAGAAATTAAGAAAATACGTCTATATTTACATCAATTATAAAATATCTTTTT", "GTGTCTATGAAAAGAAAAGTAACTTAACTTACTTGCCCAAGTAAGTCAACTTAAATGCCGAAGTAACTTAACTTACTTGGCCTATGAAGATAGCTTACTTTTCATTGGCTGGCTTGCCTGATTTTAAAGAGCATGGAGATTTTTCCATATTAAAGGAAAAATCTCCATGCTTTATGTTTGTATGTCAATAGAATAGCTGCCGGTCTTTCCCTTTTTTTATTTCTTCAGTGGGAATTGGTGAGTACTGTGATATATGGAATTTATAAAGTTCAATTAAAAAGGTCAAAGTGTATAAATTTCCATGCTAATGAACAAAAATACATTTTGGGGCTTTATAAATAACGATATATTTGTAAAATACTGCTTGAATATTTCAGATTGAAAGAAATAAGGTACTCATTTTAAATTTAAGGCC", "TTTATATGCTTTTCTGAATAGTTAGGAGGGATAAACTGAAAATCCGATTGATTGATCATATAGTTTGTCATTCTAAAAAATGCAGTGAAGAATCGGTCAACACAAAACGGATGTGTGCAGATTCTTCACTGCTGTTTTAATGTGAGTGGCAAATTGACGGTTTACTACACTTCTTTTATCAACTTCTTATTTTGTAACCAATACCT", "CTTTGGTTACTTCAGATGAGTTTCCGTTGATTTTATAA", "AATAATTTTTTTATTAGTTTGAT", "AACGATTAGAGATTTATGTTACACAAAAGTGATTTTTCACAAAAGTAAACCGAAGCATTGTCGTAAAAAATGCAAACGAGTCCAAAAAACAGTAATTACGTACGTGAACGCAATTCCATGTTTTTATAACCTGTTGTAAAATAGATTATTGTGGCGAAAATGTGTTGCAGGGAACACAAAGCTTCGAGGGATAATATTGTATTATTTTCCTGTTTATATTACCTTTGTATTCTAATACTATAAAAACATATTGT", "TTCCTTTTTTCTTGATTCAGTATGTCTTATTGTGATTTTTTTGAGGGATAGAGTACAGTTAGTTCTTTTTTCCGTCTTATTTTAAAATATTGAATCATCATAAAACAGCATGCT", "TTATTACCCAGAATTGTCTGCTTGAATAAGTTACTTTGGGCTTTTGAAATTTATAAGATGAATGCACAA", "CATTTTTGAGGAATACAGTCAAAAAGTCTTATTAAGTCTTAATGAAACGGGAAAAGTCAATGCGGAAATTGTAATTTTGAAAAAACACATTTACAA", "TAATAATTT", "GGAAAAAAATACCACAGGCTACTTAGGTGTGTAGTCTGTGGTGAATTCTTTAA", "GATAATAGATTTAATTATGTTTTTCTTATTAGACGCAAAAATAACCATTCTGTACCTATTCTCTATGCAAAAATTGGTGGAAAGCCTGTATAAATCGGTACAATGGTAAGATTTCTAAAAAAATCATTCTGAATGTCGCAAAAAAAACTGAAAACGAGTTGATTTCTTGCAACATTCAGAATGACAAGAGTTAATGAGTAATGGTGTGTACCATTCTAC", "TATCTTTAAATT", "TCTCTTGTGAATTAATAGTAAATGACTGTGTTTTCTACAATAGTATAAATTAAAAACTGCCCCAAAAATAAAACCTTTTCTCAACTTATCAAACCTGAAAGACATAATAATTAGTAAAAAGACAATTTTTGAACGATTTTTATCGTTATCGTGCACAAAAATAACCGTTTTCGCACACGAATACCAAATAATACACTTAGTAGGTTGAAAAGTGCACACTGCTTTTCGAGGAAAATACTACTTTTGTTTCATAAAATAAATAGGTCTAATACTAAAAATAAATGTCTTC", "TAGGAGGTGATACG", "ATTGATTTTGTAATTGCTTGAAAAGGAGACTTCTACGTTGGTGGAGGTCTCCTTTTTTTGTTGTACTGAATAATTGGATCCCGTGAAAAATGCCTAATAAATCCACATAAAAAGATAAAAACTACACATCAAGGTAATGTTATATCAATAATTTTGCAACAGTGAAACTAAGGTCAAAACCTTAAAAAACATTTTAATAACTATAAAAACACATCTT", "TTA", "TAATTCATTCGTTAAACGAAAATAAATGT", "AGGGTGTAGAACACATATGTCCAATACTTTATATCGTTTTTTTTCGTTTTTAGGTTGTGTTGTTTATTATAAATGAAAGTAAACGAAATAAAATAAAGAAAAAAAGTACAAAATTACTTTTGTTTTCCGAAAATATTTCTACTTTTGCAATGTGTGATTTAAGATACTATGATTATGGAGCACGCTTAAAGAGAACACAAAAAGAATTATTAAATCTAAAATGATTATTTGTTAACCCAGGAAAGT", "ATAATCATGAAAACA", "GTTAGTGTGAGTTTTGTTAGACTATAATCTGAGTCTGATAGAGGTGTGAAAAATGAAGGCTTTATTAAAAAGAATCTTTTTTATTCTACTAGCTAGAATAATAGGGATTCTTTTTAATGTTTCGCTTTTAGATAATTATTCTTTCTCTAGTGATTTTTTTACAAGAACAGAGAGTCAATACATTAGTGGATAAAGATATTGTTAATGTTCCTCCCAGATATTATTGGCCTATTCCATTCGAAACGTTGAGCAAGTCTAAAGGAAAGATAACGAATGGATATGGTTTGGCACAAGAATAAAGATTATAT", "TGCGTTGTTTAGCTGGAATTTAATGATTGAATTGCTT", "TTTATGAATGTGTTAATTTGCTAATATGCTAATTTGCCGTTTGGTTCCGCTATGTTCGCATGTCAATTAGTCTATTAGCAAATTGGCAAATTAGCACATTCTTCAAAATTTGCACATAATAGACCAATTTTTACCTTTCTGTCCCCTGTCTCTCGTTTACTTTTGTAGTAGAACAAATCTTAATCTATACAAA", "AATGATTATTGAATTTAATAAAAGAAAGTAATAGATAATT", "AATAACAGATACC", "CTTAAAGGGATGATTCT", "AAAATAGAATTCATTTCCACTGTAGGAGCATATTAAATGCCCAAAGGAGGGCACAGTAATCTGCTCCTGCAGTGGATGGAATATATGGCTTGT", "GATCTTGCATTTAATATAAAATGAATAACTAAAACTTTTCTTCAAATATACTTATAATATGGGGAGGGTAGTTCGTCGTGATTAAAATATACGAGGAAAAGACCAAATATTACAAATCCAAGCTCCGGAAAATGGCTTATTTTGCTTCATAATGATATTTAAACTTGAATCAAAACACTAAAACAACA", "AGTTTGTTAGGTATAGGTTT", "AAAGCG", "GCTGTTCTTTCTACCAGTAATAATACTTTGTCACTGTAGGGAGGAATTCTATTTGTTCCTATGGAAAGTGTCAGGGAAGAGTAGAATGATAAAGGGGGGGATTTAACTTTTCCCCTTTTGTTTTTTTAGGAGCTTGTATATCAGTATCTCTCGTTTTTTATGTATTTTTGCCTATTAAATATGAAAATCTACGAA", "CTTTTGCTGCTATTGGTTGGTTTTTGCAGCGTTTGTTTTTTTTGATTTCTTGAACGATATGTTTAAATGTAGGGTGTTTCTTTTGTTATGTGAGTGAGTTGCTCATGTTATTTTATTATTTTTCCGGATATGCAATGGGGGTTTTTCTGAATTTTTAGTCTTTTACATAGATAATGAACTAAAAAGAAGAAAAAG", "TAAGAAAAGGATAAATGAAACAAACATACACAAAATTCTTTGTTTAAGATTGTTAAATGAAGAATTTTGTGTATGTTTGTTTTTTATAAACACGATAAAAGTTCAATCT", "ATTTAGGATCATTGCCGGACGAATATGAAAGGAGCACAGAGGTTCGTGTCATTAAGATACCTTTGTGCTCCTTCTTGTTTTTATA", "AGGTTTGTTTGAATAATGTCTATATATACGAAACAAAGATAACTTCTTTCCTCCAATTGGAGGAAAGAAGTTATCTTAAAAAACATATAATAGGAATATAAATCTTT", "TGTTTCCATATAATTTTGTCTCTCTATTTTATTGGGTGCAAAGGTACGGGAGGTATATTATGATAAAATGTCATATATGTTACATTTGTGTTACAGGCGTTTTTTTTGTTCGCCACGGATTGCACGGATTCACACAACTTGATTTTTAATTTATTATTATCCATATTTAGTGGCGGATCTCTTCTTTTTTGAAACAAATATGAGTGGATAAATAATATTTCACGTTTATGTGTGGAATTTATAGAGTGAAAATTTATACCTTTGTAGCCCAAATACTTAATCTATAAAAA", "GATCATTGCAATTTACCATTTGCGGCTACAATCGGACTGTTTATACAGCATTGTTCAGGTAAATGGTAAATTGCAAATGTCAATTGTAAATTGCTAAATTGTAAATGAATTT", "ATGATTTGCTAATGAGGCAGTTTGCTAATTTGCTAATGCCATGCGGTATGGATAGCGCAGTACATTGGCACATTGGCAAATTAGCACATTGAACAATTGGTATTA", "GAAGGTTG", "AGC", "AATTCTGTTTTAATTGAGAATTGAAAATTGAGAACTAAGAAATAAATGCCATGCGGTTCTGTTATTTTTTAATGCTCAATTCTCAACTT", "TGCTTTTTATAGTCATTTATTTACTGT", "CGTTCTGTCTCGTTCTCTTATGCCTTCTCGGGCGGAATTACATATTTGGGCAAAAATAAGAAAAATATCGTAGTTTATGGGGATGAAAAAGCGATTTATTTTATCTTTGTTCTATAATAAAGTGAAACATACGGAGAGAAAAGCGTTTCCTTGCAGCTAAGCCGTGGTTTTCCACACTTGTCAGGGATACCGTCCGTGATGGTGGAGTAACCTTTCTCCTGCTTACAGGCATTGCTCTCTTTGATGATGACCTGTCAAGCTTTACTGCATGGAGCATACTTGCGGATGGGATAAGCCCCGTCCTTGCAGGAAATGAAACAATCTGTAAACCTTTGTTTGGGGAAGTGGCAGAGGT", "TGCTTTTTTTATTAAGGCTGCAAAGGTATTTATTATTCTAGGAATGAACAAGCCATCGGTATTATTTATGTTTAAAAGGGAGAAATAGAACAGTGTGGCCATTTGTTTGAACAGTTGGTAAATTGAGGTGGTAGAAATTTAATGAAGGCTGTTTTTATTGTTCCATTCATCTCTTTTTTCAGGCTCGGTATAAACATCTGTAGTGTGTGTGAAAGAAGTTTGTCGGTCCGGTTTTTCTGCTGTTGTGACATTCAAGAGGAGGAGATACGGAAAAATAATAATCCGTCGGCGGGATTAAAAGAATGCATATCGCATCCAGCCCTGTTGCCTAAGCCTTTTCTATCTCCAGATTAACCCTTTTTATGCGGATGTATTCTATCTCCATAGCCTTGGGAGTTAACTCCATAGCCTTGGGAGATAACTCCAAAGCTTATGGAGATAACTCCAAAGGCTTGCGGAGATAAAACAGACGGGAAGAAAACCTTTAATCATACGGCGGGAAAGGTTTGGGGATACAGGTAAAATCTTTTAGTGATGAATCCGGTGTATGTACAGGGTTATACATATATTATATTCATTCTTATATGTATGTATATGAACTTCCATACGTTCAGAATGAAAATCCACATCAGGGCAGGGGTGTTTTTTATCTCTGCCGATGCATCGTAAGCCGTCATTCCTTGGAGGTACAAATCTTTTATGGTGCTTTTTCATTGAAAAAGACTTGGAATGCTCTACTTTGTGTCAAGCTGGAATAGTTTATAAAAAAGAGAGTATATGATTGATAAACAGTATGCTATGTGAAATTTTCCTTGAAAATGTAGTGAGGGCATGAGGGTATGAGTGAGAGCAAGTATTTTTACCATTGGCGTATAAATGGTTTATAGTTAGCTTGTTATGTTTGCAGTGAGGGCATGAGGGCATAAATGCTTCGAACTTTCTAGTGGGAGCTGAAGGGAAAGGCTGATACGCCAAGAATATTTTGGAAAACTGATCCGGAAAATCCATTCTTGGTTTAACTCTCGGTTTAACCGAACCATGTAAACCGGCGCGTTTAAGGCATAAAAAAAGAGAGTTACTTTGGTGTAACTCTCTGATTCTTTGGTGATCCGCTTGGGGCTCGAACCCAAGACCCCAACATTAAAAGTGTTGTGCTCTACCTGCTGAGCTAGCGAATCAATCCTTTGTTATTGCTATTCTTCCGAATTGCGAGTGCAAAGGTAGACGTTTTAGATGAAATATGCAAATATATTACTTGATTTTTTTGAA", "AAAGTGTGTG", "TGAGCCGCATATTACTTCTATTCTTCCTCTTCTACGTGTTTCCTGGATGTGGTCTTCTGAAAAAACTACCATAATTTAGTTATAACAATTAGATTAATTCTGAGTGCAAATGTACGACTTGTACCCCGAAAAAGGATTATTTTCACTTGGAAATTTATTAATAAAAGATATAATGTTAAACAAAAGTGCAACATCTGTTAAATAATTGGGACAATTAACTTT", "AAATGAATAAACTTAAAATGTAAAGCAA", "GGAATTTAAATTATTGTTCTGTGAAAGGGTTGTGCCGTGAGTGACGCAACCCTTTTTTAATCTGCTTTATGTTGT", "AATTGAATGGAAGATGTTGATGGATAAGTCTGTTGGCACAAACAATGTATTTGCATAAGGAATGCCCGATATTTGTTTTCAAGGGATGCTCCTGTGTATGGGGGCATCCCTTGAAAAGCATTTTTCTTTCAAAATAAAAAATGTGATTAT", "ATCTTTCCTTTATTAAATTTTACCTTAT", "TCCGATAAAGGAAACTACCAAAGTCTTTGCGGAACTAACAAAACATATAAAGTGTTTATAATCAAAAGATTAAAATTTGTCGATTCTATTTATTTACTCTGCGGGAATTACTTTAAACAAAGTTATGATAACCTTTTTTCTACTTTTGTTCTACCTCAGAATTACTTTATACGATAAAAAAGTCTAATTGCGATAAATCTCATTATGATAATTGTATTGTAATAAGATTATAATCTTTTTGAAAAAAAACTGCGGGAAAAGTTTAATTAACTTGTAATCAAGACGTAAAGAGTAGAAAGTTAGGTAACAGGTAACGAATTAGTAACGGTGCGGGGTTCTTTGCGTTTCTTCGCTCTGCGCTGATAACTTGGTACAAATGTACGAATTTGGACTGAAAATAGGAAATAAATGCGGTGTTTTTTTATTGGGGGGATTTTTGAGGGTGAACGGATGGACGGGAATGAAAGGGGTGCAAAAAGAGGATTGCACTGTGCATTTTTATGAGATTGTAAATCGTTGATATTCAGGGTGTATTTTACAGGTGCAGGGTGCAGCTATATATATAGATATAGCTGCACCCTGCACCTGCCCTTTATCTTGTCGTAAAAAGGGGGTGGATGTGAGGTTTTACGGGTGGGGTTATGGCTGTTCGGAAGAAATGTATTATCTTCGTGGAAACCAAAGATAAATATATGCTGCGCTGAT", "TTCTTTTGAACGGAAATTGAATATCATTCAATCTTTTATGTGATATGTGAAGCACCTTTCGGGGTGCTTTTTTTATGTCTGCATGGAAATAAAATGCAGTAATTACTGTATTTTCTGAAAGTTTGCATTATATTTGGGAAAAATGGACTGCACCCGGTGTAATCAAGCAAGTTTGTTCTTGTTCCCGATTGCGGCATTTTAAGTAACAGACGAGAAAGAAAGCCGCTATATATTCCTGTTGTGAGCGACTATCGAAAAAGAATGGATAGGAAGCGAAAAAGGATATGTGAGGTGACGGGGTATCTTTGTCGCCATTGGATTATAAAATATATGAACC", "ATGTAATAAG", "AATATATGAACC" ]
[ true, true, true, false, false, true, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true, false, false, false, true, false, false, false, false, false, false, false, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, true, true, true, true, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, false, false, true, true, true, true, true, true, false, false, false, false, false, false, false, true, true, true, false, false, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 24, 25, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 84, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106 ]
[ "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124129|+|1336:2556", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124130|+|2571:2945", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124131|-|3290:3595", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124132|-|3642:3959", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124133|+|4391:4858", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124134|+|5142:5567", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124135|+|5569:6777", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124136|+|7019:10423", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124137|+|10430:13723", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124138|+|13727:13993", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124139|+|14096:15001", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124140|-|15392:15901", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124141|-|15898:17277", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124142|+|17278:17571", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124143|-|17531:18571", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124144|-|19678:20466", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124145|-|20491:22032", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124146|-|22057:24918", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124147|-|25461:26984", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124148|-|27003:29930", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124149|-|30524:31684", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124150|+|32052:35240", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124151|+|35264:36850", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124152|+|36942:38195", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124153|+|38209:40194", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124154|-|40342:42249", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124155|+|42388:44031", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124156|+|44137:46290", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124157|-|46463:48121", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124158|-|48210:49529", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124159|-|49526:52561", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124160|-|52581:53657", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124161|-|53744:54763", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124162|-|54777:55709", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124163|+|55732:55821", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124164|-|55830:56390", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124165|+|56629:58209", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124166|+|58612:59130", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124167|-|59153:62410", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124168|-|62435:64543", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124169|-|64598:65836", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124170|-|66094:66804", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124171|-|66843:68168", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124172|+|68327:69766", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124173|-|69763:70530", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124174|-|70514:70870", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124175|-|70888:71253", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124176|+|71287:71562", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124177|+|71564:71998", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124178|-|72002:72304", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124179|-|72358:73065", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124180|-|73077:73763", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124181|+|73955:77752", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124182|+|77794:78108", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124183|+|78144:78479", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124184|+|78659:80218", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124185|-|80323:80526" ]
[ "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000001|+|1299:1335", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000002|+|2557:2570", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000003|+|2946:3289", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000004|+|3596:3641", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000005|+|3960:4390", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000006|+|4859:5141", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000007|+|5568:5568", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000008|+|6778:7018", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000009|+|10424:10429", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000010|+|13724:13726", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000011|+|13994:14095", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000012|+|15002:15391", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000013|+|18572:19677", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000014|+|20467:20490", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000015|+|22033:22056", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000016|+|24919:25460", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000017|+|26985:27002", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000018|+|29931:30523", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000019|+|31685:32051", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000020|+|35241:35263", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000021|+|36851:36941", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000022|+|38196:38208", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000023|+|40195:40341", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000024|+|42250:42387", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000025|+|44032:44136", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000026|+|46291:46462", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000027|+|48122:48209", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000028|+|52562:52580", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000029|+|53658:53743", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000030|+|54764:54776", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000031|+|55710:55731", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000032|+|55822:55829", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000033|+|56391:56628", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000034|+|58210:58611", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000035|+|59131:59152", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000036|+|62411:62434", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000037|+|64544:64597", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000038|+|65837:66093", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000039|+|66805:66842", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000040|+|68169:68326", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000041|+|70871:70887", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000042|+|71254:71286", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000043|+|71563:71563", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000044|+|71999:72001", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000045|+|72305:72357", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000046|+|73066:73076", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000047|+|73764:73954", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000048|+|77753:77793", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000049|+|78109:78143", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000050|+|78480:78658", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000051|+|80219:80322" ]
[ "MRSTFKQLYYINRSKVKADGTTAIWCRISIDGKQAVLSTGIYCNPDDWNSKKGEVKDVRTNGRLSQYRQHIEDTYDTILKEQGVVSAELLKNTIVAESSLPTTLLQTGKQELERLKKRSVEIQSRSTYRQSIIFQDCIRLYIESVYDMQDIPLEEITEGFGNDYKTFLLKDLGCSTDKMNKCLCWLNRLLYLAVDREIIRANPIEDVEYEKKNPPKLKHISRNELKRLMATPFEDSNMELARRMFIFSSFCGLAYVDIHRLYPHHIGEAADGRKYIRKKRGKTNVEAFVPLHPVAERILSLYNTTDDTNPVFPLPIRDILWHEVHSIGNALEFEENLSHHQARHTFGTLLISAGISIESIAKMMGHTNIISSQVYAKITDDKISKDMDKLMERRKKQSADEKRTEN", "MDRGIITISETGAVTMPTVPVWMTQFEIAELFGVFSCDIRKAILTIYKNKELNKYDTMKYIKQLDGINYDVYNIEMIIAIAFRVCSKESTLFRRFVITEICTTKRETPITLFVSCSRGSNLWYS", "MNELINKDSEWIIHFMGSLDRLLDNVEHLTANYRPTLGGERFLTDKEVSARLKVSRRTLQDYRNEGRVAYIQLGGKILYRESDIERMLNDGYRSAYRQAAI", "MYMEIVSVERKTFEAMVAKFDRFISRMDAICHRHGEKTMSEWMDNQDVCRMLNISPRTLQTLRDNGTLAYSQINHKTYYRPEDVKRIVSIVEDRRKEARFKGRII", "MKREPNITEQQAREIVEKMGRRESYTPKSVDDFYRSIGIEPEEPEQPDKTVTEEAESAMTDEPSGVTTGEAAMPQKRVSGKQRRLSLEEYRTTYLQVPKIINRKPVFVSETVRDELDRVVRYLGEKGMSASGLIENLVRLHLDAYRNDIEQWRKL", "MKKNNKYGRNPKLNPKTHCVMVRFDDVEWNRFLTMYEESEVYAKAVFLKAHFFGQKFKVLKVDKTMVDYTTKLSDFHAQFRAIGTNYNQVVKELRCHFSEKKAMALLYKLESCTIDLVKLSREIVELSREMWTKWEQSKSD", "MASVKVKLRPSTVNGREGTLYYQVIHNRVVRQINTEYKLFVSEWDCHSETVVLHHLSPEQERNNYLLSISSRIRWDKDRLNKIIHILSQSGTFVTDDIVMRFRGGRQKQSFNDYISQQIARLKRLGKIRTSETYMAALRSFSGFMNDKAVLFDQLNADLFAEYEAYLKGRGNSPNTVSFYMRILKAVYNRAVEDGLTEQRYPFKSVYTGVEKTMKRALSLNDIRRIKGVDLSLKPSLDYACDMFLFCFYTRGMSFIDMAYLRKKDLLNGTLSYRRRKTGQQLFIKWEKCMQEIVDKYPINETEYLLPIIIKHDEDYRKQYTNELHRVNHLLKKIGKLLDLPIPLTMYVGRHSWASIAKSRNVPISVISEGMGHDSENTTQIYLASLDTTVVDKANKKILDLL", "MAIMARIYDNIEIKFEEGLNDIISNVGVKRVDFCVGYFNLRGWTKVVRQVEQLEGDFVIEGSESIYRTCRLLIGMHQPPTELVKILYAQGDNTPDADMVQKVKRQIAQDFRHQLLIGIPTKQDEWALRQLSAQLKLKKVVVKLSVREPLHAKLYLAHRPDDRSNPIQAIMGSSNLTYSGLTKQGELNAEFGDRDQAEKFNTWFNDRWNDRFCVDITEELVKAIDESWAAERLIPPYHIYLKIAYHLSEEARNGIKEFTLPPIFQRELFDFQQNAVKIVARHLNNDRRRGAMIGDVVGLGKTITACAVAKIYEMTYATSTLIICPANLQEMWRKYIRKYDLKAEVMSMSKVMDIDNMRYFRLIIVDESHNLRNAGKRYNQIRQLIERQSSRVLLLTATPYNKHYSDLSNQLRLFINDDQDLGVRPERYIESLGGERGFMQRHGDVHIRTIRAFNQSENVEDWNELMKLFLVRRTRSFIRENFAKTDDANGRKYLEFSNGARSYFPDRIPKSIKFKTESGDQYTRLYSEDMIALMEELALPRYGLSLYISEKEAANAPKHISALIENLSRAGARMMGFCKSTFFKRMDSSGFSFLLTLYRHVLRNCVYLYALDNKLPVPIGDDNSLPEDYSEDEDTGTDIFGRHNSDTSVDATLSIPTDIAVYIKKAGEYYNIIANKSNLSWLDTRYFKRTLKQKLKKDCETILSMIELCGKWEQKQDQKLNELKSLLRGDAHRNDKVVIFTQFSDTARYVYYQLRKRGFTNIDYATGDRDNPTSIVEKFSPNSNDAAGKYTTDEQTRILIATDVLSEGHNLQDAHVIVNYDLPWAIIRLIQRAGRVDRIGQQAENIYCYSFFPADGIEEIINLRSRLNDRINANANIVGSDEVFFEGNEQNLRDMFNEKAGVLDDADDDNDVDLASQAFQIWSNAIKADKKLKDIIPQMQNMVYSTRLTDENGIEGVVTYARTANDFDVLTWLDKDGNIISQSQQRILQALACHPNTQAQQPFDNHHELVGKAVEIICEQTVSNIGGMLGNRFSTRYRIVQLLEQYYDQGENLFFNADRREELKYAIDEIYNYPLQETSKFHLGRMLKHTGRQADDDIVEYVIELRRNNQLCIIADEDINDKENKIICSMGLRKE", "MNKRIFQQLLLNGDFRELFISELGWNKWKVRAELLPIVIDGTDYQFRTVADRNGLQVVVAEVNELPKASLARRIDAQLRKQAQDYIAIYVLCNKPGHHQWVIPVKNVDKRDLVSIEYESPEKTDFLFSKMQDLEFDPAERTTIVDVKAAVHSAFSVNSEKITKDFYAGFRKEHNAFAKFITGIDDELSEKDNRNKQWYASVMLNRLMFCYFIQKKGFLNSNVNYLRDKLEWCRKERGEDRFFSTFYRGFLTRLFSDGLNKPTHDREFQQQFGRIPYLNGGMFDSHKIEREYAEIDIADEAFISLFSFFDKWQWHLDTRVTSSGRDINPDVLGYIFEQYINDRAQMGAYYTKEDITEYIGRNTILPFLFDRVSKASKSMEKLFAPNGWIWYQLQQSGDRYIFDAVKQGYTPDWRERIPENIAIGLDATNPELLERRKDWNTKTPEPFALPTEIWRETIERLQRCENILNKICKGDITSINDFITYNLDIRQFAADLINADNAPQSFIYNFYDALRSVTILDPTCGSGAFLFAAMNILEPLYNDCITRFEGIERKSLDTQKALDEIKSKYRSNIHYFIYKSIILRNLYGVDIMAEATEIAKLRLFLKMVAVVDAQPLADNLGLDPLPDIDFNIRCGNTLVGYTNAESIVKDHNGDMFAIHDFEENVAAEMDKVARTFKLFKHLQLTQESEHHEEFIVAKHQLRDLLASLNDTLNHHLHNATASGMPYEEWLNSHQPFNWIAEFYDIIHGNGGFDVIIGNPPYVEYSKRNVRYSVQAFETLKSANLYSFVFERAFLIGCNKTAFIVQMSSVGTVGMESLQRFLIANSNIIRYGCFPERPQQLFEGACIALSIIICDKGCDMDKQIYSAGVYRTARDFRPYLFQNISSHQIDIEKHFLPQLFLFPKYKQMIERSIFQKMLKQTPLSNQLSNIKNSNNCITYRTAGGRYWKIFLNRPFANESTSNKNKPLITSFHKNLAVAILNSNLFWLFYVNYFDLYNLKDYMIFAFPYTPSPMYDNQLKKLGEILMNSYEQHKIENTQFIKSKQQISIFESFNPVISKPIIDRIDKILAKHYCFTEEELDFIVNYDIKYRVGDELNNEE", "MEPRYLGNMDLLTLRKVGFLASRKVVPPSVIPTINWANKVSHDPNIAIISSFQSTLEREVLDIALKGICGIIYVLNRSLYRQIPLNLT", "MFSEAKVTEIFCMADDFCKEFAKTQEKYMVEDKNHKHRNKPNRMSDAEIMVILILFHSGGFRCFKHYYKEYVCKHLAHLFPKRVSYNRFVELEKEVLLQLTIFIKEVLLGTCTGISFVDSTPLRVCRNQRILIHKTFKGLAERGKCSMVWFFGFKLHLIINDKGEILNFMFTPGNVDDREPLKQTKFLKNIKGKLCADKGYIGQALFENLFLNGIHLITKVKNNMKNSLMSVADKILLRKRALIETVNDELKNIAQIEHSRHRSFNNFIANSLSAIAAYCFFEKKPAIDVCFVKDGQLTMF", "MTILNDIATILHEDFKCDKLQFEEKDAGMKIDVDSSGCQCIVYKYDKKLGREYKGGLFPFFAKNEGVCKVSDYIVFAEYKRTLYCLIIELKKGKSQTFPQLLAAKDFVKYIVSTLNRVTKKAYTPEIRLISIHGINLRKKKTAITEVQYDQNSHCVVKSNLFKVKLYLT", "MIIELNGLGAIKQAKFDLTKKLTVFCGHNNTGKTYVAYVIYALTSRQKFTPKVLSDEAITKLFESGELNLTLDFSEICSFRKELVESIGDNLNELFGISKEQAKKFFGSFSLDFKTSEEDFKAKILSESLNAKFNGESFVIDVVKNKGELDVKFTLKINSNVTSRDLDSVRYMILPNLYTSISLYPIPRSIIFPVERNSIYTFSKELSIKRNILIDQMQDISNKQIDPLDFLLKRTTRYPQPIRDGLEIAEDMNNIQKSESDFKAFAEEIEEELLHGKVSISNEGEVQFTSSKAKSKKLPIHLTASIVKTLSSLVFYLKHLARSNDLIIIDEPELNLHPDNQIVLTRIFARLINAGFRLLVSTHSDYIVRELNNLIMLSSQNGGVVELRKKFRYRESEQIKSDEVSAYYFDFKTKTTVGVKQLIVNECGFEVPSIDNTIDNQNNVTEELFYALKYSEQE", "MLYDFYFNLQNYLFLLEYQWISDIFLSHHREIKYKCRCLSFFFVYSHLKKRSFELLQNEVKYRILLVSKSLPFKSLTLVSVCVLTRKKNHYDFSDGR", "VKRTAVRVSDGKAPVMARITIDGDIATFSAKLFVTPSLWNAEAGKVSGKSVEALEINPQLEEIKARINNHYYQILRVDDFVTSEKVRNAFLGIGVMENCILKDFQTMNKEFGEMVEKKLRAKSTYNKYLTVYKHLEAFMWEKRKRTDMAYKELTKDFIDDFDSYLRNEKGLSANTLWIYTMPILSLTDKAWRRGIIRTDPFSEYSLEMQETDRGYLTEEELKLIANTVFVDKQTNLVRDMFLFGCFTGLSYIDIKTLTYNKIQRMDFDGEEWIITRRTKTKVSSNVPLMEIAKELIERYKGLAEDDFVFPMPGNGTCNNYLKKIAAICKINKEITFHQRNHNDFSF", "MKVNKYIIGMFVATATLFVSCNTDNEGDIYNGTTMGVTFATGTQSVSFPSTGYEGFDVEVLRAKSSEATTINLSATLVVGDKEQELPASITVPSSVSFAAGEFKTNIHVTVGDITPGQNYKVKISLPEEMVTIDQTSDKVITVYRDYTFSSLGTGTFKSAAMAEEGEDFTTWEVEVQKADQISWYKAMNLYEKGYNIVFKVNEANEVTVESQPAWKHASYGEVFVSGKGALEDGVITVKLSHDVPNVGGFGEFKEILYLPAK", "MKKIFKYIFAGMAAMSVVACSEDALETSPSSSVSGNELLGTATNALVSLNGVYRAMYTAGVSNSSNTHQCFGITAYNLVADVMGEDCIMNGQGSGWFWYDCVYNVKSRYTSTGWRSYDMWNGYYTWISNVNYILAEEETMSGSETEKNYVLGQAYAVRAYSYFMLAQMFSRTYKGHESEPCVPLYTEPTDIATEGKGRATVEEVYQQITSDLDKAITMLGNSGKRKHISHINEAVASGIKARVALVMEDWQTALDAANAAISKSGCSIGTGTAVTGGMNDATANNVMWAAEIIADQSGMYAGFFTHMDADQGKYGASARKQINKLLYAKLGTNDVRKKWWNPQDENNEKNGYQQEKFKFKDYAKWTGDYIFMRIEEMFLTAAEASCRLNDDKGARLMLNSLMQERDEDYTCKKTGTALGKLTSDETGSLLEEIIIQRRIELWGEFGRIYDIRRLKQGFRRTADMGWPSSALIAGTDTEDPESYAWVLTISQTEFDGNPNMDPSKDQNPIGDHK", "MVVAYGTAKKESFTGSASVINNKKLELRPISNVTKGLEGQTTGLLTTSGSGQPGEAAKIVIRGYGSINASQDPLYVVDGIPFSGDMSSINPADIESMTVLKDASAGALYGARGANGVIMITTKQGKEGKTKVSWRSTAGWSSRSLKAYDMVDQKEFVQLTYEGLRNGYIFDNGYNWEAAGRQASADLGGVLGGEQYNPFKNYTWGTIIDPATGRVQGDATSAWNESWMDAIQRDNAFRHEHQLSVNGGTEKTKYMFSLGYLNEDGILINTGFQRYNARANINTEVNKWMKTGLNVSLSNSTQNFSDYEGSSNSNVWYSAQFMAPIYPVYIKGEDGKDVLDADGNRQLDYGDGSVQRPQYSDFNPVGGLVDDKADIKTDVAGLRTFLAFGSDSEDAGWAKGIKLTLNFGLDYRNKSQKSYMNMHHGNQAAAGGLLMKYNRRTQSYTFNQLLTWGRSFNFYNIDLLVGHEFYAYKYEFLSAGKTNLVDGILELRPGTNLYNADSYSEDYRVESFFGRFNYNFNEKYYFSASIRTDGSSRFQKDNRWGTFWSVGANWRISQEKFMQNLIWISNLSAKISYGEQGNDNLLRYDSLEGGYVPDYYPWQGLYPLEYPNANQVGGLIGALENKKLSWEKSGNLNVGIEASMFDGRLNVSAEYYNRKTTDMLLGYPKATSSGFSEYNANIGSMRNTGFEFSLGGSLIKTTDFIWNLTWMGSTVTNKVLKLTGESPEIIKGVFSIKEGMPINTYYMAKSAGVDPATGAQLYWVYDKDDNGNITNEYISSDYQKAANSKYYSGSRIPDLYGSINTDFSYKNFDLSILGTYSIGGKVYDSLYAGSMEVMYAGNTWNKHALRRWQQPGDITDVPRIQIGGSYTASDRFLVDASYFAIKNITLGYTIPKQWLKKAGLESVRIFGSVDNLALFSHLDGMDPQYNFKGETDYSYAPNKTYSVGFEINF", "MKKILKYTAFAAMVGLFSSCSDSFFEAESGDIITSDQMDEVDPVAGLNGMYAYLYKFDTMGYGDEAQHYDYGYHHILLCSDLWGQDMIQYSSKYGWYYTDYQFNQNSRAYQYISTFYFWNYLYKLIKSANDVLKTTTDDSKKEDRGQALGMRAFAYLTLVQMYQHTYAGHENAPAVPIVLETTEPDVLSNNPRASVKEVYDLIEKDLVQAHSDLSSYQRLNSTYIDQTVISGLLARMYLLKEDWTNAAKYAKEARASYGAPADKAELIDEGYIDMNKHHTWMFTSHITTDAEIVQTGIVNFISHISSTAYGYVTAGGMFKNISSELYSKIADNDIRKGWFADKDFTYEGGPMGSVALPKYANLKYMWYDLEGNNCNDLCYMRAEEMWLIEAEALAMGGNIAGGKSLLEEFVKTRQPDYVCDATDAKGIQDACWLQRRIEFWGEGIAWFDLKRLKKPIIRKYEGTNHNLDAQYDFPAEDDIFRILIPRKEIQDNNGIGEEDNNPMPNI", "VVSFIGMATQEVNIKANLNIVLKSDTEQLEEVMVVAYGTAKKSSFTGSAAVVKNDKIEKMQTSDVTKALAGAVSGVQITTASGAPGSGTSIRVRGLGSINASSEPLIVVDGSPFDGDLSMINSQDVESMTVLKDAAANALYGARGANGVVLITTKRGKIGKATITVDAKWGSNSRGVPEYDVMRDPATYYTTYWRELKGMYEANGEANPGQLASNALITDSGSGLSYNVTTVGNNEVVLPDGTFNPNARIKYADNWEKEMFHSGLRQEYNVNMNGANEKTSYYLSFGYLDDEGYIVKSGFTRYSARLRLEHQFNKNIKMGGNFAYVNTSTVATSATEEQDQTAGTNMFYVSRTMAPIYPIYKRDENGNFMYDSHGRIVYDYGDTPGMQRPVSGNSNALGSQSLDDRKNGKDYFSGNMFAEISFLKDFKFTFRAGIENDNTRQMVFQNGEYGQFTAQNGIATHYSTRNMTINLQELLTWERTFGEHSVNVLLGHETYQNKYDYLYGSKNNFALWGSTSLNHAVSNPQAGSYVTEYTTEGFLGRVEYNYKDRYYFSGSYRRDASSVFHPDYRWGNFWSVGASWRLKEENFLKDVEWLDNLKFKVSYGSQGNDYLLLNGVRNRYAYMDQFSVSNNNGQPAITQTYKGNDKLKWETNYNFNTGIEFSVLNGRLSGGFEFFSRYAKDLLFNRPLAASTGSNSYPDNIGDMRNTGFEVELSGDIIRTSKINWNISVNATTYKNKILTLPEEKRESGIWNGIFKMVEGGSYYDYYIKEWAGVDPEDGKAMWYKDVTDKNGNTTKETTKTYSEATDYKAGCALPDLYGGISTSLNAYGFDFSIALTYQLGGQGYDYTYATLMNSAQGAGTNYHNDILNAWTPENKYTDVPKLNAKESNNNSTSTRFLTSTSYLSLQNISVGYTLPKNWIAKLGCESLRVYFVADNVALLSARKGYDPRTNWNGESNYNYSALRSISGGITMKF", "MRKISYRFVYNRKKSLNDRGTALVQVEAYLNKKKVYFSTHVYLRPEQWDVKRKIIKDHPNQDALNGMLNEFIIELEKKELSLWRQGKTITLSLIKEEFKSNTDASFLGFARKEIMSSQLKDSTKRNHLTTISLLQSFKPTIEFEDLTYNFVTDFEKFLYESGYQTNTVAKHMKHLKSFVNAAINKGYIDPNNYAFRRYKIKMKEGKHVFLLPEEMKRLEEVSLTGRNSCLEHTLDAFLFCCYTGLRYSDFVNLNEKNIVKMDGKLWLIFDSVKTGTEVKLPLNLLFEGKALTLLQKYQGKWSSFFSIKNNSSVNKELIRIGKLAKISKHFSFHSARHTNATLLIYKGANITTVQKLLGHKNLATTQIYGEVMGSTIIRDLKKCQKR", "MKRKLMLLLTCLFVGIGLVTAQITKVTGTVISEEDGLPVVGASILVKGTTVGTVTDMDGKFTLSNVPSSAKTLVVSFIGMATQEVPVKANLNVVLKSDTEQLEEVMVVAYGTAKKSAFTGSAATIKNEKIATRQTSNVTNALAGQVAGVQTTSNNGQPGKDAEVRIRGIGSISASNKPLYVVDGVPYDGEISAISTSDIESMTVLKDAASNALYGARGANGVILITTKRGKSGEARVTFDAKWGVNKRGVPNYETITDPATFYELNYSSIYNADLKGYAAAGDLAKANAYANQAMLSSTYLGYQVYSIPQGQQLIGMDGKLNPNATLGYSDGTYYYTPDNWSDEIFENNLRQEYNLSISGATEKMNYYMSAGYLDDKGIVPNSGFQRYSARLKADYQVKPWLKMGGNVSFTHYDSREQDTEGGTSNANIFYASNIMGAIYPMYVRDAQGNIMVDNRGFLRYDYGKPGQDSNGSRNTIPNANPLASYMLDKMKYSGDVVSGKWSADIDIWNGIKAKVNIGVDVNNVRATEMVNPFYGQYSETSGVGGLIGVSSERTFSVNQQYLLTYNKTFNDVHNVDILAGHESYDYKYQYLYGQREKLYDPNVPELGNGIMNQSNNSYSRNYATEGWLFRAQYDYDGRYFVSASFRRDASSCFHPDNRWGNFWSVGAGWLLSKEKFLENQSWIDMLKFKISYGLQGNDNLMFQGGLYRNYYPYQDQYTLANSNGDFSTSLYYKGNKEITWETSHSFNTGFDFAFWGGKLGGSVEYFSRKTTDMLYFKPVAASMGYSRFPENVGSMVNRGVELDLYSNIIENKNFSWNVNFNLTHFKNKVLELSPELNGQLIDGARIYREDESMYQLYLPKYVGVDSETGESLWALVTPDENGNTVTKSYSVASENRFASGDILPKVYGGFGTSVTAYGFDLSVSFAYQLGGRILDYTYQGLMDVAATGSALHKDMLKAWTPENKNTDVPRMNINDQYTNRLTDRFLTSSDYLSLQNITLGYTLPKSLTRKMQIDGVRVFFVADNVALLTARKGLDPRQGYVAADNVYSPIRTISGGISLNF", "MKLSNKYIAFASVALLMASCDLDKFPEGDYISEEQKEDIINGRPNLITAEVNAMAAKLNTFGTISDDATTYHNDYGIPAVSMILESGGQDLVALVNGYNWFNTSQNYSDRVYDSSSDELIWKTFYNHLKAANNVLKLIAADTEDSSLKVYRGQALAARAYDYLNLVQIYQFTYAGHENSLAVPIVTETMTDEDMQNNPRATVQQVYDQIMSDLNTAADLLTGYDNGSNKDQIDEAVVYGLRARANLLMQKWADAAKDAERAIAGGTPQTLAQVSTPTFNSASASSWLWGVMITPDNDVVQTGIINWPSHLCSFTGNGYTSGVPDGYRKVNSALYDLIPETDIRKQWFLSPDNKSSLIDNEQIEGTSIVEYFGLTPYVNTKFGAYQSIFGNTTNASDWPLMRVEEMYLIKAEAEAMGGNLSGGKSTLENFVRTYRDPSFTSKANSAQDFQDEVWLQRRMELWGEGFSLFDILRLKKPVVRKNTNYDPSVQYNSAAEAQILIYRIPQCEMETNSGISDTDNNPAAPQPQL", "MKEFVISEAQVETAILVGLITQTQDERKTKEYLDELEFLAETAGATVVKRFTQKLPAANSVTYVGKGKLEEIKEYIHQEEENEREVGMVIFDDELSAKQIRNIEAELKVKILDRTSLILDIFAMRAQTANAKTQVELAQYKYMLPRLQRLWTHLERQGGGSGAGGGKGSVGLRGPGETQLEMDRRIILNRMSLLKERLVEIDKQKSTQRKNRGRMIRVALVGYTNVGKSTLMNLLSKSEVFAENKLFATLDTTVRKVIIENLPFLLTDTVGFIRKLPTDLVDSFKSTLDEVREADLLIHVVDISHPDFEEQISVVDKTIADLGAGGKPTMIVFNKIDAYTYIEKAEDDLTPKTRENITLEELMKTWMAKLNDNCIFISAREKINMDELKTIIYNKVRELHVQKYPYNDFLYQTYDEE", "MKTYRSLTQEEIQQLKERSCTAVDWDEIEVVENFKTDYIYHTRFSGKVRLGVFEDEFTLAGGMRKHSGLYHATLHNVTVGDNCCIENIKNYIANYIIGDYAFIENVDIILVDGRSKFGNGVEVAVLNETGGREVPIHDRLSAHQAYILALYRHRPELICRMKAIIDRYAEENASDTGTIGHHVTIVDAGYIKNVRIGDYCKIEGAGRLKNGSLNSNEQAPIHIGYGVVCDDFIISSGSNVEDGTMLTRCFISQACHLGHNYSASDSLFFSNCQEENGEACAIFAGPFTVTHHKSTLLIAGMFSFMNAGSGSNQSNHMYKLGPIHQGAMERGAKTTSDSYILWPARVGAFSLVMGRHVNHADTSNLPFSYLIEQQNTTYLVPGVNLRSVGTIRDAQKWPKRDKRKDPNRLDQINYNLLSPYTIQKMMKGRSILKELRKVSGETSETYSYQSAKIKNSSLNNGIRFYETAIHKFLGNSLIKRLEEVRFSSDEEIRARLIPDTEIGTGEWVDISGLIAPKSEIEKLMADIESGILTNVDQIHDRFVEMHRNYYTYEWTWAYGKMLEFYNLRSDEITAKDVIAIVKKWQEAVVGLDKMVYADAKKEFSLSAMTGFGADGSREEMEQDFEQVRGVFESNPFVTAVLQHIEAKTALGNELIERIASI", "MKRLGKFLFITSLLLCFLIPRGWTQTQAKNQPDSIELKLKEIYTKREVMIPMRDGIKLYTAVYEPKDNSRQHPILMHRSPYSCSPYGEGFDRHFRTNLKNYIEHRYIIVFQDVRGRHKSEGIFIQVRPLNKNKKGKKDKKNIDEATDTYDTIEWLIHNTYNNGNVGTWGISYDGFYATMTASSNHPALKAVSPQAPVTDWFRGDDRHHNGAFTLLQTTNFLPRLEGRHMGKGVMNQIVKNDVYTDFLALGTFKNVDDLVRDTTQTLWNDIKNHPDFDDFWKERDARTSCYNLKPAILVVGGLYDSEDCYGAWNLYKAIKEQSPDTDLYLTFGPWWHGAWTVRGFQGFGNLYFGKSTSAYYMDKIEYPFFRYFLEGKGEKPKHKVNIFHTGENEWKTYDEWPVQKTAGTPYYIHKNGSVSTQAPAEQESYSEYISDMSRPVPYTANPTTYRTKEFMVDDQRFATSRPDVITFMTEPLCDTLTLAGPIEVELMTAISSTDADFMVKVIDVYPEKFEYSKTARSYLKSDYPMSGYQLMIRGELFRGRFRKGFDNSLPFKPEEITPVNYTLYDVAHTFLPGHRLMIQIQSSWFPIIDRNPQRFIDTYHCTVEDFVMKQKIKIYHQQGAASRVILPVVKK", "MATPPFHYQHMFPLGPDKTEYYLLTKDYVSVSEFEGKPILKIEKEGLTAMANAAFRDVSFLLRRSHNEQVAKILSDPEASDNDKYVALTFLRNAEVSAKGKLPLCQDTGTAIIHGEKGQQVWTGYCDEEALSLGVYKTYTEENLRYSQNAPLTMYDEVNTKCNLPAQIDLEATEGMEYKFLCVTKGGGSANKTYLYQETKAVLNPATLVPFLVEKMKTLGTAACPPYHIAFVIGGTSAEKNLLTVKLASTHYYDELPTTGNEYGRAFRDVELEKQVLEEAYKIGLGAQFGGKYMAHDVRIIRLPRHGASCPIGLGVSCSADRNIKCKINKDGIWIEKMDDKPGELIPAELREAGEGDVVKIDLNQPMADILKELTKYPVATRLSLNGTIIVGRDIAHAKLKERLDRGEDLPQYIKDHPIYYAGPAKTPEGMACGSMGPTTAGRMDPYVDLFQSHGGSMIMLAKGNRSQQVTDACKKYGGFYLGSIGGPAAILAQNNIKSIECVEYPELGMEAIWKIEVEDFPAFILVDDKGNDFFKQLKPRCLGNCK", "MKKLRLLFVLLWMTSNLFSSPVTGLLERIDKGASSKFIIERQKSETDFFELDQKGDKVIIRGNDYVNIATGLNWYLKYYAGIHLSWNGMTAKLPAVLPPVTKKERHETDLPYRYDLNYCTFSYSMAFWDWERWEKEIDWMALHGINLSLALTGTESVWRNVLLKLGYTKDEINEFVAGPGFTAWWLMNNLEGWGGPNPESWYTRQEKLQKKIVKRMREYGIEPVLPGYCGMVPHNAKEKLGLNVADPGFWCSYHRPAFLQPEDERFEEISALYYRELTKLYGKTGFYAIDPFHEGGSTQGVNLDAAGKAIMKAMKKTNPDAVWVAQAWQDNPRTPMIEHLEAGDLLVLDLHSECRPQWGDPASEWCRKGGYGQHEWVYCMLLNFGGNIGLHGKMDALIDGFYDAKADVHAGRTLRGVGMTPEGIENNPVMYELVMELPWREHRFTRDEWLKGYVYARYGVEDEALQQVWDLLGNGIYNSPKEKIQQGTHESVFCARPGLDVYQVSSWSEMKEYYNPQDVIEAARLMVSVADKYQGNNNFEFDLVDVLRQALAEKGRLMQKVVTAAFRAGDKQVFELASQHFLHLILLQDQLLGTRKEFKVGTWIEAARSAGQTQEEKALYEWNARVQITTWGNRVAADQGGLRDYAHKEWNGILKDFYFMRWKAYFDYLACVLDGKQPEELDFYTLEEAWTKETGFYSSIPEGNTVVVAKNIFEEVF", "MGLFIKKPFAALQAEANESGNKTLKRVLGPWSLIALGVGVIIGAGLFSITGTVAAGYTGPAITLSFAIAAIGCCFAGLCYAEFASMIPVAGSAYTYSYATMGELIAWIIGWDLVLEYTVAATTVSISWSRYLVVFLEGVGINIPHALAACPWDGGIVNIPAALIVVLMSIFLIRGTEGSSIFNGFIVFLKVAVILIFVVLGWKYINAENYVPYIPANTGTLGEFGFSGVLRGAAIVFFAFLGFDAVSTAAQETKNPKRDMPVGILGSLLICTILYMVFAYVMTGVAHYSDFAGQQGIAPVAVAIDHMGHADATGVIHPDYPWLNRAIVLAILFGYCSVIMVTLLGQSRVFLSMSRDGLLPPFFSKIHEKYRTPAHSNLLFMVIVGGLAAFVPARVAGEMTSIGTLFAFTLVCAAVLIVRKSMPDVHRAFKTPFVPTVPILGILTCLCMMLFLPADTWIRLVLWMLIGLDVYACYGVKHSKLEHNVKRRKGLTILNMTGIALSVLSVITGLWHQQTVGWEEDKTLLAISFVFAFTHCAFYMVRIWKQTSEKKK", "MKRIIITLCICTAWVASTYAQYPYTLKKCLEEGLANNYSIRITRNEEQISHNNATLANAGYLPTVDLSAGYTGTLDNTDTKSRSTGTTASERNIYDQTLKAGLDVNWTLFDGFNISTTYKKLKELERQGETNTRITLEDFIAGLTAEYYNYVQQEIRLKNFLYAVSLSKERLRIVEERYHIGNFSRLDYQQAKVDFNADSAQYMKQQELVVTSRINLNELMAVQEVNRPLRVNDSIITVNDALNYDELWESTLKTNANLLKADQNTTIAQLDYRQVLSRNYPYVKLNAGYGYTFNKYETNATSRRSNWGLNGGITVGINLWDGNRRREKRNASLQIRNSQLEREQLELGLKADLSNLWQAYRNNLRLLNLERQNLVAARENHEIAKERYLLGDLSGIEMREAQKSLLDAEERILSAEYNTKVCEISLLQLSGRITKYLE", "MNISELSIRRPVLATVLTIIILLFGLIGYNTLGVREYPSVDNPIISVTCSYSGANADVIENQITEPLEQNINGIPGIRSLSSVSQQGQCRITVEFELSVDLETAANDVRDKVSRAQRYLPRDCDPPTVSKADADATPILMVAIQSDSRSLLELSEIADLTVKEQLQTISDVSSVSIWGEKRYSMRLWLDPTKMAGYGITPVDVKNAITNENIELPSGSIEGNTVELTLRTMGQMHTAKEFNNIILKEVGGRVVRFSDIGYAELGPADIKSYMKMNGVPMVGVVVIPQPGANHIEIADAVYQRMEQMKKDLPDDVKYSYGFDNTKFIRASIDEVKSTVYEAFVLVIIIIFLFLRDWRVTLIPCIVIPVSLIGAFFVMYIAGFSINVLTMLAVVLSVGLVVDDAIVMTENIYIRIERGMRPFEAGIEGAKEIFFAVISTTITLVAVFLPIVFMEGTSGRLFREFSFVVAGSVVISSFAALTFTPMLATKLLIKREKQGWFYQKTEPFFEGMNRIYARSLNAFLKRRIWAIPVTVIMLIAIGVLWVQIPAEMAPMEDRSQISINTRAAEGASYEYIRDYTEDINNLVDSIIPDAESVTARVSSGSGNIRITLKDIKDRDYTQMEVAERISQAIRNKTKARAFVQQQSSFGGRRGSMPVQYVLQAVSIEKLEKVLPAFLSKVYDNPTFQMADVDLKFSSPEMRVNINRDKAGTMGATVRDIAETLQYGLSGQRMGYFYMNGKQYEILGQINRQQRNTPANIKSIYIRSDKGEMIQLDNLVEFVESVAPPKLYHYNRFISATVSAGLADGKTIGQGLEEMDKIAAQTLDETFRTALSGDSKDYRESSSSLMFAFILALILIYLILAAQFESFKDPFIIMLTVPLAIAGALIFMYAGGITMNIFSQIGIIMLIGLVAKNGILIVEFANQKQESGENKMQAIRDAALQRLRPILMTSASTVLGLIPLAFATGEGANQRIAMGTAVVGGMLVSTFLTMYIVPAIYSYISTNRNVKTETV", "MNKRIKWGIIILIGAGLAGLGIYQFTPHENEELTAADALPKENKQRTLKVNAQVIKPHLLTDEILVTGRLVPDEEVSLSFETSGKITDIFFTEGTLVKRGELLAKVNDRQLQAQLKRLEAQIPLAEDRVFRQNALLKRDAVSKEAYEQVKTELATLNADIENIKANIDMTELRAPFDGIIGLRQVSTGAYASPTTVVARLTKVTPLKVEFAVPERYAREIKKGTNLEFKVEGKLDTYHAQVYATESSIDMETHSLNIRAIYPNRNGELLAGRYADIQLKQKEIEDAIAIPSEAIVPEMGKNKVFVYRSGVADPVDVIIGLRTEAEVQIVRGLSVGDTILTSGTLQLRKGMPVELQAIN", "MKKHLLAIALFALCTTGLNAASPKKVAPEKKGVETINRATAEAHIGFLACDELEGREAGWKGGRIAGNYIISCLKQMGIKPLDGDYIQPFDVYHAERQVKGKRWQVHPDSIAELKKVVYQKLALRNILGKIEGKNPNEIVIIGAHYDHIGYDPMLEGDQIYNGADDNASGVQAVLQVARAFLATGEQPERTVIFAFWDGEEKGLLGSRYFAMNYPDIKKVKGYLNYDMIGRNNREDQPDYFVYFYTAAHQAFGDWLKKDIEDYRLQLSPDYRAWDNPVGGSDNGTFAKLGIPIIWYHTDAHPDYHLPGDETQKINWLKIVDITKASFLAMWKLANEKKY", "MKGLVIKNTGSWYLVKTEDGRTIECKIKGNFRLKGIRSTNPIAVGDYVQIIINNEGTAFISEIEDRKNYIIRRASNLSKQSHILAANLDQCMLIVTINYPETSTIFIDRFLATAEAYRVPVKLIFNKTDRYNEDDTRYMDALINLYTYIGYPCFKVSALNNIGTDEVKKDLEGKVTLLSGNSGVGKSTLINAILPEQTLKTGEISDYHNKGMHTTTFSEMFPVDGGGYIIDTPGIKGFGTFDMEEEEVGHYFKEIFEYSAHCKYGNCTHRHEPGCAVRDAVEKHLISESRYTSYLNMLEDKEEGKYRAAY", "MKKEELDRTDKSKAVQDNSSFFIIIASLF", "MIDAKTCINDAQEKMDMAVMYLEEALAHIRAGKASTRLLDGIRVDSYGSMVPISNVAAVTTPDARSIAIKPWDKSMFRIIEKAIMDSDLGITPENNGEIIRLGIPPLTEERRKQLAKQCKGEGETAKVSIRNARRDAIDTLKKAVKEGMPEDEQKNAEAKLQKVHDKYIAKIEELLAEKDKEIMTV", "MEENYRRYPIGIQNFEQLRNRNCVYVDKTELVYRLANTDSVYFLSRPRRFGKSLLVSTLEAYFQGKKDLFKGLAMERLEKDWNVYPVFHIDFSLTKYTTLFDLQEQLNLFLLRCEKVYGAEKEEKTPAARLQGMIRRAYEQTGLPVVVLIDEYDAPLLDSNSNIPLQQELRNELRKFFSPLKGLGQYLRFLFITGISKFSQMSIFSELNNLKNISMRDDFSAICGITERELLDELRPDIERMALANGETYEAACAHLKRQYDGYHFSKHCEDIYNPFSLFNAFDAKEYKNFWFSTGTPTFLIDLLQETDFDVRQLEGVEATDEQFDAPTERVTSPIPVLYQSGYLTIKGYDPEFQVYRLAYPNGEVRKGFIESLLPAYLELPGQSSTFYVVSFIRDLRKGDIESCLERTRSFFASIPNDLENKTEKHYQTIFYLLFRLMGMYVDSEVKSAVGRADVVIKMQDAIYVLEFKYDGTAREALAQINSRLYAVPYRKDGRRIVKVGINFDSATRTIGDWVIEVEDTVDNL", "MITLYLARHGQTEENIARIFQGHMPGTLTVEGIAQAEALRDTLRNISLDAVVSSDLKRCVDTARIAVEGRNLPWEKTVLLREIDWGSWTGLAIKEVDLQHFPADVETEAMLYERAGRFVDYLKERYDGKQVLAVGHGLINRAVQAHIQGVTLEHLRSVPKMNNAELRRFKLT", "MKTTYLLLCLLGIGSVSGAGQTKQPQKIGDFIESTSYNEHRRNATRSLQYTPDGDDFVCINGKNRFTRALYGSHTAFRLETSDRPVFAAYTKENPKHICFKLQTSGGTVALDSTEHCESRYTAGRRSYSLSDPAFGGGSLSITTWALPDKEGAIWQFNARNFKEFHPVLLASISEIRNSKLNRNGDMGADPADSFEAPLQPQQLQSFPVQIDGTLYILLENQELRTLTTAEGENLFKKAEAARSETASRIRIETPDPYFNTLGGTLAMAADGIWDGEVWLHGAIGWRMPLSGWRAAYTGDVLGWHDRARTHFNAYAASQVTEVPNTLPHPAQDSALHLARSVKKWGTPQYSNGYICRNPHRNNQMHHYDMNLCYIDELLWHFKWTGDLDYVRQMWPVITRHLAWEKLNYDPDNDGLYDAYACIWASDALYYNSGAVTHSSAYNYRANKTAAQLAEKIGEDPTPYRNEAEKILKAMNERLWLPGKGHWAEYQDFMGHKRVHESAAVWTIYHAIDSETANPFQAYQATRYVDTAIPHIPVTAHGLKENGYATIATTNWLPYSWSINNVAFAEVMHTALSYFQAGRADAGYKLLKSSVLDGMYLGDSPGNFGQISFYDAARGECYRDFGDPIGVASRVLIQGLFGILPDALNKQIILRPGFPADWDKASVSTPDISYRFTRKEDTDTYHITQRFQTPLHPVLQINARKEKIRSVKVNDVPATWQSIESAHGYPLLSIQAEGTSSTTITIEWEGAPLHTLAAQEPVIASNGKLALQIPSGASISQVYDPQSVLAKHTMGATAFNAQIKGEPGHHTFFVYTHQGEMDWWQPVNIYIENTRKAPSYTDFADIRPEKCRMVDFDRQLNASVTDIYQNEYLSPRSPYTTLQLPTQGIGEWCHPLLTATIDDSELRSLVHHDTFQTSLGIPFRLKEKGNNILFTSLWDNYPDSSTISLSGTASHAYLLMAGSTNHMQCHIANGIIRIHYADGTSQATELTNPDNWCPIEQDFYVDGKAFQVPAPRPYRLHLKSGKVSRDLGKELNITGVYGREIEGGAGILLDIPLDDSKELKGLTLETLSNDVVIGIMGITLQ", "MKHTIYALTMFALAACTSPQEEAIDRHALVTRNNPEVTAMDSLSSLSVGNGEFAYTVDATGLQTFPEVYKNGVPLGTQSQWGWHSFGNPENYKPEEALVEYDFGHGHKELYATQPKEPGRAKEASDWYRVNPHRLHLGIIGLELENEVRPSDVQNIQQSLDMWNGIINSRFTLKETPYHIQTVCHPERDMIAARLSARQPAGIKFHFPYPTGGHCDDACNWEANDKHSTTLVSEDAQSAVLKRTLDATTYYVTISWEGAAKLREKSANYFVLTPTDSVFTFTCQFTPQASAAPILTFAEVQQASSGHWQNYWTQGAVADFSQCTDVRAKELERRVVLSQYLLAIQCAGSTPPQETGLTYNSWFGKFHLEMIWWHQAQFALWGHPELLDRTLSWYETVEPIARQIAERQGFKGIRWMKMTDPSGTEAPSKVGSFLIWQQPHLIYLAELLYRANPSEELLKKYNSLIQETAEFMYSFATYEEEHDRYVLKGAIPAQETLRAAETVNPPFELSYWHFAMQVAQTWRERTGMERVPEWDVLIEKLSPLAYNDEQLYLAAETAVDTYKDIRFTSDHMAVLGAVGILPMNQLIHAGYMKNTLHWIWDNWNWDKTWGWDYPMTAMNAARMGEPEKAVSALLMDKRTNTYLVNGHNYQDGRLRIYLPGNGGLLTTVAMMCAGWDGSEGNNPGFPKDGKWNVRWEGLQPLP", "MKNYCYICLLTACMAPMSLQAQKAIPYSGEVCVAPLPTVPTTLPGISSDSYARRFADSEMLRFPKAYQLDHGKRLFFGYSQGVGCCAMLDMWKNTGDKRYFTYVEEWADSLINEKGEIHLYDMSTYNLDYINSGKVLFDLYKETGKEKYKAAMDLLVEQLKRQPRTLEGGFWHKLIYQHQMWLDGLYMASPFLARYGAEFNRPDLIDEAVKQFTLCHKHTYSPETGLYHHAWDESKSQRWANPQDGTSPNFWGRSIGWWFMAMVDVLDYIPDNHPGRASLISWIQGLAESLPNYQRDGLWYQVIDQPERESNFPEASVTTQCMYAYAKAVNKGYIDARYRTIAEKAFNGLKKTLLRENPDGTLTLTRCCQVGGLGGTPYRDGSFEYYISEKMRDNDAKATGPFIMGCLQLGK", "MARFKRILLKLSGESLMGEKQYGIDEKRLGEYAQQIKEIHDLGVQIGIVIGGGNIFRGLSGASKGFDRVKGDQMGMLATVINSLGLSSALGAAGVKARVLTAIRMEPIGEFYNKWKAIEAMENGEVVIMSAGTGNPFFTTDTGSSLRGIEIEADVMLKGTRVDGIYTADPEKDPTATKFDDITYDEVLKRGLKVMDLTATCMCKENNLPIIVFDMDTVGNLKKVMTGENIGTLVHN", "MIQLNNGNKKILQIAIPSIVSNITVPLLGLIDVTIVGHLGSAAYIGAIAVGGMLFNIIYWIFGFLRMGTSGMTSQAYGRHDLNEVTRLLLRSVGVGLFIAFTLLALQYPIERTAFTFIQTTEEVEHLASLYFYICIWGAPAVLGLYSFAGWYIGMQNSRFPMYIAITQNIVNIAVSLLLVYGLGMKIEGVAIGTLTAQYAGLVMAYLLWLRYYSTLRKRIEWHSFFDKQAMYRFFQVNRDIFFRTICLVAVTVFFTSAGAAQGEVVLAVNTLLMQLFTLFSYIMDGFAYAGEALAGRYIGANNQKALHTTVRQLFGWGVGLSLAFTLLYSIGGQSFLGLLTDETTVIHASESYFYWVLAIPLAGFSAFLFDGIFIGATATHLMLKAMIVASVSFFLIYYGFRGAMGNHALWMAFITYLLLRGVMQGVMGRNILGYKTSKKD", "MKENFQIHIWLGLLLCLLGMSCSDDTPAKGDDPGNGNTELEVNEWIESVMRSDYLWNNDIPAQNKLDFSADPQTFFRSMLSLKDGKTRNGKHLYYYSYMEKNKDYKARTSIDADDTYGMEFTLFNVVNDSNQPLGYYYARILYVLPNSPASSAGLERGDWIVGVKGKNNINSDNYGILLNGDRTQWLVKRGDTEVRTIDIEASRAVEDNPLFYHNVYTRGDKKIGYLVYNHFTSGPNGYSDRTYDEEMKKIFAGFQAQGVNEFVLDLRYNGGGYENSANMLAGLLIPEASRKKVFAVFSDNKGQSYSNDFCVETKGTAGYLKLNSNRIYILTSQSTASSSEVVINSLNPFMDVTLIGELTEGKNVGMEMQKNDKYEWIYWPITLRVTNAVNDDYSAGFKPDIEWNEYDLTQNPTDALLPLGDPDEFMLGKAISLITGINRSARSMNTLSQPIMRGESVYQSTERHATGGMLMVPEEKNN", "MMRSPNWSSKILKEETVTSTNDRLAELCQEKEIKEFTTLMAEYQTAGKGQRGNSWESEYGKNLTFSTVFYPQTIAPASQFILSMAVASAICTALAHYVHADCLQIKWPNDIYWKDKKIAGILIENDLTGSQISQSIIGIGININQEEFHSSAPNPVSLRQITKKETDRMEVLNSVLEHIIDLYSRIENRETDIITKIQEYYLKAQYRKEGYHPYCDAKGEFTAKLIRVEPDGHLILKDKNGSLRKYAFKEVKYLL", "MDIETIRDYCIRKKGTTEEFPFDNVTLVFKVMGKMYACIGLDNPEWLSLKCAPEYALELREHHSGIEGAYHFNKKYWNQVSLQGDIDDKLILSLIDHSYEEVIKKFTRKLKKEYDEIP", "MAEHNEFGKEGEEEAAAYLIDKGYSIRHRNWHCGKKELDIVAEYRNELIVIEVKTRKNTRFGNPEDAVTDKKIRRIIASTDAYLRKFSVDLPVRFDIITLVGEKTPFTIEHIEEAFYPPIW", "MLCIYRSKANFAKNMANNVKKRVKVPASTPKRKQRMVCLMSEEEVRIVDCYLKKYKITNKARWLRETVLTFIHQKMEEDYPTLFNEHDMRR", "MADDTYYMKQALMEAVKAAEQGEVPVGAVVVCRDRIIGRGHNLTETLNDVTAHAEMQAVTAAANTLGGKYLNECTLYVTVEPCVMCAGAIAWAQTGKLVFGAEDPKRGYQKYAPDALHPKTIVVKGILADECARLMKDFFKKKR", "MFGFLGFLLIIFLLIVFIGFTILGNILRVLFGIGKHPPYQNRTSTHQKQNTYAQTQTNDENENFAEFSSSSSNRHSHSSGNRKKIFDDDEGEYVDYEEVK", "MANCITRHIPNAVTCCNLFSGCIASVMAFNENYTLAISFIILGAVFDFFDGMLARLFKVSGPLGKELDSLADDITFGFAPSAIVFSLFKEVHYPDFLLPVADYMPYTAFLISVFSALRLGKFNIDPRQSSSFIGMPTPANALFWGSLTVGAHSFLISDSFNAAYLFILVVIMSLLLVAEIPMFSLKFKSLAWKQNKVSYIFLIVCIPLLAFFKISSFAAIILWYIILSLLTKKRA", "MNRLKKLKKIRLHREGTSILIVSAILLIGINALLFWGIECKIPFYIFATASIVVYLLMVNFFRCPIRLFEHDTEKIVVAPADGRIVVIEEVDEHEYFHDRRLMISIFMSIVNVHANWYPVDGVVKHVDHHNGKFMKAWLPKASTENERSMVVIETPEGHTVMARQIAGAIARRIVTYAEVGEDCYIDEHMGFIKFGSRVDVYLPLGTEVCVKMGQATVGNETVIAKLK", "MQDFVHLHVHTQYSILDGQASIPRLVDKAMADGMKGIAVTDHGDMFGIKEFFNYVNKKNSSTNSEIKDLKKRIAGLEKGTVECDNPETELVACKEKLETAKKKLFKPIFGCEMYVARRRLFNKEGKPDQSGYHLVVLAKNEKGYHNLIKLVSKAWTEGFYMRPRTDRVELEKYHEGLIVCTACIAGEVPRNIIAGKYEEAEEAIQWYKRVFGDDFYLELQRHKATVPRANHEAYKLQQIANEKLIEYSKKFNVKLVCTNDVHFVDEENAEAHDRLICLSTGKDLDDPNRMLYSKQEWMKTRAEMNEVFADVPEALSNTVDICDQVEFYSIDHAPIMPTFAIPEDFGTEEGYRKKYTEKDLFDEFTQDENGNVVMSEEAAKAKIEKLGGYDKLYRIKLEADYLKKLALEGAHKRYGEVLSEEVQERIKFELHIMKTMGFPGYFLIVQDFIRAAREELDVSVGPGRGSAAGSAVAYCLGITKIDPIAYDLLFERFLNPDRISLPDIDVDFDDDGRGRVLNWVTEKYGQEKVAHIITYGTMATKLAIKDVARVQKLPLSESDRLCKLVPDKIPDKKMNLPNAIAYVPELQAAEVSPDPILRDTIKYAKMLEGNVRNTGVHACGTIICRDDITDWVPVSTADDKETGEKMLVTQYEGSVIEDTGLIKMDFLGLKTLSIIKEAIENIKHSKGIILDIDEVDISDPPTYALYSEGRTIGTFQFESAGMQKYLRELEPSTFEDLIAMNALYRPGPMDYIPDFIDRKHGRKPIEYDIPIMEKYLKDTYGITVYQEQVMLLSRLLADFTRGESDALRKAMGKKLRDKLDHMKPKFIEGGRKNGHDPKVLEKIWTDWEKFASYAFNKSHATCYSWVAYQTAFLKANYPAEYMAATMSRNISNITEITKLMDESKATGIMTKGPDVNESYLKFSVNRKGDIRFGLGAIKGVGESAVQSILEERERNGEYKDIFDFVQRVNLSACNRKNIENLALAGAFDSFTGIKREDFFVKNAKDETFTEVLVRYGNKYQMDKAAAANSLFGGENQVDIATPEIIPSPAWGDLERLNKERDLVGIYLSAHPLDEYAVILENVCNVHMAELADLTPLQNRDLTMGGIVTAVREGYTKTGKPYGIAKVEDYSGSAEFAFFGNEWVEKKNFFMTGMFLFMRGKCQPKQWRQEEWEVKISTIELLPEVKEKIIEKLTVSAPLSALDEELITEFSALIKAHPGNAELYFHVMDEDGQMYVNLMSRTMKISVQKEIMTYLKSQPQLSYKIN", "MALEIKDSNFEELLASGKPVVVDFWATWCGPCKKIAPDVEALAEEYKDQVIIGKCDVDDNDELTGKFGVRNIPTVLFIKDGEVKDKTVGAVTKAQLEEKIKALL", "MGMEDDFLLEDEDDQKTIEFIKNYLPQDLKDKFTEDDLYYILDVIVDYYTSSGCLDVQPDEEGYINIDQDEIVNYIVKEAKKDGMGPYDPDDVFFVVQGEMEYGNSLGQVD", "MAKKKNSQFLPGLLEDIMSLLTPEEQEIAFELFNDGANQVDEELSHIYYHHQCPDYRLIAQPIASYLMPLWTMDDMSSLSPAEIYSSCAVIPQETLERDLRNFIFNIFVVYRKMPEKCYSYRMWYALGIMEHFRMEHCLDIVLEVLRQDLDFYDFYFGYLYETMLSAITYQLGQNQLDVLMDFMKEPGLLPMSKYRVIEAVAHIVITHPDRREEVMDWFGNLLSYYFDVLKEQKNDICSTLLLDHVTACMMDIRGVETLPILQKIYRTYHIKPYGIPSINELKKKMPYAEMHGLEMERVEDYLVEVFEVATDEEEDEEIYDDPLYMEEQPAKKFRIKIELKDSEPLVWRILEVPSNICLERFSEVVEVAMGWDGYHLHRFIKGNTYYLPPKDRADDCFFEGVPKQFDSGMLSLGELLSRKGSKIKYEYDFGDSWMHEIILESRQSYKREEIPAIVLLDGENACPPEDCNGIWGYREMLKALEKPRSKAAREYKEWLGYDFDPTDFDLDEARGLLAEIVD", "IKAIFVLVGLLSIMAALFNWEWFFTAHNTQFIVNNVGRQRARLFYAVLGLLMIATGIYFFLNVQGIV" ]
[ "TGCAACTATTTAATCAAGTTCAATAAGATAATCAGTT", "AAAAACGAAGCATT", "GTCCATTCCGTTAGTCACTCGTTACCGATGTCGGATGCAAAGGTAGCGTATGGCTGTGACGGCAGAGGCAAGGTCAGGCGGCGATGCCGTTTCGGGCAGAATCTTCCTCAAACAAGTTTGAGCGTATTCAACCCGAAAATCTTGCCACTGCCTGCCATACCCTTGAAAGGCATCCGGCAACGGAAACAAGCGACTGACGGAAAATCAGAAGAATAGAAAGGAACGGCTTACAGACAAAGCAGGATATTGGTGCTTCATCCGTAAGCCGTTCCTTTTTCTTTTTGTTGTTACTCCATTGCTCAGCAATCATAGGGCAGACGGCAAACTGCGCTCCTTCAAGAAAA", "ATTACTTTGGATTTAGTGGGATTATTGTTATTACTCTATTCGGTTA", "TATTCTTTGTTGTTATTGTTTCTTTTGCCGCAAAGGAATATAGAATCTACTGTCCGGCAATGGCTTTCCCGAAAGTGGCAGCGTGTTGCGCCGATATGGTAGCGTTTGTCCGGGTTAGCAGAGGTTGGCACACGTTGTAAGTGGTGTTAATCCCCTTGATTCTGAAAATTAGGAATGCGGCGAGAGTGAAAATAAGGGCTTAATTCAAATCCGCTCTCCATCTCATCTCTATCCGTTCCGCTATTCATCTCCGGCAAATCAGTGAAGTCTTCACCGTTGTTCCCATCACCATATTGCAGAACCGCACAAAATTGTCTAAGAGAATCCAAACGCCTGAGTGACTGTACTAAAGCTCCTTACTTTGCTCCCGATAATCGGTCGAGGTGCTGACCAAGACCACATTCAATAACTTAATCAATTTGTTTTTTACA", "CGGAATTATAGTGGAACCGGTTGAGCCGTTGGATGCACTCCATCGGTTCGACCGATACACAAAGTAAATTATTACACTCGGAAATCAATCCGACAGGCGGAGGATTTTTGTGTCCTCAAAGACACAGCAGCAAGGTATGTTTTCAGTTACCCGAATATCCCGGAGTAACTGAAAACCCTTGCACCGCCGTGGGCAGAATTATCCTCCGAAGTCGGATAATTTCAGTCTTTCTTTATCAGAGATTAGACAGTGAACAAACCATAAAATTGAAAGAATAAGAAGC", "T", "AGCCGTGGATGTTTTGCGAATCTGTCCAACGCTTGCCAAGAGACGGATATTATAATGCAAAGTTACGCAAAATAATGATTTTTAGGTCATAAAACGCTGAAAATCTTGTCTATTGCCGTGCTTTTGTTTTGTAAAAGATACCAGATGTTTTATAAAAGTTAGCTATGAAAAATAAAATACAACTCTATATCAGCAGGATAAGAAGGCTTATCCGTCTCTTGGCAAGAGATGAAAATCTTGA", "CATACC", "ATA", "GTTCGATATAAAGATTGAAATTATCAGTTTGAAAATTAGGAACATAGCGATAAAATCATTAAATTTATAGTATCCAATTATAACATTTAAGCGATTATCACT", "TTTATATCGAACTCACGTTAACCTACGTCCGGCATTCGATTCAAACCGCATCCTTTTCATATCCCTAACCTCAGAAAAGACGACTCGTCCTTCTGCCGCAAATGCCAACATTCGTAACCACTACATCACAAACATTGCTGATACACTCGTTTTCGCATCAGTAAATGAATCGTCATCTCTCCATCATCTGGCACAAATTAATAGACCAATTCTATTGCTGTGATAAATAACAATAAAACAAACTGATAAGAATTTCGTTTTATGTAACGGCATCACAGTTTCATGTATGATATCCAACCAATAGTGGGTAAATTACGAAATCATAAATATCCTTATGGTTTACACAGGATCCAATTTTATCTCTTCGGTAAGAGTGGTTGTAAATGCGTG", "GTAGAACAGGACTTTAAAGGTGCTTCTTTTTGTTTTCATAACTCTAAATATTCGTTTGTAAAGGTAATTACTTTCCCGTTACCACGAGTTATGAAGAATTACGCAAAATAGTGAAACAGAACTTATTACCATTACAGTAGGGTGTCATGGATTAGGTAATGACTTAGTACCTGAACTATGGCTTAACCCTACTTTTTGGGGCTTTTTTTGCCGGGGGCTGTTTCTTTCCGCTTTGCTACTAAGATGCTGATATGCTTATTTTTAAGCTAAACTTTGCTTTTCTTTGCGACTGTTCCCGATTTTTTGAAAAAAAAATGCATGAAATAATGAAAGAGGAGATCCAAATTGGAATTTAGAAATGGGAGAGAGCAAAAAACGTCTGTTACTTTGGACGAAATACTTAGCTATCTAGGAAAAGCAGACAAAATCTGCCTAGTGGCGATTGACTAATTTCAAGTTATTGCTCAAGATCCGATTCGAGAAAGAACGTTAAAGCCATTTTGAGCATCGATATATAACATTGTACAATGCCCATTTTATATATTTAGGTTCACAATGTCATATGACGGGAGAAATTTTTATAAGTCTTCCGGCTTTTTATCAAAGTACTATGATTTTGGATCAAAAATCTATCAGACTGAAAGAATATACAAAATTTGTTACACACCATTTCCAGAAAAGCGGGAAGTACATTAATAAAAATGTTATATCTGAAATATATCAACTGTTTGACGGCATAATATGCTATATCCGGTCTATGATCGTTTTTTTGAGTTTTGGCTGGGAAGAACATTAAAAAACTGATGGAAGATTCCGTGTGTAATCAGAATTTGACTTCCCGTCAGGAATTACAATCAGTTCATAAGGATTGATGGTGGTTTGTTGTGTTCCTTCCAAATATGTAACTAAATATTTAACATATATGTCTTTACAGGCACATTTATATAGTAATCGAATTTGAGTTAACAGATGTTTATCGATAATTTCCAAAGACCGATTTACTATATAGATTTACCCAAGTATACATTAATTTTGTATACTTGGGTAATAATCTATTGGATAATTAAGGAGAAAGCTTCATCTTTTCCTCATATCTTAAATCTAAA", "AATTTACTTGCTTACAGATTAATA", "ACTTTCCTGTATTCTTTTAAATTG", "CACTTCTCCAAGCACTTTAGTATCAGATTTTAAAATGATGCTAACATTCTGTTTGATAGAAACCTCTTGACTAGCCATTCCGATAAAGGAAACTACCAAAGTCTTTGCGGAACTAATTATTTATGTAAAGAACTGACAATCAACACAAAAGTATTTCTTCGCTTTGCCTGCTTTTCTACTATATAAATTGTTTGAGAAGTATAAAAAAAATAATTTTCTACCTTTATTCTACTGAAATAAGTAAACCAATTTATATAAATTCGCTAAATTTGCCATTGTTCTATTTATTTATGAAATGAAATTCCATATTATTTTAATCAAATAAACATTACAATAGTCATATCACAATATTTATTACTGGAATAAAACGAATGCTTGAAACAAAAACTAATTGTAAGAAAAGCTTTTTATTATCATGAAAAAGACACTATCAAAGGTATTTCTTTAAACTTTATAGTGAAATATAATTGTAGCTATAATTATAAAGGGACGTTCCTACAATTAAGAACATCCCTTTTATAGAAAAGTTAATATGAGAATTA", "GCTTTCTTGTTTTATCAA", "CAAAGTCTTTGCGGAACTAATTATTTATGTAAATAATTGATAACCAGTATATGTATTACTGAATCTCTTATTCGTTTTCCTTGATTTAAGCCGTTTAAAGAGTATGATAATAATAATTTTCTACCTTTCTTCTACTGAAAAAAGAATTGACTTGCAGCATTCCTGTTCGTTGTTACTCTGTTCATAAAATACCTGAAATACTAAGATTGATATTTTTCAACTTTTTAAATATTTCAAAACTTCCAAAGATTGTTTGCACTTTAGATTACAAAAGAAGATAGTAGGACATGAAGTAAATTAGCAAACTATTATTATCATTTTCTGATATAGAATTTTATATGCGCATATTATATGATATACATATATGAATTTTGCAGAAATTCAATAACCTTGATACTTATTTTCTACCCGGTTAGGCTGAGATGGAAATATTGTCACATTTTATTTCTTTTAGTTTATCGTATTGCAATCACAACAAAAAATTATCATGTTCACAAAACGATAAACTTTATTAAGAAGATAAGGCTATATTCACTTTTGAAAATGATTCTTCTTCATCTTTATAAGAGATAATGTATAGACAATAGAGTTAA", "AATTTAATTCTTTAATTGAAATTCTATAATAAGACGCAATAAAGAAAAGTAGAAAAGCATTATCCTACTATTTTGTTCTCATCTTTATATTTATTAGACGATTCGTTAACATTTATAGGTTAATGTATGTTTACCTGATCAGTTATAAATTGAAAGTTTCTCTTTTTAACGACTTAAAAAAAAGAATAAATATGAGGTTTATTTTCGTCTTTTGTGAGTTTTAAATGCCTAAAAGCATAAAAATATAGCAGTTTAGTATGTTTTTTACAATAATTTGTTTGATATTACAATTTTATTCATACCTTTGTCATTTGTTAGAGTGAATGAATTTATATTAATGTAAAGTTAAACTTTAAGAGAGAATTTT", "TTTAGCAACCCATTTTTAAGAAT", "GGAATAACTGTAATAAAAAAGAGGATGACGTTCAACGTTCATCCTCTTTTTTTGTTATATTTGTCGCGAACTTATTTAGATTGATAGATAA", "ATACATATAGAAG", "TATTTTTGATGAGAGTATCCAGACTAAGATGAACCTTCGGAAAAGTTACAGATGGTAGTTACATATAAAAAGAGCCGTATGAATGCTGGAGTAGTCATACGGCTCTTGCTATTGGATGACATTTGAGCTTGTTGGGATACTTCCTTT", "GTATAATGTGATGTTATTTTAATGAGTTGGCAAAGGTAAGGACATTCCTTGAAAGTTTTCACGCTAATTAAGGAAAAATTTCGATAATAGTTACTACCTTTGTCACACTAATAACTTTTAACTAAAATAAGTATCAAT", "TTAAATTATTCCGTAATAATACTAATACAGCCTTCCTCCCTTTAAAGGCGAGGGGGCTGTTCTGTTTTTTATTTAATTGGTGTTATCCGTTACCTTAATAATATG", "ATGTTCTTTCTACTATGGATAACTTAAGACTTTCATAGGTTATAAGGCTTGATTATGGGTTCAGAACCGATGGGTATGAAACTATGATAACTTTATTCACGGTAGGGGGTGGATTGAATTTACCCCTTACGGTGAATGAAAGTTATGGAAATAGATAGCGTGTGGAAAACGC", "ATCTTTTTACAACATATTTAGTGATAATTGTGTGCAAAGGTACGGATAACTGCCCTGAATTACAAGCTATAAGTAAAGGCTATAACGA", "AAAAGTATTTTTTTAGTTG", "GTGCCTTTCTATATGGGTTTAATAAATACGTTAATGTAAGATTAGATAAAAAAACGTGGCGTTCAGTTTAAACGCCACGTTCTTTT", "AAAGTTGTGGTTT", "AAATTAAAATGAAGAATGAAAA", "GTTCTTCA", "ACTGTTCTGTTATTTAAGTTGTTTATTGATTCGTTTTAAGTGAAACAAAAGTAATTTATTTTTTGATTATCTGCAATAAAAGAGAGATGATTTTATATTTCTAACGGCTCTTTACCTTATCATCACCAGTTTAAGTTGTTGGGGCTTTATTAAAAACAAATGAAAAGTTTTTGTGTTTTATCGGATAACAGCTATCTTTGTTTCTATCCCATAAGCAGAATTAGAAAACAATGAGAAT", "CTTGCAGGCGGAGTGACATTTCGAAGGTGGCTGTCTGCTTTTGATGAATACTTAAGCCTTTTTCCCCTGTATACTAAGTCTTTTCTACTGCATGATTAAGCTTTTTCATACGGTAACCTACTACAGACTCCGATAATGGACTGTATAAACTATTGTAGTGGACCGTACGGTCTGATGTAACAGACTGTACAGTCTACTGACGGAGTCTGTAGTAGGTTACCGCATGAAAGGCCTTAACTGTACGGAAGAAACCCCTTAATCTACGGGGAGAATACTTTTATAGGCAAGATTGTTATTTTTTTATCATCTGTCTGAAGATTGCTTTTCTTTGGAAAGGAGAATAAGAAGGTTGCCCTTTTGAAGTAAATCTGGCCGGTAAGCATTATCTTTGCATTAAAAAAG", "TTCCGCATTGAATAACACGTGG", "GATAATAACTGCTAACTCCTGTTC", "TGTATATAGCTAATTTAAAAAGATGGATAATTCTGTTGTTTATGCGATATGATT", "GGTATTTCCTGTCGTTTTTATAGTCTTTGAATGATTTACATTTTTTGCTGATGTAAAAATAGGCTAATTAACGCTATAAGTCCATGAATAATTGTTCATTTAGATGGAAAATCTATCGGTTGAGTCTCTTTTTTAAGGAATATGTGTCAATAAAGAACGAATTTGTGGAAAAAATGGTAAGACAGAGAAAAACTGTTCACCCATCTGTCATCTAGAAGGAAATAAGGAATGACAAATGGATGAACTTGATGAGATAA", "ATTGGTTTGTCTTTTAGTTTTTTGCAAAGATAAAAATA", "GAGTGTAGATTGATAGAGATGGATACAAAGGTACTCTCTTTCTCATAAATAACCAATGAAAATTTAAATTTGAATTGAAAATAGTTGTTGTTTAAGATGATATATCATTGGAATATAGATATATTTGCGGTTATTAAAATGATCAAAACAGGAGAATT", "ATATAATATATATATTT", "TCTGTTTCTTTTTGTACAAAAATACTCTTTTTT", "G", "TTC", "AGTAATTTCTTTTTTTTATATACCACGTACAACAAGCGTGCCACGTTTATTGT", "TGGTGATTTTC", "GATTGTATAAAACCAATTATCGTGCAAAAATAAACTTATTTTGAAAACCTTGCAAATAAAAGTTGTTAGAAATGCCTATTTCGACTTCATTTAAGATAAAAAAAGCGAATGTTGATAACTTCTTCTTTATCTTTTTGCAGGTTTTATGAAAGCAGAGTATCTTTAGACAATTTTTTAGTAGAGTAACGATT", "TATATTTGCGCTAACATTTTAAATAACTAAGTATAATAATT", "TAAAAAGAATATTCACCCCTTTAATATATACGACT", "GAAGAAAGTCTCATAATAAAATAAAAGATGCGTATCTCTATGTGGGGGATGCGCATTTTTTTATACTTGAAAACAAGGTAGTATCTACTATTAGCTCTCTTATTTCTTTTGTAAAAGAAAATAATAGAATACCTTTGTAAAAACACTCATGCTCATTTTAACTATAAAAACATTGCTTA", "CTGGATACCTTGTTATGGGAAGCGGGTAAAAATCCCGCCCCTTTCCGGAAGGCCTTATGTTTGTGAATATGGAATTAACTATTCACATCATCTGTTTATTGTGT" ]
[ true, true, false, false, true, true, true, true, true, true, true, false, false, true, false, false, false, false, false, false, false, true, true, true, true, false, true, true, false, false, false, false, false, false, true, false, true, true, false, false, false, false, false, true, false, false, false, true, true, false, false, false, true, true, true, true, false ]
[ 0, 1, 3, 5, 7, 9, 11, 12, 14, 16, 18, 20, 22, 24, 25, 26, 28, 30, 32, 34, 36, 38 ]
[ 2, 4, 6, 8, 10, 13, 15, 17, 19, 21, 23, 27, 29, 31, 33, 35, 37 ]
[ "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124186|-|80669:81082", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124187|-|81072:81923", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124188|-|81980:82399", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124189|-|82404:83630", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124190|-|83789:84841", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124191|-|84992:85753", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124192|+|85941:86702", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124193|-|86686:87864", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124194|+|87885:90068", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124196|-|91872:92732", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124197|-|93004:94065", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124198|+|94121:94567", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124199|+|94649:97546", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124200|+|97641:99077", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124201|-|99046:99606", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124202|-|99597:100415", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124203|-|100418:101104", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124204|+|101202:102620", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124205|+|102727:103431", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124206|+|103458:104390", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124207|+|104422:105663", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124208|+|105700:105996" ]
[ "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000053|+|81924:81979", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000054|+|82400:82403", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000055|+|83631:83788", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000056|+|84842:84991", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000057|+|85754:85940", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000058|+|87865:87884", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000059|+|90069:91871", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000060|+|92733:93003", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000061|+|94066:94120", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000062|+|94568:94648", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000063|+|97547:97640", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000064|+|100416:100417", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000065|+|101105:101201", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000066|+|102621:102726", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000067|+|103432:103457", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000068|+|104391:104421", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000069|+|105664:105699" ]
[ "MEIKINSSDSIHEAAKQFIAAMGDNTVFAFYGKMGAGKTTFIKAVCEELGVTDVINSPTFAIVNEYRSDETGELIYHFDFYRIKKLDEVYDMGYEDYFYSGALCFIEWPELIEELLPGDAVKVEIEESEDGSRVMRF", "MDIVELLHYTFFQHALLGSLFASIACGIIGTYIVTRRLVFISGGITHASFGGIGLGLYAGISPLLSAAIFSVLSAFGVEWLSKRKDMREDSAIAVFWTFGMAVGIIFSFLAPGFTPDLSAFLFGNILTITPADILLLAILSILLILFFTLFLNPIIYIAFDREFARSQRIPVALFEYILMMFIALTIVSCLRMVGIVLAISLLTLPQMTANLFTHSFKKIIWWSVITGYAGCLGGLFISYKLQVPSGAAIIFFSILIYTFCKIGKSVYLCKQKKLNQTNGYGN", "MLILIIGLIILAGIAMAVGVLHNRQIQKKIESGELKAAPEIVEADAECCGQHEICEKESLLAAVSKKIEYYDDEELDRFRGRPSNGYTEEEVEEFREIMYTCKEDEVAGWSRSLQLRGVELPDELKDELFLIVGERRFK", "MQIKVTAPAQIHTTIQLPSSKSISNRALIINALGNGTFQPENLSDCDDTQVMVHALNDGKDTIDIMAAGTAMRFLTAYLSVTPGTRIITGTQRMQQRPIQVLVNALRELGAEIEYIINDGYPPLRITGHELQKDSISLPGNVSSQYISALLMIAPILSNGLTLTLTGEIISRPYINLTLQLMNDFGAKAQWLNEYQLKVEPAPYQSIPFYVESDWSAASYWYQIAALSNEAEIILPGLFETSYQGDSKVAEIFQSLGIESIYGNKTVTLKKTGKIAERLDYDFINQPDLAQTFVVTCALMNIPFRFSGLQSLKIKETDRMAALIKEMGKLGYILHETDGRILSWEGERCEMTADAAIDTYEDHRMAMAFAPVCVVMPEIRINNPQVVSKSYPYYWKDLKKAGFIIEEV", "MNKITNLLGDQAAFYLEHTCKTIDKALIHLPSPNTIDDIWISSDRNIRTLASIQTLLGHGRLANTGYVSILPVDQGIEHTAGASFAPNPLYFDPENIVKLAIEGGCNGVASTFGVLGAVARKYAHKIPFIVKLNHNELLTYPNSYDQVLFGTVKEAWNMGAVAVGATIYFGSEQSRRQLVEIAEAFEYAHELGMATILWCYLRNNAFKKDGVDYHAAADLTGQANHLGVTIKADIVKQKLPVNNGGFTAIKFGKTNEKVYTGLTSEHPIDLCRYQVANGYMGRVGLINSGGESHGSSDLKDAVITAIVNKRAGGMGLISGRKAFQKPMKDGIELLNTIQDVYLDPDITIA", "MKRIVLLRHGESTWNKENRFTGWTDVDLTEKGIADANQAGKLLKEKGFHFDKAYTSFLKRAVKTLNCVLDKMDQDWIPVEKSWRLNEKHYGALQGLNKSETASKYGEEQVLIWRRSFNVAPDALPEDDPRNPTTDARYKEVPDKDLPRTESLKETIDRILPYWKCIIFPNLTTANELLVVAHGNSLRGIIKYLKHIPEDEIVGLNLPTAVPYVFEFDDNLNLKKDYFLGDPEEIKKQMEAVANQGKTEPGGEK", "MGFILGDWLGMPYRGKGKGTFKPVWKKSYLRGDKCSGNTSMLLCALDSHYNLELYRQNLKDWYFNKKYTGENIEFDIDQVTQKAIMKNFRGVSSDSNSGNRSLMGCCVLAFSSLSKEEILPFIKATHNSRYSFKYTWFFIEFIRCLLKYEDKEQALQQAQQRCDVEINRQNLYNGSFVVDTVESVINWFMAGNSYKECVFLAINAGKSSDAVGALTGLLAGIYYGLDLKNGVKGFSTMEPCIDSFIQYLNPTL", "MNENRTKKAVKEFTNPKPFLYLCSTIFMKMPERKIIHIDMDAFYASVEQRDNPELRGKPLAVGHAEERGVVAAASYEARRYGVHSAMSSQKAKRLCPELIFVPGRMDVYKAVSQQIHNIFHTYTDIIEPLSLDEAFLDVTENKAGISLAIDIAKEIKKRIRKELGLIASAGISYNKFLAKIASDYRKPDGLCTIHPDQALDFIARLPIESFWGVGPVTAKKMHTLGIYNGAQLQTCTLEMLTRQFGKAGCLYYDFARGIDLRPVEPVRVRKSVGCEHTLEKDISLRSSAIIELYHVVTELLERLKRTHFSGNTLTLKIKFHNFNQITRSITQNSELTSMDKILPLAKKLLEEIDYENHPIRLIGLSVSNPKEERGEIKKQWEQLSLDFKEWD", "MGKARKNYSIYLMMLLIFGGLIYAAIMGGERFLHLSPVQSVAADDDAFAMFKTILLDNLTHPFSILLIQIIVVLIAVRIFASVFRYIGQPGVIGEIVAGIVLGPSVLGALYPEFFGFLFQPDSLTNLELISQLGLVLFMFVIGMEVDFGVLKNKINETLVISHAGILVPFFLGMLASYWVYEEYASRQTAFLPFALFIGISMSITAFPVLARIIQERNMTRKPVGILTIASAANDDVTAWCLLAVVIAITKAGTFGGALYTVFLTFVYIAVMFVVVRPFLKKIGMLYTNKEVINKTFVSFIFLVLVLSAAVTEILGIHALFGAFMAGVVMPSNFGFRKVMMEKVEDIALVFFLPLFFAFTGLRTQIGLINTPELWCVCLLLVTVAVVGKFGGCAVASRLVGESWKDSFTIGTLMNTRGLMELVALNIGYELGVLPPSIFVILIIMALVTTFMTTPLLNLVEWGFAAREQKKVLQRKLLLFFGRPETGSMLLSVYKLLFGKQLSYHQVIAAHYTTGTDANPTSVEQFFEESFIPVEKQAEHLDIHIEKRYRVTDNLVSDMISTVEAESPDILLLGAGPRFMTDGEKSMTSFFGLFRKKVDDVLEHASCPVAIFVNRDYHDGDELAVLINGSMDRFLFAHVRHLLENGDRFIRLYYFSGGSEEYMGQIHKINKQYANRVHLYPLVEMEDLALSTIHGLLILSYDTCVGIAANEKVFKALPSLLVMKDAS", "MLDKEFEKNREDILENEFCMQSDASTLPITDCPSYTSICTIGICVQGNAKIRMDSQEYIIHPHDVFVFMPGLLVSVIETSPDFKTNYFILSNTFFYDVIKTIRSFSPQFFSYMKSHFLYPLPEQEMQYFMNYYALLKSRSKLPKSFSREAIIALLRIIFLDLYNDFENYINHRNNTSTSRKEDLTHRFYTLMMDNYREHKEVIFYADKLHVSSKYLSEVVKETSGKSPKDWIIDYSLLEIKELLKNSSLNIQEITIRTKFLNQSALGRFFKRHTNMSPSEYRESTY", "MSKQEVIICHDLDENLNKAIAQCPHDKLFVLVDEHTRELCIPVLSGSDCIKDAHFICIGAEDVHKNLETLAYVWKELGDKGASRHSLLINLGGGMVTDLGGFAASTFKRGIQYINIPTTLLAMVDASVGGKTGINFNGLKNEIGVFSPAESVLIDADFLKSLDLRNLLSGYAEMLKHGLISTHEHWAELLRFDFNNIDYKALQTLVGKSVQIKENIVEQDPFEKGIRKALNLGHTVGHAFESLALETHHPVLHGYAVAWGIVCELYFSHLKTGFPKDKLRQTILFIKEHYGVMPFDCKQYERLYEFMKHDKKNSAGIINFTLLAEIGNIQINQSASKDEIFEMLDFYRESMGC", "LLTNNYFCTLKYKIMKGILPVYCRTMGYVVLLLSVFVPLLMFMFGMINDSNLLFTKASIKLLIWFSLFMIFLAKVKEENEKISRIRVKAVGYAIYLLGIYYIVMLVRGVYDGNLEEADNSVAIVYMAFNVICLEFGVQKSRVDRLFKK", "MKKLVLLLLLVCTCATLSWAQNSKRITVTGTVIDGDDKSPVGQATVQLLSLPDSTMVVGNVTNNNGVFSINARPGKYVLKISFVGYLTQEKPLQLAAGKPSVNIGTVTLPTDAIMLGEAVVVAEAPQVTVSEDTIGYNASAYRTPEGAMLEELVKKLPGAEIDEDGNVKVNGKEIKKIMVDGKEFFGGDVKTGLKNLPVDMVEKLKTYDKKSDLSRITGIDDGEEETVLDLTVKKGMNQGWFGNVDLGAGTKDRYMGRAMINRFYDKTQFSIIGSANNVNDQGFSGGGGGPRWRRNNGLNATKMLGANFATETEKLELGGSMRYNYRDADESSIGQNENFLTNGSSFQNSNAQKRNKAKSFNADFRLEWKPDSMTNIIFRPNISWGDTENRSMDVSGTFNSDPYQIVTNPNDYLNFGNNEDNSSEELDKIRVNSSKSWSLVEGNSLSGNASLQINRKLNNKGRNITFRGSFGFGNNDNDQYMNSETRYYQEGLLFRTDSIRRYITTPTDNYNYAAQLTYSEPLARAVFLQFSYQFKYQYNESDKSTYNMPYGWVYNNPLPVNFEEDKDEEQSKYAQYKYFNHDMMVSLRFIREKWSLSAGMSFQPQHTVLSYKKGDFATDTTRNVFNFAPNMDFRFRFSKVSQLRLTYRGRSSQPSMENLLPVTDNSNPLNIRMGNPGLDPSFAHNLRVFYNTYNAEKQQGIIAHINGQLTQNSISNSRSYDEMTGAWTVMPKNINGNWNAFGMFGYNTALKNKKYTINTFTTLRYTNNVGYLTDSTKVERKNTTTEFSVSERLNAAYRNDWIEFGLNGSFSYSVERDKLQRVNNQEPYTFSYGANTTLTAPWGMSFSTNIANQSRRGYSDVELNNNELIWNAQIAQSLLKGAATVSFEMYDILGKQSNITRSLTSSGRSVYQYNGVNSYCMVHFIYRLNIFGSKAARDKMMNNRRGFGGPGFGPGPGGHRMRPF", "MVEWSIFNEIFAVAFNVLYFVIIIGTIFIVILDNRNPVKTMAWVLVLFFLPLVGLVFYFFFGRSTRKEKLISKKGFTRLIKRPMAEYQAQKAFKCPDEQHQLMRFFRKVNNALPFEGNAVEVFTDGYSMLYSLMKEISKAKHHIHLQFYIFEDDPVGRLLRDLLIDKARQGVEVRLLYDDVGCWKVPHAFFDEMRGAGIEARSFLKVRFPLFTSKVNYRNHRKIVVIDGRVAYTGGMNIALRYMKGFPWGIWRDTHIKIEGKAVYGLQTAFLTDWYVVDRTLITSSRYFPEAGTFGKALIQIVTSDPVGAWRDIMQGLLIAISSSRKYFYIQTPYLLPTEPILMALKTAALAGVDVRIMIPARADTWITHLGSLSYLDDIMRAGVRIYLYQKGFLHSKLMVSDDTLSTVGSTNMDFRSFEHNFEVNAFMYDPASALMLKGIFLQDQKDAILLLRKTWMKRPWYQKAQESIVRLLAPLL", "MRVISGIYKRRRFDVPHTFKARPTTDFAKENLFNVLSNNYFDFEDGVTALDLFAGTGSISIELVSRGCDRVISVEKDPQHLSFIAQVMREVKTDKCFPIRADVFKFIDKCSEQFDFIFADPPYALKDLESIPARIFESGILKEDGLLVLEHGKENHFEDDPHFIERRVYGSVNFSFFRARVPADGQ", "MTEHIDFTKSEQYTLSIRLSADGFSFSIYNPLTDNDFCFVPYSVNAGHSVTVNLKKMLTETEISKHPYKKVNILYDSPRFTPIPLELFEDEQTDTIFYHNFSKGNNEIVLCNVLGKSNVVILFAMDKHTHLLLTEHFPTARFFSTASPLTEYFARKSRLGNSRKLYTHIREQQMEVFCFDKGNLLLINSFPCKQTTDRVYYLLYIWQQLNYNQERDELHLTGKLEDKEELMKELRNYLRQVFIINPKAEFNRSEISKIEEIPFDMQTLLLCE", "MKTVINIVLAACVIGLIYVCYGSIMGPINFDNAKKAREKQVVARLIDIRKAQLEYRNLHNGQYTASFDTLIDFVKTAKLPFVKKEGVLSDTQLEAGMTEKKAMAIINKAKKTGNWKEVEKEGLMNFKRDTLWVAVTDTIYAPGFNADSLRYVPFGNGTQFEMAIRNDTTKSGAPLHLFQAQTPYETYLGGLNAQELANLKDLQTKLGKYCGLRVGDIEQPNNNAGNWE", "MINSYLVQQIKGNFLYKPTLEQEKAVNFLADFLFSRQSDSVFLLKGYAGTGKTSLIGALVKTLDQLQQKCVLLAPTGRAAKVFSHYAQHPAYTIHKKIYRQRNFSNDLDNFSLDDNLHQHTLFIVDEASMIANDGLSGAVFGTGRLLDDLIQYVYSGTGCRLMLIGDTAQLPPVGEEESPALSADRLRGYGMEVYEAQLTEVVRQMHDSGILWNATELRRYISEEDFFTLPSVRVEGFPDIRTVSGSELIEVINDCYGQTGMDETIVVCRSNKRANIYNRGIRNTILYREDELNSGDLLMVAKNNYFWTEGCKEMDFIANGDIAVVRRVRRTREAYGFRFADVVLAFPDYDDMELEVKLLLDTLHTDTPALPKELNDKLFYSVLEDYADIAVKRERMKKMKADPHYNALQVKYAYAVTCHKAQGGQWKRVFLDQGYMTEDMLTPDYFRWLYTAFTRATEILYLVNWPKEQME", "MNSVQRTLAVCHLMLFLWFNMSAHDGCMGLDSVCMPRQSGQPRLSSSEMGGNEDRKSVVLLKTNMLYDAVAVPNIGLEVSLGSGWSVGADWMYAWWSRNTSHRFWRVYGGDVEVRRWFSPRRTSRSLMCGHHVGVYGQMLTYDVEWGGRGYMGDRWSWAAGVSYGYSLPVGRHFNIDFTLGVGYLQGDYMKYRPEDDCYVWDSTHRKEWFGPTRAEVSLVWYIGGRSVRKGGDR", "MLGICSCDHKELCMNHSHMVDVDVVFDWSHAPDADPVAMVVQFFRVEDGRQCYRCEFSPARKGETGRGLIKGGKVRVPAGEYKILFHNNDIERMDERGDTYAGYEIVSLEQSLLEPMDRGALGAPPRPGDTGEAPVRGTVGDVWGGRCEYLEVQAGVSGQSVTLSPARMAVECTVELRNVKNMSDAVGVSAALTGMAEGLRLADNAPSGMSATVPFALRRTDDHTLEARFQVFGHCPMQTGQHFLSVYTSGKTYYDFDVTNQIHVGEDASYAGPIHVVIDAEINLPSGGGEGMTPSISGWEEITIELGMN", "MSKKIKLAGMVAMLSMTFVSCSNDELKEAYQGEKITFTTKVGASRAQVINGVADLESFRVHAEMVPKGDTPFIDHKLAIKASEDNSTGIYKLESEIMWPDKVEEIEFWAYGPDKVGEPTHDGGTLHFSHFSPDKEPSEQKDLVVAYTKSKKTSGAVPLKFHHALSQVEVRAKCGDGNKHVKIKSAWIANLNSEGSLWYDSKASENNYMSWKFDSNPSKLLYGESFSDAIQLSDTYQGLLAEYTNEEKGAKKGNSLMMLPQDIVALNEDMDNKGVYIVMLCRIESHHKGSYINHGATDDAFVQDANDQSYHHHQLYPQPEGGAYDEDAYGYTCVPITAPDGKWKSGKKYIYKLDFFYNGSGGGILPPTDPELPDEGKETPGIDPGHPVVQEPISFTVEVEEWTEAEDVESPSMK", "MRTFSIFRPASFRTIGGWICAAACVLSSCVDDTFDKYGQGISPYLTFEVEVPVGWTGGQAACSAAGQSDISIKRMTRSDGGKPLYLVTEISEAAADTAG" ]
[ "ATTCTTTTTTCAGTGGTTTTTTATTCGAATGGAAGTTTTGTCTGGCTGTAGGCGGG", "AACT", "CATACCTTATATAATAATGTGTATCGGATTGCAAAGGTAGAAATATTATCTTAATACTTGATAGGTTGGCACTTAAAAAAGGAAGCCAGAGGGCATAAAAAAAGCCGGGAACATAGAAAAGTGGTTGTTTAGTTCCCGGCCCGAACATATAGTGAAAG", "ACTGTTTTTTCTTTAATTTATTCATACTGTTTTATCCATAGCGTTTTCTTTTTAAAGTAGAGGTGCACGATGCGCTTGAACTTTTATTGAAAACACTATTTTTGAAGTGTTATCTGTCCTGCGATGGAGATTCGGGGAAGTGCAGAAGGG", "TTGTTCTCCTTTCTTTATTGCCCGGTAATACATTTTCTGCTGGCTGCAAAATTAGTTGTAGCATACATACTTATCAATACCCATTAATTATGAATTTAACAACGGAAGACGAGAATTTGTTTCTCTTTTTATTATTTTTGTGCTCTGTAATTAAACACATGTCATGGAAAAAATTAAAAATGGACTA", "ATCATTTCTATAGGACGAAT", "AAGATTGACTTTTTTAACATACCCATAGGGTTTTCGGACTGATCCGAAAAAAAAGCATCGACAATCTTCCGATTATCAATGCTTTACGGTTAGTCGGGGTGACTAGATTCGAACTAGCGACCACACGCCCCCCAGACGCGTACTCTAACCGGGCTGAGCTACACCCCGTTGTTTTTGCTTAACGGGTGCAAAGGTACGTATTTATGGGTGATTTGCAAATTTTTCAAGCACTTTTTTGCTTAAAAAATTGTTTTTTTTATTGGCGTTATTTGTAAATGCTTGTGTGTCAGTAATATGATAGATAGTTGCAATGGCATTGGATTCCCTTTATTTGCATTAAGTTTTTTCTAAAGTTTTAGGAATATGTTAGGGAAGTGGAAGCTTTTTGCATTAGTGGTGTCTGTTGCTCTTTTAAATATTTTTTTTACGAATCATTTATAGAACGTTTGTACTGTGCCCTTTTTATTTTTGCACTTAATTGTTAATTAGTTGGATATGAGATATGACAACATTCAAATTATTGCTTCATTCGGTAAATAAAAGAAAGGATGGAAGTTATAAAAGAGGTTATGAAAGATGTAGAGAAGTTGATGCTTGATGAAGACGGTTTTATTCCTGTAATAAAAAAATAACACGGCTATTGAAAGCATGTATCGGGATCTTGACTTCAACCTCCTGCAGGACAGCATAAAAACGTAAGGCTGAACGGTCGGCCGGAAACCTGGACATAATTCTTTGAAAGAAATATATGCCAGGATATGAAAGACAAAACGATATTTCATACTTCCGCAAGTGACAGTGGCGTATGGATGTAATTAACCAATAGAAAGTTGGACGCGAAAATGCCCTCATGCCCTCACTTTTACTCTAACTTGTTTATAATGAGATGTTTATTCGTGGTGGTAGCATCAGTATCAGTGAGGGCATTGCTCTCACTAATTGATGCTTAAAAATAAATTATTTTAACTTTATAATATGATGCAAAGTACTTTGTTGCTGGTTTTTGGGGAAATATTCAGTCTATGAAGAATACATTCGAATCAATTCATCTTATTGATTGGCAGCCTGTCACGTGTATATCTTTGCAGGTGATTGAAAATCTTTATGGGCGATCATCCATTTTTCCCTCTTCTCTGTAGTGGCAGAATAAAAGTGACATTTAAATAACTGTTCCAAAGGCGAAATTTGCTCAAATCCAGTGTTGTTTTGCCGCAATACCTCCTCTTTTTCATCATTTAACTCCTAACGTTTGTTCTTATAAAGCAGTTGCATGGCTTCTGCATCTGGTTGGTGGTAGTCTATGTGTGCATATTTCCCTGTCTCTTAGTTGTTTTCTCTCTTTTCTTTTCCTACTTTTATATGTTTGTAATTGCTTGTCCACCCCTGTGGCTAAGTCTAATCACCTTGGTGGCTAACTTTAACCACCAAGGTGACTAGACTTAACCACGTCCGTGGTTAACGGATATTGAACTTTCAATCATCAAAGAAGAACAGTATGACACAAACTACGGCCGCTGCTATTTATGTCGCTTGTGTGAGGAAGAAAAGCTGAAAAACAGGGAACTTCCCCAAACAACCGATTTATATGCTTGTAAATGTGTATGATATTATGAATGAGTGATTTAAGTGAAAATATGAGGGTAAGGAGTGAGGGTATGAGGGCATAAGTGAGTGCAACTTATTATTGTATTTAAGGATAAGCCGGGGAACCTTGGCGACCCAATAAAAGTAATATACTGCACTGGTATGGGTAGGGGAATTGTACGCTCAATTCAAAGCTTAAATGAAAAGCCGTTGATAAAA", "TTATACCAACCAATTATAGTGCAAAAATAGAATTTCTAAAGCATTGAAAAATTGATCAATGGAACTTAGGAACAATTTTACAGAAAAAAAGTGCTTGGTATTTGTCTTTTTTAAGCAATAGAGCTGGTTTTTAACTAATAGATAATAAATGCTCTAATGGCTTGATTGTTAAAAACTTATTCGGCTATAATTGGAATAAATAAAAGATGTTTTATAATATCGGACAGTTGGAAAGTTCATACACCCTTTCCTTCTGTCCGAAAGTGAGGGG", "ATTTTCTATTATTTGCCTGCAAATTTAGGTAAAAAAGCAAAAAGATGGCCGTTCA", "ATACATTTTTTCCGTTAAACCTTTTGTGGACGAGAGAGTCCAAAGGGCATTAGGCATTAAAATATCAAGGAAAAAGAAAAG", "ATGAAGTTATATCTTAATCTTTTTCCCAATCTATATTGTTATAGGTTGGGATTTTTTTTATAAGTTTGCTTATAAGTAAACTACTTGGAATTAT", "TC", "GATAAATATGTGTTTTTTTTAGTTTATATTCGCATCGCAAAAATAAAACAAATAAATCTAATAACGCACAATTCAAGGAACTTTTTATTACGCAATT", "GCGGAAGTGAGATAAAAAATAGTTAAAATACTTGCTTTGTATTTTCTATTTATTTTCCTTTGCATAAAAAAGCACAACACTGTTTAACGCTAATTAAATTAGTCTT", "AATACCTTATTATAATGGTGGCATGT", "AGCAAAACAATAAACAAAAAAACAACAGATT", "GAATACCAACAACAAGAACCAACATAACAGACCATG" ]
[ false, false, false, false, false, false, true, false, true, false, false, true, true, true, false, false, false, true, true, true, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 21 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 22 ]
[ "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124209|+|106092:108962", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124210|+|109155:109616", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124211|-|109877:112495", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124212|+|112635:113603", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124213|+|113637:113984", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124214|-|114076:116346", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124215|-|116448:117710", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124216|-|117715:118422", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124217|-|118492:119388", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124218|-|119392:120159", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124219|+|120417:121190", "2510065017|RJ2H1_RJ2H1-contig-047.47|CDS|2510124220|+|121187:122326" ]
[ "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000071|+|108963:109154", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000072|+|109617:109876", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000073|+|112496:112634", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000074|+|113604:113636", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000075|+|113985:114075", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000076|+|116347:116447", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000077|+|117711:117714", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000078|+|118423:118491", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000079|+|119389:119391", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000080|+|120160:120416", "2510065017|RJ2H1_RJ2H1-contig-047.47|IG|IG_000081|+|122327:122382" ]
[ "FPGTFGLFAFGTEGASWTEGGTEKTSYENMEVKKGTNGVWMPQTETLWQWPGKGQIAFYAYSPRIGKSSPVLPPAEEPAGEGDENAPAGEQELSFSYSVGEDNRPVLAYTVPSDVTKQIDLMTAHDTYAGDGSQCAHLTFSHALTAVTIKTGEEMLKGTIKSVTISGVYGEGTYQIGAEGWTIPQGDDKKREFVVTLGNDSEPDAANEEPDTDTGKGRGMYQEGNTSIVEGEYTFMMIPQELHEGAELTVVFTDEFSQTEWTLTADLKDQIWPMGKMVTYSINSTGIVVKPVVTWKINRDVLPNGGFKEADDPLNPNESVYGEEMTNEEKNAYFPVSGYLSGVEISASVQVIRESTGNEVTVPGLESFSIEYSVDEQQTWTKGNWISASSEEVRSNRTDSYKKGAIHLSPQPIFQTFNQKFNLIEKGTKEVYYDLVENSLFPDQKESANCYIVNSSGYYKFPAYYGNTYRNDANNERAYTYMPSDKHKEEDPNVQGFILKNFKNHNNQNVTSGKIDNVKDAVIVWQDSPDLVADVKLIEQNGEQWVGFYIGKETINQGNAVIAVRDDSSNILWSWHIWVTSSDWSTPQMASSENEEGQNFWFAPCNLGYCDSHGTNEARNIYVRFKVTMPDGSSQIVKNFGSESAGIPQPDEGVFTFVQPEIVASIAGDNTYYQWGRKDPMLPGVYNSEVIGNGAYSEELDMLNKMFYSTKQYRFTASESGRPIGDGIKYPNEFFIHKRPQSDTDNGGVDNRLRRHWHDGTQAYDQQTIMNFWNSQLNQNGINGTDEAPNFPNNIYVFKTIYDPSPAGYKIPPPTAFSAFSKKYGGHDKIGIADLHGELKTEEVDGRTILWKIKDANKKEFEFPATGLRDMGIRERKVEAGTWPAHSKLTFIATSGFVWSRNEDTKIETSSCLLFSIDYRNNTKKEHPVGNIYPTNNAYGFTLRPVRDHQHGAGTR", "MLFGTSKLVTEYINRGESYDKVSKVDTVSRLYPEYYILKVNGFNRVAKSPLEEWIYYLNTGEIPSTATAPGLEEARERLKPDSMTKDELAAYYRHLDNIVILRDNINTEREEGRAEGREEEKRENARNLKQLGVAVALISQATGFSDEEIEQL", "MMTAKEIRDSFKSFFESKGHQIVPSAPMVIKDDPTLMFTNAGMNQFKDIILGNHPAKYKRVADSQKCLRVSGKHNDLEEVGHDTYHHTMFEMLGNWSFGDYFKKEAIGWAWEYLVNVLKIDPKDLYATVFEGSPEEGLERDNEAASYWEQFLPKDHILNGNKHDNFWEMGDTGPCGPCSEIHIDSRSEEEKAQIPGNQLVNKDHPQVIEIWNLVFMQFNRKADGSLEGLPAKVIDTGMGFERLVRTLQGKTSNYDTDVFQPILKAIAEMAGTTYGQDNQKDIAMRVIADHIRTIAFSITDGQLPSNAKAGYVIRRILRRAVRYGYTFLGQKQAFMYKLLPVLIENMGEAYPELNAQKTLIEKVIKEEEESFLRTLETGIRLLDKTMNDAKAAGKKEISGVDAFTLYDTFGFPLDLTELILRENGMTVNEAEFNAEMQKQKERARNAAAVETGDWITLKEGDTRFVGYDFTEYETNILRYRQIKQKNQTLYQIVLSDTPFYAESGGQVGDTGVIVSEFETIEIIDTKKENNLPIHITKKLPEHPDVPMMACVDTEKRAACAANHSCTHLLDEALRQVLGTHVEQKGSLVTPESLRFDFSHFQKVTDEQLREVEHLVNAKIRENIPLTEYRNLPIEKAKELGAIALFGEKYGDEVRVVQFGNSIEFCGGTHVPATGKIGMVRIISESSVAAGVRRIEAITGAKVEELMDTVQDTLNDLKALFNNAPDLKIAIRKYIDENAGLKKQVEEFMKEKGAALKARLVENAKEINGVKVVKAIIPMSADVVKDIAFQLKGEIPANLFVVIGSVDNNKPMLTVMISEDLVKAGQNAGKLVREAAKLIQGGGGGQPHFATAGGKNPDGLNAAVDKVLELAAL", "MRKVYYIYNPKTRTYDRIYPTVRQRALSILRRLFVGMGLGAGSFIILLLIFGSPSEKELRIENTRLLAQYNVLSHRLDEAMGVMQDIQQRDDNLYRVVLQADPVSDAVRKAGYGGTNRYEQLRDMANADLVINTTQKLDMLNRQLYIQSKSFDEVVDLCKNHDEMLKCIPAIMPVSNKNLKKTASGYGVRIDPIYKTAKFHAGMDFSANIGTPVYATGDGTVVKAGWETGYGNLIQVDHGFGYVTWYAHLSKYKVRPGQKVVRGEVIGEVGNTGKSTGPHLHYEVHVKGKVQNPVNYYFMDLSAEDYDKMIQIAANHGKVFD", "MAYNPNKELKLYYSIGEVAKMFDVNESLLRYWEKEFPIISPKKAGGNVRQYRKEDIENIRLVYHLVKEKGMTLQGAKQKLKMNRETTIRTTEILDRLKLIREELVSMRKELDYLT", "MTDEKQTQEQADEEMINQGFQELLDSYLATKHRKKVEIITKAFNFAKQAHKGVKRRSGEPYIMHPIAVAKIVCTEIGLGSTSICSALLHDVVEDTDYTVEDIENLFGPKIAQIVDGLTKISGGIFGDRASAQAENFKKLLLTMSDDIRVILIKIADRLHNMRTLGSMLPNKQYKIAGETLYIYAPLANRLGLNKIKTELEDLSFKYEHPEEYAQIESKLQETQAEREEVFREFTAPIRAQLDKMGISYQLIARVKSPYSIWNKMQTKHITFEEIYDILAVRIIFCPKNPEEELNECFNIYVSISKIYKPHPDRLRDWVSHPKANGYQALHVTLMSNKGQWIEVQIRSERMNDVAEQGFAAHWKYKEGGGSEDEGELEKWLRTIKEILDDPQPDAMDFLDTIKLNLFASEIFVFTPKGEIKTMPQNCTALDFAFSIHTFLGSHCIGAKVNHKLVPLSHKLQSGDQVEILTSKSQHVQPSWINFATTAKAKAKIQAILKREQRGMQQAGEEMLREFFSREGVEYTPENIRKICNLHTLKTQEELFAAIGFKTIILGENDKNELKDKPVSSNWKKYISFAFGGSKTNKEKPTEEKVPLPKIDSKKILKLTPEAIQKNYVIAECCKPIPGDDVLGYIGDNNRIIIHKRQCPLATKLKSSYGNRLLAVQWETGKSLYFPVNIYIKGIDHIGLLNKVTEIISQQLNVNIHKLNIESNDGIFEGRIQLFVHDVDDVKSITTNLRKIDEIKTVTRIEKFEDQPN", "MKNFLIGLFTFIGTTGISAQTQSITVHSQTTGKEEIIDVPESMQSEMDSLYWDWQSKNHITLGENCTMASTNPVVSDSIYMDRLSRIPSIIEMPYNEAVRKFIDMYAVRLRNKVSFMLAATNFYMPIFEEALDLYDLPQELKYLPIIESALNPVAVSRQGATGLWQFMLGTGKIYGLKNNSLIDERRDPVKSTWAAARYLKDLYDIYQDWNLVLAAYNCGPGTINKAIRRAGGATDYWTIYNYLPKETRGYVPAFIAANYIMTYYCEHGICPMETQLPNATDTIHINKDLHLQQVAEVCNINLDQLRSLNPQYKKDIIPGNSELCALRLPNNFVSTFIDRQDSVFAYKPNEYLTKRKTVAIKETTSSRNRSSKGTLYHKIKQGDTLGGIAAKYHVSISQLRNLNGIKGNNIRAGRSLRIR", "MMAGSMNVHAQKARNRRMGIKTDSIIQVKDSLVIDSLKLLEERQKIENMEAPVDTAALVRKNDSIQKAMAAETKPRFIPNSNRAIWLALVIPGGGQIYNRKYWKLPIVYGGFVGCAYALTWNNRMYKDYSQAYLDIMDDDPDTKSYEDFLPHGMSAEGMEDTFKKRKDFYRRNRDLSIFCFIGVYILSVIDAYVDAELSDFDISKDLGLQIQPVIFNDGRSRIPNTIGLQCSFKF", "MAVQKKFALGRGLDALISTEEVKTAGSSSINEIELSKISVNPNQPRREFDPVALQELADSIAEIGIIQPITLRQLSEDSYQIIAGERRYRASIQAGLKSIPAYIRTADDENVMEMALIENIQREDLNSLEIALAYQHLLEQYALTQERLSERVGKKRTTIANYLRLLKLPAQIQVALKNREIDMGHARALLSLDDPKTQIRIFNEIQSQGYSVRKVEEIVKALSSGETVDSGGKKIKPKGSKLSEEYTLLQSHLCGFFGSKVQLSCTAKGKGKISIPFNNEEDLERIMEILDSLKKKE", "MGKIIALANQKGGVGKTTTTINLAASLATLEKKVLVIDADPQANASSGLGVNIKEVECSIYECIINEADIREAIYTTDIDGLDIVSSHIDLVGAEIEMLNLEDREKIMKKVLAPMRDEYDYILIDCSPSLGLITINALTAADSVIIPVQCEYFALEGISKLLNTIKIIKSKLNPSLEIEGFLLTMFDSRLRLANQIYDEVKRHFQELVFKTIIQRNVKLSEAPSHGIPAILYDADSTGAKNHLALAQEIITRNSK", "MKKEKPLILLSNDDGVEAKGLNELIRGLRGMGEIIVMAPDGPRSGASGAITSEHPVKYYKVREEEDLTVYKCTGTPVDCVKLALHTVVPRRPDVVIGGINHGDNSSVNVHYSGTMGVVIEGCLKGISSIGYSLCNHFADADFSSSLPYIRRITEQVLEHGLPLGICLNVNFPDTASLKGVRICRQTNGAWINEWKRSLHPRGGEYFWLTGEFDNYEPEAEDSDHWALGHGYVAVTPTQIDVTAYGMMNELKNWNLEV", "MKYYLIVGEASGDLHASNLMRALIQEDPEAEFRFFGGDLMTAVGGTRVKHYKELAYMGFIPVLLHLRTIFRNMKECKQDIVRWAPDVVILVDYPGFNLKIAEFIKKQTKIPVYYYISPKIWAWKEYRIKNIKRDVDELFSILPFEIEFFAGHQYPVHYVGNPCVDAVDAYCKEHPDGFPEFVADNGLSEKPVIALLAGSRKQEIKDNLPMMLEAAAPFTKDYQLVLAGAPGMDPAYYSDYINPNVPVKIIFGQTYRLLQHAQAALVTSGTATLETALFRVPQVVCYYTPVGKFIAFLRRHILKVKYISLVNLVADKEVVRELVADTMTVDNVRSELESLLYNKVYRNKVLEEYDRIIQILGPAGASGTAAREMVALLKK" ]
[ "AGCATAACCTCGTTTGTTTTTATGCAGGCTGCGGCATCATCCGTCCGATGATGCCGCAGTGCTTGTTCATAGGCTTAAGTTCCTTTCTGTGGTGGGATAATCCGTAACCGGACGGAAGATGAAGGGGTTATTATTTCCGCAAAAAATCTCCGGTTTGGTAAATTCAATAATGAGTATGCCTATTTCCAACGT", "TACATTCTTTGTTGTATATTGACGAGAGGGGCTGTATGGGTATAGCTCCTCACTTATTGGAATTCATTGAATCATCCGCTTCCGTTTGTTGTCTCACCTAGGTGAAATGCCCTGGGTCACCTAGGTGAAAAGCCCATTCCACCTAGGTGAAGAGCCCAAGTCACCTGGGTGAGACAACAAACAGAAGCGGGATAAAGAATGAAATCAGCCATACATTGTACTATATACCTGCCGGCAGGTGCAAAGAAACCGGTCGGGGT", "GTCGCTTATAATATATTGTCTTCTAGTTAATATTCTCAAAAAGGATTTGCAAAGATAATTGGTTTTATTATTTTTGTCGTCAAGAATTGTGCAAAATATTGATGTGTTGTGCATTAAAAACTTCAAAAAGCAGAATTAG", "CCGTGAATTTTTAATTCTTAATTTTAATTTACC", "GAATATTCACCACAGATTGCACGGATTAAAAACGAGTTGCAGATAATCGTTATGGACAAATCAGTGTAATCTGCGGTGAAACTGGTATTGG", "ATCTTTGCCTCCTATAATCTATACTGCAAAAATATACAAAAAACATATTCAAGCAAGTATTTTCAGAAAATGTATAGTGTTAAATCTGTATTTTTTATCAA", "GCGA", "AAGGCATAAAAGCAGGAATGTAAACAGTTTATATGTGGATGTTCTTCTTTTCAATGTAGTAATAACGCT", "TGT", "ATTAATCAGTTTTTCTCGCTGCGAAGTAACAAATAATAGGTGATTGCACCTATAAAAAACGGCAGACATTAGCAAAACTGTTGATAACTCATTGGTTTTGTTAATAACTCAACGGCGGCAAAGATAGAAATTTTCATTCAATTCTATTCTATTTTTAGCACTGGGTTGTAGAACATTAAGATAATTTTCTTTTCCGGTGAGGGGTAGTTCCGGCAAAGAGTTGTATCTTTACGCTCCGAGAAAAAGAGTGGAAAAAT", "ACTTTTCACGATCTCTTTTTGTTCTTTCGGGTAAACAACTTAAACCCTGGAAGTAT" ]
[ true, true, false, true, true, false, false, false, false, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 12, 14, 16, 18, 20, 22, 24, 26, 28, 29, 31, 33, 35, 37, 39, 41, 42, 44, 45, 47, 49, 51, 53, 55, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 75, 77, 79, 81, 83, 84, 86, 88, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132 ]
[ 0, 2, 4, 6, 8, 10, 13, 15, 17, 19, 21, 23, 25, 27, 30, 32, 34, 36, 38, 40, 43, 46, 48, 50, 52, 54, 57, 59, 61, 63, 65, 67, 69, 71, 73, 76, 78, 80, 82, 85, 87, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133 ]
[ "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124223|-|1053:3023", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124224|-|3077:3436", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124226|-|3982:5790", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124227|-|6051:7391", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124228|-|7423:8265", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124229|+|8364:9368", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124230|+|9365:10825", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124231|-|10887:11354", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124232|+|12267:12482", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124233|+|12970:18648", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124234|-|18704:19141", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124235|+|19272:20348", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124236|+|20351:21448", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124237|-|21538:22518", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124238|-|22600:23466", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124239|-|23459:24148", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124240|+|24334:25017", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124241|+|25099:26076", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124242|+|26078:26851", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124244|+|27194:27967", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124245|+|27975:28436", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124246|+|28480:29070", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124247|+|29070:29534", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124248|+|29590:29805", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124249|-|29716:30252", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124250|-|30287:31672", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124251|+|31701:32177", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124252|-|32181:32675", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124253|-|32759:33553", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124254|-|33635:33964", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124255|-|33948:34496", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124256|-|34499:35206", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124257|+|35338:36765", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124258|-|36902:39043", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124259|+|39317:40183", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124260|+|40415:40789", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124261|-|40973:42865", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124262|+|43037:45280", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124263|+|45284:46429", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124264|+|46442:47785", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124265|-|47597:48268", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124266|-|48463:48924", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124267|+|49324:50421", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124268|+|50640:52028", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124269|+|52033:52893", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124270|+|52890:54455", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124271|+|54860:55624", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124272|+|55684:56910", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124273|+|56907:57767", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124274|-|57875:58801", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124275|+|58884:59705", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124276|+|59727:60722", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124277|-|60728:61168", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124278|+|61429:62409", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124279|-|62419:63072", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124280|+|63135:63635", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124281|+|63728:63862", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124282|+|63903:64154", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124283|+|64185:66047", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124284|+|66047:67282", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124285|-|67478:68827", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124286|-|68829:69995", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124287|-|70014:71504", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124288|-|71525:74842", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124289|-|74898:76058", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124290|-|76125:76727", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124291|-|76810:78474", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124292|-|78488:79702", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124293|-|79841:81460", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124294|+|81837:82694", "2510065017|RJ2H1_RJ2H1-contig-048.48|CDS|2510124295|+|82702:83703" ]
[ "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000001|+|895:1052", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000002|+|3024:3076", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000003|+|3437:3981", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000004|+|5791:6050", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000005|+|7392:7422", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000006|+|8266:8363", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000007|+|10826:10886", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000008|+|11355:12266", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000009|+|12483:12969", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000010|+|18649:18703", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000011|+|19142:19271", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000012|+|20349:20350", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000013|+|21449:21537", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000014|+|22519:22599", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000015|+|24149:24333", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000016|+|25018:25098", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000017|+|26077:26077", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000018|+|26852:27193", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000019|+|27968:27974", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000020|+|28437:28479", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000021|+|29535:29589", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000022|+|30253:30286", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000023|+|31673:31700", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000024|+|32178:32180", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000025|+|32676:32758", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000026|+|33554:33634", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000027|+|34497:34498", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000028|+|35207:35337", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000029|+|36766:36901", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000030|+|39044:39316", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000031|+|40184:40414", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000032|+|40790:40972", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000033|+|42866:43036", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000034|+|45281:45283", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000035|+|46430:46441", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000036|+|48269:48462", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000037|+|48925:49323", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000038|+|50422:50639", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000039|+|52029:52032", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000040|+|54456:54859", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000041|+|55625:55683", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000042|+|57768:57874", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000043|+|58802:58883", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000044|+|59706:59726", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000045|+|60723:60727", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000046|+|61169:61428", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000047|+|62410:62418", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000048|+|63073:63134", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000049|+|63636:63727", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000050|+|63863:63902", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000051|+|64155:64184", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000052|+|67283:67477", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000053|+|68828:68828", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000054|+|69996:70013", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000055|+|71505:71524", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000056|+|74843:74897", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000057|+|76059:76124", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000058|+|76728:76809", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000059|+|78475:78487", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000060|+|79703:79840", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000061|+|81461:81836", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000062|+|82695:82701", "2510065017|RJ2H1_RJ2H1-contig-048.48|IG|IG_000063|+|83704:83723" ]
[ "MPRFNLSWLYVIIAMSFAILYFSNQEGGIDKQITYTEFKDMINKGYANKIIAYDDNTVEMYIKPEFVKDVFKNDYKKVGRNPALNVEIGSMESLDKFMEKAQEEGHFTGSISYEKKRDYFGTLFWNIAPFLLLIGIWMFAMRRMSGGAGAGGANPFNVGKSKAQVYEKGDKTNRITFKDVAGQAEAKQEVEEIVEFLKQPQKYTELGGKIPKGALLVGPPGTGKTLLAKAVAGEADVPFFSLSGSDFVEMFVGVGASRVRDLFRQAKEKAPCIIFIDEIDAVGRARGKNPSMGGNDERENTLNQLLTEMDGFGSNSGVIILAATNRADILDKALLRAGRFDRQIHVDLPDLNERKEVFGVHLKPLKLDESVDLDLLARQTPGFSGADIANVCNEAALIAARHGKNAVGKQDFLDAVDRIIGGLEKKTKIMTAEEKRTIALHEAGHATLSWFLEHANPLIKVTIVPRGRALGAAWYLPEERQITTKEQMLDEMCATLGGRAAEELFTGHISTGAMNDLERVTKQSYGMIAYAGMSEKLPNLCYYSNDEYSFSKPYSERTAELIDEEVKRMINEQYDRAKAILSEHKDGHNELARLLVEKEVIFAEDVERIFGKRPWTSRSEEIMALEATAKPVEVTEDFEEKNSSDSEEKEKEKKEA", "MNEAETLVKKITEGIQEKKGKNIVIADLTAINDTICSYFVICQGNSPSQVTAIVDSVKEYVHKEINDKPTGIDGLRNAEWVAMDYSDVLVHVFLPETRNFYNLEHLWADAKLTQIPDLD", "MDSNETIRPLTEVPVEQTSETLVSSSATAEENNATYVPKQTKEEVIERLKEINEDACNADKQELDLLKQNFYKLHKAEQEAARKAFIDGGGAPEAFIPQPDDAESRFKDIMSSIKEKRSAIQAEQDKEKEDNLVKKLAIIDRLKELAESPEDANKAYNEFKKLQQEWNDIKQVPAAKVNELWKNYQHYAEKFYDLIKLNNEFREYDFKKNLEIKTHLCEAAEKLADEEDVISAFHQLQKLHQEFRNTGPVAKELREDIWTRFKTASTAVNRRHQQHFEALKEKEQRNLDEKTVICEIVEAMEYDTFTTFQDWENKTQEIIALQAKWKTIGYAPQKMNVKIFERFRAACDEFFKRKAEFFKSIKESMAGNLEKKKALCEKAEALKESTDWKATADILSKLQKEWKTIGPVPKKYSDAVWKRFIAACDYFFEQKNKATSSQRSVEQENMVQKKAIIEKLNAIDAQETPEEDAGNAIRELMKEWNGIGHVPFKEKDKLYKQYHGVIDKLFDKLNLSASQKKLSNFKSTISKEGNLYREREKLVRAYENMKNEIQTYENNLGFLTSSSKKGSSLVTEMNRKVEKLKADLELILKKIEVIDQSMKNE", "MNKEELEKVEQLIEQKDSEQLKDLLAGLHPADIAELCNELDAEEARFIYLLLDNETAADVLIEMDEDARKEFLEILPSETIAKRFVDYMDSDDAVDIIREMDEDKQEEVLSHIEDIEQAGDIVDLLKYDEDTAGGLMGTEMVIVNENWSMPECLKEMRIQAEDMDEIYYVYVVDDDQRLRGVFPLKKMITSPSVSKVKHVMRKEPISVHVDTPIDEVVQTIEKYDLVAVPVVDSIGRLVGRITVDDVMDEVREQAERDYQLASGLSQDVETDDNVFRQTTARLPWLLIGMIGGIGNSMILGNFDSTFAAHPEMALYIPLIGGTGGNVGTQSSALIVQGLANSSLDAQNTWKQIVKESVVAVINATIISMLVYIYNFIRFGASATVTYSVSFSLFAVVMFASIFGTLVPMTLEKLKIDPAIATGPFISITNDIIGMMLYMGITVLLS", "MGLVKPKKFLGQHFLKDLSIAKDIADTVDVCPDLPILEVGPGMGVLTQFIMQKNRPVKVVELDYESVAYLRENFPALEDNIIEDDFLKLNLEKLFDGKPFVLTGNYPYNISSQIFFKMLDYKDLIPCCTGMIQKEVAERIAAGPGSKTYGILSILIQAWYKVEYLFTVHEHVFNPPPKVKSAVIRMTRNETTELGCNEKLFKLIVKTTFNQRRKTLRNSISSILDKENPLSTDPIFNKRPEQLSVQEFIELTNQVEAALKNKTDIVYSNDIARKGTNKKE", "LERTRLKKIINKGLQIAFPLFLGAAILIWMYHGFNFSRVWEVLDGGMNYGWMLVSLVFGVFSHIFRGWRWKLTLAPLGEHPKTSDCVYAIFVSYAANLVVPRVGEISRCGVLAKYDGTSFSKSLGTVVTERLIDTLCVSLITGVTLIMQARVFDTFFKETGTDTTVLAQVFTSGHFYITIVCVLAVLVLAFFLIRNVTVFAKVKGILHNVWVGVLSLRHVKRMPLFILYTVGIWTCYFLQFYVSFFCFDFSDNLGVMAGLVMFAVGSIAVVVPTPNGAGPWHFAVITMMMLYGVGKEDAGIFALLVHGIQTFLLILLGIYGLAALPFTNKTKKL", "MSTILSLAPQNVWKHFYSLTQVPRPSGYLKKVQEFLLNFGKSVGVESFQDEAGNIIYRKPATPGMENRKKVILQAHMDMVPQKNNDTVHDFENDPIETYIDGDWVKAKGTTLGADDGMGVAAIMAIMEDKTLKHGPLEALITADEETGMFGAFGLKPGTVDGDILLNLDSEEEGELYIGCAGGEDLTAVLEYKEVETDPADIALKVTLKGLRGGHSGLEINEGRANANKLMARFMNQVITYDEACLVSWQGGNMRNAIPRECEVVITVPAEEEADVLEFVQECEALWNEEYHVHETPISFKAERVELPAMMVPDEIKDNLVDAIYACQNGVMRMIPTIPDTVETSSNLAIVSIGGGKAEIKILARSSRDSMKDYLNTALESCFSMAGMEVTRSGGYSGWEPNVDSPILKAMKESYKAQFGTEPAVKVIHAGLECGIIGAVVPGLDMISFGPTLRSPHSPDERCFIPSVGKFYDFVVATLENTPVKE", "MKKEELMRKAIELSIENVANGGGPFGAVIAKDGEIIATGANRVTSQCDPTAHAEVSAIRAAASKLGTFNLSGCEIYTSCEPCPMCLGAIYWARLDKMYYANNKTDAKNIGFDDSFIYDELSLKPSARKLPSEVLLAEEAIKAFQQWTEKSDKVEY", "MNNSLSLFVKEMRKRFGLTQVDLAAKAGVGLRFVRELEQGKQTLRIDKVNQVLALFGYEVGAVPAGKEHSV", "MKIRYLSLIVLLVMSVFAPMQAQTYDNLWKELEVLERKDLPKSVISEAMKIYDKAKAEQNVPQMMKAYLTAMQYRSLLTPDSLKVDMNGLEQWASQTGSMEDKAILYSILGEMTMPADVKKGLGYLQASLKDKDRLLLIPVEKLRPMVRVGEASKRYFRDNLYNLLARRAIQIMQQYRWQAAAKANQTNSLPADMTDMDQFVTYQFVPVSDCDLTAAVMQTYQSLLKAYDTETEREGWLLTGIDALNYLYRNFSGNFSNDVCQQELRKWIHTYPAVKTVPEAYLALAQFLQYQNNQVERLRIVREGIAGYPRYEGINQLKNIEKEILNASLSLEIATAYPGEQQSVKVNYKNLTGITLQLYKVNLPVTSAVLQNRTTHFESKYARLQREEHFSLKPTTDYLNVDTTLTIQAPQAGIYFLKAVPDGKKGVSDGTLMNVTALKTIYRPLPDGTLELVVVDAVSGQPVSEAEVTIYTEKGGGYSPQQTYQADKQGTLKLDFLNSNKYWYNAHTAADNAMPILNLWKNDYYYKESKRKEVLQLFTDRSIYRPGQTVYVSGLAYEMEKDSTRVLADKKYTVSLYDANNNETGKVEVRTNGFGSFSGQFVLPSPCLTGYFSLRVADTSVSFKVEEYKRPTFDVTFEPVKVEYQVGDSIEVVGMAKTFAGAPVQNARVHYNISRSYAWFWRFMGRGSARWEGEAMTDADGKFSVPVHFEIDSDRRESPLWYYTYNIQADVTDGAGETQQANLSLPLGSTSMVLNMDNLPDNLVKEKKLEIKLTAMNLSGEPVDTPVTYQVVEMEKQKDGQEKEGRKILTGTVEANKSFVPEAIYALPSGNYRLKLSAKDTQGRECTAFKNFLLFSLNDKRPPFVITDWFYQDGLEFDAASPATIYIGSSEKNVYLLYDVFAGNKRLESKRIQLSDSVISFRFPYKKEYGDGILVSMAFVKDGRLYSHNAQIMKPAPEKKLQLKWTTFRDKLRPGQEEEWKLTVLYPDGRPAEAEMLATMYDASLDKIYSAHKLDFGVDFHYVVPLTYWNTSYMRNAYLYVDFPLKRLRAVPLEYSELIIPSTGRMEAVVVGYGGSPRAALTGSLKIRGRSAANAVMKQEAVTDMVLQEEMVETSAQENAEMDSSEELAETGDIQIRENFAETAFFYPQLRTNETGEISISFVLPESLTRWKFMGLAHTRNVDYGKIEATATASKEFMLQPNMPRFVRVGDKANIAASLMNLSDKGVKGTVCMELFNPETEKVFYSQKQKFDVKGGETGHVNFTFEVSDKYTVMACRMVADGDTFSDGEQRYIPVLTDKQWVTETVPLNVNGEGAHTFSLENLFNKHSKTASEQRLTVEFTAHPAWYAVQALPVVAHPQNEDALSWATAYYAHSLAAYIVKENPRIKQVFDSWKAQGGTKETFMSNLQKNQELKNILLAETPWLAEATNEAEQKQRIATLFDLNTMNSQLAVSVEKLGELQNADGAWSWYKGMQGSRYVTTQVMEMLVRLNALTHQDADSRMQPMIQKGFEYLGKQAAEEYKSMKEAEKKGAVGIRPSEQVLRYLYICALDGKAPVDEKVNRYFIDKLSGEGKELTIYEKALGAIILQQAGKVAEAKLFMQSLMEYSVVTDEMGRYFDTPKARYSWFSYKIPTEVAAMEAIQRITKDTKAIDEMKRWLLKQKQTQTWETPIATADAVYALMATGASDLLANTGGVEITLGKEVIRTPADDAIGYIKKTVSGDVMNIKKVSVDKEGTGMGWGAVYAQYLESMDQISGQGNGLSVSRQLYKGDEALNESAPLKVGDKITVRLTVKADRDMDFVQIKDDRAACMEPLQAVSGFRWSNGLGYYQATKDASTQFFIDQMRKGTYVIEYQVYVNRTGEYQAGIATVQSAYAPEFGGHTGGYRVMVE", "MKIVKHYWFIAIALITMISFSSCENDEERGFDISGLYGKTWWGEMGFEDPYGERLYSYITFTSGAFPDHGVGTEERCYYDDELYRVYKFDWEIQNGWLYLYYSDGYTFIIEYPSVSGRYFYGTAEDGFEIRLEWVDGRSIRKKVS", "MKHIILIVSLLMGVTLGVQAQPKALFDKTTHEFGTILWKNPVTATFKITNKGDKPLVISNVTTSCGCTVADWPKEPIAPGKTGSVSSTFDAKAIGRFQKSVGIYCNASNKPIYLAIRGEVTADPKNYTFTHPFQIGAIRLNKEEIEFEDANKGDKPTMELLVANTSDRLYTPVLMHLPPYLSAVAIPEKLGRGRTGKIKITLDTEKLPKLGLTTASVYLSRFLGDKVGEENEIPVSAVLLPDFSHISQQERLNPPAIHLSAEELQMGELESDEKKAHTIIIKNVGKSNLEIRDLQVFNSALGVQLKKRVLKPGASTKLKITAFGQNLKKVKGTPRVLMITNDPNNPKIIIKVKVTSKK", "MEHPENSEEYKGLTVNKGIEQPSIVNPYLKLRKKPRRREFSVGEYVEGIVKGDVTVLSQAVTLVESVKPEHQAIAQEVIEKCLPYSGNSMRIGISGVPGAGKSTSIDVFGLHVLEKGGKLAVLAIDPSSERSKGSILGDKTRMEKLSVHPKSFIRPSPSAGSLGGVARKTRETIILCEAAGFDKIFVETVGVGQSETAVHSMVDFFLLIQLAGTGDELQGIKRGIMEMADGIVINKADGSNIEKAKLAASHFRNALHLFPAPDSGWSPKVMTYSGFYGIGIKEIWDMIYEYFAFVKANGYFEYRRNEQAKYWMYESINEHLRDSFYNNEKIISMLAAKEEEVLNGKLTSFVAAKKLLDAYFSTLK", "MGTIKSIGILTSGGDAPGMNAAIRAVTRSAIYNGLKVYGIYRGYKGLVTDEIQEFKSQNVSNIIQMGGTILKTARCKEFTTPEGRAQAYENMKKHEIDALVIIGGDGSLTGARIFAQEFDVPCIGLPGTIDNDLYGTDTTIGYDTALNTILDAVDKIRDTATSHERLFFVEVMGRDAGFLALNGAIAAGAEAAIIPEFSTEVDQLEEFIEHGFRKSKSSSIVLVAESELTGGAMHYAERVKNEYPQYDVRVTILGHLQRGGRPTAHDRIIASRMGVASIQALLEGQRNVMIGIDDDKIVYVPFAKAIKNDKPIDRELVNVLHELSI", "MVNIEIDEGSGFCFGVTTAIRKAEEELAKGNTLYCLGDIVHNGQECERLKKMGLITINHEEFAQLHDAKVLLRAHGEPPETYAIARTNNIEIIDATCPVVLRLQKRIKQEYDNVPASQDTQIVIYGKNGHAEVLGLVGQTHGKAIVIETPAEAAHLDFTKDIRLYSQTTKSLEEFWQIIEYIKEHISPDATFEFYDTICRQVANRMPNIRKFAAAHDLIFFVCGRKSSNGKILYQECKKINPNSYLIDQPEEIDRNLLENVRSIGICGATSTPKWLMEECKKAILNEK", "MKKITIAIDGFSSCGKSTMAKDLAREIGYIYIDSGAMYRAVTLYSLQKGFFSEKGIDTEALKTAMPDIHISFRLNPETQRPMTFLNDTNVEDAIRSMEVSSHVSPIAALGFVREALVKQQQEMGKAKGIVMDGRDIGTVVFPDAELKIFVTASAAIRAQRRYDELRSKGQEASYEKILENVEERDRIDQTREVGPLRQADDAILLDNSHMSIAEQKEWLTEKFQAAING", "MEVKKSPKADLEGKKSTWLLIGYVFILALMFVAFEWTDRDKQVTTETGITDVVFEEEIIPITEQEQKQAPPPPEAPKVEEVLQIVENDAKVEETAIQASEETGQAVEVKYVPVEVEEEEPEEQQIFQVVEEMPEFPGGMAECLKFLGKNIKYPTISQENGVQGKVIVQFVVNKDGSIVDPVVVRSVDPYLDKEALRVIKTMPKWKPGKQRGKAVRVKYTVPVTFKLQ", "MAFSADQLLEKVNTYLATMPYVREPKGLYAPIEYVLSLGGKRIRPVLMLLSYQLYKENVDDILPQAAGIETYHNYTLLHDDLMDCADMRRNKPTVHKVWDENTAILSGDAMLVLAYRLMNNCPERYLKQVMDIFSQTALEICEGQQWDMEFETRNDVTVPEYMEMIRLKTSVLLSAALKIGAVLGGASEDDARKLYDFGIKMGLAFQLQDDYLDVYGDSKVFGKNIGGDILCNKKTFMLINALALADGIQRKELEKWIASTAFCPEEKIKAVTALYDKIGIGGICEEKINAYYVEGLSLLESMAVPSERKEELKSFVCHLMNRKV", "MIDTHSHLFVEEFDEDLPAVIERARDSGVSKVFMPNIDDTTVEAMLNVCTAYKGYCFPMIGFHPTSVGADSLFRIYEMKKLLSGEHPFVAVGEVGMDLYWDKTYLKEQQKALDIQIQWALEYHLPLVLHCREAFPELFEVMEPYKKTELSGIFHSFTGTLEEAGQVLEYARFFFGINGVVTFKKSSLAEVLPHIPLDRIVLETDSPYLAPVPFRGKRNESSYIKNVAMKLAEIYGLDFKDVDRITANNALKVFKMAE", "MKKLFAILAVMGVLTLGSVQPVMAQDAAPAQTEQTTEAAVVEEGGGLHKELKTKFIEGDASFMSLVAIALVLGLAFCIERIIYLSLAETNTKKLMANIEAALEKGDVEAAKTVCRNTRGPVASICYQGLMRIDEGIDVVERSVVSYGGVQAGYLEKGCSWITLFIAMAPSLGFLGTVIGMVMAFDKIQQAGDISPTVVAGGMKVALITTIFGLVVALILQVFYNYILSKIEGLTSEMEDSSITLLDMITKYNLKYKK", "MAKLSYKVSYYIMYICFALILVVLGMFYFVGYNNPVGEYNAPEHTETLIYLMYAMFGICVAVTVIGAIAQFGAALRDNPKSAIKSLIGLVLFVVVLVVSYGMGSDSPVVLADGSAYTDTGWLKITDMLIYSIYFLFGVAAIGTLVNLSGIFKR", "MARKKRGVPGINSSSTADIAFMLLIFFLITTSMDTDRGLARRLPPPPENKDQKDDDIIVKERNILQVRLNKDNQLMCGTDYIDVKQLKEKAKEFIANPYDDENLPEKHRKNIPLLGDCMITENHVISVQNDVGTSYQAYIDVQNELVAAYNELRNELGKAKFGKLYNECNEDEQKAIREYYPQKISEAEPKKYGGK", "MGKFNKTGKRGMPELNTSSLPDLIFTMLFFFMIVTTMREVTLKVQFQVPQGTELEKLEKKSLVSFIYIGKPLPEFQKKMGAESRIQLNDKFAEVSEVQDYIYQERENMKEEDQPFMTVSLKVDKDTKMGIVQDVKQALRQAYALKINYSATQRQ", "MFSILFVMDEFIRYLWLVIVRTMDFLRTNSCVVAQGIEEKECSDLLSVNFFVKSLFNFLKITFHIYIPIGH", "MIEIKQVKTQDEVNYTFVEKLMHTAFPQEERRDTVQQREYSDNNPRFCNNIILENGNSIGMISYWTMGDFYYIEHFAIDPSLRNGGYGKRVLEMIKKQLKGPIVLEVEEPNDEMSTRRIHFYKRLEFTLHKKPYIQPPYRKGDSGLPMLLMTYGDIDMESDFEKVKKTLYKEVYGQEI", "MTFPDNIRAIHNFYCINTNNLIECPIFAENAKTMKKTFIFTLCSLFSMTVNAQNFSDYFEDKTLRADYIFTGDAKKQEVYLDELSSLPQWAGRKHHLAELPLAGNGEITMKDKATGETIYRTSFSSLFQEWVSEEEASRIKRGFENSFLLPYPKKEAVVTISLKDVYHKVNASLTHEIIPNDILIHQRGTNHITPHRYLLQNGNAADCIDVAIMAEGYTEKEMDIFYKDAQTACDALFSHEPFKKLKDKFNIVAVASPSEDSGVSIPGQGKWKSTAVSSHFNTFYSDRYLTTSRVKSIHNWLAGIPYEHIIFLANTDTYGGGGIYNSYTLTTAHHPDFQPVVVHEFGHSFGGLADEYAYTEAPSPQYPYEVEPWEPNITTLIDFDSKWKDMIPEGTAIPTPVATENADLYTKVGVYEGAGYTQKGLYRPTTECRMKINEAPAFCPVCQRALERLINFYTAK", "MGHHQLDSLDEQILRLIASNARIPFLEVARACNVSGAAIHQRIQKLTNLGILKGSEYVIDPEKIGYETCAYIGLYLKDPEQFDSVTEALKNIPEVVECHFTTGQYDMFIKIYAKNNHHLLSIIHDKLQPLGLARSETIISFNEAIKRQMPILDLADED", "MNISIIVAIARNHGIGFENKLLYWLPNDLKRFKALTTGHTIIMGRKTFESLPKGALPNRRNIVLSRREGAVFAGAECFPALEIALSHCKEEEEIFIIGGASLYKEAMHIAQQLYITFIDDTSKEADAYFPEIKKEEWKETGREDHPTDEKHLYPYSFINYSRIK", "MKQYLDLLNRILTEGVHKEDRTGTGTISVFGHQMRFNLEEGFPLVTTKKLHLKSIIHELLWFLQGDTNVKYLQDNGVRIWNEWADANGDLGHIYGYQWRSWPDYNGGFIDQISEAIETIKHNPDSRRIIVSAWNVADLNNMNLPPCHAFFQFYVANGRLSLQLYQRSADTFLGVPFNIASYALLLQMVAQVTGLQTGDFVHTLGDTHIYTNHLEQVKLQLSREPRPLPQMKINPDIKNIFSFKYEDFELVNYDPWPHIAGKVSV", "MMENDDTLIKNFMLANKHEIEDNGFSRGVIRRLPQPAQWLSDILSVTCAIVCCALFYIFNGFEILCQTISDIVTSQTYYLVSDTNFQSLVIATAVLIIIGLQRVCSLKW", "MSQINDIALVAQVVVFKNTRAFDTLVKKYQSPIRRFFLHQTLGDTELSDDLAQETFIKAYTNLASFKNLSSFSTWLYRIAYNIFYDYIRSKKETSGLETWEIDAVYQTEQRQVGEHMDIYRGLSQLKEVERTCITLFFMEDLPIEKIAVITGMPAGTIKSHLSRGKTKLTTFLKQNGYDGKR", "MKQLLFILTLLIGTCFATHAKTIKLVPSALDSTRMDTVVISDLQDVNEDQNAAMHDISSDFGDWNSGPPTSVAIVALIICCGLPFFIVAIVLWFRYKNKQAKYKLAAEALAAGRTIPAELFNDPEEQGNAVMTKGIKNIFLGIGLGVFLWVLTEEEGLAAIGFLIFCMGLGQVLIAYTTTPHKKKKDDPFPPTRINQDENGVRSMKIENIELGRNKEAKETQNIKNNIDTPYNEE", "MKLPSEFEARTLEWMGEETYRALEAALQTEPPVSIRVNRTKWSGEVAGEPVLWASAGVYLSQRPTFTFDPLFHAGCYYVQEASSMFVEQVLRTYITGPVVMLDLCAAPGGKSTHARSVLPVGSLLVANEVMRNRSQVLAENLIKWGNAEVVVTNNDPADFTSLTEVFDVVLADVPCSGEGMFRKDPVAVEEWSTDNVQVCWQRQRRILADIWPALKPGGLLIYSTCTYNREEDEENVAWIADELGAEILKVPVASEWGIIGNLAGQDFPVYRFLPHRVKGEGFFLAVLRKSAAISHVVPCIRCQDDKEKITKKKRKGEKGSLSQVVPFPKEVKSWLKQAEDFRFEVRGTKVIAFPNVHLSEYDLFRQKLKVVHAGVTIGELKGKDVIPDHSLAMSTLLNHDGFSRFELTYEQAIAYLRKEAITLDASVPRGYILLTYKNIPLGFAKNIGNRANNLYPQEWRIRSGYLPEELSFVC", "MIVCIAEKPSVARDIADVLGAKTKKDGYIEGNGYQVTWTFGHLCTLKEPHEYTPSWKAWSLSSLPMIPPRFGIKLISDPGIEKQFRIIEGLMQNADEIINCGDAGQEGELIQRWVMQKAGAHCPVKRLWISSLTEEAIREGFSKLKDQKEFQPLYEAGLSRAIGDWVLGMNATRLYTLKYGQNRQILSIGRVQTPTLALIVKRQQEIENFTPQQYWVLATLYRETTFSAIIRKSDEELAQEESDAKENPKKAKKAEGNRGIPPITDLATGQALMERIKNVPFTVTEVAKKQGTEAPPRLFDLTSLQVECNKKFAYSADETLKLIQSLYEKKVTTYPRVDTTFLSDDIYPKCSNILAGLTPYTVFTAPLAGQKLIKSKKVFDNSKVTDHHAIIPTGQPPVNLTEMEKRVFDLVARRFIAVFYPDCKFATTTVIGEADSIEFKATGKQILDPGWRVIFAKPQTNLPEGMTDPDAEARENDEEHSLPAFVKGESGPHLPKLDEKWTQPPRPYTEATLLRAMETAGKLVDNDELRDALKENGIGRPSTRAAIIETLFKRHYIRKERKNLIATPTGIELVGLIREELLKSAELTGIWEKKLREIEKKSYDATTFLNELKQMVSEIVHSVLSDNTNRRVTTIEETATKTATTATVKQPKKKAGTSRKNASSATPAPTIPSDNELVGKSCPLCGKGTIIKGKTAYGCSEWKNGCTYRKPF", "MKKNWMLFLFFAAFAALTFSGCSDDDNSDVPENTHLVSKEVQAAFNAKYPQAKDVEWELKGDYAVADFYWDGGEHSAWFNPLSAAWYMTETDVRYENLPEPVLAAHKAGKYADWRVDDVDKLTREGMETLYVIEVEKGESELDLFYSSTGILVKTVVDTGHEEDYDDYLPQPDANGIIAIVKQKYPNATIVEIEREKGLQEVTILDENREKEVYFNERNEWMGTSWDVQVANLPEAVKKSVMEKYSDYVIDDADYVVTPDNEWYILDLENKQIDKELKVKVDKDGVWL", "MMETGLTYTSTVVVSKENVAATMGSGDLNVFATPAMVALMENAAMSAVADELPEGSTTVGAMMNTTHIKPSAVGDTVSATAVLKEVEGRKLTFEVRAQDSKGVIGEGTHVRYIVDKEKFMSKLS", "MNQHIPLSNIINKLQRKELLLKLEYDYEKETFRQQTEAMGIGRKVKRGMCWYPISAGRSYYNSLNQLVVEVERQEDKDIEHVFEFGRPVCFFTQNASGQLHYFNFTATVNYVDEDRMVIVLPSADALLSIQATERQLGVQLYFDETSYRLMFEALGQVLKAKGNRLAELREIFHGNQKAETFSFGFTRFPWLNNTQEEAVNKVMHAKDVAIVHGPPGTGKTTTLVEAIYETLHRENQVMVCAQSNMAVDWISEKLVDRGVPVLRIGNPTRVNDKMLAFTYERRFESHPDYPQLWSIRKAIRELYGRSRKGAERENIRQKINSLKDRATELEIRINEALFGEARVIACTLVSSANRILTGRKFSTLFIDEAAQALEPACWIAIRKADRVILAGDYCQLPPTIKCMEAARGGLDRTLMQEIADNKPDTVSLLKVQYRMNDEIMRFSSEWFYQGELKSAPEVKYRSILDYDTPIEWINTEGMECNEEFVGESFGRINKEEAALSISQLTNYINKIGKDRFLEERIDVGLISPYKAQVQYLRQLIKRDTFFKPYRHLITINTVDGFQGQERDVILISLVRANEEGQIGFLNDLRRMNVAITRARMKLIILGDASTLTKHPFYKKLYEYILALQE", "MVYDLDMLKAFYASFEKKIGRVRAVLQRPLTLAEKILYTHLYDDAQLKNYERGEDYVNFRPDRVAMQDATAQMALLQFINAGKDSAAVPSTVHCDHLIQAYKGAGPDIATATETNREVYDFLRDVSSRFGIGFWKPGAGIIHQVVLENYAFPGGMMVGTDSHTPNAGGLGMVAIGVGGADAVDVMTGMEWELKMPKLIGVHLTGSLNGWASPKDVILKLAGILTVKGGTNAIIEYFGEGTASLSATGKATICNMGAEVGATTSLFPYDDRMAVYLKATGRESVAEMAGKVANDLRADAEVVANPSAFYDRVIEINLSELEPYINGPFTPDAATPISEFAEKVLVNGYPRKMEVGLIGSCTNSSYQDLSRAASIARQVAEKHLAVAAPLIVNPGSEQIRATAERDGMIDAFQKIGATIMANACGPCIGQWKRHTDDPVRKNSIVTSFNRNFAKRADGNPNTHAFVASPELVLALTIAGDLCFNPLKDTLINQEGEKVKLSVPEGDELPSAGFTQGNPGYLAPAGAQVEIKVNPDSQRLQLLAPFPAWDGKDFTDMPLLIKAQGKCTTDHISMAGPWLRFRGHLENISDNMLMGAVNAFNGETNKVWNRLTNTYESVSGAAKQYKAQGIGSMVVAEENYGEGSSREHAAMEPRFLNVKVILAKSFARIHETNLKKQGMLAVTFIDKADYDKIQEHDLITVSGLADFAPGRNLTVTLHHEDGTQDRFEVQHTYNEQQIGWFRAGSALNAR", "MKKTVPYITGDGVGVEITPSMQAIVNAAVKKAYGSEHEIEWIEVLAGERAFNETGSWLPDETMEAFKKYGVGIKGPLTTPVGGGIRSLNVALRQTLDLYVCLRPVRWFSGVVSPMKEPQKVDMHIFRENTEDIYAGIEWEAGTPEAEKFYRFLHDEMGVAKVRFPESSSFGVKPVSKEGTERLVRAACKYALEHGLPSVTLVHKGNIMKFTEGGFKKWGYELAEREFGDAIASGKLVIKDCIADAFLQNTLLIPEEYSVVATLNLNGDYISDQLAAMVGGIGIAPGANINYNTGHAIFEATHGTAPNIAGKDVVNPCSLILSAVMMLEHFGWNKAAELIVNALESSFGEGRATHDLARFMPGGVSLGTSAFTKEIIERINS", "MKKEYIIYKLSEEMKNATRIENELFKKFDVKRGLRNEDGTGVLVGLTKIGNVVGYERIPGRGLKPIPGKLFYRGYDLEDLAHSIIKEKRFGFEEVAYLLLSGHLPDKEELASFCELINDNTPLEQKTKMNIIELEGNNIMNILARSVLEMYRFDPQADDTSRDNLMRQSIDLISKFPTIIAYAYNMLRHATYGRSLHIRHPREKLSIAENFLYMLKKDYTELDARTLDLLLVLQAEHGGGNNSTFTVRVVSSSRTDTYSAIAAGIGSLKGPLHGGANIQVADMFHHLQENIKDWTNVDEIDTYFTRMLNKEAYDKSGLIYGIGHAVYTISDPRAILLKELARDLAKEKGKEEEFAFLELLEERAIAMFGKIKNNGKTVSSNIDFYSGFVYEMIGLPQEIFTPLFAMARIVGWCAHRNEELNFEGKRIIRPAYKNVLEELEYVPLKQR", "MPKRLIFTSDLSAIPQTPCPSAFRLKDMHCEMFQPENILQKNQFPKNWKDTGLAEGFQSRLKDSRTQFFSRKADGQGICEHAERFEGFFKVLYIEYYERPYLTEKSKISSWNMYVVLLCSETYLTLSTKISHFSLQQYRPHCRQAKTIIPKLIANRGASKPLSLFQRHIFQFFQHILVCRTNNAFSFKIQLLIAVCAPPHDTSHRKQRCKYFLWQTNHFIYET", "MTQDNSIVIREYLTTDKEVVMNLIKLNTPNFFAKEEVNDLSNYLDKGIELYYVLLVDGKVVGCGGINFAEKRTIGKISWDIMHPDYQGKSLGKKLLRYRIEVLKAIPSIKKITVRTSQLAYKFYEKQGFTLNEIKRNYWADGFDMYSMQYNEL", "MRSTFKVLFYLKCNAPKKDGLIPVMCRITINGKIAQFSCKLDVEEKSWNVELGRVSGRSIAAQETNRMLDKIRVGINQAYQKIADRDNYVTAEKVRNAYLGLGMNHETLLAVFRQHNEDYGKQVGKLKSPRSYWKYCTMYKHLSEFVEKRYKVSDIAFKELTPAFITDFELFLRVEKNHCNNTVWSYMMPFRKIIYMAVNNGLLQRDPFFAYSITKEETKRGFLTQEEITLLINGTFKKKSYELIRDLFIFCTFTGLSWTDMANLTKANLRTSFDGHLWIDTNCQKTGVETNIRLLDVARHIIEKYDGMAERDKLLPVPCYANCKNGIKAIAKKCGIEKNVTWHQSRHIELPLSLNLNRLQRLVS", "MVIRTIKLTSLFVNTENYRFEPLSSQKEAIDKMIEDQGDKLYSLVDDIVTNGLSPVDLIIVTPNEDSNKYVVLEGNRRITSLKLLNNPTLIDDKYSPLRKKFQKLQKEKPNAISELKNIACAVFETPTEADIWIKRKHSGELNGIGTVTWNAQQKQRFEEKTEGKSSIPLQIITLLKSQEEVSDTIKDSLSKLNITNLQRLMSDPYVREHLGLEINNGILVSKVKVSEVIKGLLKVVTDILNPEFKVSDIYNREKRKQYIDNFDKSQKPDLSNEASEQWSVQDIENNKEQASRNSEKQEIKGDKNRKTRNRGALVPKSLNLHISNPKINKIFEELKHVQVKTCPNASSVLLRVFLELSVDAYLEKFDLVRNNAITACSSGESLQGKVGKVLNHMTQLGTMSNDLSKGIRSEINDKNSVLSIESLNAYVHNEFFYPKADNLITGWDNIESFFIQLWESIKNKE", "MEFLSPLRYPGGKAKVADFVQCLIKENALLDGTYVEPYVGGGSVALSLLFNEYVSDIHINDKDISIYAFWYSALNNVDALCKMIKDTPLNVETWFKQKEIQSNKENSDLLELGFSTFFLNRTNRSGILKAGVIGGYDQTGNYKIDARFNKEDLIKRIQRIADYADRIHLTNEDAVSLVQRLKNELPYNTLFYLDPPYYVKGKGLYLNYYNDTDHQNIANTISEIANCKWIVSYDNVPFITSLYSKYRQQCFELNYSASNSGKGKEIMVFCDGIVIPKHKLFNHSTK", "VKITHIHIERFRGFQNEDFEVGSLLTAIAGQNGTQKSTLLGIITQTFTLKTEDSMRVEKPLCGGSYISAFKDKFRLSPTFDKPKGHEWTISFDAGMDDFTVESIKRTGDPNVRFWKKGARQEGDGYISFPTIFLSLKRLVPVAEEAKIITDDTLLTQEELNEFKQLHNKILIAQTPISSATTITSKNKQSIGVSTELYDWNQNSMGQDNLGKIILALFSFKRLHDKYPRQYKGGILAIDEMDATMYPASQVELLKVLRKYASKLNLQILFTTHSMSLLKAMDDLVQEVTKKEETANQAKILYLKKVDDKIAIKQGVNFKGIQLDLNVVAEGNNRKKNRITAYTEDKENILFVKAILKSKAFVLDFVDVTLPCSTLMELVTKRVPAFIYPYSIVILDGDVRMNKNDLRKINNADNILILPGNKSPERLLASYLYNLSDVDPLWSKIADGYTKQFCFREYSMEQINAGGELGRQNAKKWFNSQLEYWGRNGCKVLNPFLSSISEEAQEFRTNFDNMIKQYIHD", "MGQQTFEFLLLAMSALAVIVFVALYYVRAGYGMFHTPKWGLSVNNKLGWVLMEAPVFLVMLYLWWNSSVRFDAAPFLFFLLFELHYFQRSFIFPFLMKGKSRMPLAIMLMGVVFNVLNGLMQGEWLFYLAPEGLYTDAWLGTPSFWLGVILFFIGMGINLHSDSVIRHLRKPGDTRHYLPQKGMYRYVTSGNYFGELVEWIGFAVLTCSPAAWVFVLWTFANLAPRANSIRNRYREEFGKDAVGKKKRMIPFIY", "MMNSKLFTSASIGPLTLRNRTIRSAAFESMCPGNAPSRQLKDYHCSVAAGGVGMTTIAYAAVTQSGLSFDRQLWMRPEIIPGLREITDAVHKEGAAVSIQLGHCGNMSHKSICGVTPVGASSGFNLYSPTFVRGLRKEELPQMAKAYGQSVNWAREAGFDAVEIHAGHGYLISQFLSPYTNHRKDEFGGSLENRMRFMDMVMEEVMRAAGNDMAVLVKTNMRDGFKGGMEIDEAVQVAKRLVQDGAHALVLSGGFVSKAPMYVMRGAMPIKSMTHYMNCWWLKYGVRMVGKWMIPTVPFKEAYFLEDALRFRTEIKEIPLVYVGGLVSREKIDEVLDDGFEFVQMGRALLNEPGFVNRLRTEEKARCNCGHSNYCIARMYTIDMACHKHLEEKLPLCLEREIEKLENQ", "MSKLAIITGADGGMGTEITRAVAQAGYHVIMLCYTLFKGEERKNQLILETGNKEIEVRQVDLSSMASVTNIAEDLLGRGKHIDLLMNNAGTMSSGGLITTEDGLEYTVAVNYVAPFLLTLKLLPLMGQGTRIVNMVSCTYSIGKITPEFFVRGKRGSFWRIPVYSNTKLALWLFTRELSERLKAEGITVNAADPGIVSTNIIRMDMWFDPLTDILFRPCIRTPKQGAATAVSLLLDERWKEVTGQMFASCKPKKVKDKFMNHPQARQLWADTKAYLEKLKLEEPIG", "MAIQKYKGHLALLGAAIMWGLMSPIGKTALENGISGLSLATFRMTGGAICFWIASIFAPKEQVKPHDLMMLFFAGLLGIVLNQGCFTFGLSLTSPIDASIVTTTAPIATMIVAAIYLKEPVTGKKVIGIFLGSIGALTLILSSQGSTDGKGGSIPGDLLCLLAQISFSFYLAIFKGLISRYNIFTLMKWMFTYAAICFIPFSYHEVSTIHFHEISTSTWACVAYVIVGGTFLAYILMMIGQKTLRPTVISMYNYVQPIVGTSVSILLGMGTFGVAKGIAVALVFTGVYIVTQSKSREQMLAEQAAKKE", "MKKQADYIKRIEIKRLWGRKDISWELRPDVNILSGVNGIGKSTILNRSVNSLTALEGGALSNGSAPGVHFVFSPEDATQIHFDVIRSFDRPLIHSELLEKMADKNVKTELDWQLYQLQRRYLDYQVNIGNRIIECLTSDGPDGQLRAAEMSYPKTKFQNLMDDLFGETGKKIIRKSNEILFEQDGDILYPYQLSSGEKQILVILLTVLVQDNRRGVLFMDEPEVSLHVEWQQRLISLIRQLNPNVQIVLTTHSPAVIMDGWLDAVTEVSDITK", "MGKRLSENLSSLYIGAANKLKPKCSRRKIIAYVESYDDISFWRTLLGEYENETRYFEVMLPSKTTLAKGKKSVLMNELGPRLGQNMIACVDSDYDYLLQGATHTSRYIINNKYVFHTYAYAIENYQCYAEALHEVCVMATLNDHPLVDFVAFMRMYSQIAYPLFIWSVWFYRKHNLSEFSLLDFCSYVKLDRVSVYHLERSLESMSRRVRRKLLELERRHPKALEEIEAMKGEFAKLGVNEDNTYMFIQGHHIMDSVVMRLLVPVCNVLRRERETEIKELAEHNMQFHNELTSYQRRQLGVDIVLRKHTSYKLSPLYKKLEADIERFLKHI", "MSVIYKFLFPSKPDGTAMSLLILALRILFGILLMSHGVQKWANYDVMSGSFPDPLGIGSQLSLVLAIFGEMVCSMAFIFGFLYRLAMLPMIFTMCIAFFVVHGSDPFAVKELAFIYLVVFILLYIAGPGKFSLDHFIAKALASHKK", "MKTIKCIGVLTSGGDASGMNAAIRAVTRSAICNGFKVKGIYRGYEGLINGEVKELTTQDVSSVIQRGGTMLKTARSAEFQTVEGRKKAYDTMQREGIDALVIIGGNGSITGARIFAEEYDVPCIGLPGTIDNDLYGTDFTIGYDTALNTIVECVDKIRDTATSHDRIFFVEVMGRDAGFLAQNSAIASGAEAAIIPEDRTDVDQLEKFIGRGFRKTKNSSIVIVSESPKDGGAMHYAERVKKEYPQYDVRVTILGHLQRGGSPSAYDRILASRLGAGAIDAILEGQRNVMIGIHNDEVVYVPFSEAIKKDKPLDKSLIKVLDELSI", "MKKLVIFDLDGTLLNTIADLAAATNQALQYYGYPTHETEAYRFFVGNGINKLFERALPEGERTEENVLKIRSQFIPYYDEHNADLSRPYPGISELLKTLQQQGIMIAVASNKYQAATRKLIAHYFPEINFVEVLGQREGIPAKPDPSIINEIMTKAGVKQEDILYVGDSNVDMQTAHHAGVTAIGVAWGFRPRTELEALHPAHIIEKAEELLPLLLS", "MNFLELVKARYSARKYANRPVEAEKLDYIMECVRFAPSAVNFQPWRFRIVTDEAVLKALYSCYKREWLATAPCIIVACVDHNESWHRRADNKDHADIDIAIAVEHLCLAAAEQGLGTCWVCNFDAPQCSEVLGLPENLEPAVLIPVGYAEDEPTEKKRKPLNEILL", "MTVPDFKHISLDSSYFLIILHILYSIYDRSKAEMAKFEHFLGFE", "MENIGVGLMLMVVGMATVFVILLIVIYLSKYLITVVNKVAPEETPKKAPAVAPTADTGAMDAIKAAVEILTAGKGQVIKIEKL", "MEREVKFSLVFRDMWQSAGKYVPRVDQLVKVAPAIIEMGCFARVETNGGGFEQVNLLFGENPNRAVREWTKPFHEAGIQTHMLDRALNGLRMSPVPADVRKLFYKVKHAQGTDITRTFCGLNDVRNIIPSIGYAHEAGMISQCSLCITFSPIHTVEYYVNMAKQLIEAGADEICIKDMAGIGRPVSLGKIVAGIKKIKNIPIQYHSHAGPGFNMASILEVCQAGCDYIDVGMEPLSWGTGHADLISVQAMLKDAGFKVPEINMEAYMKVRSMIQEFMDDFLGLYISPKNRLMNSLLIAPGLPGGMMGSLMADLETNLESINKYKAKRNLPFMTQDELLIKLFNEVAYVWPRVGYPPLVTPFSQYVKNLAMMNVMAMEKGKERWGMIADDIWDMILGKAGRLPGKLAPEIIEKAEREGRKFFDGNPQDNYPDQLEKYRKMMLEKQWDKGQDDEELFEYAMHPAQYEAYKSGKAKEDFLADVKKRREEKANATTPVEAENKTKVLTVDVNGQPYRVTVAYGAVDPATLTAASGAVPAQAAPAPVGEGKDVLSPLEGKFFLVKNAQETPKKVGEKVNKGDVICYVEAMKTYNAIRAEYDGTITAICANSGDTVSEDDVLMKIV", "MEDIFERLYDMTAFSNIIAEPQFLIMYAIAFILLYLGIKKKYEPLLLIPIAFGVLLANFPGGEMGVVQADENGMVMVNGALKNIWEMPLHEIAHDLGLMNFIYYMLIKTGFLPPIIFMGVGALTDFGPMLRNLRLSIFGAAAQLGIFTVLLVAILMGFTPKEAASLGIIGGADGPTAIFTTIKLAPHLLGPIAIAAYSYMALVPVIIPLVVKIWCTKKELSINMKEQEKKYPSSVEIKNLRVLKIVFPIVVTTVVALFVPSAVPLIGMLMFGNLIKEIGSDTSRLFDAASNSIMNAATIFLGLSVGATMTTEAFLNWTTIGIVIGGFLAFALSISGGILFVKLVNLFTKKKINPLIGATGLSAVPMASRVANEIALKYDPKNHVLQYCMASNISGVIGSAVAAGVLISFLQ", "MRTLKSIMVLGLALSTFTATEAAKLTFKVTNPNEKVKVRLTFSQSGEQKEVAIDAAGNGNIEITGFTPQYVTMQYTRGRRTLYLDPNQDLTLSFDSDNMWRNTTFEGAGAAINTYLGSKELQSLGMPDMKMQEAALIHKGDSLYAANCQVLEAAKLPADFTTQEKIRLQFYTYYYFSKYALYHPHFGKDDNYIPSKAYYEKLEAITPINASLLALKEYKAFLPDAISAFSNKGKTVASSSTEQSVNYVDATIQDEKVAEFLIDQFVYNFVDNNGLDEADGLIVLFRKHVKDAKSIEKFNALCTKWEKLRSGNPSAASFSYPDINGKTVSLADLKGKYIYIDVWATWCGPCRGELPALKELEEKYAGKDIHFVSLSCDKNKKAWENMVTKDQLKGIQLHMGTDRTFMDAYLINGIPRFILLDRDGKIISANMTRPSDPKTAEKFNELLGL", "MKKYILLIFACIYAIHLNAQNAGINFLHGTTWAEAVAKAKAENKLIFIDFYTQWCGPCLNMAQTVFSLPTVGYYYNQTFINLKIDAEEGEGITLAKKYGVRSYPTYAFIDPATEEIVHRSSSRQTPEQFIQTGKDANIPTKRSFYLQEQYTKGNRERAFLIDYINYHYSVYARNNVQAAFDELIKGGAKLTDPNVWEVYVNTINGMNPYLKQVSDNYADFCQRFGKKAVDAKLAKETSYGELAEIEALCNYEGKDFNLKMIRINNDIREQKYEAAATQIDAMIADTTVNQQELISRLKFIARLGYKAEELPEFWFNKCVGYLQYIAYNQTDRDDAFIHQEYAAALEMVLRKLNGKAPIPACLSTEPAYGKKVYNMRPDALKMKPKRKK", "MKKYIFLALLTMGVSSCQLVDVLDQKPEFEADLDGAITTPAAVELALNGIYYSLPGNGFNVIFPTVSGSFKAGTMWRQEIVSAGNAVYYSERMLPTLSFSDATEWDADYAVIKNANFLETACNRMSDGEFSGNRRAEVLGEIAYLRALAYFNILVRYCEFWDMNSEYGVVMRNEAPSVSNALKARSSVADSYQYILDQLEIAIEKAPDWKKLSQASKEAAKALKARVLLYAGKYTEAVAAVNDAIDTNSPLPEANYGDVFDKFSTTKEILFARVFDQKDATNTSTRQQCYGNSPTKKQGYWGPTNEYVELVGDDPRADAIFSKVDSLMDSRSSAVAYNLKSVKKLLNDANDMPVIFSRVSELYLIKAEALYRSGASISEAYAPIRKLRERAGAEIILPANNEELETAIFNEWMLELSFENWHEWFAMIRFAGYTEKPDFTRLLALNKTLREALEKEYEKSEAQGDNYYQRIIDRRIDAIPSSEISSNLECKQNPGY", "MKRNELWKSLVAKPPKMRFLVMLMCIFCTFNAVFANVSADKTVTIKSENISVKEALNMVKKQTGINIMYEDATLNNVPLKLTLNKEPLEQALSVICSQAGMRYELVENNYVLILPIDRNAKRRTITGVIKDDTGEPVIGASIVIQGTTFGTVANMDGQFMLSYPENTKNDELMISFIGMQTVKEKIGNRHVFNVKMESEVTNLDEVVVVGYGTSSIKDLTGSVASVGLKQLSQLNTPNVTSMLQNLAAGVQVSQNTGVPGETVRVRVRGATSLTGSNEPLYVIDGVPVEDPSMLDAISPNDIQSMDVLKDASAAAIYGSRAANGVVIVTTKKGVEGSKPTVSFNYNVTTDVQIKNFRILYGDEWRETVRRFAKETLVYDPSNQYALEILEPNSTALGSANTNWFDEVKQTAIRHNADLTVSGGSKVSKYLISLSVFDQQGMVKGGDLSRYNARVSTEMNVLPILRFGINANMSYTDQNNANTSLFSAQGFRPDLPIYNDNGEFDMSTGQANPVANTYKKNHDNIYRIMGTVYGEVDIWKGFRFRSSLSGNLQFTENNSFSPSFLSTRNEASGSEYHYKYSKTVFDNTLNYNYEFNKNHVLDAVAGISFERGISRSTRMNSQTYPDNDIYTNLGSAASISSWGNGYNASGLFSSFARINYKLMERYLFTFTGRYDGSSMFGSNNRYGFFPSGAIAWRISQEKFMKNLTFINDLKLRASVGTTGTQNLTSFSNRDLYEATSYNNLSAIIHKQVGNRDIRWEKSTQYDLGLDFALFDYRLTGSISGYIKDTKDLIWSFDFPPSATGGSMQMNRNIGALTNRGIEINLVGRVLSTKDWNLDLTLNMSHNKNKVTKLVEEGRAQSAMNVVVQGSYADQVLAVGYPMGAFHGYEYAGIIQDQARIDELNAYAKSKGQSYYDGNSLKPGHLEIKDLNGDGIINYNDRVIIGNPDPDLFGGLTANLSYKQFSLFANFGYQIGGLKIYNKTLQNLPGQLTGLIDYGLNDRWSDTNKDAKYPALYIGDGVPRLTDHELFNASFFRLQEVRLTYNLPLNKVIKGQLFVSATNLFTLTSYPGTDPATVNSNSNYGGNYETSTYPGFRSFSAGLKINL", "MQKNKTEILIQKYLSHQLNEQEFEELKQWIEKDASHREIFVKLLSLHHVNNQLNLLHQFDKEGSWKSLQNRCNQSGSIYRRIVVYSSAAAIAILIGITSILYFNDRNLAPVIAQSEQSQQSPLSQNPETPKATWVQANQSVVPLYNSQREINGSRINNGEITFPQESNYPHKPEPDAELLQNKIIVPKGSEYAIVLADGTKVKMNADSHINFPVQFGDTREVTLEGEAMFEVTHDEARPFIIKTHDHTIYVLGTTFNISAYPDEELSVTLIEGKLKVNAPSGEYYLLPGEHYSSAQSKVYKVDPEFYISWTEGAMEFDAMPFPLLIARLSRCYNVDIQIASKELETMKFTGVIFRNKPLDFALDIIHRVSDVKFEKKGETILVKKQ", "VPQKASMNNNARKYNRYNEVDERILALFAENKEKAFRLLYDTYYLPLCLYSVQFTGSAETSEDIVQNLFVSFWDKNSHTTISSNLHAWLFNAVRFSSLTKVQRERYFSLDEMEEESYSPIDDFYDEEELLQKRNQLLAELKKLPEQEYNVLVKIILEDKKYKEVAEELHISINTVKTHLSRALKMLRRFNMTEILILFNL", "MKRLITLCLLGGCFLSAPAQNYVSQAWVADQGNGTYKNPVLYADYSDPDVCRVGDDYYLTSSSFNCLPGLQILHSKDLVNWTIIGAAVPYALPPVTDVRPEHGNRVWAPSIRHHNGEFYIFWGDPDQGAFMVKAKDPKGPWSEPVLVKAGKGIIDTTPLWDDDGRVYLVHAYAGSRAGLKSVITICELSADASKAITQSRIIFDGHEAHQTCEGPKFYKRNGYYYIFHPAGGVPTGWQVVLRSKNVYGPYEWKTVLAQGNSPVNGPHQGGWVDTPTGEDWFMHFQDVGAYGRLVHLQPMKWVDDWPVIGVDKDGDGCGEPVLTYKKPNVGKNYPICTPQESDEFDGYTLSPQWQWQANINEKWAYFNGGEGFVRLYSYPVPEDYKSLWDVSNLMLQKTPAPNFTATTKLTFKPTEKYKGERTGLVVMGMDYAGLVVENTDNGLVLSQVECLKADRGATEKVNASVPLKDGTIYLRAKFSAKGDKIKASEGGHDLLVKCNLSYSTDGKKFQPLGETFQVKEGKWIGAKVGIFCTRPAIVTNDGGWTDADWFRIEK", "MKKHSIIVLTLLAGCFTNSFAQKGEKTLTVEVSNEWNQNKTDEPIVIDLNNLKAGFNIKSATVWEGNKEIPSQLDDLNGDARADELAFLIDMPAKSNKSFRIILSSEKSEKNYPARTYAQMKAYGHNNKFANITGFSAAGTENVYSFVYHHGPAIESELVAYRIYFNEKQTVDPYSKVNKRLEIKETCFYPTKAQRANGYGDDALRVYNSGGVGALKGWNGTEATHIKPVVNRTERVLASGPVRAIVEAEVIGWEYQGNDLNMINRYTIYGGHRDMKVDVLFDRPLKQEVFAAGVQILKEGGHMSDHKGLTGSWGTDWPVTDTIGYVKETTGMGTFIPKYIGKEVQDGSNYLYTLQAPGQQHFHYYVTFTSKKESFGFKDMKDWFNYLPQWRKELEHPCKITIK", "MTTLIKKILLLPATCLIPFLTGCNNCPSTTIVEDIIDPAIYTSLPFKMDKVEQPTFPDYSVNIIDFGAKPDGITLNTKAINDAIQQVNAKGGGKVIIPEGLWLTGPIELLSNVNLYTEKNALVLFSADHSLYPIINTSFEGLETRRCQSPISARNAENIAITGHGVFDGNGDTWRPTKKDKLTEGQWKKLVASGGVVDTDGRIWYPSEGALKGAILSKDNFNVPRGELTDSDWDYMRDWLRPVLLSFIKCNKVLLEGATFKNSPSWCLHPLSCENITINKVTVSNPWYSQNGDALDLESCNKALIINNSFDAGDDGICIKSGKDEQGRKRGEPCQNVIVMNNTVLHGHGGFVVGSEMSGGVNNIYVDNCTFMGTDVGLRFKSNRGRGGLVENIYISNINMINIPNEALIFNLYYGGKGRGEDPNQDEKKAETTIPPVTEETPIFRNIFIKDVTCNGAGRAVFFNGLPEMRIKNINMENIIVSNAKEGVVLSEADEVNMKNIKIELLKSGKNLKMQNVSNVTIDGKNHAEIGAQGEELNF", "MSYNLLKGKRGIIFGALNEQSIAWKVAEKAVEEGASITLSNTPVAVRMGEVSALAEKLNCEVIPADATSVEDLENVFKRSMEILGGKIDFVLHSIGMSPNVRKKRTYDDLDYDMLSKTLDISAVSFHKMIQAAKKLDAIAEYGSILALSYVAAQRTFYGYNDMADAKALLESIARSFGYIYGREHHVRVNTISQSPTMTTAGSGVKGMDKLFDFANRMSPLGNASADECADYCIVMFSDLTRKVTMQNLYHDGGFSSVGMSLRAMATYEKGLDEYKDENGNIIYG", "MMRKIIFILVVCLVALSSCQWDGKSGNTADVDVRVARYDRLQYEYVTMNSFSALQKMNTDYPQVTKLLIEDVLAIGEVDDMKINDRMLEYYSDSTLLTLMHDAEEKFKDLGWVEEKLTKGFKRLKKEVPALFVPHFYAQIAALNQSVVVGDSILGFSIDKYMGADYPLYKRFYYDYQCRSMEPDRIVPDCFTFYLLSQYPLPWQPGRTLLDMIMHRGKINWIVAHILGYESFEKEMGYSEDEAEWCRKNKTSLWKTMVENGHLYATDPLVVRTYIRKDPFISIMGEKTPASIGVWMGILLIDEYMKKHPDMTIKDLLAKTDYHQMLAETDFKP" ]
[ "GGTCGTTAGTCTTAAGTGATTCAAAAATCAAAGTAGAAGATCTGTTTTTTTATCATTCTGAGTGACCATGAAGAATCCGGATATATCTGGATTATTACGGACTCTTTGTATCATTCAGAATGACAATGCTTATATAGTTGACAGATCTTTCTACAATG", "GTTTATCTTTGGTTTATTATTGGTATTTTCACTCATTTTGATTCTGTATTGGA", "TATAATGTTATTAACAACTGATTATTCTAATATATGTATTTACAACAATGCACAAAGATACTTGGTTTTCTCATTCTAGCGAAAAAATGTGCATTAAATATATTCGGTTTTTGTTTTTTTTAGTTAGAGAATCTTTTTATTGTTATGTTAAGTCTAGAATGATGATACACGGTCAAGAAGGATAAGGAAGGCCGGAAAAGAAGGCAAATGGAGAAAAAAAGAATCTTGATTAGAAAAAAAAGCTTCAAAAATTTGTGGATTAAATAAAAAGCCGTATCTTTGCAGCGTCAAAATCAAAACGGGTGTTTTGATAGGAAAATTGGGATATGGTGTAATGGTAACACAACAGATTCTGGTCCTGTTTTTCCAGGTTCGAATCCTGGTATCCCAACAGACAAATCACTTGTCAAAGACAAAGATCTTCTAAAATTATAATTTTAGAAGATCTTTTTTTATTTTGTGTTGGTTATCTTAAGAATTAGTAGTGTTATATGAAAAAAGAGTCTAACGCATTTGCGTTAGACTCTTTTTTCATATAACTGTTT", "CGTATAGATTTTTAAAGTAAGCGTTTTTGTTATAACAACAATTTACAAATTAAAAGAAATAAATTAGAACTTCATACTGTTTTTCCCGATTTTTTTAGGAAAATCCTTAAAAGTGGAGAAAATAGGAGAATCAAGGGGGATAAATGCACTGCAGATTACATAGATTCATACAGATTCTCTTTTATTGTGATTCTTTGCCGGTTTCTGTGTGAATCTGTTTTTCTCTGAATTTCAATCTATGTAATCTGTAGTGAAATGTG", "CCTATTCTTCCGTTAATATAATAAATAGGTG", "TCCGTTATTTTTCTATCGTTAATAAATAGGTTTATTTCGCTAAGATAGTATCTTTGCATCGTGCAAAGGTAAACATTCTAAATTAATATACACAGATT", "TCTTTAAGATCTTATAAAGATTTCGGGCGCGGATGATAGGGATTTCGTCCGCGCCTTTCTA", "AGTGGTTCTATTTCTTTAGTACAGAGCAAATATACGATATTTCCGGCTATATATGCGGGAGAGGGCTTATTTTATTCGGAAACTGCCGCTTCATGTGTACACTTGTCGGTTTCTGCTACCATTGAGGTGATTATCAGTGCCTCCGGGGACATTGCCCTGTTTTTTGTTTCAGAAGCTTCAGCCTCTCCGCAAAAGCCCGTCAATAGGGCGAAGCGGCTGAAGGATTGCCTTTGGAAATTCCTTCAGCCCGATTATGGAAGCTTCAGTTTTTGGCTGTTCGGGATGTGTTTGTGGAAGTTCATTTTCTCTGGTGCTTTTATGCCTGTTGGAAATGATGTTACTATTAGGAAGAGTTTGCTTTGACTGCCGTAACAGCTTTATTGCTTCACCTTAGTGAAGCAGCCGTTTTATACAGGTGACAATGGATCTTCACCAAAGTGGAATGGCGTGTTCACCTAAGTGATGGACAGAAAATACAAACTTATCCTGATGAAAAAGAATATGTTTCCGGTTGTGTCAGTTTGCTCATTTAGGGGTGCGATACGGTGACAAGTGCCTGGATATAGGACTTTTGAAGCATTGGGGAACCAATCTGTGCGGAGTATGCATACCCCAAGAGGGTGCGGCTGAAGGATTTTTCTTCGGGCTGAAGCATTTTCAGGTGTAATCCTTCAGCCGGAATGCCCACCGGCAAAGGGTTTGCGGAGAGGCTGAAGCTTTTGAAGGAATTTTCCTGTCCTTTTTCTTTGTATCACGAATTTGCTGAATACTCCTGGTTTTCCGTAATGCGCTGGTTTGGGCTAATACTTTGCACCCTTTCGGGTATATTATGCAAAAGAAGCTAATAAATTATACCCATTAGGGTGTAATTATCGCTGGTTTTGCTATATTGGTACCCTAAAGGGTGTAATT", "GAAGGGGAAAAATATACCTGAATGATATATATCTACGCGGGGACGCTGACGGAAGACGAAAATGGTTTTACCTTTGTCTATGATGCCGGATTCTTGCGTTATCCGGCTGGCACCTGAAGAACTTTTCATTGTATGATACGGGGATGGGCTATAGTCTGACGCCTGCATACCATTTGCTGTCCACGGTTATTGTCATGCCCGAGGATACGGAGGAGCTCGCCGGGGGGCAGTGGCAAGGTAATAGAGAACATCGCTTGGAAATTCCGACGTTCCATCATTAAATGGATGGAACTGATAGATGTTTCCTTCCTGCCCGAAGACATGAAGAAACCCTATAAAAGACTGGTGCTGCAACGTTTGCTTTGGCTGCGCTGAAAGATCTTTCCTTTTCTGTTTTAGTTATTTTAATTAAAACGTATGCAGTCTTTAAACATCTATTTCGTTTCTTTGTTAAAGAAGACTTAGTACGAACTAAAAGATTGTAGAT", "AGAAACAGGATGTCTGGAATTAGTTGTGTGATTTCATAAAAAGTAAGTTAGCTTG", "AATTTATCTGATTTTTAATGCGGGACAAAGATAAAGGTTATTCATAAGATAAAATAAATTATAGGCTGAAAAAATGGGTAAAGGATGTTTTTTGTCTATCTTTGCTATCTAAAAAGCATAAAATAATTGT", "CT", "GGTTTTGCTGCCAACAGGCAAAACAAAAAACGCAGATTATGATTATTCATTAAATCTGCGTTTTTTTTATTGTCTGTGGTGGATAAAAA", "AAATACCCATTTTAATATTTTTATGTCGCAAAGTTAACAAATTATCAGTTGGACAACGATAATTTTCTATTTTTTATTGGT", "ATATAATTAATGTATAGGTGACTACTAAAAACGCTTCAAAGATAGATAAAAGTTGGGTGTATGTCTATGAAATCGGATGAAAATAAAATGAATTAGCATATTTTTCATGTGAAAATACTTTTTATTTAAAAGATTTGTGTTACATTTGCCCTCAGTGAGAAACACTTAATTTTAAAACACAATTT", "TAAAATACTTTAATATCTTAATTAAGAGGCTGCCTTTAAAAAGCAGCCTCTTTTTCTAGAACCCCTTAATCAAAATAATTT", "T", "GTTTTTAAAGTTTATTAATTTTGATAGGTAATTTAAATATTGGCAGGGCTAATTCTACGTAAGACGAAAAAAAAGAATACATTTGTAGCTGAAATCGCTTGCAGTTCCCGATTTTCTTTGTAATTTGCACCCGATTTTAAAAAAGATAGTATTGTGTCGCCCGAAAAGGAGAGATGCTCGAGTGGTTGAAGAGGCACGCCTGGAAAGCGTGTAAACGCCAAAAGTGTTTCGCGGGTTCGAATCCCGCTCTCTCCGCATTGTAATGGAAAGCACAACAGACTGGAAGACAATTAAAACAAATAAATAACAATTAATTAATTAATCTTAAAAATTAGACACACA", "TTAGGAG", "TATTATCTACATTGATTAAATAATTTAAAACGAAGTAAGTAAA", "TAGTTATATAAGCATAGATAAAAAAGGAAGCTGTTTTTAACAGCTTCCTTTTTTT", "TTTATCTGTCTTTGTTTAGTCTTAAGTTATGAAC", "ATCTTTGCAGAAAATTAAACTAAAAAGA", "TCG", "AGTGATGAGTGGTTAGTGGTGAATGTTTAGTGATATTAGTGGTAAGTGATGAATAAGCTTGTGCAGCCTATTCACCATAACTG", "GTTCGGATATTCATTTTATAGATTGCAAAAGTACACAAAATTTTTCTTTTTCCGAGCATGACCCTAGTTCTATTTCAGTTT", "TT", "GTTTTTATATCTTTATTATTGTTTTCTGCTAGTTAGACGGAACGAATAAGGAAATAGGTTGCAAATAGACAGAAAATTTTCTGATAATCCCAAAATATCATCGTATTTTTGTAACATAAAAAGGAAGGAAC", "AAGGAGCCATAGATTTGTTCGGGAAGATTGTGCATATTGTTTTTCATAGACTGGCGCAATGCTTCTCCGGGTACAGACTTGTTTGTATTCTATAATATACAAATTTGTTTGGCTTCGTACAAGGAAAATGTGATTA", "ATTTTTTTTCGTTTCTGTTCAAATAGGATTGCAAAGGTAATAAAAACCTCGGAAGAGATTTTGTTTTGTCTTTATTAGTTCTTCTTTTATAAGAATTTAAAAGTAGGTATCGGGGGCTTTAAGGTCATTTCAGTGCAAATGGGCTGTTAGGTATGGTTTTTCTGTTATTTGCTGTTTGACGAATGTCTTTTGAACTTTTCTCTATGATTTCAGTTTCTTTTCAGAATCCTATAAGAATTTTGCATCAAAAAGAAACTATAAAACAATGTAATT", "TTTTATTATCCCCTAGGCTATGCTAGGTAAACAGGCGGATGTTTCATAGGGCCGGACAGCTTTGGGGTTTTTAAACATTATGATTTTTGTGGCAGGCAGTTGAAGTGTGAATTTGTATTTCTGTTATACATTTTTTGTGATTTTGATGAGAGAGTAAAAGATGAATGTGGAAAAATAGATTTGTATGATATACGATATTCAGTTACATTTGCATTTAGAATAAAAAATAGC", "TAATTATTTGAAATTATGCCACAACTGAGAGCTTCCCTTCTTTTGTGATTCTGAATATTATTTGTTCCGATAACGGGAAATCAAGTAGAGGAATGAAGAACGTGCTTTAATAAAAAATATTTAAGGAGAGCAAAATGAATGACAATCTGAAAAAGAGTGTCATGGAGTTCTGCCCTCCTTCTT", "AATGATGCTTTTTTCTATAAGAATTGCAAAGATAATAATAATCCTTTAGGTAGGACTTTATGTTTTATTTTGTTAAACGTATTATAGATTACACAAGAATTCAAATATTATTCCTATATTGCCAAAAATAAAATAGATTTAAAACTGTAATAATTATAAATGGTAAAAGGT", "AAG", "AAACTCACGGAT", "GTAGATACCGTTATGGGAAAAAACAACAGGTCAAATTTTAAAAAGCTCTTGTTCTTTCAATGAAAACGTCTTGTTCTTTTCTGAAAAGAACAAAGCCTTTAAAAAAAAGAACAAGACGTTTGAAAGGTTAGTAACGTGTATGCCGGAAGGTTAATAACGTGTGGGATGATACGTTACTATTGAATATTTTACAA", "AGAACTTTCGTTTGAAATTTATTGGTAAAGATAGTGATAAAAACGAGAGTTAGAGCGGATAGGTTCAAGAAGGTTGAAAATAAAGGTTTTAGCGAGAATTTGACTGCAAAGATGAACCCAAGCGAAACCCTTCTCAAAGCCAATTGAAACCGGAGTTGCGTTACCATCCCGTTACTCTGTTCCGAATGGTAAAGGCGGTTTTGGGAGGATTTCAAAACGCTGAATTACAGGCACGATTCGGGAATCTTCGACTGTAAAAACGGTCGGATTTTCGGATTTTGGGCTTGCTGCCGTGAAAAAAGTCTGTTTTCCGCCTCGATTGCACTGATTCGCTGCGGTCTGCATTTCGTCGAACAGCTCTACAAGTAATAATTTTGTTTCATTAAAAATCGTAGAGTT", "TAGGTAACGATTTGGAAACGAGTGAAGTTCTGTATTTTACCTCGTCTTGCATTAAATCAAAAGAACGCCTTTTTGCAATGCAAAGATAGTGATTAGAATTGATTTACAATAAACTTCATCCTGCAAAATCGCAGATTCCTGTGCATTTTTATTGTTCAAATACTGCTAACTTCACTAAAAATGAGTAACTTTGCAAACTAACAAGTATTGGTTTTGCC", "TATT", "ACTTTCGGTGTCCACAATGAAGTGTGGACACCGAAAGTTTAGTCATGAAATTGCTTGATATAAAATCTAGGTACATTTTATGTATCTTATCCTAACTCTTTTTTCTGTGTCTAAGTCTGGGTACAATAAAAAAGCATGTCCAGTTTGCTAATGGCGTTACGAGCTTCCTGTATATGTCTGATGCTGAAATGCAACGTGATGGTACTTTGATGATTGGCGGGGGAGGGAAGTCGGAAATCAATGGAAAACCTGTAGAAAATAGTACATACCTCTCTAAGTAAAGTCTTCTCTGCGATAAAAATCGTATCCTTTTCTTGCAAAAAATCTCTTAATTGTTGCTTTCCTCTGATTTTTCCCTTAAATTTGTTAGTGACTTACTAAATTTAATACAGGGAGGAACGAGT", "ATTTGAATAGTATATCGTTTCTGTTTCAGGAAATGATGAAAGCGAAACATAACATATGA", "GAATTCCTTTAAATACATAAAAGCCGTATCGTTACTCAAAAGTAGAGTGGATACGGCTTTTATGGTCGGTGAAGAGTGTCTGGTTTCGGATTGCAGTGTAGTTGAGG", "TCTTTTTACCTTAAATAACAGTGCAAATGTAGGAGGTTTTTTTTATATTTTCTATCTTTGCTTACAAGTAAATCTATCAAAA", "ACAATTTCTAACGACGATACT", "ATCGG", "AATACGTTTTTATTTTTTTTGTTTATACTATATTTATATAAACGTAACAAAAGGGTGAAATGTTCAAATCATTCACTGTTTGTTTCTTTGGAGATGGTTGATTCGCTCATATTAATTTTTTATTGTAGAAAAACTCTTGAAACGAATGTCAGGACTTCGTGCAAAAGGAATAAAAAAAGAACAATTATAATAAATATTAGTTCTATATGGTATAATGTTTGTCGTACCTTAGGTAACGATAAAAGCTAACATACGAATAT", "TGAAAATAA", "ATTTGTACTTCTTTTATCGGTGCAAAGTTACTATTTTTGTATGCAAACTAATAATAATGCTT", "AAAATCACAAAACCACCTTTCGGAGTGTCATCGGGCAGGCAGATTCAATTTCCTTTTACAGTGGATGAAGGTACTGTTAATTTCTTGACCTG", "CCTATGAGTGTAGTAAGTACTATTAATAACACTGAAAATT", "TCCAAAAAAAGAAAAACACATTTATCAAAA", "AAATCATCGTATTTAAATTGTTCGGGCACGAATTATACGGAAATTACAGGTGTTTTAGACAATACTGTAAGATCTGTATAGTTCGTGTCTTTTATTCTCTTTCTGTATCGTTCTCCTGGCTAAAACAAATAGAATAGGCCCTCTCCTCACGAAAAAAGCCTATCGTTAGATTAATGTTTAATATAAGAGAGAGTT", "C", "GTCTTTGAATTTAATATG", "TCGATTATACATTTAAATGA", "AAAACTCAAATAACTTATTTAATAATTTAGAATATTCCTGTTATACAGGGCTTGA", "AATTGTTGTACTGTTTAACAGAAGTATCACAATTACACAAAATGGGTGACAAAAATCTGAAGAAAT", "AAAATTAGGAATTTAACCGACACGATATACGACTTTCATGGATTAAAAATGCAAAAAGTACAATGTATTATGAATATTTTCT", "GGTTTTAGATTTT", "AATGATGTATAGTTTATTATTTTAAGCACGGATCATACGGATTACACAGTGTTAGTATGAAAACCGTGTTTATCCGTGCAATCCGTGCTTTACTATTTTTATAAATTAAGTGGAGAAATGCACTAATGGGTGTAAGAA", "TGGTATTATATATGTTTAAGATTAATAATTATCTAGTTGATTGTTGCTTTAAGAAGTCTTATCACTCTCCCGTAAAAGACCCTCACGGGCTATTTACGGGAGCAGCAATAATGTTTCATGTTAACCTAATTCTAACCTTAAATAAATATTATGAAAAAACCTCTTAATTCGATGGCAAATATAGCTGAAAGAATTGTCCTTTATGTGCACTATTTGATAGAATAAGTGGATTTTTTGTTAAATTCTCATTTAGCTGAAACCTTAAATGGTAAAAATTAGTTTTATAATTGCATTATTTCTTCATTTGTGTGCAAAAAAAGCTATGATTAATTTATTTGTTTGTATCTTTGCAAACTAATTTAACAATAAAGAAGGT", "GAAATAG", "TATTTTGATTGATTAGTAGT" ]
[ false, false, false, false, false, true, true, false, true, true, false, true, true, false, false, false, true, true, true, true, true, true, true, true, false, false, true, false, false, false, false, false, true, false, true, true, false, true, true, true, false, false, true, true, true, true, true, true, true, false, true, true, false, true, false, true, true, true, true, true, false, false, false, false, false, false, false, false, false, true, true ]
[ 1, 3, 5, 7, 9, 11, 12, 14 ]
[ 0, 2, 4, 6, 8, 10, 13, 15 ]
[ "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124303|-|954:1568", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124304|-|1575:3575", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124305|+|4147:5097", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124306|+|5145:5300", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124307|+|5461:6738", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124308|+|6790:7341", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124309|+|7338:8192", "2510065017|RJ2H1_RJ2H1-contig-050.50|CDS|2510124310|+|8202:9758" ]
[ "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000001|+|906:953", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000002|+|1569:1574", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000003|+|3576:4146", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000004|+|5098:5144", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000005|+|5301:5460", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000006|+|6739:6789", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000007|+|8193:8201", "2510065017|RJ2H1_RJ2H1-contig-050.50|IG|IG_000008|+|9759:10485" ]
[ "MLLRLYNKNNNPKDLEEVIRVLEEGGLIIYPTDTMYAIGCHGLKERAIERICKLKNIDPRKNNLSIICYDLSNISEYAKVDNSTFKLMKRNLPGPFTFILNTGNRLPKIFKNRKEVGIRVPDNNIIREICHILKAPIMTTTLPLKDGEDIEYITTPELIEEKFGKEVELIIDGGIGGIEPSTIVNCTNGEAEIVRQGKGILNDF", "MQVDTHNKEFQDALNLIQYTRQSVFLTGKAGTGKSTFLKYVCEVTKKKHIVLAPTGIAAINAGGSTLHSFFKLPFYPLLPDDPKFSLKDGKLHSFLKYTSAHRKLIKEVELVIIDEISMVRADIIDFIDKILRVYSQNMREPFGGKQILLVGDVFQLEPVIKNDEREIINRFYPTPYFFSARVFQEMELVSIELTKVYRQSDKIFVNVLDHIRTNTAGAADLQLLNTRYNTHIEENESDMYITLATRRDTVDFINEKKLSELPGESTILTGEIHGEFPESSLPTQMELEVKPGAQIIFIKNDYDHRWVNGTIGTISGIDEEDTLYVITEDGQEFDVKKDSWRNIRYKYNELEKKIEEEELGVFIQYPIRLAWAITIHKSQGLTFSRVVIDFTGGVFAGGQAYVALSRCTSLDGIQLKKQITRGDIFVRPEIVKFSQRFNNRQSIEKALKQAQADVQYVEAVQHFDKGDFERFLEQFFLAIHSRYDIEKPLIKRFIRKKLGIINNLKVENKRLKDQFHVQRKNLEKYAREYYLMGNECIIQAHDSRAAIANYDKAIELNPSYTDAWVRKGITLHNDKEYYEAEVCLNEAVRLSPALFKAIYNRGKNRLALDNIEGALGDFDRAVSLKPEHPKAHEYFGDALMRVGKEEEAALQWAIAERLREKKSKN", "METKEMSYNELVINGFMALFFNLVMLPALVFLNFLIFGQLPWLSIPVLFMLVLLFVLMLPGYFSQEPNEARVMVFFGEYKGTFKNTGFFWVNPFMNKKKLSLRARNLDVEPIKVNDKIGNPILIGLVLVWKLKDTYKAMFEIDAQTMADSKGTGTASVSVAGRMNAFEDFVRVQSDAALRQVAGQYAYDDNEHDTNELTLRGGGEEINDQLERQLNERLAMAGMEIVEARINYLAYAPEIAAVMLRRQQASAIITAREKIVEGAVSMVKMALDKLAEDGIVELDEEKKAAMVSNLLVVLCADEPAQPVINSGTLNH", "MDAETMEAIEKWAADEFRSTNGQLQWIIAEALRKSGRMKKKKTIIKKEEKE", "MRAHKKQLIEWILLVVFWLSVIPGWSQEKTPFAEENTYITVSGVVKDKQNRKTLEYVNVSVPGRSVGTVTNADGEFSLKIEDAEMVFALEISHIGYHNNRVRLDKEHLSDLKIYLTPHANMLNEIVVYAHNPRLIVEEAIRKIPVNYSNKNNMLTGFYRETVQKGRRYINISEAIIDVYKTSYEDMTTTRDRVQVLKGRRLLSQKVSDTLGVKLAGGPTLSIYVDIVKNQDALLDMETLNYYDFFMEEPVQIDNRQQYVISFRPRVVLPYALYYGKLYIDRDKLSFTRAEFSLSMDNKVKAVQAILAKKPYGLRFKPQELSFLVTYKDMDGKTYLNYIRNRIRFKCDWKRKLFSTGYTVLSEMVATDRKENNVAIIPGKMAFHQKDAFYDKVDEYWSEDFWDSYNIIEPTESLENAVHKLKKQSR", "MLNDLLTLARIREGDIKAFEDVFRRYYSPLCWYAMSITGSMEAAEEIVEELFYGFWRDRERLPLFRSMKSYLYIAVRNQSFQYCEHQEVRNKYREFVLSRKGRTQDSNPQEEMEYQELRHLIDATLARMPERRLRIFRMHRMEGKKYAEIASQLSLSVKTVEAEMTKALKALKKEIENYIIRT", "MSETKRNKERVDQAWNKLYDRLDADGLLLSDRQNRKRLSASMLWKWGAVAAIWVGIGVFLTTTYRKVGEPVEVRALIMQENTEQSTLVTTLEDGSIVYMGGETSLQYPEHFSMDKREVSLQGNALFDVAGNRERPFLIETEEVRIEVLGTMFHVKSDVGSTFELSVQRGKVKVALKNKNQEMYVNAGEAVTLKTHQLRFTDYRDDTRIAHYWKSMRFKDESLMNILRVINMHSSGLQLKTSPSLGERRLTVAFSGESPESMAELICLAMNLKYTREGDIGVLSE", "MNHIRTYHYYWHIFFFSLLVCMTEFTKAADRGVLERVIYLAKSKGTVYTLLGKVSEQSGFLFVYDSKVVDNDRTVKLGAGQRTIRQVVYEIIGRQDISLHIVENHILINQLQVQCPVVTTSKDTLAYFTLEGKLQDNQSGAPIAYGTVGVVGTSIGSITNQNGEFRLRLPDSLRQGRIVVSHVGYVGQEMDMSLLEAQHAVWSLEPRVIPIQEVVIRAVNPIRLLREMLKAKKTNYASVPIYLTTFYREGVRYKQKFRNLTEAVFKIYKPSPLLNHFQDHVKLLKMSRIVNSQERDTLIAKISAGIDACLQLDIVKNLPDFLLPDDKGNVYSYASCDMTVIDNRLVNVISFRQNKGIKEPLYCGELYIDAENNALVQARLEINPAYVRQATDMFIERKTRKWKITAQEVVYTISYRQWNGIYYMNHIRGDLYFKVKLKRQWFSSSSLHTWFEMVTCKVDTDNVTRFQRKERMPTRTIFSDTHFKYDADFWGEFNVIPWEEELGTVIEKLSSKIEQIEY" ]
[ "GATAAATTTACTTTAATTTGACATTTCTAATATATAAAACAATTCAGA", "GTTATT", "TTTGTCCATACGTTTGAAAATGAATGGACAAAGATAGTGGAAATCCCGTAAAAGGGCATAAAAAAGACGGTTAAATAACCGTCCTTTTTTATAGTCCTACAGGGGATTGCCCTGTATTGGTTCTAATTTACTTTGTTTCGTTCAACATAACGTAACCCCAAATCATAGGATCAGCGTTAGCAATTTTGTGAAAAATGTTTTTTACCTTTTTCATAATGTTATCCTTTCTTTTTTTAATTAATACCTAAAAACACTCATAAATAGCAGGCGCACCTCCCGGTTGGCCTTCTTTAAACAATCTTTCTTTTTACCTTAGTAGCTACTAAAAAAATATCTTTTTATGTTTTACAACACAAAGATAAGGAGTTTGTTCGTTATGATATATGTTTTACAGCATATTTTTAATCGATATCTCAATTTTATTGATTTAGGTCAAAAAAAGTAGGAGTTTCTTATTGCATTTTGGTGAATATTTTGTTTGCTTCTGTCGTATAACATATAAATCAGTTTGTTTATCTCAAGAAGTATTGCTTGATTTGTGATATCATAATAATATCAAAAATAAATCATT", "TGTAGGAGATGGCAAAGAAAGAAACGATAAAAAGTTTTGTGCTCAGA", "TACAGTTGTTTTGATTGTTTATACTGTTCGGGTCTATAAAAAAGGGCGTCAATATCGATAAAACAAATTATTTTTCTTATTTTCATAGGGTATTTTAATCCTGGGTGATCTTATAAGTAGAAACAGAATTAGTATTCACTTTTTTAATACCTTAATGAAT", "AGTCTCGGATGAGGTTCGTATGATTGAAAAGAACTACCAAAAAAGAAGTAG", "AGTGGGAAA", "AATAAAAGTTGGATACGGAGCATTGTACTTGGTATCTTTTATCATGATATATGATAGAATATGTTAGCCTGAAGTATGTAAGTTTGTATATTCATCAGCCTTTAGCTTAAAATCGATTCGGTTGTCCGGTCAATTTTTTACCCGTGTCAGAAAGCATGCTTTTACACGTATATCTGCATAGGATTACACATATGTATGTGCGGACTTATGCGGATGTATGTGGAATCTCCTATATAATAACTACATTAGGTTTAAAAACAACTATGCAAATCTGATATAGTAATAACAATAGAAGTTGTTAACTAAATCCTAGTACACGTCTGTGAAATCATTCACCTCCAAAAGATAAGGACATTTTCAAAAAAGATCAAGAGCTTTTCAAAAAAGAACAAGAACTTTTTTAAAAAGATAACGAGCTTTTTTAAAAGTGTCTTGGTCTTTTTACCGCTACCGGGGGTGCTATTATATTCCGTGGAGATATGCACACTGTTTGCGAGCAAAAAACAAGAACGCCCTATTTTACGTCAAGTTGGAATAGTCTAAAAAAGAAGTTTATATAACTGAAAGTAAGCATGTTATACAGAAATAAGCTTCAAAACGTAGTGAGGGCATAGGGTATAAGTGAGGGCAATAATTTTTACTATAACTACATAAGTAGCTTATAATGAGTTTGTTTTGCACAAAGTGAGGGCATGAGGGCATAATTGCATCTAACTTTGCAATGATG" ]
[ false, false, true, true, true, true, true, true ]
[ 1, 3, 5, 6, 8, 10, 12 ]
[ 0, 2, 4, 7, 9, 11, 13 ]
[ "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124313|+|669:2165", "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124314|+|2183:3565", "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124315|+|3579:4175", "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124316|+|4154:5701", "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124317|+|5795:6856", "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124318|+|6988:8133", "2510065017|RJ2H1_RJ2H1-contig-051.51|CDS|2510124319|-|8202:8687" ]
[ "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000001|+|108:668", "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000002|+|2166:2182", "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000003|+|3566:3578", "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000004|+|5702:5794", "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000005|+|6857:6987", "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000006|+|8134:8201", "2510065017|RJ2H1_RJ2H1-contig-051.51|IG|IG_000007|+|8688:8804" ]
[ "MSDRLFIFDTTLRDGEQVPGCQLNTVEKIQVAKQLEALGVDVIEAGFPISSPGDFNSVIEISKAVTWPTICALTRAVEKDIDVAADALKFAKHKRIHTGIGTSDSHIKYKFNSTREEIIERAVAAVKYARRYVDDVEFYAEDAGRTDNEYLARVVEAVIKAGATVVNIPDTTGYCLPEEYGAKIKYLVDHVDGIDKAIISTHCHNDLGMATANTISGVLNGARQVEVTINGIGERAGNTSLEEVAMIVKCHNDINIQTNINTQKIYPTSRMVSSLMNMPVQPNKAIVGRNAFAHSSGIHQDGVLKNVQTYEIIDPKDVGIDDNAIVLTARSGRAALKHRLHVLGVELEQDKLDKVYEDFLKLADKKKDITDDDILVLAGADRSVNNHIKLDYLQVTSGVGVRSVASLGLNIAGEHFEAAASGNGPVDAAIKALKKIIDRHMVLKEFTIQAISKGSDDMGKVHMQVEYDGQMYYGFGANTDIIAASVEAYIDCINKFKR", "MANTLFDKIWDAHVVQKVEEGPTQLYIDRLYCHEVTSPQAFAGLRARGVKVFRPDHVYCMPDHNTPTHDQDKPIEDPVSKTQVDTLAKNAKDFGLAHFGMMDKRNGIIHVVGPERGLTLPGMTIVCGDSHTSTHGAMGAVAFGIGTSEVEMVLASQCILQSRPKTMRITIDGELGKGVTAKDMALYMMSKMTTSGATGFFVEYAGSAVRNLSMEGRLTLCNLSIEMGARGGMVAPDEVTFEYIKGREHAPKGVDWDKAVSHWKTLKSDDDAVFDKEIRFDAADIQPMITYGTNPGMGMGITEHIPVDDKSASFKKSLDYMGFQPGESLLGKKIDYVFLGACTNGRIEDFRAFTSLVRGKKKADHVTAWLVPGSWMVDAQIREEGLDKILEEAGFAIRQPGCSACLAMNDDKIPAGKYSVSTSNRNFEGRQGPGARTLLASPLVAAAAAVTGVITDPRELI", "MKQKFNIITSTCVPLPLENVDTDQIIPARFLKATTREEKFFGDNLFRDWRYNPDGSLNKDFVLNNPTYGGQILVAGKNFGSGSSREHAAWAIAGYGFRVVVSSFFADIHKNNELNNFVLPVVVSEEFLKELFDSIHADPKMEVEVNLPEQTITNKATGKSEHFEINAYKKHCLMNGLDDIDFLLTNKDKIEAWEKASK", "MGKSVKIEIMDTTLRDGEQTSGVSFVPHEKLMIARLLLEDLKVDRVEVASARVSDGEFEAVKMICDWAARRNLLHKVEVLGFVDGRTSVDWIQRTGCRVINLLCKGSLKHCTQQLKKTPEEHIEDIVNVVRYADELDIAVNVYLEDWSNGMKDSSDYVFQLMDGLKDTSIKRYMLPDTLGILNPLQVIEFMRKMKKRYPHTHFDFHAHNDYDLAVSNVLAAVLSGVKGLHTTINGLGERAGNAPLASVQAILKDHFNALTNIDESRLNDVSRVVESYSGIVIPANKPIVGENVFTQVAGVHADGDNKNNLYCNDLLPERFGRKREYALGKTSGKANIRKNLEDLGLDLDEESMRKVTERIIELGDKKELVTQEDLPYIVSDVLKHGVVSESVKLKSYIVTLAHGLKPMATVKIEINGKEFEENSSGDGQYDAFVRALRKIYKVTLGRKFPMLTNYAVSIPPGGRTDAFVQTVITWSFEEKVFRTRGLDADQTEAAIKATMKMLNIIENEYENNNG", "MDFKIAVLAGDGIGPEISVQGVDVMNAVCEKFGHKVSYEYAICGADAIDKVGDPFPEATFQACKNADAVLFSAVGDPKFDNDPTAKVRPEQGLLAMRKKLGLFANIRPVQTFKCLVHKSPLRAELVENADFICIRELTGGMYFGEKYQDNDKAYDTNMYTRPEIERILKVAFEYAMKRRKHLTVVDKANVLASSRLWRQIAQEMAPQYPEVTTDYMFVDNAAMKMLQDPCFFDVMVTENTFGDILTDEGSVISGSMGLLPSASTGESTPVFEPIHGSWPQAKGLNIANPLAQILSVAMLFEYFDLKEEGTLIRKAVDASLDENVRTPEIQVEGGAKYGTKEVGSWIVDYIKKA", "MKNLVYALVGAGFMIACQPSDQPEISGMLTGIESDTLLVQSFPVNDRDSRRTDTIAMQNGSFAFNLGDSVLKQVYIYGKPSVKPNEDGSIPAISMKAVNFLLLPGQPIKISGSLDEYKLEGGSFYDDYNEVLEDCKAYSHKIDSLNVVCMDMEKKGIPGDSIRKVYASAKEWYGNILKIKSDYVRQNPDKDVSVYVMSQLTRDQLGDAFNVLTDRVKEGMMAPLYQRMREGYEKELARDKAKEAMKPGNPAPEFTLKDLDGKNFDLSSLRGKYVVLDFWGSWCGWCIKGIPEMKKAYEKYKGKIEFVGIDCNDTEDKWKKAVAEHQLPWINVRNIGEPDVAVMYGVSGYPTKYVIDPEGKIAKQVVGENPEFYMYLDALMK", "MKVEKAIFAAGCFWGVQHQFERIPGVLNTTVGYTGGPEANPTYTQVKAHMTHHVEAIFVDYDADMVSYVDLCKLFFEIHDPSQTDGIGPDLGPQYRSMIFYMDEKQKSEAEEVIELLRSKGHRVNTKLRPAEKFWEAEDYHQHYYDKTGGEPYCHIRVKKF" ]
[ "AAACTTGGGGGAATTAGTTAAAAGCCTTATCTTTCCCGTGGGTGTGGATACCTTTCCCACGGAACTGTCTGGAAGGCTCCGCCATGTCTTTTCTCCGGCAGGGGCAGGTCCGGAAGTTTTGCTCTGTAGGGGACGATGTTCATAAAGTGAGTTTATTTATGTCAATTCAATTTGCCTCTATATCTAAAGTGATCTATATCTTTGCAGATACACTTCTATAGAGATACTTGTAGATATATATTCCTATAAACTGTTACTCTAAAAGTAGAATAAATAAAAAATCGTAGTTTATATAATGAAAAAACTTTCATTTTTATTTTGCTTTTAGAAAATAATCTCTACCTTTGCAACGTTTTAGATAAAATAAGAGATAAACAATGAACCAAGGATTTATACATATTTTGCTATGCGGCATTATTATTCTACTGGCAAAGTCAGCGGGAAGTGAGTGTTGTGCATAAAAGTATGTGTGAACAATGATATACGAAAGCCTTTCTCACTTCCCTGTGTGAAAGGTTTTTTTTATGAATATAATAATGGTAAAATATAAAATTGAAATTT", "TAATACGTAATACAAAA", "AAATGCGAAGACA", "TGATTAGTGGTTAGTGGTTAATGAACAGTGTCGTCAGATTTCATTAACCGCCAACTATTAATCACTGACCGCTAACCATTAATCACTAAAAAA", "AATTTTATAGGTTTTTTACGGAGAATCAGAAGTGAATGCCATTTTACTTCTGATTCTTTATTTTTATTGGATAAGAAAAAGTATATTTGATGCTGCAAATAAAACAAAGATAATAATTGAAAGACATTCAG", "TGAAAGGTTCCGGATAATTATGAATAACAGAGGTGTGCCATTATTTGAACACACCTCTGTTGTTTAAT", "GTTCTTTATTCTTTTAAAATTGTATGCCAAATATACGGAATACCCTTTAAAACGAGAAACCTACCTTATGCTTTTTTTAATTAAACTAAAGGAATATCGTTAAAGATTCCTCTACCT" ]
[ true, true, true, true, true, true, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 52, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 91, 93, 95, 97, 98, 100, 102, 104, 106, 108, 110 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 54, 56, 58, 60, 62, 64, 66, 68, 70, 73, 75, 77, 79, 81, 83, 85, 87, 89, 92, 94, 96, 99, 101, 103, 105, 107, 109, 111 ]
[ "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124322|+|2264:2731", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124323|+|2837:4849", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124324|+|4858:5526", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124325|+|5557:6048", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124326|+|6065:7336", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124327|+|7624:7788", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124328|+|9062:12283", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124329|+|12305:13813", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124330|+|14414:15265", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124331|+|15542:16624", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124332|+|16874:17083", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124333|+|17258:17848", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124334|+|17919:18932", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124335|+|19182:22502", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124336|+|22516:24204", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124337|+|24222:25898", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124338|+|26295:28238", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124339|+|28288:30300", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124340|+|30330:30833", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124341|+|30928:32187", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124342|+|32199:35282", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124343|+|35293:36462", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124344|+|36574:37302", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124345|-|37318:37650", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124346|-|37671:39233", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124347|+|39616:40533", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124348|+|40530:42359", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124349|+|42346:43308", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124350|-|43548:45974", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124351|-|46144:46764", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124352|-|46892:48535", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124353|-|48541:49191", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124354|-|49231:50598", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124355|+|51126:53558", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124356|+|53563:54774", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124357|+|54865:56166", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124358|-|56757:57764", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124359|-|57764:58795", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124360|-|58911:60038", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124361|-|60040:60369", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124362|-|60590:61732", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124363|-|61746:63767", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124364|+|64322:65293", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124365|+|65295:65468", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124366|-|65531:66304", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124367|-|66309:67376", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124368|-|67396:68580", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124369|-|68555:69397", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124370|-|69655:70011", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124371|+|70201:70731", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124372|+|70800:71975", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124373|-|71976:72977", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124374|-|73037:73987", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124375|-|74002:76215", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124376|-|76262:78157", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124377|-|78275:80047", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124378|-|80162:81373", "2510065017|RJ2H1_RJ2H1-contig-052.52|CDS|2510124379|-|81402:82793" ]
[ "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000001|+|1933:2263", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000002|+|2732:2836", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000003|+|4850:4857", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000004|+|5527:5556", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000005|+|6049:6064", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000006|+|7337:7623", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000007|+|7789:9061", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000008|+|12284:12304", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000009|+|13814:14413", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000010|+|15266:15541", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000011|+|16625:16873", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000012|+|17084:17257", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000013|+|17849:17918", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000014|+|18933:19181", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000015|+|22503:22515", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000016|+|24205:24221", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000017|+|25899:26294", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000018|+|28239:28287", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000019|+|30301:30329", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000020|+|30834:30927", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000021|+|32188:32198", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000022|+|35283:35292", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000023|+|36463:36573", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000024|+|37303:37317", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000025|+|37651:37670", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000026|+|39234:39615", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000027|+|43309:43547", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000028|+|45975:46143", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000029|+|46765:46891", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000030|+|48536:48540", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000031|+|49192:49230", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000032|+|50599:51125", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000033|+|53559:53562", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000034|+|54775:54864", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000035|+|56167:56756", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000036|+|58796:58910", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000037|+|60039:60039", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000038|+|60370:60589", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000039|+|61733:61745", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000040|+|63768:64321", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000041|+|65294:65294", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000042|+|65469:65530", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000043|+|66305:66308", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000044|+|67377:67395", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000045|+|69398:69654", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000046|+|70012:70200", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000047|+|70732:70799", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000048|+|72978:73036", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000049|+|73988:74001", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000050|+|76216:76261", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000051|+|78158:78274", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000052|+|80048:80161", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000053|+|81374:81401", "2510065017|RJ2H1_RJ2H1-contig-052.52|IG|IG_000054|+|82794:83122" ]
[ "MSDVEKKSETQEAAPKKKPYNLREKKEKKAAYRSLIRAELADELYDKILNIVVVQKKYKDPNYSAKDLAKELKTNTRYLSAVVNSRFGMNYSCLLNEYRIKDALHLLVDKRYMDKNVEEISAMVGFANRQSFYAAFYKNVGETPNGYRKRNQEKK", "MKQVGCNEVDKSMNEMEEFDYTVEQFADLQLLRYKVYGFEELSLKQKKLIYYLSQAALQGRDILFDQNGKYNLLIRKMLETVYTEYQGDRTDVNFVNLETYLKRIWFSNGIHHHYASNKFVPGFTPEFFRDALNSVDALKLPLGKGETVEELCEEVFPVIFDSEMMPKRVNQADGEDLVLTSAANYYEGVTQKEAEDFYHNLKNPDDMMPVMFGMNSRLVKEDGKVQEKVWRSGGLYGQAIDKIIYWLDKALGVAEDAQQKIVIEKLIRFYKNGDLKDFDEYSIAWVKDLDSRVDFVNGFIESYGDPLGLKASWESIVNFKDLEATKRTEIISANAQWFEDHSPVDHRFKKKEVKGVSAKVITAAMLGGDLYPATAIGINLPNSNWIRSVHGSKSVTIGNLTEAYNKAAHGNGFTDEFVCGAEEKEWIKKYADLTGDLHTDLHECLGHGSGQLLPGVDQDALKAYGSTIEEARADLFGLYYLPDDKMVELGLTPDGDAFKAEYYTYMMNGLMTQLVRIELGNMVEEAHMRNRQLIARWTFEKGAADKVVELVKKDGKTYVKINDYQKLRTLFGQLLAEIQRIKSEGDFEAARKLVEKYAVKIDPVLHAEILARYEKLHLAPYKGFVNPVYEAVTDKDGNIIDVKVSYNEGYAEQMLRYSKEFANLPYRNE", "MDVHETIKDIKGQFRLFMNGVVSQSMREKGLDYKLNFGIELPRLKEIAARYEKNHEVAQALWKENIRECKILAGMLQPVETFYPEIADIWVEDMRYPEIAELTCMSLFQYLPYASEKAFEWMADEREYFQLCGFMLMARLLMKGNQLTERSEAEFLDQAMVTLQSEGVLPRKAAATALKKFAVQSKENGKKVNRLLAPLTKSDKVEIASLAGEIKLETEYWH", "LTAELKSVMEENVKDKVKQILTEYLQVNGHRKTPERYAILDTIYSIKGHFDIDTLYNYMANEGKFRVSRATLYNTIILFIDAKLVIKHQFGNSSQYERAYNNETHHHMICTECGKVTEFQDENLKQAIANTKLKKFHASHYSLYIYGVCSKCTWAKRRKKKDK", "MKVDVLLGLQWGDEGKGKVVDVLTPRYDVVARFQGGPNAGHTLEFEGQKYVLRSIPSGIFQGNKVNIIGNGVVLDPALFKAEAEALEASGHPLKERLHISKKAHLILPTHRILDAAYEAAKGDAKVGTTGKGIGPTYTDKVSRNGVRVGDILHNFEEVYGKAKARHEQILKSLNYEYDITELEKQWLEGIEYLKQFHLVDSEHEINNLLKSGKSVLCEGAQGTMLDVDFGSYPFVTSSNTICAGACTGLGIGPNKIGNVYGIMKAYCTRVGAGPFPTELFDETGKKIRDLGHEYGAVTGRERRCGWIDLVALKYSIMVNGVTQLIMMKSDVLDDFETIKACVAYKVNGEEIDYFPYDISEGLEPVYAELPGWKTDMTKMTSEDEFPEEFNAYVTFLEEQLETPIKIVSVGPDRGQTIERYTEE", "MALQTTGLEFFYLSVDFSENDKILLVEQELGYIACIAFIGTYLPQRMSLLLERG", "MKEKVLVLLACLWLGIGFSMAQAPKTVAGVVTSADDGEPIIGASVLVEGTTMGAITDVDGKFAINNVPTDAKALIVSYLGMITQRVGIQRGTITVTMKSDTKVLDEVVVTAQGLNRKQKALGYSTQKVAGEDLTISRQTDLGNAMAGKVSGARFIGGASSGFDAGTIILRGAGSIPSSSQSDNPANEPIYVVDGAITNKNSINMDDVESINVLKGPAATALYGSRGGAGAIIITTKAGQSEKGLLEVSHTLQAETYYNHFNMQKLYGGGGYGGTEKGNRAQDIYDLYSGDIPGLQGAYVYDYNEDTSWGAAYDPAVKYVTPLSLDETSGHYGKPATWQHGLDLRDLYRTGVTNTTNVSFSKSVKDFNTRVSFTNSYRTGVQPNSDAIRRFLGFKTNFKPTPWMNVSLDYKYTYRQDHNAAESGYNGSRTVLQEYTQWGQTNVNLKDYKDYKRPDGSWRTWNINSVNNQSAAFHDNPYALFHEYNHRTIYQWNVFSGDVSVDLPYNLKAGVRVNGNIRGYKLERERPSGSINFRSNYRQDQSSLIDLTVQGRLTWGQSFFKDKLTVDAALFAEARDYTYDNLYAYTNTNYDLSLDDYYNLAASSGTIALAYNEKTHYKERSVYATATMGWDDTYFLDASVRNDMSSTLSPNHNSYWYGGLSASVLAHKWVNAEWLNFWKLRASAAQVGTTLNAYNIYPTYSLDTSYGSHVTMYEPSSQKNYNIEPSISTSYEIGTEFRLFNNRLWGDFNYYTRDDKDQIISVTSAPQSGYSTRKMNAGLIRNRGVELSLGGMPVSTKNWKWEMNFNIAKNSNKLVELADGVDSYSIYWTSFTTRLYNYAMVGKSLGVITGNTWERDDNGNIIFHELSASQKALYGGEYVPTYNQNTLDELGNFHPDFTGGFNTSISFKNFRLSANIDFSVGGQIVSYTNMWGSNSGILDKTAELNDRGVNVREPVSKGGGIHMTGVDQNGNKVDTYVNAKLYYTTASRVWEEWVYDRTYVKLREVSLSYVFPSQTLKKLNIGLSRASVAINASNPWLIYSACPNVDVSEIGMGYFEGGNAAATRSIGFTVNLAF", "MKYIKLLFLSLSFAATFTACDMTDFGDINKDPNEPSEANTGMLFTYACTYVKYFSMNSNYYYPWTQMYPGYMSEKNNNQYGAFGGPTMSTSSYYLYPLKNCQKIIDFNSDETEKGKPAIVQFGDNANQIAVARTLMGFIYMHLTDALGPLPYTEAFQAGEENFTPVFDSQETIYAKLDADLREAYNQFNESGSLSTADILFDGDIRAWKKLNASTRMMLAIKLADVDPAEGRSRFAQAYADGGIEENAYNLNYTFEANTVGYLYYNGVNYNYNFVPNKYYVDQLKELKDNRLFSTCSLIPFGKTQAETGVTDEDLKNFDKYQGMPLGIESADVNTWNKVCCFYNPSLTQVTSTFPIITASRMLLIEAEAAQRGWIQADPAQLYAAGVKASFEQWGAEGVDEYLVQEAVAYTGTDADKINKIALQRWISGFMADGFEAWSDWRRFDIPKLEVGPVCTTIDHIPYRHQFDSEIYQGNLENYEAAVKADLNGDDSREQRVWWNRR", "MKNRQFIVFALLLACPLLLHGQETFLCGKESCNKGYIRHPWYGKKVGYIGDSITDPNCYGDNIKKYWDFLKEWLDITPYVYGVSGRQWNDVPRQAEQLKKEHGEEVDAIVVLMGTNDFNSSVPVGTWFTEKEEQVMAARGETKKLETRKRRVPIMTNDTYKGRINIGLSHLKKLFPDKQIVLLTPLHRSLAEFGEKNVQPDESYQNKCGEYVDAYVQGIKEAGNLWGIPVIDFNSVTGMNPMIEEQLIYFYDSGYDRLHPNTNGQERMAHTLMYQLLSLPASF", "MKLKVLLVLCALLLLSAFIAERKDPITIFMIGDSTMANKSLKNGNIERGWGQMLPGYFTEEVVVDNHAMNGRSSLSFINEGRWDIVLSKIHKGDYVFIQFGHNDEKPRATLHTEPGSTFDDNLRRFVNETRAKGGNPVLFNSIVRRNFLPKGVTEIKGSYEKEGPVLVDTHGEYLESPRRVAGEMNVPFIDLNKLTHDLVTGMGVENSRKLFMWIPAGQYEFYPEGKIDNTHLNIYGGRIVAGLVVDALMEEVPALAKYVRRYDYVVAKDGSGDFFTVQEAVNAAVGGSKKTISILVRPGVYEEHVSMPESSPRIELVKQTGAEIRDNGFTQDVYVAPYKGDRVCAISYTFDRNRGRYMY", "VLENGYNITPHLDMNAQLFTEPLTMVLKSVGNRVSEIRQDGKKRFLKKDADKVLFDFNLYGVMIQIRFI", "MENTEKLIVEQLKNGNEDAYKYIYDYHYVLLCHVANQYLNDNFLSETIVGDVIFHLWEIRETLNITISIRSYLIKAVRNRCIDYLKSRSEKKEISFSVLVPEEMSEEKYLQSDNYPLGILLERELEHEIRMAIDKLPVECRCVFEKSRFEEKKYEEISQELGISINTVKYHIKNALSFLQTELSKYLIALILFFSC", "MKAEKEHIDELIVSYLSNELDGNAVHELKEWIAASVENEKYFMQRQEIWFSAISVKEDVKYDKSKAFEQFKNRIANQRLNDKRERENFCLSKFWRYAAVIVVLFSVSYFSYWRGGISIKEVFSDIIVEAPLGSRTKLTLPDGTLVWLNAGSRITYSQGFGVGNRKIELIGEGYFEVKRNEEVPFLVKTNSLLVKVLGTKFNFRDYPDDAEAIVSLSEGKVALNNLLKKEKEAFLLPNERVVLNKKNGRMHVEYSTVSNALQWTNGYLFFDEELLPDVVKELERSYNVKIQIASDTLNTFRFYGNFVHREQNIQEVLEALSATRKIHYIIEGHNITLY", "MKNKRKAILLVGALLCLNLNIFSQNIPLKMNKVSVKKAMTELKEKSGYSFVYIAGDLDTKKIVDIDAEQLQEVIEQILEGQNVSYEIKDKNIIIRKKEVEQSMLNEKKLQDISGLVMDVMGEPIVGVNVMEKGTTNGTITDMDGKFLLKAPANATLIISFIGYKTLAVNLEGEKNVNITLKEDLEQLDEVVVVGYGTQKKSSLTASVATVPAKELSKQMGHSVAAALQGRAPGVEILQKGGEAGADIKILVRGAGTFGATEPLYVIDGAFSNNGLNSLNPSDIESIEILKDGSAAAIYGSRAANGVVLITTKHGQKGKAKVEISANYSLQTPSKYLDFLDANEHREYTKQIVANSTNQTQAPENIHPTNPDINTDWQDAYLRNAPMYNLNASISGGGEYSTFNTSLGYFDQQGIMEFSGFKKYNARVNGTFKKGRLTVSETLSAAFTNKEPQVRMVMGIPTVPMTDVEGRYVSAGKDYYVNEGKVTNPFASYSNTVRRNKTVNVTGSLNIGLNLYKGLDYKLLLGGDYISTNNISRSIAFDSNWDENGNADPDYSRTINSLSESRGQRFSYTIDNILTYKNMFAGHTIDAMVGTSWMREYYRTMGIGSGSTDLGGPNITIFNGKGDITSEEYNSALLSFFARLNYDYKERYLVSASIRSDKSSKFAKGNRVGYFPSVSVGWNIHEENFFNIEWISKMKIRASYGELGANFIDSYSFLSTAYGPIPSVFGENQIGQSSVMNGYVTKFAQENLTWEKSISKNVALEMAFLNNKISFTAEYFWKDNNDLLAPLLPLASSGQTIMTNGGDLPVFNSASVENKGFEFTVGYRNNWKDWSLDVTANISALRNKVKSLGEGVQPIKAEVMMSGSFNDRPTITKPGLPIGTFWGYVVEGFDNDGNFIFQDNNGSVNGVLTGKPDGKIDENDKTDIGNPHPDFTYGLNINVGYKNWDLTAFFQGTQGNDIFALMKYDWYFGGANSATLKDAFYNSWTPQNPNAEAPKLNSKNSSGINSLPSTFYVEDGSYFRCKNLQIGYSFNKKLLQKFHIESFRIYAGVQNLFTITKYPLYDPEVSSNVLFDRGVDGFWQAQESPHEATMNSRVYNLGVNLTF", "MKIMLRKIIVIIISFVTIGMMGSCEDIFNDLAVNPNQSDVNSFYTTLENCNKGILGIYGYISTPRNLGACGFGLMLTRSDEGCSVADYGVPGAYNEEFTPSYYSLVQPFQLMYTAASQANQMIESLTEIEFSNKELQDAYLGEAYFLRAFTHFFLFINYRNIPLIKELPKAPNEYKPQATPEEAWDFIIDDLIKAKDLLPDKNFWDAKNKGRVTKASAYALLGKSYLYRSGIEPKYGTSQTTYYNEAAAAFAEIINGNCGNYRLVDDYSWNFDVAHENNDESIFEVQFVGVLNTGFNPGFVDSGLFNDVRCKMCIMNRSRNSNSSAQVMHNWLYDKFVASKTVTGETDPRMFGSFVFNDNVSEIIRPKGMKVTFLEGKDWEAEMGSKEGTFGEQYELAMGYKMCSRKWLDWTLPPTDDAGGHFFNGRAQGVNWRMIRYSDVLLMYAEAVVMGGKATANITPLEAINKVRDRVGVPHVTEANMRTIEDERILELTYEGFRFFDLLRWGKVVERFRELENTDPLFKRFSRAQYMGFKENKNEWVPLPIDEVEGNPYITKNNPGW", "MKVFYYIICAACFAGCVSSSNDKTVKENGRTLHNGIVLPEQWPPSMIDDGVRCEMSLPYISNKPTVISVNLGRQLFVDDFLIAETDMERVSHKAMFYENNPVLAPDKEWEYTFERAPYAAPFSDGIWYDESEQKFKMWYLAGAGSMHKHRQSFYTCYAESKDGKHWEKVNMDIVPGTNIVDTCDRDAATVWLDKMCPEPEKRFKFFNVEKHSGGWKIILKYSKDGIHWSEGVAQSGPVGDRTTAFYNPFTQKWAISLRQGSKADGRSRGYLENEDPEMAVSVAHSLAKGIKDKNIVLWFTPSDKELPHPEFPDVRPAIYNFDAMPYESVMLGFYAMWKGPENDLCGKLGIQKRNEIGMGYSRDGFHFYRPSYEPVMGVNETEGAWNWGNMQSIIGVPLIVGDSLYLYSSGRMKNKVMWDGFTSTGLATLRRDGFVSMHTDTEGVLVTEKIKFDGNHFFVNAQAKDLQVEIMDENGNVITGFSREDCKEMNDLNSTKQLVTWKSGKKLAALSGKIVKVKFYVTCGDLYAFWISPWDTGESRGYTGGGGPGLNPCGIDIK", "MVSVDNLKVEFGVTPLFEDVSYVINKRDRIALVGKNGAGKSTMLKILAGIQAPTSGSVSVPRDVTIGYLPQVMILSDKHTVMEEAEMAFEHIFELQASIERMNQELADRTDYDSENYRKLIEKFTHDNERFLMMGGTNYTAEIERTLMGLGFSREDFNRPTSEFSGGWRMRIELAKLLLRRPDVLLLDEPTNHLDIESIQWLENFLKTSAGAVVLVSHDRAFINNVTNRTIEISCGHIYDYKVAYDEFVVLRKERREQQLRAYENQQKQIQDTEDFIERFRYKATKAVQVQSRIKQLEKIVPIEIDDEDNSALRLKFPPAMRSGNYPVICDGVKKAYGSHIVFHDVTLTINRGEKVAFVGKNGEGKSTLVKCIMDEIPYEGKLTIGHNVQIGYFAQNQAQMLDENLSVFDTIDYVAKGDIRLKIRDILGAFMFGGEASDKKVKVLSGGERSRLAMIKLLLEPVNFLILDEPTNHLDMRSKDVLKEAIKEFDGTVIVVSHDREFLDGLVTKVYEFGGGVVKEHIGGIYDFLQKKKIESLNELQLSASPTMSATKKEELETVSENKLSYEAQKELNKKIRKLEKRIADCEQKIEKLETEIGEVEADMATPEGASDMALYEKHQKLKKDLDQTVEEWETVSMELEEMQGS", "MAVLALAVAAGCDSKKEAVMTSGIDLTNLDTTAVQGADFYQYACGGWMKKHPLTNEYSRFGSFDMLAENNREQLKGLIVEIAAGQNAQGTIGQKIGDIYKLAMDSVKLNADGVTPIQADLEKIASVKDKSEIVPLMAELAHSGVFPYFSFYVGADIMDSKSNLFQLYQGGISLGEKEYYLDNDDVTVNIRNKYKEHIVKMFQLAGFDEAAAKKKMEAVMDIETRIAKASFSAVEQRNPAANYHKMSLDELKKEIPGIDWDAFLNGIGVKGVTELSVSQVDPIKEVEKIINSLPVENQIAYMQWSLIDRAAGYLSDDLVAQNFDFYGKTLSGKQTNQPRWKRAVSTVNGVLGEAVGQMYVEKYFPAAAKERMVQLVKNLQTALGERIRNLEWMGDSTKIKAIEKLNSFYVKVGYPDKWRDYTGLNIEKDSYWANVKRATEFELDYMLSKAGKPVDRDEWGMTPQTVNAYYNPTTNEICFPAGILQYPFFDMNADDAFNYGAIGVVIGHEMTHGFDDQGRQFDKDGNLKDWWTAEDAKRFEERAQVMVNFFDSIQVLPGLNANGSLTLGENIADHGGLQVSFQAFKNATKDAPLLVKDGFTPEQRFFLSYAGVWAGNIRDEQIRLQTKSDPHSLGRWRVNGALPQIGAWYDAFGIKEGDPMYLAPEKRVSIW", "MVIAENSAFTMLSFWNLHDKHLFLCPMKRRRYIAWVLMLVSIIMLTASVLPHHHHREILCLQHDMTLCGCQCSVQHQQHNNSSDENHTCNAGCVTKFKSVTPDRAQDSVSPDYSFCLLLYTVTDVLALSLRLTEHNTLPYNYYLEKLHSTCLPHVKGLRAPPCDVLA", "MKKLIFMGVLGLFLLGSCNSKSGHDHEGHDHGTEEAHNHDHEGHDHNHEGHDHEKENHDHENEGHEGHNHEAEASAAGHSDEIILAPEKAKAAGVESEIIRPESFRQVITTSGQVQAAQGDESVVVANVAGVVSFRHPVTDGMSVSKGAPILNISAENMPDGDPVKRTRIAYETAKKEYERAAKLVKSQIISQKDFNAIKESYENALLAYEAISKNQTKTGVSVTAPLGGYIKNCLVQEGDYVSVGQPLVSITQNRRLFLRADVSEKYYGYLHSIQSANFKTPYDNKVYELGELKGRLLSYGKASGGTSFYVPVTFEFDNRGDVIPGSYVEVYLLSSEMPDVLALPVTALTEEQGLYFVYLQLDEEGYKKQEVTLGASNGKKVQILTGLKAGDRVVTKGAYQVKLASASNAIPAHSHEH", "MLNKIIHFSLQNRILVLVASVLLLIGGTYTAFHTEVDVFPDLNAPTVVIMTEANGMAAEEVEQLVTFPVETAVNGATHVRRVRSSSTNGFSVVWVEFEWDTDIYLARQIVSEKLSLVSEELPENVGKPTMGPQSSILGELLIIGLTSDTTSMLDLRTLADWTIRPRLLSTGGVAQVAVLGGDIKEYQVLLDPARMKHYNVTLAEVMNVTRNMNQNANGGVIYEYGNEYIVRGVLSSHDVDQLSRSVIKTVEGVPVTLEDIADVKIGSQEPKLGTASERGKPAVLLTVTKQPKTGTIELTEQLEAALKDLQKNLPADVHVSTDIFRQSRFIESSIGNVKDSLYEGAVFVVIVLFLFLANVRTTVISLVTLPLSLIVSILTLHYMGLTINTMSLGGMAIAIGSLVDDAIVDVENVWKHLRENRLLPEGERKSVIEVVFNASKEVRMPILNSTLIIVVSFVPLFFLTGMEGRMLVPLGIAFIVALFASTVVALTLTPVLCSYLLGNNKSKGLPKEAFVAVWMKKHYRNALLWSLNHKSAVLGFTGGLFAVALVMFFTLGRSFLPSFNEGSFTINISSLPGISLAESDKMGHRAEELLMSIPEIQTVARKTGRAELDEHALGVNVSEIEAPFELKDRSRQELVADVRAKLSTITGANIEIGQPISHRIDAMLSGTKANIAIKLFGNDLNKMFAIGNQIKDAISSVEGIADLNVEQQIERPQLKIVPKREMLAKFGISLPEFAEFVSVNMAGEVVSQVYEEGKAFNLIVRTKDEVRDEMEKVRNLMIDTGDGQKIPVNYVADVVSAMGPNTISRENVKRKIVISANTSGRDLCSVVNDIQERIDAQIKLPEGYHVEYGGQFESEQAASRTLMLTSFMSIVVIFLLLYTQFKNAAQSGVILLNLPLALIGGVFALMITTGEISIPAIIGFISLFGIATRNGMLLISHYNMLREEGMDLKESILHGSLDRLNPILMTALSSALALIPLAFRGDLPGNEIQSPMAKVILGGLLTSTFLNAFIIPIVYELMNRKKK", "MKRILVIIALCSPLLMQAQSIEHILKSIEQNNKELKAQKHAADATKMENRTNNNLPDPTVSYSSFYSNGAEGGHGTEFVASQGFDFPTQYIARNRQATLQNEAVDKQQQAARRDILLNAKNLCLDLILLNQEKTLMDIRMKNADELQALYEKRLTAGDANILEVNKIKMERMNVQTEVAQNSAAHRTALQSLLAMNGNMPLEFAETTYPAIQEINDYNVMRDEVMASDLDLQAAVATARAAEKQVSVDRQGWLPKLEAGFRRNTDDAVSMNGFVVGGSLPLFQNRKKVKIAKAQAISAQLMQENAKDQVEASLMSLFNEMQQLKDAMNAYDVPLMYRSLDLLKQALTEGQISLIEYFVETESIYKNLQAYMQIENQYQKVMANIYKNNL", "MNLEEVLNFRRSVRRYDKNKPIDPEKVKHCLELATLAPNSSNMQLWEFYQITNPGLMAKVSEACLGQSAASTASEIVVFVTRQDLYKKRAKFVLDFEEGNIRRNSPKERQEKRIRDRKLYYGKLMPFIYARFFGLLGLFRVILARTISLFRPMMLEVSECDMRVTVNKSCALAAQTFMIAMANEGYDTCPLEGFDSRRMKKLLKLPHGAGINMVIPCGIRDGNKGIWGERGRVPFDEIYHRI", "MCRKSLCHKRQPNSSGTFFYAVRTTTVSGFYRESKKGHNRHSYNFRPKHFDSVKFNPTRHFVKEVYRKGEKELEHADPTYGFKTSSSKHTQEERFGMLMEYLREYTFITR", "MRTFKSLMISLCMGTTLCMCLPQTTTAQTVSSGDSWTWDKGTIVIDTPERPAGQKSVLGLTTPKMEVVRVGFVGLGMRGPGAVERFTYIPGTQIVALCDYEASRAEKCQDILKKASMPKAAIYSGEKGYEELCKRTDIDLVYIAADWLHHFPVAKCALENGKNVAIEVPSAMNLQECWDLINLSEKTRKHCMILENCCYDWFEMNTLNMAQQGVFGEVIRAQGAYIHNLSPFWDHYWKNGKEDKLGWRLDYNMKHRGDVYATHGLGPVAQALDIHRGDRITTLVAMDTKSVVGKDLVEKRTGEECKEFRNGDHTTTLLRTANGKVIEIQHNVMTPQPYNRLYQLTGSKGFANKYPVEGYALDAAQLTASGVQPKVDDLNSHGFLPQAEMEALVEKYQHPILKKYGEMAKEVGGHGGMDFIMDSRLVYCLQNGLPLDMDVYDLAEWCCLAELGAISMDNGCAAVAFPDFTRGEWNVTKGYKHAYASPEDENASMEKAKAFTAKLKEQGAKEWAKEAKKKKK", "MPLNLPDKLPAIELLKEENIFVIDNSRASAQDIRPLKIVILNLMPLKITTETDLVRLLSNTPLQLEISFMKLKSHTSKNTPVEHMKAFYHDFDLMRDEKYDGMIITGAPVEQMPYEDVNYWDEITTIFDWARTHVTSTLYICWAAQAGLYHFYGVPKYPLKQKMFGIFRHHINVQGLPIFRGFDDEFFVPHSRHTEIHREDILKVKELALIAESDESGVYMAMARNGREFFITGHSEYSPYTLDTEYKRDLGKGLPIEMPVNYYKDDNPDNAPVVRWRGHANLLFSNWLNYYVYQETPFDINQIR", "MIRQRKIELLAPAKNLECGIAAVDHGADAIYIGAPRFGARAAAGNSLEDIAELVRYAHVYNVRIYVTVNTILKDEELKDTEKMIWDLYRAGVDALIVQDMGLLELNLPPIPLHASTQMDNRTPQKVRFLAEAGFRQVVLARELSLVEIGNIHHACPDVPLEVFVHGALCVSYSGQCYVSQACFGRSANRGECAQFCRLAFDMVDADGKSIVRNKHLLSLKDLNQSEELEQLLDAGASSLKIEGRLKDVSYVKNVTAYYRQKLDSVFKRRKEYIRASSGKVKLEFKPQLDKSFSRGFTNYFLFDRNKDIFSFDTPKSLGEEMGTVKEIRGNYLTVAGIKSFNNGDGVCFLDETGKLQGFRINRVENNKLFPQEMPRIKPRTILYRNFDQEFERLMSRKSAERKIAVILKLAENNRGFTLSLTDEDDHSASVVLEREKERARTPQEDNLRTQLGKLGNTPFEASDIVIDWSDNWFIPASMLAELRRKGIEKLLEVRRINYRQEIYKLPRTHHAFPVNELTYLGNVMNADAVSFYRNHGVQRIAPAYEKAPAEEAVLMFCKHCLRYSMGWCPSWHKVRSPYREPYYLVSSDNRRFRLEFDCKNCQMKVYAEK", "MLKSKYIGLLFLVLAILMACQYPSPVTLSGDMPQKKRDSVNYLMERHYTLNSNFEVTSDSLMLQQLPLVDVLPVFKGERLVVAEFMIQAADSVDSVWVKVARDQETMGWIHEKELLEKVVPVDSVSQFIHFFSNSHTIAFFVILGFFGIWYIHRAIRRQKLQLIWLNDIDSVFPTVLSWLVATAATLYASIQHFVPGTWEQFYYNPSLNPFSLPFILSLFMFNIWGIILVGLATLDDLFHQTHIEAACFYLLGLMSCCIFLYLFFTFTTYYYLGYPCLLVYAVWSFNRIKHASRYKFSCGNCGAKIKNKGVCPHCGAVNE", "MRKNILFITSCLLAATTFAEDINTLPKDTTKVIDIEEVVVIASPKETGKLRELPTAVSLLSQKDMQANQITTLKNVSSLVPNFFMPDYGSRLTSAIYIRGIGSRINTPAVGLYVDNIPYIDKSAFDFNFYDIERIDILRGPQGTLYGRNTMGGLIKVHTRSPFSYQGTDVKLSYGTKSNYRSASLTHYHRWSDCFAFSAGGYYEGSDGFFRNSLNGKKVDNMEAGGGRIHAIWLPSENLKLDFTIGYDYNDEGGYPYYYTGAIDGYDKENEKYKNYIGKISYDQDCTYRRGLFNTGLNIEYQAQKFILSAVTGFQNLNDRMFMDQDFLPVSIYTIEQKQRLNTISEEITFKSKNNKRWQWVTGVSGFYQWLHTTGPVNFMEDGVTDMIEGNINNTFKKIHLDDPRRTPEMSLDVLNNRIRVSGSFDTPVFSTALYHQSTFNDLFVKGLSVTAGLRLEYEKMSMNYFSDSNIDFDFFLKMAMPPLNIPFRNLNAAPLLEGKEKNDYVQLLPKLAFKYDFSPANNMYVSITRGYRSGGYNVQMFSELIQSDMQQKMIEAILDKAPESMAGMIEGMIKQHMPNYGKELNVQETTVYKPEYSWNYEVGSHLSLFNGKLKTDLAAFYMDTHDQQIAKFVNSGLGRMMVNAGSSESYGVEASFLASINKNLNMNVSYGYTHSTFKKYDGGTTSSEEQIDYSGNYVPFVPRHTMNAGANYSFFFDKSNWMQSLTLGMSYTGAGKIYWTEKNNVSQSFYGTLNGRISLQTKALQIDVWGRNLTNKDYTTFYFETMHRGFEQKSRPLQLGVDIRYHF", "MYLCTKENIMHHPEIAIVDPNTLTCLGLKNILEDIIPMATIRVFHSFGELTDDTPDMYAHYFISAQIYFEHTSFFLLRKPKTIVLAGGDNQPQLSGVPKLNIYQDEGSLIKDIHQLRQYGHQARKQAADKAMHIEKTEHELSVREIEVLILITKGLINKEIADKLNISLTTVISHRKNITEKLGIKSVSGLTVYAVMNGYIEADRI", "MIQEFQIRVLPEQAANEQSLKQFIGHDKGLDIRTIHALRILKRSIDARQRTIYVNLKVRLYINEMPQDEEFTRTIYNKVDGKPQVIVVGAGPGGLFAALRLIELGLRPVVVERGKNVRDRKIDIARISREHKVAPESNYSFGEGGAGAYSDGKLYTRSKKRGNVNKILNVFCQHGASTSILADAHPHIGTDKLPRVIENMRNTIIECGGEVHFETRMDSLIIEKNKITGIETNTGKTFKGPVILATGHSARDVYRWLYDNGIEMETKGIAVGVRLEHPSMLIDQIQYHNKNGRGKYLPAAEYSFVTQVEGRGVYSFCMCPGGFVVPAASGPHQIVVNGMSPSNRGSKWSNSGMVVEIRPEDLAENNLFTEELKTKSEELKATNKNHGQWTTDHCPLTMMYFQEALEASCWQQGNMRQTAPSQRMVDFTRKKLSYDLPDSSYSPGLVSSPLHFWMPAFITDRLSKGFQQFGKSSHGFLTNEAVMIGVETRTSAPVRILRDNETLQHVTVNGLFPCGEGAGYAGGIVSAGIDGEKCAEAAATYLGVMTD", "MTKEQSYPPTCIDCGTQNCKFKERTYPEFCLTTHLEQEDLEWALKQYNDNNKIMAASAEVEYEGYCRLTRVEEIMTFARKMGYKKLGIAYCIGLVNEARIFARILRANGFEVYSVICKVAGIAKSSIGIPKECEKIGAAMCNPILQARLLNQAHTELNVVIGLCVGHDSLFYKYSNAYTTTLVTKDRVTGHNPAAALYTANSYYRKKLMPEGEQSK", "MAKEKTVYVCTNCGQDSPKWVGKCPSCGAWNTYVEEIIRKEPANKRPVSGLESVKSKPVTLNDITGGDEPRIDMHDEELNRVLGGGLVPGSLVLLGGEPGIGKSTLVLQTVLHLPDKKVLYISGEESARQLKLRADRIPHNSSDCLIVCETSLEQIYVHIKNTRPDLVIIDSIQTISTETIDSSPGSLVQVRECSASILKFAKETNTPVILIGHINKEGSIAGPKVLEHIVDTVLQFEGDQHYMYRILRSIKNRFGSTAELGIYEMRQNGLRQVSNPSELLLSQDHDGMSGVAIASAIEGVRPFLIETQALVSSAVYGNPQRSATGFDLRRMNMLLAVLEKRVGFKLAQKDVFLNIAGGLKVNDPAIDLSVISAILSSNMDTEIERDTCMAGEVGLSGEIRPVNRIEQRIGEAEKLGFKQILIPKHNLQGMDTSKLKIEIIPVRKVEEAFRALFG", "MKVMKFGGTSVGSVNSILSVKQIVEAVEEPVIVVVSALGGITDKLINTSQMAANGDSAYEKEYREIVNRHIEMVYTVIPAGNERTVLLDKVNELLSELKDIFQGIYLIKDLSSKTSATIVSYGERLSSIIVASLIKGAVWYDSRNFIKTEKKHAKHILDSELTTRLVKETFQKLPEVALVPGFISTDKNSGEVTNLGRGGSDYTASVIAAALNADSLEIWTDVDGFMTADPRVISTAYTINELSYVEAMELCNFGAKVVYPPTIYPVCHKNIPILIKNTFNPQGEGTIIKQEVNSGSKAIKGISSINDTSLITVTGLGMVGVIGVNFRIFKALAQNGISVFMVSQASSENSTSIGVRNQDAALACEVLNEEFSKEIEMGEISPVVAEMNLATIAIVGENMKHTPGIAGKLFGTLGRNGISVIACAQGASETNISFVVESKSLRKSLNVIHDSFFLSEYQVLNLFICGTGTVGGSLIEQIRCQQQKLMQERGLKLKVVGIADGHHALFTRAGVDLSHYKEELAEKGMPSSTQVLHDEIIGMNIFNSVFVDCTASAEVASLYKDFLMNNISVVAANKIAASSEYSVYSELKQIARRRGVKFLFETNVGAGLPIINTINDLINSGDKILKIEAVLSGTLNYIFNKISADIPFSKTIKMAQEERYSEPDPRIDLSGKDVIRKLVILAREAGYKLEQEDVEKHLFVPNDFFEGPLEEFWKKVPSLDTDFESRRQKLEDENKRWRFVAKLEDGKGSVSLQEVDSKHPFYGLEGSNNIILLTTERYKEYPMMIQGYGAGASVTAAGVFADIMSIANI", "MKHIIILGDGMADWPAESLGNKTLLQYSSTPYMDKLAAMGRTGRLVTVAPGFHPGSEVANMSVMGYNLPKVYEGRGPLEAASIGVELQPGDMAMRCNIICIEDEKIKNHSAGHITTEEADVLVRYLEEKLGSDRIHFYTGVQYRHLLVIKGGNKQLDCTPPHDVPLQPFRPLMVKAEVPEAQETAGLINNLILASQELLADHPVNQKRIAEGKDPANSIWPWSPGYRPQMEPLSGKYPAIKKGAVISAVDLINGIGHYAGLRRIAVEGATGLYNTNYENKVAAALEALKTDDFVYLHIEASDEAGHEGDFKLKQFTIENLDKRVVRPVYEAVKDWDEPVAIAVLPDHPTPCELRTHTAEPVPFLIYYPGIEPDCVQTFDEVACVEGSYGILKEDEFMNEFMKK", "MKYYSTNHQASDASLEEAVVKGLASDKGLYMPEAIKPLPQEFYDQIENLSFQEIAYRVADAFFGEDVPAETLKQIVYDTLSFDVPAVKVKDNIYSLELFHGPTLAFKDVGGRFMARLLGYFIKKEGQKQVNVLVATSGDTGSAVANGFLGVEGIHVYVLYPKGKVSEIQEKQFTTLGRNITALEVDGTFDDCQALVKNAFMDADLNAHMKLTSANSINVARFLPQAFYYFYAYAQLKKEGKADHLVVCVPSGNFGNITAGLFGKRMGLPVKRFIAANNRNDIFYQYLQTGKYNPRPSIATIANAMDVGDPSNFARVLALYGNSHAAITADISGATYTDEQIRETVGEVYRETGYLLDPHGACGYRALSEGLSPSETGIFLETAHPAKFLETVEGIIGDKVEIPAKLQAFMKGTKQSVPMEKDFESFKGYLMKE", "MEKQAVITATDLCIGYRTHKGEKKVHEHLSFGLYPGELTSLLGANGTGKSTLLRTLSASQPSLAGDLQLLGKPLQQYSEKERSRTIGVVLTDKTQAGGLTVYELVALGRQPHTGFFGRLHPKDHLIIKEALDAVGIAHKAESYTAELSDGERQKVMIAKALVQECPLIILDEPTAFLDVVSRIEIMTLLHQLAVEQNKAILLSTHDIEQALVLSDKLWLLSKETGLQCGVTEDMILNHRMDTLFSHSNIRFDYDHGIYYPTVNGKQEITVEATDETLLHWTINALNRHGYTCLQTQNVPAGLPHLQVIAPDALYLTRGGKQRTFTSFGKLLEEIK", "MNNKGTKYGIILLLLILALTGANLLFGSVNIPAEAVWHILTGNEVEKASWSFIVWESRLPQAITALLCGMALAASGLMLQTTFNNPLADPSILGISSGASLGVALVMLAGAGTITAGVFTLSGFLSVIIGAFIGSMLVMGIILFFSTLIKNSIMLLIIGIMIGYITSSAISLLNFFSTAEGVHSYMIWGMGNFGGVSLQQLPFFSLVTMAGLLITILLIKPLNALLLGTRYAENLGINIRRTRNLLLVATGLLTATTTAFCGPISFIGLAVPHIARLMLGTSNHNSLLPVTMLTGGAIALLCNFICILPGEAGIIPLNAVTPVIGAPIIIYVIVNQRKIQYFN", "MKQVILFTFLLALLSACGGKSKSSSVIEAEEAIPLRYAENLSLSATEDYTIARLRNPWDTTRILHTYVLVDKEKSLPADLPEGTLVRTPLSKAVVYSSVHCGLLNQIGALKSIGGVCDLKYIKLQEVQDGCRTGSIADVGNGMNPDIEKIIDLHPDAIMLSPFENSGGYGRVEKLNIPIIECADYMETSALGRAEWMRFYGLLFGEAQKADSLFAEVEKNYNELKALVAPLSSAPNVISELKNGSAWYVPGGKSTSARIYADAGANYVFADDEHSGSVPLAFETVFDKGQNADFWLIKYNQAIDKTYKELEQDYAPYTGFRAFKERNIYGCNTGKVDFYEDSPFHPDRLLKDLIKIFHPTLLEGYELKYFTKLAE", "MPYRGLGSGIIRALQEESNIKFINEPAGMQFISIIDRIADEGVNEDEGINELESLILTFLEKKPGAKGYEIAEYIQKGAATTERYLRSLKDKELIEYKGSRKTGGYYKK", "MKFKHLFFAAALFSIAPLFCACNDDDNEIEVPRDPEEDPSSQPDTTPEATSPTEATGLYVINAGNLSNNVNGTLSFINFEKGTASNNVFFDINKRSLGGTPQDAIVYGSKMYIAIYGSNIIEIVDKNTAKSIKQIVPTSTQGEGPRDIIAANGKVYVSMYDGYVSRIDTLSLTIDATLKVGPNPEEMTVANGYLYVANSDGMNYGADYANGKSVSKIGLKTFTEAKRIPVGLNPTKICSTTEGNVYVLAMGNYNDISAKVQKIDNMNIVTDVTEATLMTVKDNTLYLINAPYGATENTYFSIDTKTGNETKNLIDQPVDSPCGIAVNPFTGNIYISSYNMVGGWPSYTTDGYVNEYSANGKFTNKYDVGVGPCGLTFLLK", "MKGNNQAYKLWLCLLCSFLLLPLEIQAQEKGDSITGKVHKIDEVTVTAERTKQQIKSSSPFQQLNKKQLQQQGITDIADALRRFSGVNIKDYGGAGGMKTISVRSLGAKHTAVVYDGVTLSDCQSGQIDLSRFSIDNIQQISLTIGDNEDIFVPARTVASAAALKLQSISPDFSNKKNHLTGQIKTGSFGMINPLIRYEQKFNEKISASTTGEFMRADNLYPFTLVNGDYVSKEKRYNNNIQTYRGELNLYINPNNRSTLNGKIYYYDTNQQLPGAVILYNAKSREKLRERNFFSQVHYRTYWENNLSLLINGKFNWSQSHYHDEGGKYPGGELNDRYFQREYYTSGALLYTPTSHWSMVYAADYIYNNLNANTPNNTSPYRHSILQTATLRYQTDNITAVAILLGSIYLNGAKEGNGAENRRKLSPSFSFSWKPCTDGQLYLRASYKDIFRVATFSENYFDRMGSRDLRPEKAQQYNIGITYQNNFTSWLPAISLTLDGYYNKVKDKIVAMPYNMFIWNMVNLGKVEIWGVDANINTTFQLAKHYSLLLTGNYTYQYAVDKTDPEVVYYKHQIAYTPRHSAGASLALENPFINASLHATGVSKRYIASENRPSNEMDGYIEYGLSLYRSFKIKKFTYNLRGDIINLGNKQYDIVKSYPMPGRSYKLTCSIHF", "MKHLNFILAGLFFLCGLCCRAQVLPLEENVVLNTKEGQIKGKLLLPGGVKTCPVVLIIAGSGPTDMDGNSAIGNLRNNSLKFLAEGLAANGIASLRFDKRGIGTSASAGKEEAKLRFEDYVNDVTGWIDYLAKEKRFTTITVAGHSEGALIGMLACQNQPKVKGYISVAGAGRPAYEIIEAQVAAQQNPEAVRKEVASINRSLKNGKEVSDVPAYLQSLYRASVQPYLISWFKYNPRTVIASVKVPVLIVQGKNDIQVSVEDAELLKKGCPAAELLLIDKMNHVLKDCESKDVQQQMLTYGNPSLPVNSTLIASVSTFVKKLK", "MGEIKKRLPLKCPACDAPLREIHFGPAPNPVWLVLCGRASDGVAVVPHVGKPSLRMK", "MRILILGAGKMGSFFTDVLSFQHETAVFDVDPKRLRFVYNTYRYTTLEEIEEFKPELVINAATVKYTLDAFHQVLPALPKDCIISDIASVKTGLKEFYDQCGFRYVSTHPMFGPTFANLDKLSTENAIIISEGDHLGKIFFKDLYQNLGLNIFEYTFEEHDETVAYSLSIPFVSTFVFAAVMKHQDAPGTTFKRHMKIAKGVLNEDDYLLQEILFNPRTPAQVEGIRTELNELLDIINKKDAAGMRAYLSKIREKIK", "MELDLKPIELPGIEKKRPMIIAGPCSAETEEQVMDTATMLANKGIKIFRAGIWKPRTKPGGFEGIGVDGLAWLKRVKQETGMYVATEVATAKHVYECLKAGIDVLWIGARTTANPFAVQEIADALKGVDIPILIKNPVNPDLELWIGAFERINNAGLKQLGAIHRGFSSYDKKIYRNLPQWHIPIELRRRIPELPIFCDPSHIGGKRELVAPLCQQAMDLGFDGLIVESHCNPDCAWSDAAQQVTPDVLDYILNLLVIRKETQTTENLGELRNQIDDCDNEIIEVLAKRMRVCREIGTFKKEHDMTILQTGRYNEILDKRGAQGSLCGMDSEFIKKVFEAIHEESVRQQMEIINK", "MQKEHQPYNIQPADRLANVSEYYFSRKLKEVAQMNAEGKNVISLGIGSPDMPPSEETVNVLCEQAKRPDAHGYQPTVGIPELRKAMADWYKRWYHVELDPATEIQPLIGSKEGILHVTLALVNPGDQVLVPNPGYPTYTSLNKILGSEIVNYNLREDNHWQPDFDELEKMDLSRVKIMWTNYPNMPTGANATMELYEKLVNFARRHNIVIVNDNPYSFILNKKPLSILNVPGAKECCIEFNSMSKSHNMPGWRVGMLATNAQFIQWILKIKSNIDSGTFRPMQLAAAQAYNNSVEWHEEANVNVYSRRRQLAEEIMKVLGCSFDPNQVGMFLWGRIPDSYNDVEELTEKVLHEARVFITPGFIFGSNGKRYIRISLCAKEEKLAEALERIKKIM", "MKKIAIQGVPGSYHDIAAHKFFPGEEIELICCSTFEEVFANIKQDSNVIGMLAIENTIAGSLLHNYELLRESGMTIVGEHKLRIKHSFMCLPDDNWETLTEVNSHPVALAQCREFLIQHPKLKIVETEDTAGSAEAIKRENLKGHAAICSRYAADLYGMKVLEEGIETNKHNFTRFLVVADPWKADDLRERSKVNKANIVFSLPHNEGSLSQVLSIFSFYKINLTKIQSLPIIGREWEYLFYVDVIFNDYLRYKQSIDAVSPLTKELKILGEYAEGTSTI", "MKNFHPDNNCPVRNVLCRLGDKWSMLVLITLNANGTMRFCDIHKTIADISQRMLTVTLRTLETDGLVSRKVYAEVPPRVEYELTERGKSLIPHIEGLVDWALQQMPGIMESRSQTGTA", "MAKKVAVLAVNPVNGFGLFQYLEAFFENGISYKVYAVAETKEIKTNSGIELVADDVIANLIGHEDDFDALVFACGDAVPVFAQNADKPYNVDLMSVLKAFAGKGKILIGHCAAGLLFDFAGITEGKRLAVHPLAKPAVSKGMATDERSVVDGNFYTAQSENFVWTMMPQVIEALKK", "MKYNFDKVIDRSGTSAEKVEGLKHIWGRTDLIPLWVADMDFATAPFVTDAIRKRCENEVLGYTGKPDSYYNAIINWVKQRYDLIVTKEVINFVPGIVPGIGMAMNCFTQKGDKVMIQPPVYHPFAWVTTRNERTLVINPLRWENGMYRMDLDAFREQIKGCKLFILCNPHNPGGVVWTEAELRAIADICYDEKVLVFSDEIHADLTLPPHTHRPFATVSEKARMNSVTFMSPSKAFNMPGLSASHALIFNEDLRKRFRIYMDAGELDMGHVFAFLSVEAAYSHGTEWLDQCLAYIQGNIDFVDEFLKKHAPKIKAIRPEASYLVWLDCRELELSQKDLNEFFVDKAHLALNDGEMFGKEGIGFMRLNVASPRCIIEKAMKQLADAYQLYIK", "MSVKEQYWKYSLITIILGLGLILFIKMSPFMGGILGACTIYIMVRKQMFYLTQEKHFKKSITAILLLIEAIMCFLVPLSLAVWLLINKLQTVNVDTTGFIHTVTNLADWLHTKTGYDLLSTENISSIASILPAIGQFLMGSISSFAVNAFVLVFILYFMLIGGIQMEKYIYELLPFSDTNKKNVLKEINMIVRSNAIGIPLLAVIQGGIATLGYYLFDVPSALLFGFLTCFATVIPIVGTALVWFPLAAYLALSGDWTHAVGLLLYCGLIVTNIDNLIRFILQKKMADTHPLITIFGVVIGLSLFGFMGVIFGPLLLSIFILCVNIFKTQYLK", "MGLFKSLFGGNNTPETEKEKNDKKNFEILKYDGIRAQRMGKLPYAIKCFEEAVAINDELETLSLLATAYTQANRLDDARITLDRMATKDPEQVNTFLSLAGICYMQEDYENMKDACQKALVLDNKTPLSFYLTAKAAIGMKDDITAIAMLTKAIVLKEDYTEAYQLRAEVLWKMKQAKDAAEDIQKLLSLNPDDEQALLLKGEILAATNEPEQAQECFNQVLSLNPFNEKAYILSGELYLVNKDFDKALAVYDEAIEINPNFAKAYHERGRIKLLKGDKDGSVEDMKKAIELAPENEMNISGQYNNYENMTKNVPF", "MKKMHLAIICLFTGCTIFAQNIEVQPIPQQVSKQDGQINLPETYQLLGETEANPYAVQELKDLLGGKHPANTGLRIYIGEKGDKSIRKFTRQIPNQKEGYYLSINNKEIILAGNDERGTYYALQTLKQLLKDNQLPVIEIQDYPAIRYRGVVEGFYGTPWSHNARLRQLQFYGENKMNTYIYGPKDDPYHSSPNWRLPYPEKEAKQLQELVKVAQENEVDFVWAIHPGQDIKWNKEDRELLLAKFEKMYHLGVRSFAVFFDDISGEGTNPVKQAELLNYIDEHFVKVKPDVTPLIMCPTEYNKSWSDPAKGYLTTLGDKLNPSIQIMWTGDRVISDITQDGIQWINERIKRPAYIWWNFPVSDYVRDHLLMGPVYGNDTQIANQMSGFVTNPMEHAEASKIAIYSVASYAWNPTKYNSEKTWKDAIMNILPDAATELEFFAAHNSDLGPNGHKYRREESVNLQPTAQSFTESYIKNKTYTEKDFSILQETFSQMVESSDILVAHADKNPIIVEIMPWLYQFKLLGETGNEVLAMVKAYDKNDQSLFMRKYKHVKALQQQMFQIDQTYNQNPYQPGIKTAGRVIKPLIDQTFATVTQCYNQKYSTLLNAETDYMPHKLISDISQIKNLPLQVKINRIQISPALEVIRWPGNGSLTIELDQVYPGENIEIDFGKPEIATWGSLEISANGKDWSKVNFTQENNRLTASLQQKPIKAVRFTNMQHQEQEIYLRRFIITIDK", "MTYKEILKQYWGYDDFRGIQKEIIESIGNGHDTLGLMPTGGGKSITFQVPALAQPGLCLVITPLIALMKDQVRNLRDRGIKALAVYSGMTREEIIVALENCIFGDYKFLYISPERLDTEIFRSKLRNMKVSMITVDESHCISQWGYDFRPAYLKIAEIRELLPDIPVLALTATATPEVVTDIQTKLNFKKDSQVFRMSFERKNLAYIVRPTENKQEELLHILNSVPGCAIVYTRNRKRTREIAELLVNNGITATFYHAGLNNDVKDQRQKSWLTGESRTMVATNAFGMGIDKPDVRIVIHIDMPDSPEAYFQEAGRAGRDGQKAYAVLLYAQSDKTTLNKRISDTFPDKDYIRKVYEDINYYFQMAMGDGMGCTFAFNLDEFCRNFKHFPVQADSALKILTRAGYLEYTDEQDNASRILFTMKRDELYKLHENDTDTEKLINIILRSYTGLFTDYAYINEDSLVIRSGLTRQRIYEILLALTRRHIIHYIPRKKTPYIIYTRERQEKNRLALTREIYEDRKKSYTTRIKAMIEYATADDKCRSRMLLRYFGEKNEHNCGQCDVCLNKHHSGIKQGEFQELEQQIKQLLQAGAMPASELLNQLNSNREKAEKVLSYLLSEEIIQLKDGILSV", "MVLSRLREQKKEKTIYKMNHKWNYQPPSQEQTEAAKALAKETGISPILCKLLLERGITSAAEAKRFFRPQLNELHDPFLMKDMSIAVERLNQAMGRKERIMVYGDYDVDGTTAVALVYKFLQQFYSNIDYYIPDRYNEGYGVSVKGVDYACETGVKLIIVLDCGIKAVEEIAYAKEKGIDFIICDHHVPDDILPPAVAILNAKRADNTYPYDHLSGCGVGFKFMQAFALNNGIEFHQLTPLLDLVAVSVASDIVPIMGENRVLTHHGLKQLNSNPSVGLKAIIDVCGLSEKEITVGDIVFKIGPRINASGRIQNGKEAVELLIEKDFSAALEKANQINQYNETRKDLDKTMTEEANQIVDHLEGLADRRSIVIYNEAWHKGVIGIVASRLTEIYYRPAVVLTRTDNLATGSARSVSGFDVYKAIEHCRDLLENFGGHTYAAGLSMKVENVEEFTRRFETYVTEHILPEQTSAVIDIDAEIDFRDITPKFHADLKKFNPFGPDNHKPIFCTHNVYDYGTSKVVGRDQEHIKLELVDNKSNNVMNGIAFGQSSQARYIKTKRSFDICYTIEENTHKRGEVQLQIEDIKPSEE", "MKRFTLLAALGLCSISLFAQDAQKEEPKEEGFVFTTVKELPITSVKNQSRAGTCWCYSSMAFLESELLRMGKGEYDFSEMYIVHQTYLDRADAAVRTHGDVSFSQGGSFYDVIYGMKKFGLVPEEVMRPGVMYGDTLSNHTELTAVSDAVVAAIAKGKLRKLQTDNNHNPLWKKAIAAIHDIYLGKCPEKFTYKGKEYTPHSFFESTGLNPNDYISLTSYTHHPFYEPFVLEIQDNWRWGQSYNLPIDEFMQVFDNAINNGYPIAWGSDVSEQGFTRDGVAVMPDTEKVQELSGSDMAHWLKMKPEEKKLNTKPQPQKWCTQEERQEAYDNWETTDDHGMLIYGIAKDQEGNDYYMVKNSWGKAGKYDGLWYASKAFVRYKTMNIVVNKNALPKEIAKKLGIK", "MFDSATYQRRRQALRNKVQNGIILILGNNEAPANYPDNTYKFRQDSSFLYFFGHSHPGYAGVIDIEAGEDYFFGNDVDMDDIIWMGPQPSVKELAAQVGIQKSFPFPQLKEVVGKAIAQGRKVHFLPPYRFDNMMLLEDLTGIRAAIVKKYASVELIKAVVDLRSVKEACEIAEIDLACNIGYEMHTAAMRLCKPGIKEQYIAGVLDGIAASYGSMTSFATILTQHGETLHNHDHSHILEPGRMMLTDAGAERVTNYCSDHTRTVPVGGKFEGRQKDVYNIVLACHDKALEITRPGITYMSVHLEVCKVLVQGLKDLGLMKGNVEEAVAAGAHALFLPHGLGHMMGLDVHDMEDLGQIYVGYDDEIRPSSQFGLASLRMGRRLQEGFVITDEPGCYFIPALIDKWKAEKMHTDFLNFDAIEKFKDFGGIRLEDDILITSEGSRFTGEKRIPITIEEVETIMNE" ]
[ "ATCTGTTTGTTATTTTAAAATGTAATCGGTTACAAAGGTAGTAAAATCTTTAGATTTGGTAGGAATCTCTGCCTAATAATAGTAAATAAGATATACTTTTATTCATAATTCATTTGTTTTGAAATTATTGCTTAAAAGAGAGGTTGGTTATTGTAGATTGGACAAATAAACTTTTTTGCCACTTAAAAAACAAAGTGTTGTGATTATTTAAGAAATAAATTAAGCAAAAAAAGTTGCATATGAAAAAAACTTTTCCCACATTTGTCCAAAATTAGATATTTGCATTTATATAACTAACCAAACAACGAAAAATTAAAAGAAAAATTAACTG", "GAGCATAATTTGCTACATTATAATTAAGAAAAAGGAAAACAATTGTTTTCCTTTTTTTGTTTCGGCTCACGAATTATGTATCTATAAAAAACGTTAATTGTAGAA", "GAATAAAT", "ACTTTCATGTTATCATAAAAAGGCTTAACT", "CATAAAACGAAGAAAC", "TAGAGAAGAATCTTATAAGATAAAAAAGAGATACTGTTTTCCTTTGGAGTCAGTTTCTCTTTTTTTTGTATACATCTATCTGTTTCTATGTATATTTGATAAAATTGTAACATCTATAATGATACCCATGTAAGATAATATATCTTTCGTCTTTATCGTATATCTTTCCGGTTACTATTTTAAGATGTGTGTGGAGCATCAATTGTGCATTATTGCGTATTATTGTGCCAAATCGCGTCATTCCGTCTGGGTTTCTTTCTATATTTGTCATCAATAAAAATATCGAT", "GTGTCTGCTTTTTACTCGCAGATTGTCCGAAGGATGTACGGCCGATTATGTACAGCAAGTAGTGGATGCACTGTTGGAACGTGGATTTTTTAGTAAAGCCCAATACGAAAAAAATGGAATTCTTACTTCGGAAAGTATACAGGACCATTACTTTGAGGCGGTACAACAACGGAAGTGTGTAGAGGTGAGTACTGATTACTTGTTGATAGAGATTTCAAAATACAAGAATTTGTATGTGGATGGTAGTAACGTAGGCATTTCAACGGAAAATGTGGACATGAAAACATGAAGTTACAAAGTAAAGCAGAGCAAAAAAAGAAAAGGACTCCTCTTCATTTTGTTCTCCAGAAAAGAAGAGGATTTTTGCGGAGAAAGAGACTTTTTCTTCTATTCCTGTAGATGGAAAATGCAGAAATGCTTCCGGACCAGTTGAAGCTTTGCAGCAGTGAGGGATAGGAGATAAGATAGTAGGGTACTGTGATTATGGTGTGATAGGGCATCCGATATGGCAAATATTAGCTGATATGCGTATGTCAGGTGGAAGGTTATATCAATTGTTAGCCTTTATTGGGGCGCGCCTGCGAGTTTGACCGATTAGAATTTAGTAGATTGATAAATACTGTAATTGTGTAATAAAGAAAACGATTGATCGTTTTATGGTTCATATAAGTAGAAAATAAATAGAATATTAAATAGATAATAGCCTGATTTTTAGAGTGCTGATTATGCTAATGGTAGAGTATAAGTAGAAAGTGACTAAAAAAATATTCATAATATATTGTGTATCAAGTAAAAGGGGGAAATAATATAAGTATGGTGGACTTAATGAATAGAGGAGAACGGTAAGCATAAGTTCTTTTGGAACTCATTTTATCAATAATATTGCAAAGATATAAAATTTTATTAAAAATAAAAGAATAAGTCTATTATTTTTTGTCTATGATATTTTTTTATTCATAAGTATTGTTTAGTTGTGATATTTGTTAGATGTAAGGTAGTTATTTTATTTTTAGTATAAAATGATGTGAAATACATGAAAATTGTCAAGATAATCATTTTCTTCTTCAGATATCTTGTTCCAAATAAAGTACCTTGTTTTTTATTTCTTTAACTATTTATCCTTTGTGTGAAATTACTCTTTTAACAGTTGAATATTGTTTGGAACGACTAATATTTAGGCGTTTTTTATAAGAAATGAATTCTTGTACTTTCCTCTTTTATAGAACTAAGTTCCAAAAGAACTTGGATAATGTTTAATTAAAAGAGAGTGAGT", "ACCATAAAATGAAGAATCATT", "GATTTGAAGTATATAATTCTCTTTAAAGTTTCGTTTAAATATAGGGGACATTCTCAGTGATGAGAGTGTCTTCTTTTATTGAAATAAATCAAAAAATATATAGGATAAACGTTAGTTAAGACTAGGTAATTCATAAATTGCCTCGATGGATAGATATACGTATTGCTTTTTCTTATTCACTTTTGTTGTGTTTGGTTGGGTGGCATTTGAAGAATGTTATTGTTGGGATATTGAATTTTTATGAAGAAATTCTCCTGTTTTAAAGCATAAAAAAAGGCTATCTATCCCAGACAGCCAATCTTTTGTTAACCTTAAATCTAATACTATGAAAAACACATTGCAAAGATACGGACTTTGTTTATAATAGCAAGTTTTTAGTCTGTATTTCGCCGTTTTATAACATGCTTTATAAATATATCGCACCTTGTTAACAAATTAATTTAAAAATGTAAAGTTTTGTTTATTATGACCTTTCGGACTTTTTGTCTTATGAATGATAGAAGAGGGCAAATGAAAATAAAAGTATGTGATAGCTGTTTTTCTATTTCTTATTTTATATCTTTGATGTCACTTTACTGATTGAAAAAATAACAGTTACTT", "CAGTTGGACATTCATTTTTTTGTCTTTTTGGAAGAGTTTGTCTATTTAGGAGAACCTCCAATGTTTCGATATGAACTTGAAGAATTGAAAAAATGTTCAAATGGCAAATTGATGTTGTTTGAACATTTTTTTATTAGTTCTTATTTACTGAAAGAGTAATTGAACTATTGATTTTGAACAAATTTCTATATTCTTGTATCATTTTTTATGGTTTCTTTTCTTGTTCTTATTTACTTTTGCCTAAATCTTTTAACTTATAAAATGTATATGAAAAAC", "ACAATATCACATCGGGGGAGATAAGTTGAAATCGACTCCTGCGTCTTTAGATAAATGGGTGGAAAGCTTGGTAAGCTCCGGTAGATGGGGAGTTGGCAATGATACATGGAATATCGCAGGGATATAATGCTTTTCAAAATCCTGAAATATTGTGGGAATAGGTAAAGGCTATGGAAAATGAAATATGGGTGGGTACATTTAGAGAGGTAGTTGCTTATAACAAAAGAACGAGAAAAAAATCGCTTGAAT", "GGTGTTGGATATAGTTTTAAAACTAGAATAGGGAAATCCGTTAGTCTTTATTGTAACCATTTGAGGTGTGATAATAAGATTAACGGATTTGTTTTTTGCTCTTTTATAAAGTTACTCAGAATTAATTTCTAAATTTGTTCCCGTTAACTTTATTCCTTTTTCAAAAGAAATAAA", "AACAGAAAAAAGTTCTTTTAGGACTACCCTGTATTTAAAATAAATCGTCTTCACTTTAGAAACAACAAAG", "GTAGGGAGTGGATTAAAATTGTTTAACCTTAAAATTAAAAGTATGAATAGAATTAGAGCTGTAATACTGTAATAGGGGTACGAAAGGGATGAAAAAGAAAAGGTGGGAAGATATAGGGATTTTCCCACCTTGATGAAATCTCTTTTTCGACTTACGATTGTGAGTGCGCTTTTAGAGAATGCAAAGTTAATGATTTTTCTCTTTAAAAGCTAAGTTCATTTAATTTTTTAATTAATAAATTACTAATTT", "AAACAGAATAAGT", "TTAAAAATAGAATAATT", "CTGATATGTATAAAACATAGTTGTGTTACATCGTGTATTTTGTTGGTGGCTGCTTCATGAGAATGAAGCAGTCATTTTTTGTATTACAGATAAATTTTGCTTGGTTGAGCTAAGTCAATATTTAAGTATGAGGAAAATGTAGACATAAAAAAAGGAGCAACGCCTTGCTCCAACCTTTGTTAACCTTAAATCTAATACTATGAAAAACACGTTGCAAAGGTACGTGTTTTAGTGAATTTTGCAAATAAAACAACTAAAAAAATATGTTTTCTAACACGTTTTAATACTTTTGTCCTATTTGTTAAGGATGAGGACTTTTTTTATTTACTTGTATTTTGTCTTTTTTACATATAAAACCGTATATTTGTTGCCACTTTTGCAAAAGGATAAAAACGA", "AGTATGTATCATATATATAGAACAAATGAAAGCAAAACATTATTTACCA", "GCTTTGTATGTAAAAGATAATAAGAAAGC", "CAGATAGAAAGTTTATCTTTTTATCCGCAACTTTGTTGCATTTTCTTTACACTAATTTTGCATTTAGTAAAAAGTAAGAACAAATAATATCATC", "TCAGCCGTCCT", "TAGAAATAAT", "GATGTTAATATCCGCTGAGATATAGTAGTGTAGGGGGGATGTTATAGTTGACATCGCCCCTAAAACTTATCTTGTCGGTTCAGTAAGTAAAATATAAAAAATGAATAGGAA", "GAGAACAGGGTATTC", "TTTTTCTTGTTTATTTCTAT", "ACTTTTTGAATTTAAAGATTAAAATTTAAAAATATAGGTTGTTTCTTGAGTCAACCACTTGAAACTTAATTATATTTCATGTTAATAATAAGAATATTTTTTATTTTTGCGCTCAAAGATAATTAATCTTTTTTGATTATGGATATAAGTTCGTCCTCTTTTTTCTAAGAAAAAGGTGAGTTAATAAATAATATGAAAGAAGGGAAAAAGTGGAATCAAGACAGAAGTTGTGAAGGTATGAGTGAAAATCTAAAAATAATCAAGGTTTGTTGATCGAAAACGATCAGGGAAAGGAAATAATTTAGTTCAAAAGATCGTTTAATAAGGAGATTTGGCGGGATTTTTTTAATTTTGCACTTACAACTAATTAACAGATAAGAAA", "TTTTATTGTTTGTTTCTTTTAACAAAGGCTCAAGCAGTTTTCTATTGTAAACAGCATTTTCTCTATGTACATACACTAAAACAAATAGGTTTGTTCTAGAAGCTATGGGTATCATTTACAGCAATAATAAAGTTCTTGCTTTTCTTGGTGTGACAGAATAAAAAATAAATTTGATTTGGTAAATGTGGAAACAGAAAGGGAGTTCGTGATGAACCCCCTTTCTGTTTTTGCAAGAATGG", "ATTTATTGTTTGGTTTTATTTGATACATCACGGATAACCAGAGTTGCTACAAGTTTCTACCAGGCGGAAAATTTATATATTCCACTCTGTATAATCCATGATGGAGAATGATTCCGGCTGCAAAGTAACGGTAAACCTGATATGTGTGCAATCCTAATAAATGAGGATT", "ATCTTTTATAAAAAACAGATTCATAAAGACAAAGAAATCTTCTTTTAGGCAACATCACCAATCATTTATTTGTAGGGGCGCATTTGAATGCGCCCGCATATGTGCTGTAAATCATTTTCGGATAGGT", "GGTTC", "TTTATATCATTATTCAAATTAAGTTTTCTCTTATTTATC", "ATTCTTACGGGATAATTAAAACAGCGCAACTTGAGGCTGAATTTGTACAAAGGTAAGGAAATAGTTCTGCATATCAACATGGGCAGGATAAAAAAGTTTTGTCTTATTTCTGCTTTAATAAAAAGCATTCTTATATTTTATCGCAAAAAAATGGGAAATTGTGATAGATTGTAGGAAATTGTTTTTATATTTGCATCGTTCAAATAAAAAACAGAAGAAGAACAATAATAAAATGACGATATACTATCTCCATACAACCACCACATTAGGGATGGGGCACATGACAACTACAATGTCCACATCCACAACAATGACCCCTCGGGGTTAAGGATAGTATATGTGTTTCTACGTGATACACGCTTACGGACGTAAGCAAAACAAGCTTTTAATTTTATTTTTTTAGTGTAAACAGATACAGTTTCTTTTCGTTGTCATGCTGGGTGAAACGAAAGTAGATTCTTTACCGGACTTGAAATGGCTCGGAGGACAGAATGGCAAAAGAAGCTGGAATAAAAATAGATAATTGC", "GCTT", "TGTGGCAGTTTGCTAATTTGCCCCAATGGCAACCCTCCATGCAAAGCCCTTGGCACATTAGCACATTGACGCATTGAAAAATTGTTTACT", "CTTTTAGAAATAGATATCTGATAAGAAAAGAGGGGGGCAAAAGTCCAAAGTAACTATCCAATGGTTCACCGTAGGGGCGGATTGAATAGTTACTTTGGGCTTGTTTACATAAAGAACAAAAAGTTCCGTCACAGCAACGTGCTCATTACTAGTTACTTTCTGTGTAAAAGAATCTCAAACCTCCTTCAATCGGTATGCTTCCGGCTATCGAATCCTGTTACGTATGTAACAAAGTTTGTTCCTACCGTATCTTTCACTCCGTTTCATTTTCACTCCGTTTCATTTGATATTTCACTTGGGTGAAGCAACTGTTTCACTTGGGCGAAAACGGCTGTTCATCTAAGTGAAATCGCCTCTTCACTTGGGTGAAGCAACAAATGCAGATGGGCAAAAATAAGCCTTATGACGCTCTCCTGCAAGGAAGTAACCGCAACTATGGCACAGGAATTAGAACAACCGATATACGTCAAGCCGAATTTGATAGCGGAGAATGGAAAACCGTTTTAGGGGAATTTAGAATGTTTTTTCACATTATATTGTTGATTTACAGAGAGATACTGCGCGTGGTCCGGAATGGTTGATGCGTGTCT", "TGATACTGTTTGGGGTTACGCCCACTGTAGGGAGTGTGTCAAAACTAACCCGGCCTATCCCATCGTCAGCTGTAGGGGCAGCATTATCCACGTTTTGACATAACCCTACGGTGAT", "G", "CGTTTTAGCACAGAAATTGGCAATGAAAGGATTGCGTACTACGGCGCTTCCCAGTTTGATGCTTTCCACCGTCAGCCCATCGGGAAGGCATCCCGGGCTGATAATCTCTACACGGTTATCAAAAATGAGGAAAGTTTTATGAGATTATTTAATTTATGAAGGAAATAATTGTAGTTTTGCTTCCTTCATCAAAAGTGAAGAGAAGCAAAACCATATTTTA", "ACTTATATAATTT", "TTAAAAAAACATATTAATGAATAAATACCGCATTCTTACCGCTGCTCTTTCCTCGAAAGCGACGGTCGATGACTTTACGTTTTGCAGGTCTTCTGACTTACTCCTGTTTACTTGCCTTCCCACCTTATTATATATACAGGCAGTGGCGTGAGTGGATAAGTAAACCTATCCCCTTATAGGGGTAGATGGAGTTCACAGCAGCGGGACTGTTCAGGACTTGCACCTGATTCCCTTTTCATCCGCTTCCTCGCATCAGTCGGAGGTACGCGGAACAAAACATGGCAAAGATAGTTTGTTTGGATGAATAAGAAAAGGGAAATGATAAAAAATCCTTTTTTTAATTAGTTACAGATTTATTTTCTCCCAGCAAAAGTAGGCGTGTATAACGGTTCTTTTTTTAGTGACAAAACGACTTGATTCTATCCATGTTGAAGATAAAATAGAATAGTATTGAATAAAATAAAACTGATGCTTAACAACATTCAAATATTGATTCGCTTTATTCAATACAATCACTATATTTGTTAAATGGATAATCTTAAAATACGTAATAG", "T", "AAAAGAGGATGCCAAAAGTCTTGTTGAAGCTTTGACATCCTCTTCTATGTTATACTGATGCG", "CGGT", "AATCTGATATTTTGTTTTG", "TCGTATATATATTATAAAAAAATCCCGCTTCTGCCGGAAGCGGGATTTCGTTGTTATTATCGCATTGTTTTAATCATTGTTTAACGTACACATACAAATTCCCGCTTCACTTTGTTGCTAAAGTAAAAGTAATAAAAGAAGAAAAAGTATGTATTGTATAATGCTTTCATTTTGTTCTTATTGTTATTGAATACGCTGCAAAAGTAATATATTTCTAGCAAAAACAAATAAATAAGAAGCTTTTTTTCAATTTTTTT", "TTTTTAATATTCTCTATTTGCTTGCCGTTCAACAATGGTTACCTCTATGTAAGTTCCTTATCTACAGGTGCCTTCTTGTTTTTGGGAAAAAGGATACTTACATTTGCATCACAAAAGTAATAAACTTACTCAAGGTAACTATTGTTTTACTAGATAAATTTATTGTTTCACTTATAATAAGTTAAGATT", "GGGAATATTAATGTCGCCCAATGTAGAAATTTCCTATATTTGGGCGACAATTCCTAAAAATAGAAACA", "GTGTTTTTTGCTTATTTTGTATATAAATAAACAATAAAACGAATTGAAATGTTCCGCTT", "AATTTATAGTTGTT", "GTTCTGTTGGGGTAAAAGGTTAATAAATCAATGGCAAAGATACGAT", "TGGAAGGGAAATAAGAAAATTATGTCAAAACTCCAATGACTTATCATCTTATTATTCTGATAAGTGTCTGATAACGTCATTAAAGTTTTGACATATTTCAAAGATAAATCTTTATCA", "TTTCTGGTTATACTTACCCAATCAATCCGTAAAGTTAGGAAAAAACAAATAAAAAAACCGCTAATTCCATATATATTTTATGGAATTAGCGGTAAAGTATTGTATAGTAGCGTA", "TTTAGAAATAATAGTTTTGTTTGTTATG", "GGCTAACTTTATTTTAATTGAAAATTTATTGAACTGTGTTGCAAATGTAATAATTATAATCTTAAAAGTACTATTTGCTTATTTGATTTTTTAATCGATTTCGGCTTTATTTTTATCATTTGTCAATCTTGCTTTTCTAATTTCACAGGTTTCTCTTATTTTTCCTTGATAAGTTGCCATTCAGTAATTTATACTGCTAGGAGAATTTGATTGATATTTATGATGGAATGCAATTATTTAATAAATATAAAAGATACGGCTAAATACTTTGAAAAGGTTAACTTTGCAGTGAACTCTTTCAAGGATAGATTTGTTCCTCAACATTGATT" ]
[ true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, true, true, false, false, false, false, false, true, true, true, false, false, false, false, false, false, true, true, false, false, false, false, false, true, true, false, false, false, false, false, false, false ]
[ 1, 3, 5, 7, 9, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62 ]
[ 0, 2, 4, 6, 8, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61 ]
[ "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124382|-|1102:2637", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124383|-|2738:3031", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124384|-|3061:3396", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124385|-|3527:3988", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124386|+|4024:4356", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124387|+|4343:4888", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124389|-|5088:5681", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124390|-|5696:6322", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124391|-|6456:7856", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124392|-|7862:8509", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124393|+|8642:12601", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124394|+|12751:13029", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124395|-|13104:14399", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124396|-|14592:16625", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124397|-|16758:18509", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124399|-|19338:20528", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124400|-|20533:23331", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124401|-|23852:24760", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124402|-|25106:25339", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124403|-|25363:25536", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124404|+|25573:26040", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124405|+|26078:26704", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124406|+|26718:28874", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124407|+|28921:33396", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124408|+|33403:34092", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124409|+|34107:34706", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124410|+|34708:35037", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124411|-|35351:37099", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124412|+|37233:38228", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124413|-|38328:38888", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124414|-|38900:40390", "2510065017|RJ2H1_RJ2H1-contig-053.53|CDS|2510124415|-|40526:41680" ]
[ "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000001|+|911:1101", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000002|+|2638:2737", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000003|+|3032:3060", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000004|+|3397:3526", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000005|+|3989:4023", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000006|+|4889:5087", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000007|+|5682:5695", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000008|+|6323:6455", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000009|+|7857:7861", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000010|+|8510:8641", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000011|+|12602:12750", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000012|+|13030:13103", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000013|+|14400:14591", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000014|+|16626:16757", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000015|+|18510:19337", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000016|+|20529:20532", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000017|+|23332:23851", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000018|+|24761:25105", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000019|+|25340:25362", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000020|+|25537:25572", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000021|+|26041:26077", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000022|+|26705:26717", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000023|+|28875:28920", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000024|+|33397:33402", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000025|+|34093:34106", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000026|+|34707:34707", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000027|+|35038:35350", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000028|+|37100:37232", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000029|+|38229:38327", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000030|+|38889:38899", "2510065017|RJ2H1_RJ2H1-contig-053.53|IG|IG_000031|+|40391:40525" ]
[ "MITTVIIAIVCFAVGGGLSYMLFRYGLKSKYDIIIKEAQTEAEVIKKNKLLEVKEKFLNKKADLEKEVALRNQKIQQAENKLKQRELMLNQKQEEVQRKRTEAEAIKENLEAQIVIIDKKKDELDKLQMQEREKLEALSGLSAEEAKERLIESLKEEAKTQAASYINDIMDDAKLTANKEAKRIVIQSIQRVATETAIENSVTVFHIESDEIKGRIIGREGRNIRALEAATGVEIVVDDTPEAIVLSAFDPVRREIARLALHQLVTDGRIHPARIEEVVAKVRKQVEEEIIETGKRTTIDLGIHGLHPELIRIIGKMKYRSSYGQNLLQHARETANLCAVMASELGLNPKKAKRAGLLHDIGKVPDEEPELPHALLGMKIAEKYKEKPDICNAIGAHHDETEMTSLLAPIVQVCDAISGARPGARREIVEAYIKRLNDLEQLAMSYPGVTKTYAIQAGRELRVIVGADKIDDKQTESLSGEIAKKIQDEMTYPGQVKITVIRETRAVSFAK", "MDDMMKIHLLIDNERYPMTIRREDEQLYRDAAKQIDNKLNKYRSYYPDFSPARHWAMAALELAFENISMKDRNNTAPYQEKLKELTKEIEKQISNRE", "LLPILYICVQFWYKMTEEDKKLLNTFEARLRHFMYLHDELKHENAQLKQLLTEKNEEVRRLENSRKELEVRYTNLKMARTISIHDKDINDTKQRLSRLVREVDKCIALLNE", "MSPRCYRTQRYTIKKNNIKRTLYFFVFWSIFAPMKILYTIVGTTSLILGIIGIFLPLLPTTPFLLLTAAMYFRSSPRWYHWLIQQKYLGSYIRNFREHKAIPLHAKIISVSLIWITLSYCAIWTLPYIWARILFLIIAIGTTWHILSYKTLKR", "MYTVIKRMEISASHSLKLSYRSKCENLHGHNWIITVYCRSEVLNEDGMVVDFTHIKETVMGRLDHRNLNEVVSFNPTAENIARWVCEQIPTCFKVEVRESEGNTVIYEKD", "MRRINEIFYSLQGEGYHTGIPAVFVRFSGCNLRCPFCDTQHEEGTLMSDEDIVMEVAKYSTQVVILTGGEPGLWIDEKLVDALHHEGKYVCIETNGTCLLPENIDWVTCSPKEGAKINLDRIDEVKVVYVGQDVSAYLDLSASHYFLQPCSCANTEEVIAYILQHPEWRLSLQTHKLLQIP", "MKSLLNIEEHPLEPFLPINAKLLMLGSFPPQKKRWSMEFFYPNLQNDMWRIFGIIFFQNKDHFLNPDKKVFDKERIIDLLNKKGIALYDTASAVRRLQDNASDKFLEVVEQTDISLLLKQIPMCKAIVTTGQKATDIIREQIKVKEPVVGTSEPFEFEDRTMRLYRMPSSSRAYPLPIEKKSAIYRVMFNELGLLNE", "MSIFSKLFGKKQTEGEETSRIGGMEDFMTLIRVYYQSVMACNIGITNINFLPDMAVFKRTLKIPTQNNKLGIAEKSRCKKMLVELYGLSDDFFKEIDGSIKKNCKNVNDVKTYLFMFQGFSNDLMMLIGNLMQWKFRMPSVMKKMLRNMTEKTIHDIMTKTDWKDESVHKTCVAIRKYKQALGYSENWMTEYVYNIVLLAKKEPKPKE", "MDILTLLISRVNDVLWTYILIIMLLGCAFWFTFKTNFVQFRMIREMIRLLGDSTGKTEGREHHISSFQAFAVSIASRVGTGNLAGVATAITLGGPGAVFWMWVIALLGASSAFIESTLAQLYKVHGHNSFVGGPAYYMKKGLKQPWMGVLFAFLLIFTFGFAFNSVQSNTICAAFEEAFNIPPSLMGVILTSLTLIIIFGGIQRIAKVSSIIVPVMALGYIFLSLFIVIVNAKHLPEVIELIIANAFGWEQALSGGIGMALMQGIKRGLFSNEAGMGSAPNVAASADVTHPVKQGLIQTLGVFTDTLVICTCTAFIILFSGVASTKEANGIKLTQMALNNEIGNIGTIYVAVAILFFAYSSILGNYYYGEANVRYITHRKWAIPIYRIAVGGMVMFGALASLELAWSLADMAMGFMTICNLIAITLLGKYAFRLLEDYRIQKRNGVKNPVFTKDRMKDIENDLECW", "MKALTDTQYIRQLVSEGEHCHQDFKFEISDARKIARSLSAFANTEGGRLLIGVKDNGKIAGVRSDEEIYMIEAAATMYCKPKVELETQTYKVEGKTVLEIRINETVSKPVYALDETNKPWAYIRIKDENILATPVHLKMWQHSKKPEGALVTFTEREQRLLDVLKEKEKLSLNQCCKLCRLNHKTTCELLADFIRFGLVELVFHGHKFYFKLNKE", "MGIRKKCLIITLLCFSSLMHASEFMFKHLEVKDGLSNNQVLDIFKDSEGFMWFATASGLNRYDGCQMTLFRSNNADPASLPDNYIKSIQEDYKGNLWILTGVGYVIYNSESETFDREVHAWLCEVGIDGTPALVYIDHNKNMWFYIKGKGCYLYIPESQLLYPLLFNTHQLPEGDITDIVECSKGILLVYNTGRLVCLDTRTNEIKWQQDDLVGELGTDKQGIFTLFVDRDNDIWMYSPLGIWVYNPEQEKWLSWLTNIIKRRSHNMVRAVSQDKQGRIWIGTDQDGIDILDKKTGEVRQLRNKAGDERSLQNNTVMVLYEDSSETMWVGTYKKGISYFNECAFKFGAEYIGDISCIEEDKEGYVWLGTNDVGIIYWNSVTGNRAAFPQKGMDKLTTDAIVCILKASDGKLWIGTFGGGLICYDNGRIIHYKKNISERQNSLAHNNVLALAEDKQGIIWIGTLGGGVQSLNPKTGLFTTYNTTSAGLISDYVSSLCMGKEGSLWIGTAQGLSELDIETKKVVNLEGTKSGKEYFSDQNISQVYEDSRGLIWIGTCEGLNVYNPKTDELIILGVEQGVSSPIISGIVEDGNENIWVTTARGITNVIPAVDLKTGRYIFHSCVYDDKDGLQNSGFNLRSIKKLSSGEILMGGLYGINRFRPDDIKYNKKRPSVMFTRLFLFNEEVGVGEEYEGRVILDKVLNKVDQIKLSYEQNMFSVQFASDNYILPEKTEYAYKLEGFNEGWMTTAFGKVTYTNLAPGTYMLKVKAINSDGYGGDEEASLKIVIYPPFWRSVWAYVVYSLLLVAVLILGRYWILRGERDKFKKQQIKQEIERNQEKADMKLKFFTHVGHELRTPLTLIISPLETLMKEYQSDAALMDKLNIVQRNALRLLNLVNQLLDFRKIDVNGYYLSLSDDDIISCIHTICDSFISLSEKKDISLIFHSAVPSLNMLFDGDKMREVMMNLLSNALKFTCNGGRVDVSVGLVEGQDSREMLEIRVADTGVGIKEENRERIFERFYQVDNPDMNISDGGGGLSIVRDFVTLHDGTVNVMDNTPVGCVFVIHIPVKRSESKPTKIEQVQVTDKPVEDISTLQIPEFYMASNAGEESEEEPNHIITIEGLEEDIRRLESGNTEEIAEEKNIETISAVAPQRATVIQLIEGEDNEMITHIIPPEELPEMVTAVPEEKSEQKLKTVWNHAYIDFKPSGTAITSMDEKLINHAVKYVEDNIARSDLSVEELSKELGMSRVHLYKKLLAITGKTPIEFIRMIRLQRAAQLLRGSQQNISEIAYQVGFNNPKYFSRYFKEEFGILPSAFQYRQGR", "MEHTVIHDEKVDRFEVFESGQIAYLQYDEKNRVLDILHTIVPPQLEGQGIAQALTEAAVRYASVVGLKIRPTCSFAKMFFTRHTQYKDMLVE", "MIAKPEREQIIALINREVVPAIGCTEPIAVALCVAKATETLGKRPERIKALLSANILKNAMGVGIPGTGMIGLPIAIALGALIGKSEYQLEVLKDSTPDAVAEGKKLIDSQAISIGLKENIEEKLYIEIICEADGDTATAIIACGHTNFIYVALNNQVLLNKQTTSTCNEDAKKPELNLRKVYDFATTTPLDEIRFILETKRLNKAAAERSFKGNYGHELGKILKSSKSEEQILGSNTFTHILSYTSAACDARMAGAMIPVMSNSGSGNQGITATLPVVVYAEDNHKSEEELIRALTLSHLTAIYIKQSLGRLSALCGCVVAATGSSCGITYLMGGTYEQITFAVQNMIANLTGMICDGAKPSCALKLSSGVSTAVFSAILAMEHKCVSSVEGIIDNDVDRSIRNLTRIGSQGMNETDKLVLDIMTHKQCD", "MKKKFFLSCLLIVMALLPAVAQIQDPVQFKTELKTISDTEAQIVFTGKIDAGWHVYSTDLPSGGPISATFNVDKIEGVELVGKLTPQGKEIENFDKVFEMKLRYFENTAIFIQKLKITGATYMIEGYMEFGACNDENCLPPTEVSFSFSGKGVAAATTSKTTEPAKTETAITEVPVAETATATTATDSATTAALIGNDTAVQDYWTPVIQELNAFGETTSQQDRSWIYIFFAGFIGGLLALFTPCVWPIIPMTVSFFLKRSKDKKKGIRDAWTYGASIVVIYVLLGLAITLIFGASALNALSTNAIFNIFFCLMLIVFAASFFGAFELTLPSKWSNAVDSKAEQTSGLLSIFLMAFTLSLVSFSCTGPIIGFLLVEVSTTGSVVAPAIGMLGFALALALPFTLFAMFPSWLKSMPKSGGWMNVIKVVLGFLELAFALKFLSVADLAYGWGILDRETFLALWIVIFALLGFYLLGKIKFPHDDDDDKVGVARFFMALISLAFAVYMVPGLWGAPLKAVSAFAPPMNTQDFNLYTNEVHAQFDDYDAGMEYAKRTGKPVMLDFTGYGCVNCRKMEAAVWTDPKVNKLMTEDYVLITLFVDNKTPLPEHIKVMENGKERTLRTIGDKWSYLQRSKFGANAQPFYVLIDNEGKPLNKSYSYDEDIDKYVDFLQTGLQNYKK", "MKLKCLFLLLLLGISFCVHAQKRPVILEKQLEIIRKEPDNGDALKFLCQYYLNKGDYSKTITYAEIMKNVADKTKNPLLQLYSYIYQAQAQMMSGREKIAKKNLNLSLELATKLNNDSTLCSVYGSLGLYSANIETDYYRAIRWLYKGIQLAQQNNFQQQYALLLGNLANIYYLKKDTAGIKYALECYELGHSMRNPYIIYSGAVNSAYMYFLMKQNEEAMKYIHEAETLMLENDFYDQAHTYNLFGNILYDMGEYAQALEYYKKAMKDKQAAQTSSIVYAHLGYARILMQQNKQLEAILLLKQGIAISYARVNAIHRNELYENLSTCYEQLHQYHDALKYYKIFRLENDSLFNKDKERDLSEMRFKYDSERQENLIKQSKLDVMQKEQRIQQQTFILIIIVIVLGLLYYLYHRKNKLYLSIVKQNQEAIKRETELNRRIKELETNAPSMVSTSEKYASSSLTDEKSLELFRTLERIMREEKIYKDNFITKDKVAEILGTNRTYLSRIINEQSKLSFTHYVNRFRIEEAIRLLSDPNNETPLKAISTELGFNSISTFYNLFQSSVGMTPSQYRNKVMELQKEQ", "MKKIYIHKIHLILCFTSVLCFGLPINAQQNAKNGAADNNKKAWEIGIGATGLQMTRFNVINFHTNNKGGYTVGTNKKDFIFGGNLYFARELNSHFYLDLQGTLDYSSDPVRNGKESRWLGMAGIGLQWRLGEYLHSNYIDPFLRMGANYMYKNFKINYKGMEEFNSEEMGWNLSNDYTKEGKDKQNLIPISLGIGVNMWLNDNIGIGLQGDYLIMPYKHIANSWQGSIRLMWRIGGKSLKVKPEIQYVEKIIEKVIEKPIIMKQIVETPSQTNVLCDLFNNIYFEFDKTEITPKAATIIDEIAQIMLTDTSKKYLITGCTDAKGSSQYNMDLSQKRADAVVNALIKKGVPNKMLKAKGVGAKISYASQNAPNEIREGDRKIIVQTITNMDYWNYIP", "MYTKKKCKIYVLSVLLCTFFFSCSSIDFELPQGPQGTNGKSAYEIWKEEVETGHINWPSTQVDLADFLVYIKGEKGDKGKDGMSAYDQWKILITQGNVTNPHDPSLIWPSSKNTEADFWDFLSGRDGESPHIGENGNWYIGNKDTKIKAIGKDGKDGINGKDGFSAYELWKQSVAGGNINWPKDQTTMEHFFLYLKGKDGENGITPHVGENGNWYIGNRDTNFPAQGQKGENGKDGTSPYIGPNGNWWINTSDTKIKAQGEKGSDGLTPFIKDGYWWIGTSNTGIAVQGPKGEQGDKGADGLTPVIKNGNWWIGTTDTGIKAQGEKGDDGVSPHIGNNGNWWIGTTDTRIKAQGEKGVNGASAYELWVNDVKNDKIKDKNNSAWSKDKITMADFYTYLSGTNGNNGKSAYELWKETVGTGNMNDPKNPGQKWPSDKVSEYDFFNYLAGKDGINGSNGLSAHELWKNDLAKFCGTTDALIDHKNGGVWDCEKNTLKDFYDYLHGKDGKDGEDGKDGKPGEPGKPGTEVTIIKGIPNVIAQYSQSEYGEYVRTTDGGVLYKVYDETGQIAPKAQVKGMPGINAEKTYITNENGEFIVPKEDLPEIQDINLRWGTVKEVTLAGKLPQESAKNTYVPNRVRMRMILRDNSNSLYDYQYLYFYIQRKVNPEDQWQNIPSYLPNSGSRNLDAYRVSDKNNPNSILSDKKLYSSQGSSSNNGGYYYYIYTYRFIQENPGKFKNNQSEYWDGSDVYYTVKAREPYYGETFQWNGVCLLAPYQMGPTLKTLKLKIISNGEAPSFSSAEGELDFSKIDFTRIYKSSTTRVVKENGMDYVEPIAYTEEEASKLKMAYITFRYTSTAGSQEASSSNNRSSAEVPTFKVFAPFLNSSIYIDSGNSSYFYRYYQGYLRKGKDEKTFIIENYSSSYELPEVQVIYEE", "MEMLFLLKNLYRDPLALSRFSQFRCLHISKGEIIQNLNETHEFQVCFVLKGSLCLFRDHIESMPLMAMQNEFFFISSLHQCKIKAMDEVQLVIHACNIVAPYLHSRTIEYLQDISIEEVKPVEVLPIYPLMRSYLDLLVDYMKNGTEIPDLHRAKEYELFSLFKICYKKNEIASIFRDALSNDLQFFVSVMTHYKACRTAKELAVLCGYNDTVFTQLFKKNFHGDTPYQWLQKQTSYEIEFKLKKSTLPIKQIMLDYHFKTFSHFTTYCKRNIGATPNEIRKKGEESRDTPSLETYSVSAND", "MIKLTHHEMPVNKTLHHRTIEILTVLTLLIGSPSFLIYFYCSHDTNNPLCQWLLCTLNHTPEDGEYLYNRYLVISIT", "MFSNLLQSYPCHQEYTIPKNWGFITDNHIHHKIHEYLFLGIKPKIITINRYYQYVFL", "MKLKNFLAMMAIALCAVACSDNDDKKEDTVIPAQEVAGIYNGTLSLSVSGNDQGTSDSQVKITAEEGGTVQVLLVGGAGEGMMSLPDIAIPGIKVHTSDNVTYILPESAVDVTVGSVKYTGSLQGTIKDEKADLIFTLKPGAMPMAITATFVGTK", "MMLLPFSACDGILEGIYDSPAASDSNELGFIRTDPSTHSGTIYIDATDYRRWTFIDFHTQKVDSVNVTDSEQKEPEEWDIAVHRYDVKTNAGAVLETGFTGFSALRNADAMPEGAYVEDVWTTAKIAIDMSGMMDGNIVYMESYYNEELSKWLNVDKSNMPPTYTLSNKVYMVKLKDGTYAAVRLTNYMNASGVKGFMTIDYIYPFEL", "MHRLLRNVCLILCWLMAVSVSAQRIISGTVFDENKEPLTGATVSVKEKVTLGTTTDTQGKYMLKLPDNREYTLQVSYMGYISQTHKVSVSKTGKVDFILKEDAVNMETVVVTGTRTPKLLKDVPIVTRVITADEIKKVDATHIGDLLQAELPGIEFSYSMDQQVSLNMQGFGGNAVLFLVDGERLAGETLDNIDYNRLNMSNVERIEIVKGAASSLYGSNAVGGVVNIISKVPAEPWTVNLNGRYGAYNEQRYGGTIGFNVGKFNSVTNVQHTQVDEKDLADGKTNEETEDWAFKKVYGDKTWNFKERLVYTANDHLKLTARAGYFFREREKSQTSKDRYRDFSGGVKGNYVLDKDKDLEIAYSFDQYDKSDYLPQDANDVRDYSNVQHSVHTFYNHTFVGKHILTVGGDYMRDYLMSYQFANNGSKHQYTVDGFAQFDWNPTKYFNVITGLRFDYYSDSDINHFSPKLGLMYKIGNCSLRGSYAGGFRAPTLKEMYMNFDMASIFMIYGNPDLKPETSHNFSLSAEYMKGRYNLTVTGFYNVVDNRITTAWSEALKGQVYTNISNIRISGAEANASVKYPCGLSARLSYAYTHENIKKGQPVISSTRPHTATVRLEYDKHWKNYAFNVALNGRFLSKVNTEEYTSNTSYEETEKVTYPAYTMWKLTLSQKVWKGVDMTLAVDNLFNYVPFRYYNNSPATKGTTFSAGLSLDIEQLFK", "MKKKQLLIGGGIAVILLLLFGVWNLWFSATKVAFINYQVISLGQISKANDNSFIKISELSTDDLNRLAGYDMVFINAMGLRITEEQRAQIQKAADGGLPVLTTAATNPANKIISLDSIQADILKHYLSNGGRRNYRSMLNYVRVHIDKKLFSVSEPEAVMKRADDVLYHMDPKKPEDEELGFNTVAGYNTFLQHNGLWKENAPRIIVTGPMGEPSGLIAKLEETGNMVYPIRSMRSFIQNHGIDSVRPSAIINMAHGRMGEPIVDYLAKQNIPLFSPLNVNRLVEEWERDKMGMNGGFMSQSIVTPEIDGAIRPFALFGHYKDEEGLQHAYAIPERLETFVETVNNYIALQRKPNSEKRVAIYYYKGPGQNALTAGSMEVVPSLYNLLQRMKREGYKVDGLPTSSKELEQMIQSQGAVFGSYAEGAFDRFMETGKPELITKEQYESWIKKSIRPEMYAEVIAANGEFPGAYMTTSDGRLGVARLQFGNVVLLPQNAAGSGDNAFKVVHGTNAAPPHTYIASYLWTQFGFKADALIHFGTHGSLEFTPRKQVALCSNDWSDRLVGALPHFYIYSIGNVGEGMIAKRRSYAGLQSYLTPPFMESSVRTIYRELTEAVKTYNNLLPADGQAVLSTGNKDALNRASLAVKKLTVKLGIHRELELDSLLTVPYAEEDIQRIENFAEELANEKITGQLYTMGIPYEPIRITSSVYAMATEPIAYSLLALDKLRNRADGQVEKHRTLFTQRYLEPARDLVTRLLADPSLASDELICRITGITSDELAKAHEINKSRNTPQGMMTMMMALAEEAPAEAKTHADMSSGIMQADQSGCSKRNSISGSMKEKMKEIAKGMNPEKAMELAKKMGASPEALKKMETGMYKSRAVGMNTLAKDTVTMKTTSRGKREKADSDKFGGMEAMMKAMMSKKKEYSKEEMNFALAVVEVERTLKNVGNYKSALLESPERELTSMVNALNGGYTQPSPGGDPIANPNTLPTGRNLFAINAEETPSESAWEKGKQLADNTIEMYRRRHNDSVPRKVSYTLWSGEFIETGGATIAQVLYMLGVEPVRDTFGRVTDLRLIPSAELGRPRIDVVVQTSGQLRDIAASRLFLVNRAVEMAANAREDQFENQVAAGVVEAERVLIEKGLTPKEAREMSTFRVFGGVNGNYGTGIQSMVQSGDRWESEKEIADVYLNNMGAFYGSEKNWETVRQFALEAALTRTDAVIQPRQSNTWGALSLDHVYEFMGGMNLAVRNVTGKDPDAYLSDYRNRNNARMQEVKEAIGIESRTTIFNPAYIKEKMKGEAGAANTFAEIVQNTYGWNVMKPQAVDKEMWNEIYDVYVKDKFNLGVQDYFEKQNPAALEEMTAVMMETIRKGMWQASGQQIADIAKLHTDLVNKYKPSCSGFVCDNAKLRQFIASKTDAQTASRYKENISQIREVAASKEQKGMVMKKEEMNTVGTEQQTNTVSNTVVCVVVVAAVLVLIVLVRCRRKKMQE", "METVVVVLMILVCFNFMMKQTFRKRGSVAAIAVVATLFVGLMWPYAIQQSKTQIADWLANVQLMLDTSVVLTVEVALQMAFCMLAVHVLTTGPVKKRTLWAYRALRWFPGILIFPVLFSGLVYLIFSFPGVSFSLVAWSMAAGVLILISAGTLFLRYLLPEKELRLELLFLTNALTAILGIIATVNGRTAVTGVSEVDWGALTGLIIMLAGGGLIGLVIYKYRRIKTNI", "MNLISDILFWISNGLLVPVVVLLIILFIRSLLLIGSFFGQYLAIRRTDALLRQQLDTLTIDTLPELEGKLPVKSNSLVIAYIRRVLESKDRPAHVQRLLADFEITADKDLAISKTLTKMGPMLGLMGTLIPMGPALVGLSTGDIASMAYNMQVAFATTVVGLFSSAIGFITQQVKQRWYLQDMTNLEFLSELLTEKRTA", "MKRNLLRKEEDSDPMSVVSNLFDVAMVFAVALMVALVTRYNMTEMFSQEDFTMVKNPGKENMEIITKEGQKINRYTPSEDQDVKSGKKGKKVGIAYELDNGEIIYIPKE", "MKKLLFLGALLLSTVCMNAQTSEYYQEAANPIATNPALWAKVTAPQISWGSTDIRYKKEEPAPIHSAQKSMNLTAWKGEKISAQLVVWTPKSLNDLTFMVSDLTSGSATISKENIRTGFVRYVITDELNKDGLGACGYRNSADFDSTLVADVIDHITPTLTLPANSTQGGWISVNIPQGTKAGKYTGTVTVKADGITLSELKLNLQVKNRTLPPPSEWTFHLDLWQNPYAVSRYYNVEPFSKKHFDLMRPLMKLYADAGGKVITASIMHKPWNGQTYDAFESMVTWLKKADGTWYFDYTVFDKWVEFMMDLGVKKQISCYSMVPWRLSFQYFDQASNSFKFLDAKPGEVAYEEFWMNMLQDFSKHLKAKGWFDITHIAMDERPMKDMQETLKVIRKADKDFKVSLAGTYHKELLDDLNDYCITIAEKFTPEEIEARRKAGKVTTYYTCCTEPRPNTFTFSEPAEAEWLAWHSAKENLDGYLRWALNSWVKNPLQDSRFTAWAAGDTYMIYPGARSSIRLERLTEGIQFFEKVRILKEEFEEKGNKGAIKNIDKTLKMFDESSMDKISPTTAVNKAKKVINRY", "MKRMVNVLFAGVLAMTVLSCGEEKKGYTLNGEISDVKDGMVYLKKYQDKSFIAVDSAVITDGTFKFEGVCTEPLAYGLTTFRDSKRPLVFFLDNEKMQLKMNESEKILTVTGSAINDLYAQNAPLTRQDGYSIDSLVAVHPASAVTPYFIVKDFAYKLNLEEMKALRAKLDASLDETMYVSQIDGFIKRMEDIQVGAVAPDFTLPDVDGNPVTLSGLRGKYVLIDFWASWCPDCRKENPNIVAAWNKYKDKNFTILGVSLDRKKEPWLAAIEKDQLAWTHVSDLKDWKSDAAVQYAIRWIPMNFLLDPNGVILAVGLEGEALQQKLDEVLK", "MKRIEFVLCLFFISTVSFAQKEIAPTKNLDAYIGTWMYQSNDTIFKIVLKKGYAEDQHMIINGLMGGYFLSVKGIIVENYFSIRDTIWHLDKKKGTQDFSIWASNNATSLDYIDPNRVGVLFYDQRKKHFGGKGILGGKILLLSSDKIRWHLDEKLGLALEEPDEYTELIGFSVPSDVIMTKEKEQ", "MKRKTLKRKISIVGILVFVSMIVYSCWQDEWSSNVTDEVIFDSNKELTIAKAEQWYNATNSSATTVRSLSADGRIPAKPNWNKAKESRKGDLEVVETTLMTSGSTFFMDNETKEKYELQDDLDKIYNVARMVILKNLETGEIYNFIMVFIGTYDYLMHTTSFENNSYLHREADFDGKVLFYNFNYGLVNGWKYESGKITASISPGTEEGYRMSLQRGRGQSVCNTEIDWMEKRNCHNDIVWDHELGLPGIDVICDKYLHPEYHEVCVSLDDDEMDGGGGGYNPPSNPPETPPTPCKRAKTLSQDAAFKSRIKDVYRKTFSAGNTVEQGFIQTSDGQTIFPNVQESGSAKFTNDQIAGKEIMEWYHSHPTGSMITSWADLKALAIRYQQGYVKSENFTYGVVSEFGCMSIMITSPTDFNTFATKVRNGELSESWNAYIVGASGGGVDECIGQLLKFLDRNNSGLSVMFSSNIDESNPTWNAQELASNGKSVNMECNQ", "MKKFLSNTIVQLLIAVIIGLLAGFVVNDAVLEVVICIKHITGQIIFFLVPLIILGFIAPSIAHLRSNASKMLLFAFSIAYLSSIGASFFGAAVGYNVIPFLHIADDANTLKALPENLLKIDIPPVMNVMTALVLAALIGLATAWVKSDEISKLLDTFQKMVLELVKRVLLPVLPVFIAANFCILSYQGAVTKQLPVFLSVLIVVIVCHFIWLSLLYFIAAVYSRKNSWQVLKYYGPAYLTALGTMSSAATLGVALECARKSPILRKEISDVTIPLFANIHLCGSILTETVFVLTVSQMLYGSMPSILQITLFILLLGLFAIGAPGVPGGTVLASLGLIISVLHFNEAGTALLLTIFALQDSFGTACNITGDGALTLITDTFEKR" ]
[ "AGTTTGATCTTGTTTTATGTTCTACATAATTTAAATGAAGGATTAGATGATTTACTGCTTGGCAATTAGAAATTTATTTTTTTTCTTGAGTTTGTCCAGACTGTGGATAAAATGTGGGAATACAAAATGAAAAATCCCTCTTTCTTCTAAAGAAAAAGAGGGATTATAGTTGAGTTTCTTGTTTCTGATTT", "TGTTATTAATTTATATATAAATAAAAAACGCACAATCTATTTGTTAAGAACCCTTCTCTTAACAGTATAGCTGTGCGTGAATATCTTCAATTCTAAACGA", "AATACTATCGTTTTATTGTCCAACTTTTT", "ACACGAAAATATTTCGTCCTAAATTTATCGTTTGTCGTTTAAAAAACTTTTTTAACGTCTTTATTAGCTGTTTTTCCCGTGCTGTTCGAATATGATCTTTTTACTATTACATATGTCTGTTGAGGATTGT", "TAGGTGTGAGTTCTTTCTTATTATAATTAAATGCT", "GAAAGTAGAAGATATAAAAAAAGATTATCCAATGGCGTGGCATTGAATAATCTTTTTTGCACGGGAGGAGAGGCTCGAACTCCCGACACCCGGTTTTGGAGACCGGTGCTCTACCAACTGAGCTACTCCCGTGTTTGCGGCTGCAAAGGTAGTGTAATATTTGGAATATACAAACCTATTTATGAAAAAATATTGTTCA", "AAAATCTTTAATGA", "TGTGTTCTTAAATTATATTGTTTTCCTGTCTGCAAAGATAGCGATAAAAACTGAAACATTTTTGATTAAAATCCTTTTGTTTTACTATTTATCATTTTTATTGAATGGTTGATGCCGGGGGTATTGCTTTGTG", "TTTAC", "TTCAATTGCAAAAATAGGAAAAATGAAACATATTGTGGGTATCGAATGATAAAAAAGCCGATATTAGTTTGTCTGTTATCAAGCTTTTTGCTTCATTTGCAGTGGAATAAAAATAATTAGCCTTTAAAATCT", "TTCTCAATATTTTATAGTGTCATTTAAAGTGTGTATCGGAGTTTCGTCAACAGACATACGTTCTGTAACAGATTTCATCTATGAGTAAAAACAATTCCATTTTATTATTGTTTTTATTAGTAATAGTCATACATTAAAACCAATATAGA", "GAAATAAAATCAGACACGGATTGTATAAAATGCCGTGTCTGATTCGTTTTTAACTCTCTTATCTTTATATATAT", "AGTAGATAATTATTAAGGGATCTTGCAAAAATCTTTTTGAAAGTGTGTCAAAACTCGAATAAAACTATCGTTTCGTTTGTCTTTTCCTTTTTGTTGATTTTCAGAATATGCTTTAATGAAGAAATATTCTGAAAGAATAAAATGATAGGTTTATTTAGTTTTGACACTCCTTCGACTTTTGACACACTTTGG", "TACGTATTATTTAAATTTGGATTAATGTTCATACCGCAAAGTAAGGGATAATATCTTAGATATGCCTCTTTATACCTATATTAATATCGGCAATTGCTGTTTTCAGAATAAAAAAAAGAGCAAAAAGATAAC", "ATGCTTTTTTTCGGTTGAGAGTGCAAATATAATAAAACCTTTAATTTTTCTTGTTCAAAAGTCTTGCATATTTAAAATAAAGTCGTACCTTTGCAACGCTTTCAACAAAAAGCACTTCTGAAAGGAAGTTTTGGAGAGGTGGCAGAGTGGTCGATTGCGGCGGTCTTGAAAACCGTTGTACCGCGAGGTACCCGGGGTTCGAATCCCTGTCTCTCCGCTGGAAAGAATTGCAAAACAAAGAAAATCCCTGTAAATTAATACTTTACGGGGATTTTTTTGTTTTTGGTGCATGGCAAAAATAAGCATATCAAAGCATTCTTTCGGTGTACTATTCGGTGTACCTGGTCTTATGCTTACATATGTGATATCCTCATGCTCTCAAAAAAGATCAAGAACTTTTCAAAAAAGACGACGACGTTTTTAAAAAAGAACAGGAACTTTTTTAAAAACGTCTTGGTCTTTTTTATTGTTACCAATGCATCGTAAACCGTCATTCTGTGGAGGTACAGACCTTTCATGCGTACTTTTTGCGGGGAAAAAGCCTAAAACACCCTACTTTATATCAAGTCGAAACGATCTATAAGAAAATGGTATGTGGCTGAAAACAAGTAATTTATGTGGAAATATACTTCAAAACATTGTGAGAGTATGAGAGCATGAATGAGAGCGATTTTTTTATCCTTACTACATAAACCGTTAATAATAAACATGTTATATATGCAGTGAGGGCATGAGGGTATACATGGCTCGAACTTTTCTTTGGAATATGCAAAACGGCTATATTCCACGATATAGGAATATATGCCGTTTTGATAGAGGGGAAATTTT", "ATTT", "GACTGTAAATTATTAAAAATGAATTGTTCTTTGTTGTTACATATTGGATAAACTCCGTTCTGCTTTATTGAATATTGTATCGTTGGGGGGCATGCAATTTTATATTTTAGGTTATTCTGCTGTGTGCGATTTACATTATAATAATATTCACATTTTATTTGGATGCAAAGTTCTATATTTGGTACTAAAAAGAAGTTGCGTATTTAAAAGATTTGTTTGCATATAATCAGTTCTTTCTTTTATATCTGCATAAAAAGGTTTCCTATTTTTCTAAATGTTCGTTTTGGGATAGTTTTAAATGGTAGATGCTTTATATGATTTTACAGAGGGGTATATGTGATAAGTTTTCCATATATTGATGGTGGATTATGATTAGGTTTTGTGATATTTGTTATTATAGATGTATAGAAACTCTTAAAATAGATCCATATACCGAGATCAGGAAACAAACTTATTATTAGCTTTAGTGTGTATGATGGAGATAACTATATTCATAATCTTTAGGATGCGGGATGTTTGT", "ACATTATAAACATTTTAAGATAAAAAAAGTTTTGATACATCTATCCCGGACAAATATAACTTGATATTTAAAATGTTTTAAAGCTATAGGAGGAATATGCAACTAAATCATTGCTAATATGAAATTGAATCTTCTGTTTAAGAAAGAGTTTATCAACATATGAAATTATAAGGTATTTAAGGCCGGATGCTTTGGATGGTAGCTTATAAAGTGGAAGATGTTTGCTGATTTTTTTTATGAGTGAATGTAAATAAGTGATTTGGAATGGAGATAAATCTAAGGATCTTTTTCTTATTGCAATGAACAATAAGGAAATTACAAATAAAAATCCGAATATAAGGCCGC", "GCTTGCTTTTTTTACAAAATTGG", "AAAATAATAACTATTAATCAATAAAACTAAAGTATT", "CATGAAAAGATATCTCTCTATTATAACCATACTGGGA", "ACCGACATACGAT", "AATTTGGCTGCTAATACTAAATAAACTATGTGATTTAAATAAAGAT", "CAGGCT", "AACTTACTGACATT", "G", "ACTTGTATAAAGGAAAGGGAGGATAAAGTTAAAACTAGAACTTGCCATTTTATATAGCCGAACAGAATTTATTTGAGAAATATAGGGCAAATAAAGTAACTTGACTTCGTGAGCCAAGTAAGTTAAGTGAAAGTGTCAAGTAAGTTAAGTTACTTGCCCAATGCACTTAAGTTACTTTTGAAGCTATCGGGGATTCATCCATCCTATTTCCCACAAGTTAATTCTGTTCGGCTTTTAGTTTGAAGTACCTTTATTACATACATATGTGTGGTCCGATATATATATGTATGTAATAAAGGTATTTTCCCTTTTT", "AGGTTATATAATAGTTAGTTGAAGTTACAAAGGTAACTCAGGGAGAGTTAATTTCCAAATAGGAACGGTGGAGTTTGCATAATTGCATAGGAATATTTATTTTTGACGAGTTATAATAATAAGGAAAATAGAG", "CAAGTTTGCTGTAAGATTATGAAAATAAATGTACCAAAAGCCAGGGGTTACTGTTGGTTCTCCATTGGCTTTTGATACATTTTGTTGTCTTTGTTCACA", "GACTTTTCTTT", "AGTATCTATTTTTGATTTGTGGTTAGTATGGGTTGAGAATATTTGCAATAATATCAAAAAAAGTAACTTTACAAAACCCTTAAGTAAAAAGATAGTTATTAGAGAGCCTTTATTTAAAAGCCTTCGGCTTCGAAG" ]
[ false, false, false, false, true, true, false, false, false, false, true, true, false, false, false, false, false, false, false, false, true, true, true, true, true, true, true, false, true, false, false, false ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 62, 64, 66, 68, 69, 71, 72, 74, 76, 78, 80, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 102, 104, 106, 108, 110, 111, 112, 114, 116, 118, 120, 122, 124, 126, 127, 129, 131, 133, 135, 137, 138, 139, 141, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 163, 165, 167, 169, 170, 172, 174, 176, 178, 180, 182, 184, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 209, 211, 213, 215, 217, 219, 221, 223, 225, 227, 229, 231, 233, 235, 237, 239, 241, 243, 245, 247, 248, 250, 251, 253, 255, 257, 259, 261, 263, 265, 267, 269, 271, 273, 275, 277, 279, 281, 282, 284, 286, 288, 290, 292, 294, 296, 298, 300, 302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 325, 327, 329, 331, 333, 335, 336, 338, 340, 342, 343, 345, 347, 349, 350, 352, 353, 355, 357, 359, 361, 363, 365, 367, 369, 371, 373, 375, 377, 379, 381, 383, 385, 387 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 63, 65, 67, 70, 73, 75, 77, 79, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 103, 105, 107, 109, 113, 115, 117, 119, 121, 123, 125, 128, 130, 132, 134, 136, 140, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 164, 166, 168, 171, 173, 175, 177, 179, 181, 183, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 222, 224, 226, 228, 230, 232, 234, 236, 238, 240, 242, 244, 246, 249, 252, 254, 256, 258, 260, 262, 264, 266, 268, 270, 272, 274, 276, 278, 280, 283, 285, 287, 289, 291, 293, 295, 297, 299, 301, 303, 305, 307, 309, 311, 313, 315, 317, 319, 321, 323, 326, 328, 330, 332, 334, 337, 339, 341, 344, 346, 348, 351, 354, 356, 358, 360, 362, 364, 366, 368, 370, 372, 374, 376, 378, 380, 382, 384, 386, 388 ]
[ "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124418|-|364:861", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124419|-|1086:4088", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124420|-|4168:5106", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124421|-|5126:5944", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124422|-|6557:8170", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124423|-|8189:11605", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124424|-|11757:12683", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124425|+|12910:14160", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124426|-|14235:15449", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124427|-|15584:16414", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124428|-|16648:19071", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124429|+|19272:20363", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124430|+|20388:20948", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124431|-|21007:22362", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124432|+|22701:24023", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124433|+|24122:25444", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124434|+|25558:26439", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124435|+|27376:28449", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124437|+|28680:29243", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124438|+|29316:30398", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124439|+|30442:33972", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124440|+|33983:35467", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124441|+|35583:36950", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124442|+|36958:38136", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124443|+|38753:40567", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124444|+|40573:41706", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124445|+|41889:45839", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124446|+|46155:49154", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124447|+|49176:50765", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124448|+|50845:53478", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124449|+|53498:54454", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124450|+|54451:55452", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124451|+|55472:57505", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124452|-|57553:57705", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124453|+|57847:58830", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124454|+|58814:59407", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124455|+|59497:60639", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124456|-|60636:61832", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124457|-|61960:62994", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124458|+|63106:63966", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124459|+|63978:64991", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124460|+|65000:65776", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124461|-|65771:66415", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124462|+|66533:67453", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124463|+|67476:68387", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124464|+|68402:69637", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124465|+|69926:70612", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124466|+|70733:71404", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124467|+|71623:72078", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124469|-|72617:74428", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124470|+|74547:74843", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124471|+|74867:75184", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124472|-|75302:77488", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124473|-|77488:79653", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124474|+|79868:80545", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124475|-|80560:81318", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124476|-|81358:82518", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124477|-|82533:83420", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124478|-|83417:84757", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124479|-|84754:85503", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124480|-|85656:86282", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124481|-|86324:86962", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124482|-|87086:87574", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124483|-|87731:89128", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124484|-|89277:90917", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124485|-|90937:92637", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124486|+|92763:93584", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124487|-|93576:95753", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124488|-|95815:96999", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124489|+|97107:100472", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124490|+|100737:101423", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124491|+|102010:102840", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124492|+|102952:104508", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124493|+|104495:105505", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124494|+|105502:106746", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124495|+|106809:107804", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124496|+|107804:108334", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124497|-|108347:108760", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124498|-|108770:108874", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124499|-|108910:109383", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124500|+|109664:109903", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124501|-|110012:112018", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124502|-|112103:113395", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124503|+|113751:114875", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124504|+|114884:116815", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124505|+|116833:117885", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124506|+|118090:119169", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124507|+|119153:120082", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124508|+|120135:121241", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124509|+|121244:122353", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124510|+|122447:122746", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124511|+|122739:123122", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124512|-|123150:123464", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124513|+|123519:124235", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124514|+|124240:125052", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124515|+|125129:126697", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124516|+|126837:129239", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124517|+|129469:130230", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124518|+|130254:131870", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124519|+|131867:132448", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124520|+|132453:133757", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124521|+|133879:135153", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124522|+|135167:135583", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124523|+|135819:137603", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124524|-|137673:139877", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124525|-|140134:142062", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124526|-|142075:142392", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124527|-|142483:145353", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124528|+|145436:147253", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124529|-|147338:147895", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124530|-|147906:148154", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124531|+|148305:149948", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124532|+|150074:150940", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124533|+|150986:151354", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124534|-|151575:152420", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124535|-|152431:152691", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124536|-|152922:155012", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124537|+|155418:156044", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124538|+|156419:157819", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124539|+|157863:159437", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124540|-|159510:160637", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124541|-|160647:161636", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124542|-|161725:162543", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124543|-|162552:164663", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124544|-|164757:165299", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124545|-|165313:166077", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124546|-|166096:166263", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124547|-|166270:167352", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124548|-|167364:167594", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124549|-|167605:167901", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124550|+|168019:168351", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124551|-|168266:169363", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124552|-|169470:169904", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124553|-|169901:171913", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124554|-|172048:173646", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124555|-|173749:175278", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124556|-|175318:176070", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124557|-|176075:176752", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124558|-|176828:178570", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124559|-|178597:179751", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124560|-|179948:181102", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124561|-|181143:182483", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124562|-|182530:183624", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124563|-|183719:185005", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124564|-|185100:186275", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124565|-|186294:186914", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124566|+|187092:188711", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124567|+|188901:190412", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124568|-|190479:191531", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124569|-|191528:192034", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124570|+|192227:192550", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124571|-|192620:193231", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124572|-|193265:193936", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124573|+|194244:194780", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124574|+|194788:195150", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124575|+|195172:195720", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124576|+|195876:196517", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124577|+|196608:197519", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124578|+|197681:198928", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124579|+|199291:199962", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124580|+|200006:201298", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124581|+|201330:202580", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124582|+|202620:203900", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124583|+|203904:205175", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124584|+|205198:206454", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124585|+|206468:207712", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124586|+|207866:209350", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124587|+|209436:210791", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124588|+|210814:212109", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124589|+|212357:213919", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124590|+|215515:216030", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124591|+|216027:216131", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124592|+|216133:216501", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124593|+|216531:217937", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124594|+|217956:218669", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124595|+|218673:219812", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124596|+|219825:220991", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124597|+|220991:222181", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124598|+|222188:223276", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124599|+|223329:224507", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124600|+|224603:224755", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124601|+|224748:225254", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124602|+|225278:226348", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124603|-|226358:226465", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124604|+|226527:227615", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124605|+|227612:228421", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124606|-|228437:229816", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124607|-|229813:230424", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124608|+|230530:230829", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124609|+|230840:231331", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124610|+|231560:232411", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124611|+|232461:233741", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124612|+|233744:234784", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124613|+|234788:235906", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124614|-|235996:237960", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124615|+|238086:238496", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124616|+|238574:239125", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124617|-|239229:239687", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124618|-|239691:239987", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124619|-|240106:240720", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124620|-|240743:240970", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124621|+|241192:242649", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124622|+|242655:243665", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124623|-|243742:244215", "2510065017|RJ2H1_RJ2H1-contig-054.54|CDS|2510124624|+|244296:245147" ]
[ "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000001|+|351:363", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000002|+|862:1085", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000003|+|4089:4167", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000004|+|5107:5125", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000005|+|5945:6556", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000006|+|8171:8188", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000007|+|11606:11756", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000008|+|12684:12909", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000009|+|14161:14234", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000010|+|15450:15583", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000011|+|16415:16647", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000012|+|19072:19271", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000013|+|20364:20387", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000014|+|20949:21006", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000015|+|22363:22700", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000016|+|24024:24121", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000017|+|25445:25557", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000018|+|26440:27375", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000019|+|28450:28679", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000020|+|29244:29315", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000021|+|30399:30441", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000022|+|33973:33982", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000023|+|35468:35582", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000024|+|36951:36957", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000025|+|38137:38752", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000026|+|40568:40572", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000027|+|41707:41888", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000028|+|45840:46154", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000029|+|49155:49175", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000030|+|50766:50844", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000031|+|53479:53497", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000032|+|55453:55471", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000033|+|57506:57552", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000034|+|57706:57846", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000035|+|59408:59496", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000036|+|61833:61959", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000037|+|62995:63105", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000038|+|63967:63977", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000039|+|64992:64999", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000040|+|66416:66532", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000041|+|67454:67475", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000042|+|68388:68401", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000043|+|69638:69925", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000044|+|70613:70732", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000045|+|71405:71622", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000046|+|72079:72616", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000047|+|74429:74546", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000048|+|74844:74866", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000049|+|75185:75301", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000050|+|79654:79867", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000051|+|80546:80559", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000052|+|81319:81357", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000053|+|82519:82532", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000054|+|85504:85655", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000055|+|86283:86323", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000056|+|86963:87085", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000057|+|87575:87730", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000058|+|89129:89276", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000059|+|90918:90936", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000060|+|92638:92762", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000061|+|95754:95814", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000062|+|97000:97106", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000063|+|100473:100736", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000064|+|101424:102009", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000065|+|102841:102951", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000066|+|106747:106808", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000067|+|108335:108346", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000068|+|108761:108769", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000069|+|108875:108909", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000070|+|109384:109663", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000071|+|109904:110011", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000072|+|112019:112102", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000073|+|113396:113750", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000074|+|114876:114883", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000075|+|116816:116832", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000076|+|117886:118089", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000077|+|120083:120134", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000078|+|121242:121243", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000079|+|122354:122446", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000080|+|123123:123149", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000081|+|123465:123518", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000082|+|124236:124239", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000083|+|125053:125128", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000084|+|126698:126836", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000085|+|129240:129468", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000086|+|130231:130253", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000087|+|132449:132452", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000088|+|133758:133878", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000089|+|135154:135166", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000090|+|135584:135818", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000091|+|137604:137672", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000092|+|139878:140133", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000093|+|142063:142074", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000094|+|142393:142482", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000095|+|145354:145435", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000096|+|147254:147337", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000097|+|147896:147905", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000098|+|148155:148304", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000099|+|149949:150073", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000100|+|150941:150985", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000101|+|151355:151574", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000102|+|152421:152430", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000103|+|152692:152921", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000104|+|155013:155417", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000105|+|156045:156418", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000106|+|157820:157862", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000107|+|159438:159509", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000108|+|160638:160646", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000109|+|161637:161724", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000110|+|162544:162551", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000111|+|164664:164756", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000112|+|165300:165312", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000113|+|166078:166095", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000114|+|166264:166269", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000115|+|167353:167363", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000116|+|167595:167604", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000117|+|167902:168018", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000118|+|169364:169469", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000119|+|171914:172047", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000120|+|173647:173748", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000121|+|175279:175317", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000122|+|176071:176074", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000123|+|176753:176827", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000124|+|178571:178596", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000125|+|179752:179947", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000126|+|181103:181142", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000127|+|182484:182529", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000128|+|183625:183718", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000129|+|185006:185099", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000130|+|186276:186293", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000131|+|186915:187091", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000132|+|188712:188900", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000133|+|190413:190478", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000134|+|192035:192226", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000135|+|192551:192619", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000136|+|193232:193264", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000137|+|193937:194243", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000138|+|194781:194787", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000139|+|195151:195171", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000140|+|195721:195875", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000141|+|196518:196607", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000142|+|197520:197680", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000143|+|198929:199290", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000144|+|199963:200005", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000145|+|201299:201329", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000146|+|202581:202619", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000147|+|203901:203903", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000148|+|205176:205197", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000149|+|206455:206467", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000150|+|207713:207865", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000151|+|209351:209435", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000152|+|210792:210813", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000153|+|212110:212356", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000154|+|213920:215514", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000155|+|216132:216132", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000156|+|216502:216530", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000157|+|217938:217955", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000158|+|218670:218672", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000159|+|219813:219824", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000160|+|222182:222187", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000161|+|223277:223328", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000162|+|224508:224602", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000163|+|225255:225277", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000164|+|226349:226357", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000165|+|226466:226526", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000166|+|228422:228436", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000167|+|230425:230529", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000168|+|230830:230839", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000169|+|231332:231559", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000170|+|232412:232460", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000171|+|233742:233743", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000172|+|234785:234787", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000173|+|235907:235995", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000174|+|237961:238085", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000175|+|238497:238573", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000176|+|239126:239228", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000177|+|239688:239690", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000178|+|239988:240105", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000179|+|240721:240742", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000180|+|240971:241191", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000181|+|242650:242654", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000182|+|243666:243741", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000183|+|244216:244295", "2510065017|RJ2H1_RJ2H1-contig-054.54|IG|IG_000184|+|245148:245545" ]
[ "MDLNQAEVAVTTQHLIDIRQKRDNLLHMSDFGDMGEFLCTCSDLFPEEETPEYRYTKWEEIPDSLINREWLCPNFFEIREAMEQLEEPDKDCFFDWCHRYGHDISTEDPHLLVAHYLELFGNATYIDDDPCPDSGDDSLLYYPGISGNYFDTGIPRFEVFDDNYD", "MYAIIPQQIPQDRRAEVNEKILFAIDSGKDLIPAESIYNCYTGIGGLHNLKQSDFANYNEYAEAKKEYEMGQFFTPHEVCRDMVDMLSPASSEMILDMCCGMGNFFNHLPNHHNTYGFDIDGKAVAVARYLYPDAHIEKCDIQQYYPEQRFDAIIGNPPFNLKFDYRLSQEYYLDKAYDVLNPAGILMVIVPSSFMQSEFWEKTRITGINSRFSFIGQIKLNPNAFASTGVHNFNTKVMVFLRKSLHIEMQAYNAEEFISMAELKERIREARLMKHKIRFDLMRETNRIDKEELEVFEYKLAKYMYELKAHAKLNRHIDKAEALVTKFRNQQPPENATQEQLKQWEKNKLTTTKVLGIIRRYITSQNIVPRKEIALVKTSYGFKLKQYMSHLLDKVPHKSASINSLVLEHAELPIPEQPTESNMRQIRMADRLIRRKRRLYYNQNRQFADMQPDGQLVEYLDRTTFINKDGEICEFTPLQKHDLNLVLQKRYALLNWQQGSGKTAAVYHRAKYLLKFHKVRNAVILAPAIATNMTWIPFLTMNREKFHEVRNGADLEDVPEGVFLVLSTSMLSKLKRGLSRFVRRTSGKLCLVFDESDEITNPSSQRTRHVLNIFRRIKYKILDTGTTTRNNIAELYSQFELLYNNSVNMVCWCDRTYHENREKEIEEKSNPYYGEPFPAFRGHVLFRACHCPGKSTVFGIEKQNQDVYNKEELAELIGKTIITRKFRDFAGEKYKIRTHTVSPAEGEHEVYRVIIEEFCRICELYYNSTGDTKKDAGLRIMRQIKLLIKACSVPHRIEGYFGDGIPNKTRYIEKLIRKIPGKVAVGCTSIAAFELYENHLRKCFPDRPVFVVTGDMLFKKRQGIVTRFDSTVNGILVCTQQSLSSSANIPTCNDVILESLQWNIPKMEQFYFRFIRLDSKELKDVHYVTYKDSVEQNLMALVLTKERLNEFIKSGEVKEQSDIFEEFDVTMSVIESLLVRERDREGKIHISWGSQRITD", "MQTTTSFKPNNAPDLLSGILSVEVKNEDKITEQDRLFCQNQQDMLYKTLDRIDRWYAIFKEEAEQYRAERNFSYDDNGKISMRDFYSLRNGKDDYSHNEFKPFDVLNDLVDKNHNANSNFANRIIAYFNRTYNVSVPEQRINEKTLRMGFRPVYGTYVDAVIEHLGGKSFRETAVEELLARVAKVVKPSCWSKVKTELKKDKIVFPEIIRFDDFYLQYNKCQINYNYSGELETLCAGIAYGADDVLCGSSDMIIRFDRNNVSVNDWYDLTTTNAEQIRFYKNGRIDVKFKDSATAESCFKRLRLDEITLPEN", "MLQATKNKYGIETLKTLNVLYDREHWLTQEDVDMANRYVELIERTRSETTPQIGDRLIYLSRHGDYYGNALIDSMDEKKGLLSICEQPYVPFVWQSADNIRLSVSGGAFHHVKTDDLKFNGWTEGAFKDWGHCGSCAHGAVTFTAKVPQWIYREPEPLYGDFTTETYRRFYLHKDLKARNLYQSLDIAFHNEEDFRQFLQDYEGTVFKGNWKNQIVVWCFRREYVFLPLSEWEKIDVPAVERRLNFHPEQVKIVKDMEKHITYFHRIQSQDF", "MKSSKYISILCVGSLLSLASCTDGFESDNKINGSFDDTVKEYDFQKYTTNFETIQKGIYFNYDWGEGTTWPWQTFQNLNHDMFSGYFHDFASKFSDKNTVYALEAGWTASAWNYTYNYIFPVAHKSTLITQDEAKYKHFYGATLILKVEAMHRITDTYGPIVYSKFGKNETNSVDTQEEAYKAFFDDLDKAVDALDTYLKEGGKEDGVKSINMCNCPTASRWIKFANSLRLRLAMRVSNVNKTLATSEARKALENSYGVIESSDENIQISGKGYQNPLAGVAGWGETYMGATIASVLNGYEDPRISIYYNPATLAEHTEEYLGVPQGVYAKDGDPNYYQSYSFINTQTITASTPAVLLTAAETWFLRAEASLRGINPKNESAKQCYETGVQTSFSQWGAGDASLYLTSKGKPTDYINYAAGPGKDMKALITTTPNFDDAVNQEEQLEKIITQKWIACWPEGMEAWAEQRRTGYPKLFKVQTNNSNGTIDTDIMIRRLPFSQDDAKKDPEQYKNLCTALGGADNGGTRLWWDTGKNNF", "MRKISLEGYLCPKSLAFKQILRTMKITLILLLTTAFSAFSMDVHSQNAKVSLDTNIMKVAQLISAIESQTNYLFVYSKKNVDLSRKVKINAKNKAVSEILDEVFSGTGITYVMEGKNIVLTKESNIAREEVKQQNTITVKGAITDMQGEAIIGANIIQQGTTNGTITDIDGNFTLEVPADAQLVISYIGYKKVIIPVNGKTNFTIKMEDDALKLETVVVTAMGIKKKEASLTYSTQQLNGDELNKVKDANMINSLAGKSAGVQITKSSSGLGGSAKVSIRGARSAFASGNNQPLYVIDGVPMLNITTESTATVMGGENDGVNHDSGDGVSNLNPDDIESMSILKGASAAALYGSQAANGVILITTKSGKAGMSRVTFSSNLTVDHAVSLPEFQNNYGQTADGTSSWGDKGNLTDYDNVGNWFGNGITAINSLTFQTGNDKMQTYFSYANTRGTGIVDSNKLQKHNITFRETASFFNDRLKLDGNASLMTQTIRNTPAGGGYYLNPLVGLYSFPRGADLAPYAENFEVFDTDRNMNLQNWYTKNEDGSFSEWDQNPYWIKNRVTNKSKRYRALASISANIKATDWLSIQARGNVDYVSDKFDNKMYASTAANIAGKNDETGLPNGRYVWSDEQNFQVYGDFMAMFNKTFGDFSINAALGTSINVSKANSLMIDSKTASLYRPNVFTVSNIIFSSKGYINQTIDAKRTIQSLFGTAQVGWKDAIYLDITARNDWSSTLANTESMKNGFFYPSVGLTWIMSNSIKLPEWINFSKFRGSFAQVGNDLPIGITNLADIIQCGGSIQTNDIEQRGDLKPEISTSIEFGTEWKFFNNRFGIDFTWYRTDTKNQLLRVANPAGSLYAFRYINAGKIRNTGIELTLEGTPLMNENFRWKTAVNMSMNRNKVVSLHKDYKSFRYGSEGFSMAYDMWVKEGGKLGDIYGNGFERDENGKIKLNETGNPIKVTGNNTLLGNANPDALLGWSNTFTYKGFTLYFLIDARIGGDVMSLTQAHLDAMGVSKESGESRDRGYVEYEGIQFKDVPNFYGTVGGRNGISEYYMYDATNVRLRELTLGYSFPETLLAKTKFIKGLDLTLIARNLFFLYKDAPFDPDATLSVGNTLQGVDVFGMPTTRNIGFNVKFTF", "MKTELLHKYFRGETTEKEENQIVEWVESSVENKEHFLKERMLFDVTLFSDGSNIQRKPKGHLYLYPLIAIAAMLAIVFVMDLPHMHKPKQQLSQTIRIPAGQRAQMDLPDGSVVWLNSQTTLTYDEDFGKKDRKVTLDGEAYFEVAHNKEIPFYVQTENIKVQVTGTKFDVCSYKGSNSFIARLIEGSINLLTNNAKEEKPITSLTKGKYFSMENGKYKTGEMSSNNALAWMQGIYYFDDVPFKELLDKIALYYNYKITVKNPKILENYRCTGKFKDLDGIEHILKVIQKDHPFKYNIDNEHNKITIE", "MTIDKFNFAGKKAIVRVDFNVPLDENGKITDDTRIRGALPTLKKVLADGGSLIIMSHMGKPKGKVNAKYSLSQIVDAVSEKLGVPVQFAPDCAKAGDAAAALKPGEVLLLENLRFYPEEEGKPVGIDKEDPAYEDAKKAMKASQKEFAKTLASYADCYINDAFGTAHRKHASTAVIADYFDADNKMLGYLMEKEVQAVDNILKDIKRPFTAIMGGSKVSTKIGIIENLMDKVDNLILCGGMTYTFAKAQGGKIGNSIVEDDKLDLALDIIAKAKAKGVNLVLGSDCVAADAFSNDAHTQVVPANNIPDGWEGLDAGPETQKAFAAAIEDAKTILWNGPAGVFEFDNFTAGSRAIAEAIAKATKNGAFSLIGGGDSVACINKFGMADQVSYISTGGGALLEAIEGKILPGVAAIKGE", "MRKYLFLFTFLLLSAKSFAQDKDFNYKFYGQIRTDFYYNSRANEETVDGLFYMYPKDKVYDATGKDLNATANGSFYTLYTRLGIDVQGPKLGRAKTSAKVEMDFRGSGTTFSTVRLRHAYLNLDWGKPSLLLGQTWHPLYGDVAPQILNLNMGAPFQPFSRAPQIRFRYKAGDIQLTGAAIWQSQYLSQGPDGKSQKYIKESCIPEIYIGADYKRSNWLVGAGIEMISLKPRTQSVVEDEVYKVDERVTALSYEVHMKYTSPLWYVAAKSILGSNLTQVSMLGGYGVKSTDARTGEQEYSPNRNSSSWLNIAYGKKWKPAVFLGYMKNLGTSDEISKMYGTGTNVDQLVSTSAELTYNVPHWKLGVEYNLTSAWYGSMKSSNGKIIDTHSVSNNRLVATVLFMF", "MNKVILLLIFSILTTTSMAQKKIKQTAGRDQLGTFAPKFAELNDDILFGEVWSRTEQLSLRDRSLVTITSLISQGITDSSLTFHLQSAKNNGITRTEIAEIITHIGFYAGWPKAWAAFRLAKEVWNEDISCKDKDEKTTFQREMIFPIGEPNTAYAQYFTGNSYLAPISREQVSISNVTFEPRCRNNWHIHHATQGGGQMLIGIAGRGWYQEEGKAAVEILPGTVIHIPANVKHWHGAAANSWFAHLAFELSGENTSNEWLEPVTDEEYDKIQMLP", "MKKKSLPVFFVGLLMCGCQMKEVINEYNVVPLPVTMSEQQGRFYLNSDVPIVVNASQEVKHIASGLSTTLLDIAGLKLKPTDELHENVPSIVFDSIPGMEKEAYKLSVTPQLIKITASAPNGFYYGLQTLYQLLPVDVYCKERARNAEWSVPCVEIEDAPTFRYRGAMLDVCRHFASIDYIKKFIDVLAAHKMNTFHWHLTDDQGWRIEIKKYPKLTEIGSQRSETMVDYFYTHYPFKYDGKPHGGFYTQDEIKEVVAYAQSKYITVIPEIELPGHALAAIASYPELSCTPDSTYEVCKLWGVFDQVFCPTDTFFQFMEGVMDEVVELFPSSYIHIGGDECPKTAWEQCEHCQKLIRELGLENDITPNPVDGRKHTKEEKLQSYIVSRVEKYLNSKGRNIIGWDEILEGGLAPNATVMSWRGVEGGMTAAKAGHDAIMTPNPYAYLDHYQEEPEIAPVTIGGYNTLKKTYSYNPVPADADSLVKQHIIGVQANCWAEYMPTEDNRDYQIFPRLIAISETGWTPMKKKNFTSFCNRMVEDFQRLEAMGVKPCLNFFDVNINTRATQEGVLNVELETFYPGAQIYYTTHGEQPSIHANLYNRPFPLDGTYDLKAAAFVNGKQIGKVTHKQLYKNLISGKKYEIMPEPKGMKGDILGENDILGADTVTLGLTNGKRGNNASSTPWVGISPDNNDKVTFIVDFEKATIRKIRFGTLYNAAGGILPVSKAVVYVSSLDNKFEKVAEKEFTYDIKENTFRGFDEEIEFPAQEAVKVKIEFTSGGKIRNGIDCYSPHDKSEVPSTIALDEIEIY", "MTANTPKRLLALDILRGITIAGMILVNNPGSWGHVYTPLEHAAFNGLTPTDLVFPFFMFIMGISTYISLRKYNFTYSHATLRKIVKRTVVIFCIGLFLNLLAKSVFTHHLNFEELRYLGVMQRLAIGYGVTSLVAITVKHKYFPAIILVTLAVYFLLLAMGDGFNLSATNIVARFDVWALGTSHMYHDGGMAFDPEGLLSTLPAVCHVMVGFYCGKLLFSAKDNDEKIQRLFLVGTILTFAGFLLSYGCPINKKVWSPTFVITTCGLASSFLALLIWIIDIKGYQGWCVFFRSFGVNPLFIYVFAEIMGILLGATGASVFIYEKVLVPVLGNYPGSLAYALLYVLFCWSIVHILYKKGIYVKI", "MKETKNVFMSELTEVFSKRQQQFVQFAYSYVRNREEAEDIVMGAFTNVWEHRNELQEDTNISALLLTAIKNRSLNHLQHLEVRMRAEQHIGDMRQKELALRISTLEACDPDKLFCDEIQTLVQEAISELPPTSREVFILSRMKNLPNKEIALRLDISVKTVEFHITRSLKQLRVQLKDYQFLWSFL", "MKKLLLISAATLIVSNSTFAGGILTNTNQHAAFLRMLSRGATTEIDGALSNPAGLAFLPKDGFHVGLSIQSAYQTRNIDASFMTYNGVSATGPTVADKPFEKYYEGTAAAPVIPSLFAAYKKGEWTISGFFAITAGGGKASFDDGLPMFDASAMAGIFQEGLKAEKPTVITPDMYDITSAMDGKQYIYSLQLGLSYKATEWLSVFGGGRMNYFTGGYKGFLNAIVKGTDTNLLPLALDCDQTGWGLTPVIGVDAKLGKLNIGAKYEFKTNLNIENNTKNLQYPPSAKDMVAPYEHGVNTPNDIPAMLSIAAAYEFLPVLRASVEYHFYDDKNAGMANGKQKYLTKGTNEYLAGIEFDVTKQLTLSCGGQITDYGLSDNYQSDTSFSCDSYSLGVGAKIKMNKHLNLNIGYMWTNYEDYTKKSTNYNGTGLPGTNVYSRTNKVFGLSADYSF", "MYTNKEIWNVSYPIFLGLLAQNIINVTDTAFLGRVGEVELGASAMGGLFYICVFTIAFGFSVGSQILIARRNGEGRYKDVGPVMIQGGTFLLGLAMLMFGLTHLLAPSIVRLLISSDSIFDATMEFLNWRIWGFFFAFVNVMFRALYIGITRTKVLTMNAVVMALVNVLLDYILIFGKFGMPEMGIKGAAVASVMAEAASLAFFLIYTYAKVDFKKFGLNHWQKIDFSLLGKILSISCFTMIQYFLAMATWFVFFIAIERLGQRELAIANIVRSIYIVMLIPVQALSTTTNSLVSNLIGAGGITHVMRLIWRIAQMSFLIMVVCVAVVVLFPHAMLSVYTNEPALLVESVPSLYVIAGAMIIASVANIYFNAISGTGNTQAALILEMGTLVFYALYILWIGMVVKAPVSVCFSIEVVYYSLLLLSSIIYLKKAKWQNKKI", "MFDNLSERLERSFKILKGEGKITEINVAETLKDVRKALLDADVNYKVAKGFTDTVKEKALGQNVLTAVKPSQLMVKIVHDELTALMGGETAELVLEGRPAVILMSGLQGSGKTTFSGKLARMLKTKKNRKPLLVACDVYRPAAIEQLRVLGEQIEVPVYSELDSKNPVQIALNAIHEAKAKGYDLVIVDTAGRLAIDEQMMNEIEAIKKAVNPDETLFVVDSMTGQDAVNTAREFNERLDFNGVVLTKLDGDTRGGAALSIRTVVNKPIKFVGTGEKLDAIDQFHPARMADRILGMGDIVSLVERAQEQYDEEEAKRLQKKIAKNQFDFNDFLSQIHQIKKMGNLKELASMIPGVGKAIKDIDIDDNAFKSIEAIIYSMTPQERTNPEILNGTRRTRIAKGSGTSIQEVNRLLKQFDQTRKMMKMVTGSKMGKMMPKLKK", "MQLIDGKAISELVKQEIAAEVAGIVAKGGKRPHLAAILVGHDGGSETYVAAKVKACEVCGFKSSLIRYEADVTEEELLAKVRELNEDADVDGFIVQLPLPKHISEQKVIETIDYRKDVDGFHPINVGRMSIGLPCYVSATPNGILELLKRYHIETQGKKCVVLGRSNIVGKPMASLMMQKAYPGDATVTVCHSRSKDLVKECQEADIIIAALGQPNFVKAEMVKEGAVVIDVGTTRVPDATKKSGFKLTGDVKFDEVAPKCSYITPVPGGVGPMTIVSLMKNTLLAGKKAIYK", "MKQIFILFLLWFGLSLSAQDRISLLFVGDLMQHQAQIDAARQGDGYNYNDCFRHVKKEISEADMAIGNLEVTLGGKPYRGYPAFSAPDEYLHAIKEAGFDVLLTANNHCLDKGKLGLERTILMLDSLKIHHAGTYRNPEERHKNYPLLIEKNGFRIVLLNYTYGTNGLKTDAPNVVNYINREQIKKDILDARRKLPDVIIACMHWGVEYCSFPERSECELANWLIEQGVDHVIGSHPHVLQPMEIVKDPRTPARHVIVYSLGNFISNMSKEKTDGGAMVRLKLQRIFRITRLADCEYALVWTSRPVLSKKKNFELYPVTFINKSINNEELNVMKRFLKGTENLLGKSNGGIKEYFFE", "MINLKDNSEKDKYILELFQTSPKEAFRLLFDAYHMKLCIYAVQLTDSFEMAEDIVQDFFIYFWEKKYYLKINQNLRYYLYLSVRNAAINALQKNNMLSMEELSGIDMGIPEESIDEEEQEERNKLLLEKLQKLPHQELQVVRAVIMENKKYKEAAEELHLSVNTLKTHLTRALKQLRKEYNLHSLFY", "MNKENPKKNKKSFEYYIAQRKEEFRCQYDHERSWENLQYKLQKRKNHRLSLYCVAASAAFLFLILGVSHIFSLHNNTQNKEAVVAAVVSFPETGSRKAILTLENGEKVDLSVKKGTISNANSTVINNNANQLLTYRKVEEVSSTPQINTLAIPRGGEYQLILSDGTRIWMNAESLLRYPTSFIGEKREVFLEGEAFFEVAKDAKHPFIVHTNRHSVEVLGTSFNISAYPDYKVYTTLAEGRIKVSTTKVSVVLNPDQQAVIEPNNDDIVTRDVPAYLFTSWAKGNYEFRNTSLSEIVAQLSRWYNVDIYFKNESLKDKRFAGIIFRDEELNFAIEVIERVSNVHFTREEETIYIEDSREK", "MSAKLSSKNFYGGNLMVKCFVALVIFFCSVGNLFAQTETKVTINVDKVLIRTALERLQKETKVHFVYDEENIDQDKRVSLSYTQAPLKIVLEDFCKQTSLRYEVKRNLILILPGKADRNVNRQSFLMKGVVTDEDGESIIGATVMIGGTSKGTVTDINGQYTLEVQSGDLVSFTFVGMTDKVIKAQVNKKMVNVQLESNATALADVVITGYQTLSKERATGSFDKVDSSVLSSRPTADLSTALQGLVAGMQATEKEDGSIDFLIRGSSSLYADKKPLLVVDGFPIQGDFSSINPNDVESVTVLKDAAAASIWGARSANGVIVVTTKKGKKDKVQVDVQAFVRIGTNPDLEYIMNQADSRTMVDYEMRAFENNWKMAAWEYAPTFSKIQNSLTLAQELYYANKYQGLSKEEMEQGLERLRNTSNRQQLKDYLMQTQLLQQYNVSISGGTERMSNYMSLMYEKNDESTIKRGYEKFMINYNNSYKVTKWLTANLITTLQRKDQETSGVTIGEFSNLSPYEMLLNEDGSYATNLNVYNRAELEKLPLEKLPYSDWSYNMLREVRGREYKTTNTMYRVQLGLNAQIIKGLNYDMRVQYESTSSEYKNYDSEDTFYARNLVNSYTEYNNETQEVGVSRIPKGGVLRSGKTEYSNYVFRNQLNYNNSFAEKHEISALAGIEISQYDTEGTVNPYVYGYNKEKNTSSVPPYGYGSNVDSFKNFFGNSATIEGGNTSYSLRCDRYVSYYTNIGYVYDGKYGASFSARGDGSNFVSDDPSLRWSPMWSVGAKWNIGKEEFIKDIDWINYLNLRATYGINGNAEKSTSPLTLVSVGSSVNSTTGTITGNISSFGNPSLRWEKTYTTNIGVDFDLFRSKLSGKLDFYNRKSKDVIGQVTIPSVYGTSTQKFNNAEILNRGVELELTGNFHIPSVDLGIRSTVTYAYNYNKILKLYYPALYCYELVEADTHVEGRPVGSLYSYDFWGTENGIPYVIGANGDKISMNDVSVHNRSLGLDILHYSGTTIPPHTFGWSNQFTWNNFSLYVYLTGNFGGIFRAPTAGSIPSVGSGKTFVSSSIKDFADSDGTLYPTWPLKDESNFYLWDRYTPNLEYFVQDASFIRLKEINLEYNLSKKLAGKLHLRGAKLFVQARNLGLIYCANDYGYDPEWLPGSNKPSATIAFGANINF", "MKQIIYVFLLTVLSSCDSYLSKVPSPSTSAPINNVEQLVAIYDNATAFINETNPFACYSNDDADLTAELYKADPSAFAISSTVFYNILHTAGIASQASDGLWNGEYSNIFKANTIINNVDKVTGDESEKIKLKCNAHFLRAYSYFVLAQYYCLPYCEANKNALGLPKRLNTDFEESIARVSLDEIYQLILDDLEIAAVTPDKSVNPNFPWRICQATVNALLSRVYLTMGNYKEAQATAEKALEGAPELLDFNELGYAPVESYPEMEGLPAQDVYNCETHKWTTIKYLYWKEFLFPRFTYARSQWIIPSDDLVSLYDQQNDRRFKLFFVEHGNRRMGVPYEAYRYNQFYDGRYIISGLTTAEMLLNKAEAQIRQGMWQEGLKTLDVLREKRYEAGTYISLSAGNQSEALSIVLAERRREMPFSMRIPDIKRYGVNEILEDDVTVVKNFFEISMSEVFIDKPKTYTIPSNSLLWAMPINDVEIGSSNGAIQQNRYE", "MRKNIFFIVFIILCAVSCTEKKSNFINTVHLKGQLFDMGSQNVRMRFDGAASMLGDSRDILLKTDAAGYFDTTFVLKEPTYYTISRNTLYLTPGDDMTIKVTQTNTEAEFSGIGAEANNYMKFRLFPKGGSYLEAGGNLRGDFVSTKALVDSLAAIRMHTLDTLSNVSDAFKKLETARIKADIINSYICYASYSRMFAEVKNEEEMRAKWNEFNVSLTQDVTPLYKEIVNEDMLNVAVVRDVLSYQEDSTLASLWFKDISIPARTTELYACAKIVDNLRNEASEQTVNEAKAFLQTVKNADFATEIEGKIVQASKLLPGQPAIDFEMLDVEGNVKHLADFKGKVIYIDLWATWCGPCIQESPAFEALGKKYVGKDIVFLPVSTDTTTKPWLRYLDGHKKELTQYHSNDVALKESWAIMYIPRFILIDKDFNIVNAYAPRPSSEEIGTLIDSVLNK", "MIYKKYLLLGVSLCMLNACNESKSVSLEGSLDGIQADSIYLYQVDNEHYGSVKLIKSIAVTDGRFAYPTDSIQAGLYCFSLQNMERGEYLQQYANLFLEPKSMQLTLGKDKYDQLSLHATGSALQEQYEALQEAKYVAGNRMVLDSLDHMFYEAREKGDREEMERIREVSSPYYESASEQTRKLINGEIAKNKGSYFGLYLYYTYRFQNHTFNTVEEIDEARNFIGSFDEASRRSGIYVKMQEGLDKFARCATGSVAPAITGIDLKGNSVSLNDFKGKYVLVDFWFAGCSWCRKETPYLLKTYNAFKDKGFTIYGVSTDRREEDWKKAIEEDKSYWNQVLLQKDDVKDVLESYCIVGFPHIILVDPEGKIVAKELRGDDLYNTVEKFVNGAK", "MKNFLILSLLLAFLTTEVCAQWKPAGDKIKTQWANKINTSAVLPEYPRPIMERNEWKNLNGLWEYAITDLGGNVPAHFDGQILVPFAVESSLSGVGQRVGAKKELWYSRSFEVPVAWKNKNVLLHFGAVDWKADIWVNDVKVGSHTGGFTPFSFDITAALSGKGNNKLVVKVWDPTDEGYQPRGKQVNNPEGIWYTPVTGIWQTVWLEPVTARHIEELRITPDIDQHLLTVKAHLNMRAPSDLIEVNVYDGNQLVATGKSINDEAVVIPMPEDAKLWSPDFPFLYTLKVMLKSDNKILDQVNSYAAMRKYSTKRDANGIVRLELNNQPLFQFGPLDQGWWPDGLYTAPTDEALVYDIQKTKYLGFNMIRKHIKVEPARWYTHCDQLGIIVWQDMPSGDRNPEWQNRKYFEGTEKKRSAVSEACYRKEWKEIMDCLYSYPCIGTWVPFNEAWGQFKTPEIAEWTKEYDPTRLVNPASGGNHYTCGDMLDLHNYPAPEMYLYDAQRANVLGEYGGIGWVVKNHIWEPDRNWGYIQFNSSKEVTDEYIKYTDMLYDLIIRGFSAAVYTQTTDVEVEVNGLMTYDRKVIKVDEKRVREANARICKSLK", "MNKLFFWVCAVLMTACASYKNDEVLTESGLSNSRFQTEINGEKTDLFVLKNKNKMEVCITNYGGRIVSVMVPDKDGIMRDVVLGFDSIQDYIKYPSDFGASIGRYANRINQGRFSLDEIVYQLPRNNYGHCLHGGPQGFQYRIYRGIQVSDREVKLTYLSKNGEEGFPGNLNCTIIMKLTDDNAIDIQYEAETDKPTVVNMTNHSYFNLDGDPSKDNSDYLLTLNADYYTPVDSTFMTTGEIVPVEGTPMDFRLPAVIGTRINQDFEQLRYGNGIDHNWVLNTKGDIHQVCATLESPVTGIVLNVYTDEPGIQVYCGNFLDGTLTGKKNTIYNFRASVCLETQKYPDTPNKADWPTAVVRPGEKYQSHCIYKFSVHK", "MKRIFIIGLLFLYAFTLYSQSNIRYYFKTLDIQDGLSQNTVNAILQDKQGFMWFGTKDGLNRFDGLSFRIFKKENSALGNNFITALHEDKEGNIWVGTDAGVYVYNPLLEDFTVFDRVSDTGDMISRAVTRIESDEDSDIWISVDYQGLFHFDRVQDRLINCLHRDKRKNQLANVTRFWFEEKLCWVSLYDDNLYYTKDNFKTLFPFQDSEGKEPFKDDIINTWIMGPHNCWYIGSSNGLTEINLTTGRVRRLLNYYVRDLGFKSDKELWVGTESGLYIYDLEKGEIAHLTVSNGNDSYALADNAIYSICRDNEGGMWIGSYFGGVNYYPRQWTYFEKFYPRDDIKNFGRRVREFCESNDGTVWIGTEDKGLFHFYPESGKIEKFSHPAIYQNVHGLCLDGDDLWVGTFSGGLSRIDLLTKQVRHYQKGISPNSLDANNVFSICKTTSGDLWIGTTSGLLRYNRDTDDFTRMPELANMFVYKILEDFSGNLWLATYSNGVFRYDVNKKEWKNFIFHKNDSTSLPYDKVISICEDSRKRLWFMTQGAGFCRFNPENESFTRFDMSKGFPSNIIYRMVEDNRGNLWLTTNNGLVCFNPETDDKRVYTTANGLLSNQFNYQSGYKDKMGRIYLGSINGFITFDPSTFVENTFVPPVVITDFFLFNKRMQIGSKDSPLKESIVFSDEVELESDQNSFSLHAAALGYQAPEMNQLVYKMEGFDKEWYNVGRNSVINYSNLPYGTYIFHLRGSNSDGKWNEKERILKIHILPPFYLSGWAYFIYLLLGILSVVGIIYYFRKRNEQKHQQAMEKFEREKERELYTAKIDFFTNVAHEIRTPLTLIKSPLENVLVSPNVSADIRDDLEIMNLNTTRLLDLVNQLLDFRKTETRGFQLNFVECNISDILQQIYMRFTPLARQKKLEFVIECSESIYASIDREALTKIISNLFTNAIKYSETYIHVRLWMEDTCWFLSVCNDGNVIPMEMREEIFKPFIQYKDGFSRKVSGTGIGLALARSLAELHEGNLIMDDSQKQNCFILSLPVKHEHTIAISKSEIKLKEDPKEEDPGQLQQKPRYTVLIVEDNVEMLAFVVRQLSPVYQILTATNGVEALKVLEGHTVNLIVSDIMMPEMDGLELCDRIKSDLDYSHIPIVLLTAKTTLQSKIDGLKSGADAYIEKPFSVEYLKVSVANLLSNHEKLHAAFAHSPFIQTNSMAMTKADETFLKTLNEVIVANMQNPDFCLDDMASLLNMSRSSLNRKIKGVLDMTPNDYIRLERLKKAAQLLREGECKVNEVCYMVGFNTPSYFTKCFQKQFGILPKDFVK", "MIKKLLVFFLTIFTMTAYAQNVTMTGTVVDTDNLPLIGVNVVIKGTSTGTTTDLDGKFTLTGENGQTLVFSYIGMTLQEIVYKGKPLHVIMKDDSKALEEVVIIGYQTVKKSDLTGAVAVVDTKEMKKSSAGTLVSQMQGLATGVNVRSSGRAGEDASIQIRGVGSLSNNAPLWVVDGMITDPGVDFNPADVESIQILKDASAAAIYGSRAANGVIIVTTKKGVSGPMKVNVSVKETLEWSPKFDLMNAAEYIKYNDIAYKEAIKDGIASITTTQKHSEYDTNWQDEVLKTALVQDYNVSLSGGGDSGSYFVSAGYYNNDGVSYGNTFDRYSFRVNTQGKKGWFSFGENLAYSLTNTDPNQTNTYNDFLRMMPTIPVYDENNPGGYGYGDAAKYNTFGVNPIARENLEKRHMRQNRLNGSLWLEFKPFEFLSYKFNGGVDLYFYENSWFRGEGNWQQNQEHRDPESQKARDNTYNMLIEHTLNFNKDFGKHHVDAVLGTTYQHHEWEGLWASRLNFPMLGNGDYLTVLNAGQSNQQNTNSISENAMISYLGRVNYIYDDKYYLTATFRRDGTSRLAKENRWGNFPSVSAAWRISKESFFKVPWIDDLKIRGNWGRLGNASIGDWDYVGTINQSIVTVFGGAIVPGATQVKLVNTNLVWETKETVNIGFDASFLNSRLTFSAEYYHSKTKDVLTEMPIAISTGNQEGAPKANAASLRNRGFELSLGWKDQVSDFKYGALLNITTLSNKVLSLGYEKPFIDSGQARTRLNGPLAEFFLYKTDGIFKTQEQIDNYVTPDGEPIMISGKRPQLGDVKYIDTDNNGQITADDRQFCGSPWAKMQMSLVLNAEWKDFDFSMMWNGQFGNKIYNVSKWQGRLFSDNSNYLRFKKGEEPYQVNQNSDTPRIIYGDQRNSWDADRFLENGSYFRLKNISIGYNLKKEWLKNLGIDKLRLYATGSNLLTFTGYSGLDPDFINTNIWNSGTDSFSYPNTRSVMFGLDLTF", "MKKIYRFLFAGVLALCATGCSSSLLDIENPNEVTNTTFWKSADDAKAGVNACYSFLYKEGTWMRWLSFRYDLSSDEGWSSSPWIELGDWTRFLYNNYDFYEGNKVHWEHFYVGIFRCNQVLANVPAIEMDEVQKNQLLAQASFLRALWYFQINLLWEKGTLVLEPQNADYIPKDASEQEIWDQIEKDLTFAMENLPEAWDAADLGRATKGAAKALLGKAYMQQHKYDRAKEQLQWLIDREGSLYGLLDNREDNFTDLNENNQEGIFEIQFDDQNKGGTGNDASMAFGFQRTQFYAPGGTHGTGWGDGKARRWLVDEFLKERRVDGRNDLRLYNSILYKHFGDDFPDQSKKYYANEDASQWFDEWGQDTEDCYIRKYNTSYYREREDYFARNNYRIMRYADVLLSYAECLVETGTSASDAAVYVDKVRERAGLSKLKDSRWKDCLSSKEVFIKRLQMERALELCFEGWRWADLKRWGLLDSQAGIDELKARDKDFNNFVIGKHKRMPIPTSEVEISKIDDVPQLTQNPNY", "MLVCKKILIFCAFACCGTLFAQNIQNPVLPGVADAGVMKYNGKYYIGGVFTNGDFYVSDDLVHWGKPVHVVTMDNGWSKGSGAGNEQIHANDMFCLNGDFHLYWSVNYWGKDKHAVHIVHAQSKNVLGPYIEPDKKTWMDNRIDPKVFKDDDGQLYMYMVRFTDGNTIWGRKMKNPAEFAGEPVCLFASLPDTWETMDNRVAEGPWVMKYRDRYYLMYNANHTSTEWGNYQLGVAEADSPLSFQNGNKYSYPVVNSNQILLEENYVDLLRYGITYEPLFDYTENNPGVGWMLPVYQASDWKKGECGFSSKEIKGSTTRHLGTWWTSPSLWLRKSFFVGKQVGNLALRVAHDGDTKIYLNGTLIYEKQGRDYCMVNLDEKQRELLKKGENLLAVETNKGRAQFFDVSLFDMRSETADDILMTPGQPNILRGPNGFEWWLIYMANKNNECRGQYINRVHFFNKTLFVEGITGPCTDGYHPEPSLPTFSMKGETPSFGVLQQVKPSTTYMFETAVKTDGDAGIIAWWKDVDNNAYIGFDTKNHNWYLRTIINGKEKEEYFTLPKDFRWGVYHHLRIERNQDCLKVWLDEIPSPQKHLFTKIIPVTEPGVPGVFDRTKKALFEGVTYTIGFDDDRIQLKEHSEILKGDFLDHYEFSFQLSGLSDCKMSGSYPVYVDKDNYVKAQFNGITRMLEVVVVKQGQQILDKKYPLEHLQMVYPDVKYTDFVEKCYRFISPSWINALYLNRHEVGNKAEFVDDMFSKFTIEYLNEGKWYPLNNSGATVAEHLAYNRLSFTPIKTEGIRFINKDAQDLERHIYKLGIQEQLKESYNFRAVRRGNKLYLFVDGRELGRLDIHYPASCVGFCSGNYSPVYKGILYYHIGN", "MIFIWLLMLGTLTSCQSCSSEYKNVNEIIVEELEIPVPLGDPFILLHNGTYYAYGTHSDEGIEVYISDNLKTWKYKGLALNKKDSWADRWFWAPEVYEVNGKFYMYYSADEHICVAVADSPVGPFIQNKQEPMIVEEKCIDNSFFMDDDGTPYLSFVRFNDGNNVWIAELEKDLITLKKETMRPCIHVSQAWEEVWPRVNEGSYILKHNGIYYMTYSANSYESPFYGVGCATATDIMGEWAKYDENPLLQNPGGLVGVGHSAMFTDKEGKLRIVFHAHKDKSNIHPRAMYIGTVNFENVNGTDRMRISKEYITPKLLQ", "MRKNLILSICFCLVSCSSSSAQEEIPDGDKTSYYWNPVIDYSLPDPTIIEGGDGYYYLYATEDIRNLPIHRSKDLINWEWVGTAFTDRTRPDFEPGGGLWAPDINKIGDTYVLYYSMSKWGGEWTCGIGCATADKLSGPFKDHGLMFRSNEINVQNSIDPFYIEDAGKKFLFWGSFRGIYGIELSEDGLSIKQGKKPRQVAGTAYEGTYIHKKEGFYYFFASIGTCCEGLNSTYTTVVARSENLFGPYVDKNGRTMMDNQHEVLIHKNSSFVGTGHNSEIVTDKVGNDWVFYHAVATKHPEGRVLMMDKISWKDGWPVVATDSPSLKSEKPVL", "MNWKNFVCSVVCLAGMTCAEAVNYTPENVSASIALKVPGNDAKRYPLTLQQLDNSNFEYQWVAADKLPVVIYQNVEEKDGNQRIVIFMTALDDVYFNFGEQVMTGCHHDDCLFYMPGFWYRRNLRSPQEAPSFHTSDSWLVREDRLSTPLTAIFDEKNRKTYSVIRLDNMASDALTTHKEGEVILSGKTSIGYTGFENLSGIASLSFGFPYKEAPKTYIRKLTLAPSVEAYQLLRKGESLSLTWELHESEIADFSECVQHIWEYSYDTNCPQIVNTPYSPEKMKEVMSNFFVESFVGNTPTHYYSGVELRTATCDQTDVAEVGFVGRTLLNAFNALEYGEQQRRTDLVTNAYKIFDSYLQNGFSETGFFNEVVHYRRNFVESVHSIRRQSEGVYALLHFLNYERLQGRKHPEWEKRIKSMLDMFLRLQNKDGSFPRKFKDDFSIVDKSGGSTPSATLPLVMGYKYFKDKRYLASAKHTVEYLEKELISKSDYFSSTLDANCEDKEASLYASTAAYYLALATKGAERAHYAGLARKAAYFALSWYYTWDVPFAPGQMLGDLGLKTRGWGNVSVENNHIDVFIFDFADVLNWLAKEYNEKRFSDFSQVISTSMRQLLPYEGHRCGIAKTGYYPEVVQHTNWDYGKNGKGYYNDIFAPGWTVASLWELFSPGRAEKFLKK", "MPVTVKNYAGNICKDNPRLFNILQVSFLKEKADFLKKDIPFPTKEKKIKF", "MQQYKRPEAIKRMNELAGSRKPFLFIIDYKQQCSLIEEISRIDSTRCRYNFNGVKNVDTVTESYSGQIDWNFVPMSLTDYRQAFEIVKRNILAGNSYLANLTCKVPVSTNLTLEDVFRYSKALYRLWLKDKLVCFSPEIFVRIEDGEIKSFPMKGTIDATLPNAEKLLMDDSKETAEHATIVDLIRNDLSMVAEQVRVVRYRYCDRLETNKGPIFQTSSEICGVLPDDYPSHIGDIIFRLLPAGSITGAPKSKTMDIIEEAENYERGFYTGIMGYCDGRTLDSAVMIRFLEQEGENLYYKAGGGITSKSDLQSEYNEMIQKIYVPIY", "MYPFIETIRIEQGKACNLSYHNERLNNTLHHFWPDCAGIELGEYLKLTPEMNGMKCRVIYDGSGIKEISYEAYQMRPVHSLQLVYSDDIDYTYKSTDREALNRLFACRGERDDILIVRRGLLTDTSIANIALFDGKDWFTPKLPLLRGTCRTALIDNGIIKEKDIRPEELSSYSFVRLFNAMIKWGALEFSTGTIYG", "MRLRTVAKLGMVLSVVLFCTAVGFYGFAKLSLTDKSREINLFSLVPADCIGVLESDNINYFLNEFPQLNYSEELGNFQFPGLFNYVLGGLNEYTTNTAHGLSSKMSRVVVSFHSPGTPRDQVVYFRMGADDKETLGDMLLERTPGSFSPKKEKYRGKTIAVYPLGNNDFLAVYSEAGFYVVSYQKSLIEKVIDAREDEEKALSNDPVFAKAMQKKKTHNFLTLYGRTPSMPFLQDNSGCWSEFDFHMNSDVVYLTGDTFMPDSCGCVNQMAEKLKNIPDIREDSLIISADKDSMANYMEEAYERNSRTLFNECVANLSRDAAFMLVADMNKISRNPERFEPYLPAFLLENAPLFHSFILSTQLSVVNDRLSHIMVLTYKD", "MKDKLITKNYIEILAANFLLFFGFWLLMPVLPFYLAEVFDANKTTIGAVLSCYTIAALCIRPFSGYLLDTFARKPLYLLAYFTFTAIFGGYLIAGTLTLFILFRIIHGVSFGMVTVSGNTIVIDIMPSSRRGEGLGYYGLANNIAMSIGPMTGLFLHDAGADYTLIFCCSLGSCLIGFLCASLVKTTYKPPVKREPISLDRFILLKGIPAGFSLLLLSIPYGMTTNYVAMYAKQIGIQSSTGFFFTFMALGMAVSRLFSGRLVDRGMVTQVIEAGLYLVCFCFFGLSSCGWLTTWSLQWTTYFLFTIALLLGIGFGTMFPAYNTLFVNLAPNNQRGTATSTYLTSWDVGIGAGMLLGGYIAEIATFKMAYLFGAALTVISLFYFRSKVAPHFHRHKLR", "MLAKIEQLLQEIEGLQAANAEELEALRIKYLSKKGAINELMADFRNVPAEQKKEVGMKLNELKNKAQERIASLKEAFETQDNSAAEMDLTRTAYPIELGTRHPLSIVKNEIIDIFHRLGFSIADGPEIEDDLHVFTAMNFAEDHPARDMQDTFFIEANQEDVKKNIVLRTHTSSVQARAMEHSQPPIRIICPGRVYRNEAISYRAHAFFHQVEALYIDKNVSFTDLKQVLLLFAKEMFGEDTQIRLRPSYFPFTEPSAEMDISCNICGGKGCPFCKGTGWVEILGCGMVDPNVLEANGIDSKVYSGYALGMGIERITNLKYRVNDLRLFSENDTRFLKEFEAAN", "MRKLIIGVCLLMLISSCGGGKKKMDPFETLTEEIDSLTATPDTTEAMAVVEEEPMVPATADESFADFFYNFASDEKLQLSRIVFPLPYYTMEKKEHIEKEQWKHDPLFSRQDAYTVLFDKAEDMEMEKDTGLTSVKIEWIYLKKGKIKRYYFERLKGLWKLEAIDFADMPREDTGKEDFFEFYERFANDSVFQLSRLHEPLKFVTADPEDEFQILETTLEAGQWFAFQPVLPRENLTNVNYGQNENVHSNTKVIEMKGFGNGFNNTLYFERRHGLWKLMQFEDLSD", "MKEIKDYSLLPHNTFGMDVKASLFVEYESVTELQSILSDKKLMAGNWLHIGGGSNLLFKGDYAGTVLHSAIRGYEVVAENEQEIEVRAGAGEVWDDFVAYTVKNSWYGAENLSLIPGEVGASAVQNIGAYGVEAKDLIVSVETVEVETGRKRIFTKEECRYAYRESIFKKDLKGKYIVTYVTYRLSKQPVFNLEYGNVRGELEKRGGEVALENVRKVIIAVREAKLPDPRVQGNAGSFFMNPIVPRRQFEIVQQQYPDMPHYEVDGNRVKIPAAWMIDRCGWKGKQIGRAGVHSKQALVLVNCGGATGDEVIALARRIQESVLQKFGVAISPEVNFI", "MKITILGSGTSTGVPEIGCKCPVCTSSDPRDNRLRCSGLVETEGLRILIDCGPDFREQMIRLNDFKPLDGVLITHEHYDHVGGLDDLRPFCRFRDVPVYAEQYTAERLRQRIPYCFAEHLYPGVPRITLEEIVPDSPFIIGNPEGNKVEVTPLRVMHGKLPILGYRIGRMAWITDMLTMPGAEYDLLQGLDVLVMNALRPQPHHTHQNLKEALDNAQRIGAGETYFIHMSHHIGLHADAERLLPPHVHFAYDGMKICL", "MKKQELYNKVIAYFQEAMPVAETELHYNNPFELLIAVILSAQCTDKRVNMITPPLYRDFPTPEALAASTPEVIYEYIRSVSYPNNKAKHLVGMAQMLVKDFHSEVSGTLEELVKLPGVGRKTANVIQSVVFNKAAMAVDTHVFRVSHRIGLVPQTCTTPLATEKYLMKYIPKEIVPTAHHWLILHGRYVCVARTPKCSECGLNGLCRYSLKPAL", "MQLNVKNETSTLKAVVLGQPGSIGKVPTLEETYDAKSYESVLHNIYPHEEDIFKEMRCFESVLLKYGVQVYRPWTLENCNQVFARDVGFVIDDKIIVSNIIPNREDEKEAYEVVYNQIAYNKIFNLPEKAHVEGGDVVLYNNIVFVGLYTQPDYPQMKTARTNRYAFSFLKEICPGKIFIPLELKKHNTDPRAGILHLDCTFMPVGKGHAIIYKDGFMYPQDYHTLLDLFGRENVFEITREEMYYMNTNVFSISPEVVVSEKNFIRLNTFMEEVWGMTVERVPYYEISKMGGLLRCSTLPLIREND", "MVEPVAFGFNEETAANNYFQQRTVAAGETVQACALKEFRGMVDKLRANGIEVIAVQDTPIPHTPDSIFPNNWVSFHDDGTVVLYPMFAENRRAERRADILQRIESEGFSIRRKVDYTGFEEENRFLEGTGGIILDRVNRYAYAALSQRVDEALFGRFCKEQNFSPVSFHAYQTVNGQRLPIYHTNVMMCVATDYAVVCLDSIDDVEEREQVCHVLRQTGKEIIAISEEQMHHFAGNMLQVENGKGALFLVMSQSAYDSLDEGQLQRLSKYNALLPMPIPTIETLGGGSARCMMAEIFLKKEKH", "MDAKLSAAQLMELENKHGAHNYHPVPVVLDKGEGVFVWDVEGKKYYDFLSAYSAVNQGHCHPRIIDTLVKQARKLTLTSRAFYNSQLGRYEEFVTRYFGYDKVLPMNTGAEAVETALKLCRKWAYEVKKLPKDTAEIVVCRNNFHGRTTTIVSFSIDPDAYNNYGPFTPGFVVIPYNDAEALAKVLDEHPHVAGFLVEPIQGEAGAYVPDEGYLKKCYDLCRAHNVLFIADEVQTGIARTGKLLACDYENVHPDILILGKALSGGACPVSAVLSCNEVMDVIRPGQHGSTFGGNPIAAAVAVTALEVVRDEQLADRAYRLGLIFREEMRKLCETSRVASFVRGKGLLNALIINNDETKDLAWEICLKLAENGLLAKPTHTNIIRFAPPLVITEEQLKECIEIIKKTIALFE", "MKNNNTVSVSFMLLGILFCVCLVAANLLETKVVQIWKITATAGLVVFPISYIINDCIAEVWGFRKARLIIWMGFAMNFMVVALAQLAILMPAAPFWEGEEGFNFVFGMAPRIAVASLTAFLVGSFLNAYVMSKMKLASGGKNFSLRAITSTLVGESADSMIFFPVAFGGLMPVGELIKMMVIQAVLKTLYEIIILPVTIRVVNYIKKVDGSDVFDEHISYNVLKIKEL", "MNKSDALVVFSGGQDSTTCLFWAKKHFRKVYALSFIYGQKHVKEVELARAIAGKAGVEFKAMDVSFIGNLGKNSLTDTTITMDEVKPADSFPNTFVPGRNLFFLSIAAVYARELGINHIVTGVSQTDFSGYPDCRDAFIKSLNVTLNLAMDEQFVLHTPLMWIDKAETWALADELGVLDLIRHETLTCYNGVQGDGCGHCPACKLRREGLEKYLEIKAEREKC", "MERKDELTLLGSKTEYRQDYAPEVLESFVNKHPGNDYWVRFNCPEFTSLCPITGQPDFAEIRISYLPDVKMVESKSLKLYLFSFRNHGDFHEDCVNIIMKDLIKLMDPKYIEVTGIFTPRGGISIYPYCNYGRPGTKYEELAEYRMRNHDL", "MENYIVSARKYRPATFESVVGQRALTITLKNAIATGKLAHAYLFCGPRGVGKTTCARIFAKTINCQTPTAEGEACNQCESCLAFNEQRSYNIHELDAASNNSVEDIRSLIEQVRIPPQIGKYKVYIIDEVHMLSQAAFNAFLKTLEEPPHHAIFILATTEKHKILPTILSRCQIYDFNRIGIKDTIDHLQYVAKLEHINAEPEALTVIAQKADGGMRDALSIFDQVVSFTGGNITYKSVIENLNVLDYEYYFKLTDLLLENKVPESMLLFNDVLKKGFDGSHFITGLSSHFRDLLVSKDPSTLQLLEVGAGIRDRYKEQAQKCDQKFLYRAMKLCNDCDLNYRASKNKRLLVELTLIQCAQLTLPDADDASGGRGPKKILKPLFTQQAASTDATQSQPQAKTAAAQTAATAAPQLQGTSTAGSMNANRPSPLPQTREEKKIPVFKAGSLGISLRRPLHEQQAAEKQEAKAASTAVQNDATYEDYIFNEKDLDYYWREFAAALPKEEKANSARMMNMHPHLLNDTTFEVTVDNDMVEKYMVQLIPSVQNHLRERLHNRKITMTVRVSAPTENIRAYSHVERFQMMSKKNPNLLKLKEALGLELS", "MSKLLTIWNYIRRHKYMITVLIFIVVIGFLDENSLIQRVKHRSEISALNSEIEKYRKQYEEDTEKLKELTTNPEALEKIAREKYLMKKPDEDIFVFEE", "MAGAVLLLAGAVLQITRWELAPYLYTLGAVMFGYVQVMGNRYDGRNFIIKRLRRQQIFGAVALVFTGVLMFTMKRNEWIVCLSIAAVLELYTAFRIPQELEKEKR", "MKKILLLSLFTFTTLAGHADEGMWMLTDLKEQNAATMYDMGLDISIDKVYCPDSISLKDAVVHFGGGCTGEIISAEGLVLTNHHCGYSYIQQHSSVEHDYLTDGFWAMSRKEELPCKGLTVTFIDRILDVTPYVKEQLAKDEDPEGLNYLSPSYLSKVAKRFAEQENIEITPFTALELKPFYGANRYYLFIKTIYKDVRMVGAPPSSIGKFGADTDNWMWPRHCGDFSMFRIYATPDGKPADYNESNVPLKVKKHLTINLGGVKEGDFTFVMGFPGRNWRYMISDEVEERMQTTNFMRKTVRTVRLNNLLEEMLKSDKVRIQYASKYASSANYWKNAIGMNEGLVQLKVLDTKKKQQEKLLAYGRETGTDAYQKAFDAIREIVSKRRDAVYHQQAIYEVCKLGTEFYKIPSTDKVLQALKKGYKVPHATKEINPLDHALSTLIKQADKFFNKDYNPEIDRKVSKALLKTYAELIPAEQRISIFKVIDKEFKGNIDAFVDACFDTSIFRSREAFDNFVAKPDAKTLENDLMVQYAKSVDQGYADTDAAMKAETDAYNLAHKTWVEGMMKLKQHEGTPIYPDANSTLRFTYGKVGSYSPKDGMEYNYYTTLKGVMEKEDPNNYEFVVPAKLKDLYNKKDFGRYAMKNGEMPICFVTGTDNTGGNSGSPVFNNKGELIGTGFDRNYEGLTGDIAYNPQLQRAACVDIRYTLFIIDKFAGAKHLVDEMTIVE", "MKKFKLLLLALMCVAFLPSKADEGMWLLQLMQEQHLADRMKAQGLLLEADDIYNPNRVSLKDAVGIFGGGCTGEIISPDGLILTNHHCGYGAIQQHSSVEHDYLTDGFWAKSRKEELPTPGLKFKFVERIVDVTDKVNNKVKSGEVKEEETFEYDFLKKLADEELKASDLNGKAGISAQALPFYAGNKFYLIYLKTYSDVRMVAAPPSSIGKFGGETDNWMWPRHTCDFSVFRIYADANGEPAEYNENNVPLKAKKHLAISLKGINEGDYAMIMGFPGSTNRYLTQSEVKQRMHSTNEPRIRIRGVRQDVLKKEMAASDKVRIQYASKYAGSSNYWKNSIGMNKAIIDNKVLETKAEQEAKFAAFAKAKGNTDYEKVVSEIDAAIEKSNPILYNYTCFREVFQGGIEFGTPYLILDKLKDAIKNKDKEAINKNIETLKKVYADIHNKDYDHEVDRKVAKALLPLYAEMVPANALPAFYTTIQKDFKGNYDAYVDHCYDNSIFSNEANFNKFIKKPTVKAIEKDPMTAYVRAKYDLMDKLGNELAESMKGMDLLHKTYVRGLCEMYSPEPKAPDANFTIRLTYGNVKSYNPKDGVHYKYYTTLKGVMEKEDPTNPEFVVPAKLKELYETKDFGRYALPNGDMPACFLTTNDITGGNSGSPVINGNGELIGAAFDGNWESLSGDINFDNNLQRCIAVDIRYVLFIIDKLGGCKHLIDEMTIVE", "VVSLLAFTSCASEYKIEGSSSVSRLDGKMLFVKVPSGDRMLSIDSAEVIHGMFKMEGITDSTSMASLYMDDESIMPFVIEKGKISISIDNARIVVTGTPLNDRLYDFVGKKTSLDDRAYELERQESRMIMDGKAPDEIQREITREREKLAAEMNALAKEFIQKNYDNVLGPGVFIMLCSNFPYPVMTPLIEEIIEEAPDRFKNNSLVKDYVTVARSNMEKLKAPH", "MKVLYILYQLFIALPILLVLTILTAIVTIIGSWIGNPHFWGYYPGKIWSQLFCYVLLIPVKVVGHPEVLKKTSYVFVANHQGSFDIFLIYGFLGRNFKWMMKKSLRKMPFVGKACEAAGHIFVDKSGPKKVIETIEHARHVLQDGTSLVVFPEGARTFTGHMGYFKKGAFQLADDLQLPVVPLTIIGSFNILPRTGGFVSWHPMTLVVHKPIYPQSQGMENIKATMEEAYREIEKDLPEKYQGKIDNPDQDR", "MMLIKLFLFFLLLLILPDMYIYKAYIRRVSQKWTHWAYWLPSLFLLLGMTLVFSIHEPRPDSMQRLSNFLLIFLCFSVPKALFVIVILFMKLLYIISGKKLYGGYVAGGLALASLIYVIYGATEGKQHFQIREVTISSDELPSGFDGYRIVQISDIHSGSWTGNGAALQKAVNLINAQHADLVLFTGDLVNNVATELDEFIPILEQIKGKDGVYSVLGNHDYSPYIKWETEEAQEANLNSLKSKQAAMGWKILNNDHVILHHHGDSIALAGVENSGNPPFPNYGDLQKALKGTEGMYKILMSHDPTHWHREVLPESDVQLMLSGHTHEMQFSLFGFSPAKFVYPEHNGLYQEGKQSLFVNIGLGYLMFPMRLGAWPEITVITLHKI", "MIVNYKIHEVSEYINWIYFFHAWGFQPKFAAIADIHGCDSCRAMWLTGFAEEDRPKASEAMQLFKEANRMLNELDAAYQTHGVVNIMDANADGDDLLLNSKRFPLLRQQTAKLKEDDPFLCLSDFVRPLSSGITDKVGAFATTVDAEMERLYAEDDYKRMLVQTLSDRLAEATAEKLHEDVRKKLWGYAPDENLSVKDLHNEKYQGIRPAVGYPSLPDQSVNFLLDELLDMKQIGISLTENGMMKPHASVCGLMFAHPASRYFSIGKIGEDQLADYAARRGMSIEETKKFLAANL", "MKRTWIKNARIVNEGKIFHGSIVIENEVIAEVLAEETVPSQPCGEIIDAKGYYLMPGVIDDHVHFRDPGLTHKADIHTESTAAAAGGVTSFMDMPNTTPQTTTLEALNAKFADAATKSIVNYSFYFGATNTNADVLPTLDKSRVCGVKLFMGASTGNMLVDRMEVLRKVFANAGMLIAAHCEEQSIISANTTAFKEKYGEDPDIKYHPQIRSAEACAYSSSLAIRLAKENNARLHILHISTAQELDLLEDKPLSEKKITAEACVSHLYFYDKDYETLKGRIKCNPSIKTSEDRNALRKALSTNLIDVIGTDHAPHLLKEKEGGALKAVSGMPMIQFSLVAIMELVREGILSIEQLVQKMCHAPAELYHIERRGYIRPGYQADLVLVNPDHEWTVTADCVLSKCGWTPMEGQKFHTRVEKTFVNGDLVYSDNKVDQSHRGQELRFKR", "MEKSDSIIIIPTYNEKENIENIIRAVFGLEKFFHILIIEDNSPDGTATIVRKLQTEFPDRLFMIERKGKLGLGTAYIAGFKWAIEHQYDFVFEMDADFSHNPNDLPRLYNKCAVEGYDVAIGSRYVSGVNVVNWPMGRVLMSYFASKYVRIITGLPIHDTTAGFKCYRREVLETIGLDGIRFKGYAFQIEMKFTAYKCGFKIAEVPVIFVNRELGTSKMNGSIFGEAVLGVIQLKLGSWFRKYPQKKTV", "MKTIKYLTLRLMAVAAIAIAFALPAKAQVTPFTYFNVDWQFNAPISNNFANKASGWGMNFEGGYYVLPDLSIGAFINYHTNNEYISRQTLPISNSAALTTDQQHSVFQLPFGFATHYRFSDGACQPYIGLKLGANYTKMSSDFYIYEVKDNTWGFYVSPEVGVNIYPWTNSIGFHLAAFYSYSTNKGTVFNYDMDKLNNFGFRLGVAF", "MKKLIPILLAVFALVSCEKDPDMDKLDNEYLVFTSHDTSTKFNDFSTYYIPDSILIIGDKKDPEYWKDENAQIIINAFKTKMNAAGYTAANKDEADLGLQVSYVASTYYFHGYYNDGPWWGYYPGYWYPGYWGGNWGGGWYYPYPITYSYSTGSLLADMINLKNAPEGQKEKLTVVWNAYISGLLGGSGSLNVNRTTTAINQAFTQSPYLKK", "MKKVFIAAALAAMIVSCGSKGNKSAEIIAESESDSIFAVNDSTLGELQTYSYEGILPAADAEGINYQLTLQEAGQDSLGTYNLTTTYLGTKDGNQAFTDSGTVVTIIGIPNDSTAIVYQLISATPGHEKTNFLAEGDSALTMIGKDFKKAVSKLNYTLKKKL", "MKHIRSTFFLLTTLFIMASCGEDRSGEYYALIGENVWIEQIMKEHYLWYDSIPAIKETDYFAEPEDFLQKLVYTKAQNGKGDPYSYIEIKDASDAARSYLQRTSTYGFDFELMTDPTGISSHVFARILFVLPNSPASEAGLERGNWISAIGKEELTNNNYGYLMEGGNTTFARESLVFDEEGNSSWIATDTVKVAASRPVELNPFYIDTVYEVSEKKIAYMVYNEFSTGPNNQATDTEYREQMKQIFARFKGQSPDAFILDLRYNPGGYLSCATDLGSYLAPAADLGKVFCTTLYNDISDPQKIDFPLNTGLASENLNLSKLYVLTSKFTASASEAVINCLRPYIGTENVVVIGETTVGKNVAMEPYQDERYNFILWPVVAYVLNSAGQANYVNGITPDFTLSERNLISPLYPLGDTKEYLLKNTIAYITTGSMPDLPQTEEQVSKGKIIYNSLIRRSMNGIRLR", "MEYLSDKSSVARMDKNLEKISPFELKNRLIEMADESVKKMAHVMLNAGRGNPNWIATEAREAFFALGGFGIEECRRVMDMPEGIAGIPQKTGIAQRFEEYLKKHEGNAGTDLLKRTYNYMLMEHAADPDELVHEWTESIVGDQYPMPDRILKYTEILVQDYLNQEMCNGQPPQGKFDLFATEGGTAGMCYVFDSLEENFLLHKGDSIALMVPIFTPYIEIPELTRYDYDVLNIPASTLNEEGLHTWQYKVEDINKLRDTKYKALFIVNPSNPPSYQLNKECVDALKDVVTRWNPNLMIITDDVYGTFVPGFRSLMADLPQNTICVYSFSKYFGATGWRLAVIALHEKNVFDRMIANLPRKRKSELTKRYGSLSMQVENMKFIDRMVADSRQVALNHTAGLSLPQQMQMSLFASFSLLDKENTYRHAMLNLIHTRLKALWDNTGFILPDDPLRAGYYSEIDMLVWAKKFYGDEFVQHLQTTYNPLDVVFRLANETGLVVLNGGGFDGPEWSVRVSLANLNEKDYIKIGLSIRRILNEYADKWKSEKK", "MEWVIHLLRQHSELAIFLTIAAGFWIGKMKIKQFSLGIVTSVLLVGVLVGQLNITIEEPVKSVFFLLFLFAIGYKVGPQFFRGLKKDGLPQVGFAALMCIGCLIITWLLAILMGYNAGEAAGLLAGAQTISAVIGVAEDTINGLNISSSQKSDMINIIPVAYAVTYIFGTAGSAWVLSSLGPKMLGGLEKVKAACKELETRMGTSEADEPGFEQARRPVVFRAYRIENDWFGNGKTVDQLESYFISQGKRLFVERMRHGASIVNDIIPGQLLQKGDEVVLSGRREFAIGEEDWIGEEIVDPQLLDFPVEVLPVMIHKKPYSNQKLDFIRRQSFMHGVSIRRIKRAGIDIPVFAQTTIDCGDTLELVGLKKEVESAAKELGYIDRPTNATDMIFVGLGILLGGIIGALAIHIGGVPISLSTSGGALIAGLVFGWWRSKRPTFGQIPESSLWVLNNVGLNMFIAVVGISAGPSFVQGLKEVGPMLFIIGALATSLPLLLGLILAKYVFKFHPALSLGCTAGSRTTTAALGAIQEAVGSETPSLGYTVTYAVGNTLLIIWGVVIVLLIN", "MKIKLFVKYISLLVLLFVADGCKEKKADTYVTKVTDLTGEEEQVLKLEYDRDGKIIKYGDTPVRYEGDQITIGQMDCLNTGNKLCNVTFQIGKGKARESRARCMLKVGEEVYEADKQTVYDYKGDTIFINSDYRATSDYRFLKKVQGKYVFDQLGRLKEVMTVFTEANDSVSSCHTYYNYDNNINYQANLNLQAYVIDYDGVDSFFYFLLNLGQLRNRTALPNDIGYCMNHGLSTYNVHANYRLDDENPVRIEVLYNYTKLLSRIDLSYNPLN", "MREEIIKLLDQYRLKEALSQMTGYATHTSDWQLKNELEALQTSYDLMLQYTSKGMKDPNKVEIYHKMLRTAYELADRIHIAVQATQNYGAYYDTMRTFVQSPPHSYAELQMQLEAYTEDMATAPLIYTTEAKRNEEMDAMRKRHETAVDELFEKIWVSTRWSESEYAEAQTLFNSLLIQVNDLSIMVSAVTMSLLQIFDIRKFMFLLNAYTHQDTMLNQRAIAGIALTCYYYEKRILQYPEAVSRINELNENSEFIKNLHHIQIQLLQSSRETRKIDKKMREEIIPEMMKNPKLNLEGLDEDAEDHNPEWEEWIDRSGITDKLRELGELQMSGADVYMSTFSQLKQFPFFRKISHWFYPFDPQYQDIAKLSLGNDEQKISLLNILMNSDVFCNSDKYSFCFTMLQMPESQRNLMQQQLNGQHEASEELKERLKEMSQSKARAEFVSRQYIHDLYRFFKLWSRRHEIHDIFEDTLDLWNKEALSQALLHKEYINKLADYLFTHDDLAEAGILYDKSIELYNRKNAELWQKAGFIYQKIGSYKKAIDYYLQSDLLIPDNTWNNRHLAQCYRKEGNYPKALEYYNKVEQAQPDSLNLALQIGQCLMALERYDEALAYFFKVEYLDKKPQNARRAIGWCSFITGNHQQAKKYYDLLISEPKPIMEDWMNAGHVYYILNETEKSIEYYRKAQELCDSHDEFVRLYQIDKKDLIKQGANEVDLFILPDELI", "METKAINTKLKGHARVDVADVLRGLAVMGIIILHSIEHFNFYSFPDTAGQSAWLNFSDKAIWNGLFFMFGGKAYAIFALLFGFSFFIQDDNQRLRGNDFRLRFCWRLILLFLIGNINASFFTAEVLVLYSLVGFILPLTCRLKDKWIFALACLLLIQPLPLYYVIRACLDPEFVTPAIPTRSFWNATFAVQSNGNFLETIRVNLWEGQLASLAWAWDHGRVFQTAALFLLGMLIGRKELFLKEHLKVWNKVLAGSLVAFFPLYGLGNMLPDFITNKSILTPLSLIITSLSNFAFMLILVSGVVFAFYKTNLHDGLMKITPYGKMSLTNYITQSIVGSMLYYNWGFALHNQFGITASCLAGIVFFILQFSFCRWWMNHHSHGPMEYIWKRATWLK", "MDISELQRIYAGHPNTKGLAALLEDSSVRTIFLGGLHASAAALFVSSFLRENKQTFVFVLGDLEEAGYFYHDLTQVNGDEHILFFPSSYRRAVKYGQKDAANEILRTEVLSRLQKGDPLCVVTYPEALAEKVVSQEVLMDKTLKLGVGEHVDTEFITEVLAGYGFEHVDYVYEPGQYAVRGSIIDVFSFASEYPYRIDFFGDEVDSIRTFEVENQLSKEKKQSIAIVPELTNAADKSGVSFFEFIPRETVLAMKDFLWVRERIQVVREEALSPQALAAYEGEKTELMNLELKLIDGAEFTVRALDFKRIEFGNKPTGTPQATLAFDTTVQPIFHKNFDLVSTSFTDYQKRGYTLYICTDSEKQAKRLKDIFEERGDHITFIPVNKTLHEGFTDNVLKSCFFTDHQIFDRFHKYNLRSDKARSGKVALTLKELSQFEPGDFVVHIDHGVGRFGGLVRIPNGNTTQEVIKLIYQNEDVVFVSIHSLHKISKYKGKEGETPRLNKLGTGAWEKMKERTKSKIKDIARDLIRLYSQRKQEKGFSYSPDSFMQHELEASFIYEDTPDQLKATQDAKADMESDRPMDRLVCGDVGFGKTEVAIRAAFKAVADNKQVAVLVPTTVLAYQHFQTFSERLKDFPCKVDYLSRARSAKDVSRIVKELADGKINILVGTHKIIGKSVKFKDLGLLIIDEEQKFGVSVKEKLRQMKVNVDTLTMTATPIPRTLQFSLMGARDLSVIQTPPPNRYPIQTEVHTFNEDIITEAINFEMSRNGQVFFVNNRIQNLVELESMIKRNIPDCRVCIGHGQMEPEKLEKIIFDFVNYDYDVLLATTIIESGIDIPNANTIIINQAQNFGLSDLHQMRGRVGRSNKKAFCYLLAPPLTSLTPEAKRRLQAIENFSDLGSGIHIAMQDLDIRGAGNMLGAEQSGFIADLGYETYQKILAEAVKELKEDEFADLYAEELQAAGEEKISGENFVDECQVESDLELLFPNEYIPSSSERMLLYRELDGLELDKDLLAFKARLEDRFGKVPPEGLELLRIVPLRRLAKRLGVEKVFLKAGRMTLFFISNQESPYYQSAAFGKVIDYMSKNPRYCNLREQNGKRSMVVKNVETVETAVGILQEMVSL", "MEVKKARRADLEKGKSRWLFMGLIVTLSFMFVSFEWTERNVTYAISDLVSDPDFFEELVPVTYNQDKPLPPPPPPAAVNPEELNIVDNNSTETETDIAASDPMDAPVIIPTPIEVPEEVVDENVEFVVVEEMPVFRNGNADLMRYLSENIKYPTVSAEQGVQGRVVVQFVVGVHGEILNPVVVKSVDPYLDKEAIRVISSMPKWKPGKQRGKAVRVKYTVPVVFRLQS", "MAIKSDAESVKVLPSDNQNITSEAPKLLLCSSIASGSDLGSNEGVTPLAGIGKEYPELSWYYLYLSNKELKRYISVFSGRKAVRFRTLSGSVEEEFFCFKVFSYTAADHRKRFEQCAYTKEEYTARRDSARVVKEAFATGSTQKLNTLTDEKEIAGNGWLFVCAPLDQLERILSAMLPRQYLVTDYNTHRAAVIPQSQMEEFIYLYESMPYNIELMNRPLEDYLQKKQKIRITGGVFRGKEGCIMRLHRNTRLVFAFGNMTVAVSYLHAFPFEKIE", "MESVNAQLNNKRIAKNTLLLYFRMLFLLAISLFTSRVIFKELGASDFGLYNVIAGFVVMLSFLNGAMGNATQRFLAFELVRNDIVALQNVFCQIQLLHLVISFAILLLGETLGLWFVNSILNIPPDRIFAANIVYQCSLLSTLFTIISVPYNSIIIARENMKLFSMMGLLEGGMKIIVCLMLFLTSKDKLIIYAICLALASVLLRLAYWWYCRRYEETRFRYSIDWSKLKEVGEFAGWSLCGSISTLANMQGINVLINLFFSTIVNAARGIAYQIDGIIRNFVANFQTAMNPQIVKSFSVGDFSKMHSFIYLGSKISFFILYVLSIPIIFNLPFLLQLWLGEYPQETVVFTRLVLANSLIVSLSGVLSISVQATGKIRNYQLTMGFFLLLNLPIAAVLFMFKFPAYSALLVSIVIESILLFLRLLFLQKIVFLNISHYLKNVLCPVGLTIIISFPICYWYYANYSEETFYSFLISSFLYCVVALLFVFLTGLDMDEKGKIIKIMKNKYFNLIYRYGEK", "MEKNNFPLVSLITPGWNGVSFVGRLLDSILNQTYPNMEYIYIDDGSTDGTKDVVLSYKEKFQKAGISFEYVYKENGGVSSAVNEGLKRVKGDYLCWPEYDDILISDSVERKVCYLETHKDCAVVTSDAWLVEDNDITKVTGVLSHHNPNRFDRNHFFQALMTNSIFTAACHMIRMSMFDEVNPERYIYPSWIGPNWQMLLPVYYKFNRGFIETPLVYYVIRSESISHSHNTLEKKDRAIDEYIRILRYVLGTIDMPEDDRSFYNKMIDEKYSWDRMLLGLKHNDRKTFDKGYLYWNQSECIPEKVAFLKKRFDNPFLNWACLLVEKVVGKLKKQLL", "VIHIVDKRQCCGCAACVQKCPKHCISLYEDEEGFLYPRIDTNNCIDCGLCEKVCPFLNCDKAVPPQEVWAVKNQNESDRMHSSSGGVFIALAREVLAWGGVVFGAVFDGNYEVKITYSETLEGVRSMMGSKYLQARVETGFADAERFLKQGRHVLFSGTPCQIAGLHKYLRKDYPNLLSVYFLCHGVPSPGVWRRYLKETMNDLQSARRAAAGKNTVFPSLNVMSTIVGIEFRDKTLHGWKKFSFVVRGSSAFKADKNSVLLSDIHRENPFMRGFLSDIYLRPSCYECKCKNGVSHSDLTIADFWGIDRLMPDFDDDKGVGLVLVNTTSGHHFFDKLSMEKRLSSLADVQPLNGGFKEYTRPHPKRVQFFQRLAKGESVKNIVEDLLHVPLWRRILRAIKELCRRIFQRKLTCD", "MPQFIQNIQIKNFKSAKNVRFEDCKRINLLIGKPNVGKSNLLEALSIFCLPFLKYLKKKDLQQFVRVENISELFCNGNVESDIQIQADGKQAVLRWVPQGGIEVSLQYAASEEAITLPFSSTLTCTKKNIPDTSDNPFRYYIFPASFTRESSLQNFLLPPSGGNLMTAVSLLSALKDELSAIFHEYGLKYVFDAGSQEIKVMKESGDGEIFLIPFHSLADTLQRLIFYKAAILSNKRSILLFEEPEAHTYPPYITNVVQSVIDSTDNQFFITTHSPYIINALLEQLNDEVAIYFVDMKSGNTTVQRATEDEMQEMYESGVDLFFNIETYLK", "MKDLFIIPECYIDTNLVETLIITDGCNHQKGCNTVVKTMQQKFANAFSVGIIDEDKRQVSYVKEFSEIARTPSLRLKKHGSKSHYLIMVSPAMDGFLLKCAEELGISMEEYGYTSCLKEFTSITKSVTSKNDSAFKKLFKALDNASEMIVLKEWLEYLKKNQYKCNVDELKKIAKV", "MRKITLIIIHCSATPEGRNLDFETCRHDHIHHRGFKDIGYHFYITRDGGIHRGRSLERIGAHCKNHNRHSVGICYEGGLSAGGIPADTRTLEQKATLLALLRELRAVLPEAIIVGHRDLNPLKGCPCFDAVKEYGGL", "MENQKRKSTWSTILKVIIAVATAIGSVIGIQSCI", "MALNYSVSLRPNPLDKDAAPKAYATSQINGELTLKQLSKRVSSQTTVSRADVVAVLTATVDNLLEALTEGKQVDFGELGKFRLQIASIGTEKLTDFTAAHITGVNIQYVPGEDLKTIFGTLEFQPVASRAAQAAALRAEKEGKTVADLLKSKNEPEV", "MNEEETYMGEWPVKPYYKRDLAMGYAPDITPVAALNRLSAWIHHHKPLYQALLDSGYADRQRIFNSVQVGLIFHYLGRP", "MKPYYSLSDFPDRKNAGKNFIARCPKCGTMHLYISKAKGLYHCFYAGCEFNGILTDYCKDKRSIFSYPKEKSSDRTGTCPPPGTRHLTGNLPEYRNATENSVNEVPMLPGDYKILSPAVLQKIKPLDESPECTDPDQLAARRYLADQGISLATAIATHIGCLRHYCITKNSEDKREQASSVFPCIAYVNYVDGRPVNAKYRSCSPSPSAKTVTAANASAVSGEIEIPDGKTEESPVTYSKFWSQDSPTKPCAPYNIDCINPLLVEEETIPRLIIVEGEKDVLVLMEAGYRHVISVPSGAASDLAKSFEAFTSWLDQVQDIVLCGDTDLPGRTLVKHLSDYFGARCLFTTLPGGCKDIGDVMNLYGTEVVQSVIEDACACHTTDIITVEQRREEVMNVLHGKYDHGYSVGYGPLTDRVFHPTDTGGLIIMTGMPNSGKTDFLNDLTSRIMRDTERFVCYLSFEVPDKDKHIAHLIHLLLGKANTTAYTDEQLTPYIDFLNTHMIHLDMHEVPPTPGNILHRADLVRRRQPLKYLVIDPYLFVEAQSGKGETETQSIKSMLTRFQSWGRENHIWVIIVAHPRSLKKIDGKNAMEDINMYTISGSANWANLADFILSITRINEPDRAFTRLDVLKVRDQELCRTGTVYYTRQPCGRYEEHESEEECSSNNG", "MWIDLQNSFTFTPLAFLRTLMLSANFFVENSLSFIFFSLNIVNNTICLIIVCPLWTDASIFSFVTSPPLLLKQAYMAIKALKQSVSISETQKMIHQWKAKGITDSATLKLLDLYLGLTPYMTAEGIYPMRNLYQICQSMKTTHTTTLLENIRKCCSFGLIWNENRTHLLGFYSPLWCDGLKNTPAQAAGSVAELTVPVASPATTAANQATTNNSVLYNINNIIPPEDSRKGDPAGNGARKAKKMEADAGKTPSETPDGEKTSQTLSPATDFFHRLNTTPEDKQRVLIPLINRIATSHNYTRPRALQTLVILVNQFLIPHFNADPRFSRNSHAGRIIWLQNLMKTRHGQSLMEQAVARLSSELSKGLEEKRRKLREFRPVSPFEWKDAGTDIRYYDDPIDGKVEIPENAPPRPVETAIWNVLSKEWIDTGD", "MKIGILTLRLFKNYGGILQNYALSRFLQKNGYDVETINIVWSYQLNGVKKYFVWLKRLVRNMLIGKWYSLDEEKILRNNELVAAQRVNAFKEKNIPLSQKVYSLPGADFSDISNKYDTIIVGSDQVWRPKYTGGIEKYFLSFADNRIKKIAYSASFGTDKNEYSFYEKWVCGKLIKRFDAISVREESAISLIREQLHWDVDVIQTLDPTMLLDITDYKSLVGQVVNNNKIFVYILDSSVQKISFVEKLEKVLCMPGFTITPKGMDNKSAYVMPPVETWLRALLESEFVFTDSFHGCVFSILFNKPFYVYGNKSRGKSRFDNLLKIFNLQERYIDEHTPLENFEVNADIDWNSVNALLQKHKKKSKQFLLNVLEK", "MELLKFIIYSISFVIGVQISKGDLKLLKGKIEYKLRLSNYVAYLCALLGFVLIGVDIYTNGMLLYIGAVMLSFGAAIVGTWIISNINSKSFLLTLTELAAGFFLFFLVLDLFLLEKMSIPFIMGLYWRNNPLGAPFIKKFLLLQNYEKPQCVKIRSDEYTIGAIDYNYAGQKKSFERLRLYNVMDFGINPDVDEDALPKVQKMIDAIGKTGGIVYFPKGRYYFNRNRANRNFLRINTSHIHIQGEVDEQGTPVSVLVNCNSTLYGKKNPWLSPFFITTGENIQASNIFWGLQFLKKKNIVTKSLSMSDPGSDGTILTPEYCTDIIQESKIGDDILTVENTDCLIGCSHIMVGMYNTTEDGNLIKDILGVTELRPEWKTACRAGEEQAPSYQWLVEIDHIIDEHKVKLTRPFLRDCPMKYTPKIFRVEMLEDIVIQDIRIESKWNGLFRHHGYPLYYSVGQAQEMDYGWNAINMKRVAHGAISNVIIQNYTNPLYIMDSRNITVEKIIIRGSDGHQGIKLYEHACDNLVKDVVFYNHYADMMGGEGNAYGNVFDNVAYCNPYFRPVDFDFHGFSEGPMSPPSHNLFINCYGFRGIKGAGASYNLPACGKSNVWININWQGRTVKSSFFVGDAIPSSLQKEQIIK", "MKVLFVSDNAKLSNPFVETLIAGLYEFNLDCTASIKEWWANVEEYDIIHFQWPEIVFYWEHIRTKDLQEMEMQLQKAKSLGIKIVITCHNLKPHLKRNQDMVALYQLIYEYCDAFVHMGEYSFKLLQSDYPQAKHFIIPHHLYDNIYKFDKGRNESCVKLKMLDNRINILCFGQFRTDEERDLILKLRKHKDMQNVNFIVPGFFRHRLICKRPLEMLSRIWHYIRYRMEGVEFVNRVLSTTETETYFCACDIVFIQRFSVLNSGNLPMGFGAGCVVVGPNVGNVGSILNKTGNPIFNPYDIDSIVLAIKKAKELLSVNKGEENKMYAQTKWNTSAISRQLAEVYRCLKNE", "MEIYIFIGVFLLLITCIAPRDKTVFYFFCILFAMIGLFRSHNVGTDCIAYSSAFRKITLNPNTWNLILPFEPGFNVLCALFKQYISSSPMLLWGIMSAFYTFNMGRFFRKYTSNINIALLLFYLLGTYMFSFNIIRQSFAFALLLVSFSAMNIEELRKRDFFKCMLVIVVCAILFHSVMYALLVVPFVALYMKKWNISKRFLFFMLAMSFLAFYFNVAVNYVMGFVDQTGLEGKLINYAIRNAQIGEDSGYSILKVTFVSVWAAFLIKMCPVKTDLFLTLYIIGVVILNSFGNLVVEFARVYEIFNVFGIIYMARIWSMKRIGLQLYLYRIGVIIYSVVLFVNLLIKNYGEIVPYEFRF", "MNLDFERKEEINYSIIIPHKNSTVLLERCLKSIPCRKDVQVIVVDDNSENQEELNAVVGGFSQVELILTQGGGAGHARNEGLKYIRGKWVLFADADDFYNKNAFSILDNYINSDNDVIYFFANSLDVNTLLPTPREKDLQNIYEKYDSDNIRSADYIRFKNWAPWNKMIRSDFWFKYRIFFDEIPFGNDLNFSMKISFLAKRYEIITNRLYCLTYSSNSLTYKARSYELESLSLILRAQLNIYFKRLNRPLWHQYAFIYLLQIFQRKGGRYGWGYINYLFKNRKHIQQQIKINKTLIDNFLKLNVENRT", "MNVVQIVSHFDLGGAERIAINIAKSKESDINYHMIEVVRGSSNFSRDYMKELETAGIKYYRSPFTNSKMGIVFFPIRLIALIKKVCPIAIHTHTEIPDLSLYWTCLLAPRIMQDIKIVRTLHNTVLWNKWKSIGRVVEKFMQKHKANISTSNMITCTYQKEFGFDSNIKLIYNGFTAISQQIYPYIYSGKINILFAGRFVPQKGIPVLINIIKRVDEKVFYFHIAGKGPLEEIILQELGNKSNVRITPPIFSLAQYLSSFDYVFIPSEHEGLNSLSIESSINKVPVIINDIDGLNETLPQNYPLKVKNNCIDEYMTLFTDVIPTIDRVSLIDSVYQYSCQKFDIQQMQKQYEYIYKTGKKYGCFFHEK", "MSSKILFILHLPPPIHGAAMMGKYIQESELIDSSFDSYCINLATAGSLSDIGRTSFKKLLRYVLLLKHIYHVVRDIHPELVYITPNAGGKAFFKDFIVVQILKCMGYKVIVHYHNKGVSAYQSKWVYNFLYKRFFSNLKIILLAENLYKDIAKYVKREDIYICPNGIPNSCKEELKARRNNEVPHLLFLSNLLISKGVTVLLDALKILKEKEYTFVCQFVGGETSEMGAVQFSEEVDKRNLNDRIAYVGRKVGEEKEAFFRQSDVFVFPTYYYNECFPLVILEAMEYKLPVISTNEGGIPDMVKDGENGLICEKQNPVSLADCIAKLLDDEELRVKMGSAGHEKFCREFTLDKFENRMRDILNQNLFSS", "MDMKTTSEYMALLRKYMVENAHKYGIMRMGIFGSVARGEQTEDSDVDVCVELQTPSMFCLVHIKDELQHLFGCAVDIVRLREDMDKLLKQNIMEEGIYA", "MHKKDMVDAMLGKIEQAIIRILNNSQSIDSYHYYLITPAGMERLESTCMLLMAVGEGIKGVDKMTNKNLLVHYPEIDWKGVMGMRDIIAHHYFDLDAAVVFEVVKNNLPSMLTIIKKMRTELPLHEI", "MSRLKDFPSIHDRIHTGYSNALHSLYEIGRNLSDKERQEVIARVRAKGYRVEELEFYEYAPTDTMRHLFVRMEGEAESIPYFMLDKECWSEIVDALLVVYTSPS", "MLLKLTNLFLVENRQGLAVLPEGKLLINTINAHSYNTAQKDRFFAEALQRGDVLIPDGASIVKACRWLNAKSKPAGRIAGWDLFEFEMNKLNRKGGKCFFMGSSEKVLALIKRRAAVDFPHIEVETYSPPYKPEFSEEDNRAIVEAINKANPDLLWIGMTAPKQEKWAYTHWNELKIHCHCGTIGAVFDFYAGTVKRAPAWWQRHSLEWCYRLLKEPRRMWKRYIIGNLLFMWNICKE", "MKRILLLVFWCSASLWADAQALLGTTGLLHAPTADMQRDKTFLFGGNYLNTHPLSTHFRSREVGYTFNYYINITMFPWLEVSYICTLVHADHGSAYFPEQSWGKFTNQDRAFSARLRLWKEGWWKEWTPQIVLGLDDPTSHADHGGGELVSGNTSGSNNYATRYYLAVTKHLNFQNIGEWGVHAAFVYGNAKGMEHYKRPSFGTNFRFAFPETSIISKAANGLNLMAEYDARTCNVGFEYSFWKDYVNLVAELNNGKYFSGGLVFKVHLK", "MKQQSLKRCPIGIQTFEEIINKDYLYIDKTEYVYRMAYGASKYYFLSRPRRFGKSLLTSTLHCYFTGKKDLFKGLAIEKLETEWTEYPVLHFDMSLAKHVDKETLESMLDVQLFEHEKIYGKPERAVTLNDRMKTLIMRAYEQTGRQVVVLIDEYDAPLLDVVHEDENLPVLRNVMRNFYSPLKACDPYLRFVFLTGITKFSQLSIFSELNNIKNISMDEPYAAICGITKEEMLEQMGGYIERFALSQEMSKEDTLLKLQEKYDGYHFTWPSPDVFNPFSLLNAFEDGKLNSYWFGSGTPTYLIEMLRKFHVLPSEVGHSMQAMAASFDAPTERMTTITPLLYQSGYVTIKDYDKYTELYTLDIPNREIRVGLMQSLLPNYLAYNTDMGLTTIGRMYGAIRLGDMDGALRLLQEFLSTVPYCDNTNYEGHYQQLFYIIFSLFGMYVDVEVRTPKGRVDVVMRTADTLYVIELKLGKDADAAMSQINLKNYPERFTLSGLPVVKVGISFDAEEHTLGDWLIEK", "MQPFSLLLSVATGIRTMMYCKNDGLRDYQQEMKLRLFKEWEFHRSVMVQMPTGTGKTHLLAAVVKEFLCGIGTGTRVWIVAHRRELVEQIEETAARYGMGKEPDKTGKNGKTGKDSMPEESGRVRVFSIQWLSRNWKNIGEAPGLIVIDEAHHALAETYRELWKRYPEARKLGMTATPCRLNGKGFTDLFDALITSWSIAEFIGKGWLSAFDYVSIRADSREQQIIDSLKKRGVDGDYQVKEMNEVLNRQVSIRRLYESVERYAAGKKGMVYAVSIAHARQIAACYNAHGVSAVAIDSKTPASERRELVEGFRQGRIRVLVNVDIFSEGFDCPDVEFVQLARPTLSLAKYLQQVGRGLRKSGDKESCMLIDNVGLHRIFGLPVRERDWEAMFEGRILGDTFSRKRAENGLSVACSLLEEGRREEEWEMVMTHGQLLDAIRNRNLSDQEEGRNALSVLKAFHDRCSGLWGLRRRNRVTVMPRYKEVFDTCADRAAVCLEDGRTGVVDDNGKPKIIIDRCRRLRFLKGELLAVTGNDGTDAYIDLKTDRTYREKPVVCSYGSVELLKVGETFHSRTRKAYASMRGLHKDSLCFYGFYLKIPDYRVPKSCKLADPVWSTVFDVFACLLEGDDEEVYWCCGRLADRSIVVMDGQGSYYHVEKGKRKRYIACNNPEAGEEDFDSAVKRLKEEAGRRAEEMNLLLKRNEEEKRRKRLEEIGDVRPFRMGLKWGLKSGERIVVPPCYRNICPPVGGYCVFEENACQWGLMAPDGKVVVEARYQKVEIEGDGTVRLTVIPGKVKTIKL", "MKITILQRNIEWANPQANIARADEAISCLPDADLFVLPEMFSTGFCTQPEGIAESADSETLHWMKRKAAERNCAIAGSVAVCENGNYYNRFYFVHPDGAVQHYDKKHLFTFGGEHKRFTAGTERVVVNFRGVRILLEVCYDLRFPVWSRNLGDYDMILYVASWPTPRVDAWSALLRARAIENQCYVAGVNRMGVDPACEYSGGSAIIDPYGKTIAECPWSRESAVSADIDMEALQAFRKKFPVLDDADPFTLI", "MAEKKLLLGDEAIALGAIHAGISGVYAYPGTPSTEITEFIQNNPLAKERKLHSTWCTNEKTAMEAALGMSYAGKRALVCMKHVGMNVAADAFVNSAITGVNGGLVVLAADDPSMHSSQNEQDSRFYGKFAMIPMLEPSSQQEAYDMMDYAYTLSEKLKLPVLMRVVTRLAHSRAGVEVADIREENQLNPDHERAHWVLLPGNARKQYLDLVKKQEKLEEASSKSPYNYLEGLNPEYDYEFGVIACGIGYNYVKEADTDSCHIPVLKVSQYPLPAEEIRTMADRCGYVLVVEDGQPFVEEQVKAILSSDYEVKGRLTETLPRTGELTPDNVGEAIGWGIKRPFGKSQVVMPRPPALCQGCGHRDVYDALNKVAAEYPDARIFGDIGCYTLGALPPFRAIDSCVDMGASITMAKGASDAGVFPAIAVIGDSTFTHSGMTGLLDAVNDHANITVVISDNLTTAMTGGQDSAGTNKFEAICLGLGVEPEHVRVVVPLPKNMEEITRTIREEIEYKGVSVIIPRRECIQTLNRKLRQKKADKA", "MRKDIILSGVGGQGILTIATIIGEAATAEGINLKQAEVHGMSQRGGDVQSNLRLSDETIYSDLIAQGEADLIISMEPMEALRYLPYLQEEGWVITSANPFKNIPDYPEEVDLMRALESLPHVVKLEIEDMAKENSMPKCANVILLGMAAKYIEIVSPEQLRESIGRVFAAKGEKIVEMNQKAFDIGLNAVKNW", "MKIFSEELVEKAVKELHIANLAQATIGDVLLVAQYLEKETGIPFIRMDQGSPGLAANQIGIEAEKAALDRGVGSQYPAAAGVPELKKEASRFVKAFLNLDISPRACVPTTGSVAGSFGSFIACTQRIPGKDKVLFIDPGFPIQKSQLRIIGVEWEEFDIYHYRGQALREKLESFLSKGDIAAIIYSNPNNPAWICLEEEELQIIGELATRYDVIVMEDLAYFCMDFRRDMGHPFEPPYPPTVARYTDNYILMLSSSKIFSYAGQRMALACISDKLFDRQFPALAERYKDAGVFGPTLIASILYMITSGCTASTQYAYAEMLRLSTEGKINFVEDTREYARRAERMKKIFTDNGFHIVYDYDATQVVGDGFFFTIGYGNMTGGELLRELLYYGVSSISLSTTGSEQEGVRACTSRMRDELYPVMEERMRAFHEDH", "MSRDEMSALQGKRLNKLVNLVYHNVPFYRNKMQEMDLSPDDIQTIEDIVKLPFTTKQDLRDNYPYGLQAAPASEIVRVHASSGTTGNPTIVGYTRRDLSVWSEVMSRCLSAYGVTRNDTFSVSYGYGLFTGGLGAHYGVENLGATVIPASTGNTEKHVRLIRDLGITGIACTPSYALYLAEVVERMGLTKNDINLRIGAFGAEPWTESMRKEIEERLGLKGYNIYGLSEIMGPGVSYECQEQHGSHINEDHFYPEIINPETLERLPDGEVGELVFTTLTKEGMPLLRYRTKDLTSLMEGECPCGRTNIRMSGIVGRSDDMLIIRGINVFPSQVESVILDMPQFEPQYMLVVDRKNNLDSLQVQVEVRKDFFSDDMGSMLAMKKALSDKLKSVLSISAEVKLMEPNSIARSEGKSKRVIDNRILK", "MTIKQLSVFLENKTGRINDVTKTLAKYDINMHAFSMAESTDFGILRLIVSDVEKAVEVLRAENFAVMLTDVVCISCPNVAGSLAKVLDYLAAENIFIEYMYAFAQGDTAHVVIRPSNVERCVEILNKFNCNVLTKNSL", "LYMITLDNFEDFVPYKIWMRGEEYYETDAVSELEEISPGEWTATVEGTDDYNVEISMDGNEIESWYCDCPYDGEICKHVVATLLAIRDNRKKAGNSIFSKMKIKAEEAPVLEEIKEVGKQPCPSLVDIQQLLSFIDPQELSKFICEYASTNLEFKTALSNHFIAKELSLSSKGKDYRIEIQKVFKGSGYHKRSRYHNRYDNYDRDWKTIFNQMDTFLEKADFFLNLEAMDNSIAIALQVLRSIGENYDDELLYDDGISSSDYCEQAGDLLLKVIEHPKTTQAQKMEILQGLREIAEISIFREYDLYDVDELMMQINLSIQPAEKALELIDELLEVRKGTCDIYQLVIRKVNLLLEQNEEQKADDTIRQYLYLTEIRRMEVDKLIARCQYDEAICLLNDGIEIAEREMHSGTLGEWLKMKLDIYEITHRVSEVIDTCRLLFVVGSDKLIYYSKLKTLVPKEQWKSFLDRMMKEAELSDYFSFVGNDKAEIYVKEKDNDSLFSLLSSVRYNQLEALMKYAHYLKDTHSEKLIAIYTSLLNDYAERNLGREHYEFIARVLSCIRKLNGGQAVVKSLVAEFRIKYKRRPAMMEVLGKF", "METYLFWIVPIASLLALVLAWYFYKQMMLESEGTPTMEKIASYVRQGAMSYLKQQYKVVGLVFLGLVILFSIMAYGFNLQNPWVPIAFLTGGFFSGLSGFLGMKTATYASARTANAAQHSLNKGLRVAFRSGAVMGLVVVGLGLLDISFWYILLDYCIPTDALNPSAKLCVITTTMLTFGMGASTQALFARVGGGIYTKAADVGADLVGKVEAGIPEDDPRNPATIADNVGDNVGDVAGMGADLYESYCGSILAPAALGAAAFIGSDDTVMQFKAVIAPMLIAAVGIVLSIIGIFAVRTKENAGMKELLGSLATGTNLSSVLIVVATFLILWALGLENWVNISFAVVVGLIVGIVIGRSTEYYTSQSYKPTQRLAESGKTGPATVIISGIGLGMVSTTIPVLAVVTGIILSYWLASGFDFANISMGLYGIGIAAVGMLSTLGITLATDAYGPIADNAGGNAEMSGLGKEVRKRTDALDSLGNTTAATGKGFAIGSAALTGLALLASYVEEIRIGLTRLGQTILELPNGITVNVHNASFTDYMLYYDVTLMNPKVLSGMFLGSMMAFLFCGLTMNAVGRAAAHMVEEVRRQFREIKGILTGEAEPDYARCVQISTKGAQREMVFPSLLAIIAPIATGLIFGVPGVIGLLIGGLSSGFVLAIFMANAGGAWDNAKKFIEEGNFGGKGSEVHKATVTGDTVGDPFKDTSGPSLNILIKLMSMVAIVMAGLTVAWSLF", "VFSVAKQKKQYLSLKEYKLTDWLPTTKKEVEMRGWNELDVILFSGDAYVDHPSFGPAVIGRLLEAQGLKVAIVPQPNWRDDLRDFKKLGRPRLFFGVSAGCMDSMVNKYTANKRLRSEDAYTPDGRHDMRPEYPSIVYTQILKKIYPDVPVILGGIEASLRRVTHYDYWQDCLRKSILIDSGADLLIYGMGEKPITELCKRMKEGKDSQDGAHLPLQKDIPHDIPQTAYLICKKGSVPSEHSVIECVNEKPDIILHSHEACLKDKKKQAENFRFIEEESNKYEASRILQDTGNETVVVNPPYPPMSQGELDHSFDLPYTRMPHPKYKGKRIPAFDMIKFSVNLHRGCFGGCAFCTISAHQGKFIVSRSKESILREVRAITEMPDFKGYLSDLGGPSANMYAMRGKDEKICRRCKRPSCIHPKVCPNLNTDHRPLLDIYHSVDALPGIKKSFIGSGVRYDLLQYQSKDPAVNRSTAEYTRELIAHHVSGRLKVAPEHTSDQVLQIMRKPSFSQFYDFKKTFDKINKELNMRQQIIPYFISSHPGCTEEDMAELAVITKKLDFHLEQVQDFTPTPMTVATETWYTGYHPYTLQPVFSAKTPKEKLAQRMFFFWYKPEERRAIINELRKIGRADLIDKLYGKYKA", "MYTIQTNASGTRSMEISEENLQTIEKYALFQHLIDSNGIVDESVLEKLKLNIRSLITSEEGNNKELLDLCIDVIYHNNMKAFGLHQLILLYIQWEKEKNKDEEEI", "MKKIFLSFLLVMAGISHTLAQGLDGNVEQRLKDFFTRYETSYANIGKCKLDRYEVNHDKKRLNVYASPSFGYQPFTPEKTEAIYRLLRQSLPGPVNYYDITIYADGKSIEDLIPNYLRKKQDKSRLWQRTDYKGDPWVKNISRPFTAGKGLEGRHIALWQSHGKYYKKDKGCWEWQRPRLFCTTEDLFTQSFVIPYIIPMLENAGAIVYTPRERDWQRNEVIVDNDTHPQGCIYQEIKSRKGKWKTAPTPAFAQKRLVYRDGQNPFEEGTARFASTEKKPEKAFAQWIPHIPETGRYAVYVTYQTLPGSVSDAKYLVFHKGGVTEFLVNQQIGGGTWVYLGTFEFDKGTNDYGMVILSNESRQKGVVCADAVRFGGGMGNISRGGKTSGLPRYLEGARYAAQWSGFPYSVYSPSEGKNDYTDDINARSRIINYLSGNSVYNPKEKGLGVPFEMTLGVHSDAGFSKEDDLIGTLGIYTTDYNSGKLNAGISRYASRDLADMVLTGLQQDISAQFGIRWQRRSLWNRNYSETRLPAVPSMILELLSHQNFADLKLGHDPRFKFTVGRSVYKSILKYLSTMHGTDYVVQPLPVNNFAIHSGSRKNTFQLTWQAVDDPLEPTAKAQQYIVYTRLGHGGFDNGTLVRGTEYTFEAEPGLVYSFKVTAVNKGGESFPSEILSAYQAKKSKGTILIVNGFDRLSRPATVESPFLQGFDLNTDPGIPYINTPAFCGTQQSFDRSRIGRETKDGLGYSGSELEGMLIAGNTFDYPFIHGKAIQAAGGYSFVSCSDEAVENGFVRLADYPITDLIFGADRRPFSHTLQQLLTTYCQGGGNLMLSGSYIGSNMNSPTALNFTENILKYSFGGSMINSTSGEIYGANTRFSIPRTINEQTYAVPAPDCLTPIAPAYSAFVYNPGSYSAGVAYKGKYRTFVLGFPFESIQGVKERARVMSAILGFFGSK", "MKRIIFNFCFVWLAVSAFAGSKVVEMRNYGLVPDTHENLSPKLQKALQDIKSQVALGDKVTLLFESGRYDFHPEGAAVREYYISNHDQDNPKTVGFPLEDWKGLTVDGQGADFIFHGRMLPLSLLRSENCTLRNFSIDFETPHIAQVKILESGEEGITFEPAAWVKCRINEKGFFEAYGEGWSSAPQGGIAFEEKTKRLVYRTSDLWCPMEGLKEISPRVYHAPQWKDARLKPGTVVALRTYYRPAPGIFLSNDKDTRLQNVKVHYAEGMGLLAQLCENITLDEFSVCLRGDKDPRYFTTQADATHFSSCRGKIDSRNGLYEGMMDDAINVHGTYLKIKQRLDDHTVIARYMHPQAYGFEWGVNGDEVQFVRSATMELTGGKNRVKEILPNDKDTVKGAKEYRITFAEPLDAEITDKEGFGIENLSWCPEVYFADNVIRNNRARGTLFSTPLKTVVERNLFDHTSGTAILLCGDCNGWFETGACRNVLIRNNRFINALTNMFQFTEAVISIYPEIPDLEHQKKYFHGGKGEKGVVIEDNYFETFDRPVLFAKSIDGLIFKNNVIRQNTDYPAFHHNKSRFRLLHTRNVKIEKNNFEDGDESIARE", "MKKAICGFIYYKLLGWKTKVSVPDYDKYIICAAPHTTNWDLFIGKLFMGAIGRETGFMMKKDWFFWPLGPIFRWMGGIPVDRSRKTSLVDQMIKIAKSSQKFHLAITPEGTRKANPNWKKGFYYIAQGAGLPIILVAIDYEKKCITAEKVIHPSGDLDKDMREIKLYYKNFKGKYPENFSIGKVE", "MASRRNLKKKITNIASDLFLVSLMEGVNREVVCNSVHNVIKLIIRISHTEPGNVKGFYKKLNEDLNKEIKVVADELAKATKA", "MTKSALQIARAAYQPKLPKALKGAVKVKEGEPTQSVADQEAIKALFPNTYGMPLIQFVEGEAVNMPAINVGVILSGGQAPGGHNVISGLFDGIKALNKDSKLYGFILGPGGLVDHNYMELTSDIIDEYRNTGGFDIIGSGRTKLEKVEQFDKGLEIIKELGIKALVIIGGDDSNTNACVLAEYYAAKNCGVQVIGCPKTIDGDLKNEMIETSFGFDTACKTYSEVIGNIQRDCNSARKYWHFIKLMGRSASHIALECALQVQPNVCIVSEEVEEKNMSLDDVVTYIAQVVANRAAQGNNFGTVLIPEGLIEFIPAMKRLIAELNDFLAANASEFALIKKSHQREYIISKLSKENSEIYASLPEGVARQLTLDRDPHGNVQVSLIETEKLLSDMVAVKLAQWKEEGKYVGKFAAQHHFFGYEGRCAAPSNFDADYCYSLGYTASMLIANGKTGYMSSVRNTTAPAEEWIAGGVPITMMMNMERRHGEMKPVIQKALVKLDGAPFKAFAAQRERWAIETDYVYPGPIQYFGPTEVCDQATKTLQLEQGK", "MVRAKSTRPAPVKKKAVAKPVRRTTQRKAKKKPQREMPSWLRYVIAGIIAFLFLAAFFYFFIRPYSYRWKPCYGFKAYGVCMPSGFHVHGIDVSHYQGNIDWKMLTQTRQGKFPIHFVFMKASEGGDYGDKAFSSNFDSAKTYGFIRGAYHFYNPKTDPVRQADFFINSVKLDSGDLPPVLDIEKRGKDENQLRRDLKLWLDKIEQHYKVKPILYTSYKFKTRYLNDSVFNSYPYWIAHYYVDSVEYRGEWKFWQHTDVGTLPGIREKVDLNIFNGSLEELQLMTIKK", "MNLLLNIIWLICGGFLICVEYIVSSLLLMITIVGIPFGFQTLKLSMLALCPFGREIRSCPGDGGCLSVLMNIIWILVGGIWISLSHLAFGVLLCITVIGIPFGLQHFKLAGLALTPFGKEIV", "MKYLEVTFTTHPCNETVNDVVSALAGEIGFESFVECEGGIQAYIQQTLFDEEALKEMVVNFPLPDTRIEYTIKEAEDKNWNEEWEKNFFQPIVIGDRCCIHSTFHKDTPKTEYEILIDPQMAFGTGHHETTSSIISELLEADLKGKSVLDMGCGTSILAILASMRGANPVTAIDIDDWCVNNSKDNIALNHIHNITVELGDANLLKGRKAFDVIIANINRNILLADLPHYAACMHPGSEIFMSGFYIQDIPFIREKAESLGMEFVHHREKNNWAAVKFIMK", "MKPVKLFYQERCPFCKKALRYIEELKAEYPEFQPIEIEMIEETQHPDIADQYDYYYVPTFYVDGVKVHEAGIFKNEMEALLRKVIE", "MKITLIRKDEEQGTEALSLCDTDAFFEKVKTENKAGHISELREILPRLAGSSARYVHIDKLPRVYPAVEYTRKQKGEKRMKHYNGLVQLEVNRLADLYEVEYVKRQVEQLPQTFAAFCGSSGRSVKIWIRFARTDGSLPTATQEVLLFHAHAYRLAVTCYQPMLPFGITLKEPDLMQSCRMTVDEQPYYNPSSVPFCIEQPLALPDEETFRQRKQNSESAPERMTPGCESMQIFAQMYQSARKRALAEMENWKRDDGLEPLLPHLVEQCFKTGIPEEEAVQRTLMHYFHATDTETIRTAFGNGYREMKGFGKKSGFSKEQEATFRLEEFLKRRYEFRFNTVLDEVEYRQRDSVHFYFKPLDKRTRNSIALCALKEGLQVWDRDIDRFLTSDFVPLYNPVEEYLCDLPRWDGTDRIRALARLVPCGNPHWEELFYRWFLGMVAHWRGMDRQHGNSTSPLLVGSQGFRKSTYCRILLPPELRFGYADSLDFSSKQEAERALGRFFLINLDEFDQITVNQQGFLKHLLQKPTANLRKPYGTSVRELRRYASFIGTSNQKDLLTDPTGSRRFICIEVTDPIDTNVTIDYRQLYAQAMHLLYKNERYWLNDEDEAVLRQSNSEFEQISPLEHLFHCNFSSATNEKEGEWMTAMDIFNYLQENTRDKLSINKINWFGRILRKLNIPKKTSTRGTLYHVTKLK", "MAILFDWYENPKTSEQQGEENMLHPRLRLNGKVSTAQLRARIQKYCTLTETDVIAVLDALSHAMGEELAEGXXXXHLDGIGFFRPNLVSTEPVTEKTKRKNTKVRLKGIVYRPDRLLMDEVGKVKVQRTRFSFHSSKLTDEEIDALLTEFFTTHDFVQRKSFQLLCSMTQSTASRHLHRLCEEGKLKNVGLPKQPVYKPINGYYVVNE", "MKKIVLASLMMVCLPYWAMSQSVDDDLYFIPKKKAEKKEEVKQEVKVVVPQKQNTTTVYAAPGSTVVVKDVKGKVRDVDEYNRRYTSRDNNFSMENDTLYIEEKPLNERGEWVNGFEGSQDDYEYAMRIVRFRNPRYAIPVSSPLYWDVVYGLPSWDWNVYDDGLYAYVFPTYTNRLWWDWRWNYPYGASWGFSWGWSSPWYYNSWYYPGYWGGGYWGGYWGYHHHHHHHYYPHYSGHGWGGGYWGGGHGWYGSSRRNPGIHAGRYTNSYGSSRPSRNNYVNSYDRNSRNSYVGNSRQNVRNSANSYRTATRNGSSSGRVVSGSDGVNSVRPNRSAIRTRTGATLSPSQAAGVRPGNSYSRPTEGRGSSYTRPSSTRRSVGNAAGSAYQRTGAASRGAYRNNSGVSNSRSSSYSRSSSPSRNSSSNSYRSSGTSSSRSSFSSGGGASRSSGGGVSRSSGGGRSRTR", "MKNKVIVTACTLLAMATASAQNAYDAEKLLGNDLNGTARFVGMGGAMGALGGDISVMSSNPAGIGIFRSNDVSVSFGFNNTSTKSNFSGVSMNEDKTRASFDQIGFVYSNKIGNNTSLRFVNFGFNYHKSKNFNRLFSMGGALDNMSQSFQLAQEMERYGLTESEFNDILDAKNPYTKYWNQLPVLGVMGVRTGVVDWFNDPEKNIHAPWGWNGFNNNFFSKETGGISQYDFNVSFNIEDRVYLGATLGVYDVNYDRYTSYTENLNDDKGADNGGYTLDNYYRLEGTGMDLKLGVIVRPIESSPFRLGFAVHTPTWYDLRESYNATLSSDILAYEKPYIQTLSDFLVTPEYDYLTYDYNLTTPWKFNVSAGTTFAGAVAVGAEYEYQDYSSSKLKDVDGYELGDQPSVENYLKGVHTFRIGMETRIIPEFSIRAGYNYSSAAFSKDAYSALSLYSTRTDFNNTESKNTFTFGLGYRGSVIYADLAYKYDMYKSNFYAFDDNIDLPATKVDNERHQLLLTVGARF", "MDIRQLCLFCLSFLSALGVYAQAEQKDSTVQDNKGVLITLTDGIATTVRHTGRKIRKVGKEFNAVDTTYISPNLYNLAFMLESSSWYEYYRLGSKGNNGEQSLRFSPNASFKLGVYFGWRWIFLGYSFDVKDIFGGHKNKAKKTEMALNLYSSKFGVDLYYRKTGSDFKIRSSSGFDLSTPIKNLNFNGLQSKIKGLNAYWIFNYKKFSYPAAYSQSTNQRKSAGSLMAGFSYSQHNISFDYEKLPGEVRAQLHDALLFKKVKYSDYSINVGYGYNWVFAKNWVSNLSLLPAIAYKKSKIDDTPNTDNHWIKDINFDLITRAAVVYNTNKYFVGASLVMHTYDYRKRNFSLTNTFGTVRVYAGFNFWKRKEFRDK", "MVKLDKVKWGFIGCGEVTEKKSGPAFALIEGSEVVAVMSRDKEKAQSYAKRHNIPRWYSDPQQLIGDEDVNAIYIATPPSSHATFAIMSMKAGKPVYIEKPMAASYEDCARINRISQETGIPCFVAYYRRYLPYFQKVRQMVEDGEIGNVINIQIRFAQPPRALDYNSTNLPWRVQPDIAGGGYFYDLAPHQLDLLQDMFGCILEAQGYKSNRGGLYPAEDTISACFKFDSGLPGSGSWCFVAHESAKEDRIEIIGDKGMICFSVFTYDPIALHTERGREEFLPENPPHVQLPLIKAVVEHLQGKAVCTCDGISATPTNWVMDRILDKL", "MRKYLKYILMGILAVTLSSIFFKKKTEAETSLPRDYAEIAASGILRAVTEYNSISFYADGDTVSGFHYELLHAFAHSKGLKPEITPEMSFSKRLEGVQKGTYDILANSTVVTTEYKDSLLFTRPIILNKQVLVQRKPEKENDSLYIHSQLELARKTLHLVKNSPAILRIHNLSNEIGDTIYIKEVEKYGQEQLLAMVAHGDIDYAVCDESIAQASINDFPQLDIETAISFSQFYSWGVSKHSPILLDSLNSWLNDYVKTPAFKLLRKKYYNN", "MKRIFSIIFLLFLCFHTSLMAQRTMNTLNNQFGSSANGLDRNQYDRNGNPIDTTAVVDANTIPIGLYSWKVDSRFGNVTYIPVDTLQHAFQNSNDMGGYTGQYNYLGNLGSPRLSRIFFDRRDPSQYFFTDPYDFCVQRPEDVIFTNTFSPFTNLSYYKGGGSRDGEERFKSYFAINANKRLGFGFYIDYLYGRGLYQDQSTAFFNGGLFSSYRGDKYDMHFIFNNDNLKMAENGGITDDRYITNPLDMAEGKKEYSANEIPTRLSQIWNHNTSYHAFLTHRYNLGFYKEKQDSVDTDSVKITQVFVPVTSFIHTLQVDLNNRKYISYDDTQNQKYFEHNYLGTDSIDKTKRTSIKNTIGIALQEGFNKWAKAGLTAFLSYEYRNFALTDTTNIPGQRIINNYKESSLSIGGELSKKQGKLLHYNILGELAIAGEDAGQFSVEGRGDLNLRLFGDTVRLDVNAFIKNQNPVFYFRHFQSKHYWWDNNDLSKIMRTRLEGKLSLNRWGTQLRAGVENIKNYTYLANASIPVKDSEGNVTGFKNNAAVRQYSGNIQIFTAMLQQKLKVGIFHLDGEVAYQKSSEQDILPLPELSAYGNLYMKFGLAKKVLQIEMGADVRYFSKYYAPDYSPVIGQFYNQNPDDKIEIGAFPIVNVYANLHLKRTRFFIMMYHVNAGSGKSNYFLAPHYPINPRMIKFGLSWNFFD", "MKQEIIIAGFGGQGVLSMGKILAYSGLMEDKEVTWMPAYGPEQRGGTANVTVIVSDERISSPILSQYDTAIILNQPSLEKFEGKIKPGGILIYDGYGIIEPPTRKDIQIYRIDAMDAANEKGMAKTFNMIVLGGLLKLRPVVSIESVIKALRKTLPERHHHLIPMNEEAIRIGMEIIKPQ", "MTKEEIIKPENLVYKKPTLMNDNPMHYCPGCSHGVVHKLIAEVIEEMGMEDKTIGVSPVGCAVFAYNYLDIDWQEAAHGRAPAVATAIKRLWPGRLVFTYQGDGDLACIGTAETIHALNRGENITIIFINNAIYGMTGGQMAPTTLVGMKTATCPYGRDVAIHGYPLKMTEIAATLEGTAYVTRQAVHTVPAIRKAKKAIRKAFENSMNGKGSNLVEIVSTCNSGWKMTPQASNDWMVEHMFPFYPLGDLKDKE", "MNIDLIRNILNILFMALALAAVITYFMASDFKVFIYVCAAAIFLKLMEFFMRFML", "MAEEVVLMKGNEAIAHAAIRIGVDGYFGYPITPQSEILETLAEEKPWETTGMVVLQAESEVAAINMVYGGAASGKMVMTSSSSPGVSLKQEGISYIAGAELPCLIVNVMRGGPGLGTIQPSQADYFQTVKGGGHGDYRLIALAPASVQEMADFVGIAFDLAFKYRNPAIILADGVIGQMMEKVVLPEQRTRLTDEEVIARCPWATTGRTHHRTPNIITSLELDPAEMEKRNIHLQKKYAEIEENEVRFEELHCEDAEYLIVAFGSCARIAQKAMEMAREEGIKVGLLRPITLWPFPSKAIAARAAQVKGILTVELNAGQMVEDVRLAVECKVPVEHFGRLGGIVPDPDEVITALKEKLIK", "MAKIKGAIVVDTERCKGCNLCVVACPLHVIALNAKQVNKKGYTFAHQVLEDTCNGCASCATVCPDGCITVYKVKQQ", "MRQSCLMTEQLQDIKTLIEQGDTERAIHALTNFIRNDAHVNDEPYYLLGNAYRKMGDWQQALNNYLEAIERNPESPAVSARDMIMNILNFYNKDMYNQ", "MISSNGLIIIEMVSSETVDRGTVLFILKGETCLNGICHGAFGEVYGRSFVCFLGGVVRLSPPKKSFMYYQNKLSRYKYMGEKTILLLHLHTYYMPSDRHYWGSSFLLPHR", "MKKILFLMLLCLPFIAMAQTDPKYLAGAITMNDGKVSFKTEIQAPSLTKDQLYGTMLKWATERFKPEGKFNARVLYTNEDEGTIAAGGEEYLVFSSSALSLDRTRIYYQLFITCENGKCDIEMTRIRYWYDEARDGGEKYSAEEWIVDDMALNKSKTKLAPICGKFRRETIDLKDTLFKSIQDTLGNKVLNNSQIAVAPAPGVTATPISNATTIVTATPVTPPAQPAVIGGSEGNTEIKAANNATPSKEQSIDDQIKASSRMTITAGNDEQFEIGKECWGGFGQLFGKEVAFCVIDQAKSMGNMLMDQSDNYKISFYKQGNSEPWLIVNCKKLMKQTVTGEEAKKMNPSNDGQKAYNMYVGEVIK", "MKKVGLASDHAGFELKEFVKTWLTEKGYPCKDFGTYSTESCDYADYAHPLALAIEAGECGPGVAICGSGEGISMTLNKHQGIRAALCWMPEIAHLSRQHNDANVLVMPGRFIDHEMAEKILDEFFNSGFEGGRHQKRIEKIPVK", "MNEKKLMNRAADNIRILAASMVEKANSGHPGGAMGGADFVNVLFSEFLVYDPENPRWEGRDRFFLDPGHMSPMLYSTLALTGKFTMEELAQFRQWGSPTPGHPEVDIMRGIENTSGPLGQGHTFAVGAAIAAKFLKARLGHVMDQTIYAYISDGGIQEEISQGAGRLAGHLGLDNLIMFYDSNDIQLSTATDAVTSEDVAKKYEAWHWKVITIDGNDPDAIRTALTEAKAVTGQPTLIIGKTIMGKGARKADDSSYERNCATHGAPLGGDAYINTIKNLGGDPTNPFQIFPEVKELYAKRAEELKKIVAEKYAAKAEWTKANPELAAKLELWFSGKAPKVNWNVIEQKAGDATRSASAKVLGVLATEVENMIVSSADLSNSDKTDGFLKKTHAFTKDDFTGAFLQAGVSELTMACCCLGMALHGGVIAACATFFVFSDYMKPAIRMAALMELPVKFIWTHDAFRVGEDGPTHEPVEQEAQIRLMEKLKNHKGHNSMLVLRPADAEETTVAWKLAMENTSTPTALIFSRQNIANLPAGNDYSQAAKGAYIVAGSDENPDVILVASGSEVSTLEAGAELLRKDGIKIRIVSAPSEGLFRSQSKEYQNSIIPTGAKVFGLTAGLPVNLEGLVGANGKVFGLESFGFSAPYKVLDEKLGFTAQNVYNQVKEMLA", "MKSDAKSTIEAGKAILGIEFGSTRIKAVLIDQENKPIAQGSHSWENQLVDGLWTYSVEAIWHGLQDCYADLRSNVKKLYDTEIETLAAIGVSAMMHGYMAFNKEEEILVPFRTWRNTNTGPAAAALSELFVYNIPLRWSISHLYQAILDNEEHVSNIDYLTTLAGFIHWQITGQKVLGIGDASGMLPIDPATKNYSAEMIAKFDKLVAPKGYPWKLTDILPKVLPAGENAGFLTPEGAKRLDVSGHLKAGVPVCPPEGDAGTGMVATNAVKQRTGNVSAGTSSFSMIVLEKELSKPYEMIDMVTTPDGSLVAMVHCNNCTSDLNAWINLFKEYQELLGIPVDMNELYGKLYNHALAGDADCGGLISYNYISGEPVTGLADGRPLFVRSANDKFNLANFMRTHLYASVGVLKIGNDILFNEEKIKVDRITGHGGLFKTKGVGQRILAAAINSPISVMETAGEGGAWGIALLGSYLVNNEKKQSLADFLEDKVFAGDAGIEISPTAEDVAGFNTYIENYKAGLPVEEAATRFKK", "MEKAFDQYEVWFVTGAQLLYGGDAVIAVDAHSNEMVNGLNESGKLPVKVVYKGTANSSKEVEAVFKAANNDEKCIGVITWMHTFSPAKMWIHGLQQLKKPLLHLHTQFNKEIPWDTMDMDFMNLNQSAHGDREFGHICTRMRIRRKVVVGYWKDEDTQHKIAVWMRVCAGWADSQDMLIIRFGDQMNNVAVTDGDKVEAEQRMGYHVDYCPASELMEYHKNIKDTDVEALVATYFNEYDHDASLEDKSTEAYQKVWNAAKAELALRAILKAKGAKGFTTNFDDLGQTDGSYFDQIPGLASQRLMAEGYGFGAEGDWKSAALYRTVWVMNQGLSKGCSFLEDYTLNFDGANSAILQSHMLEVCPLIAASKPRLEVHFLGIGIRKSQTARLVFTSKVGSGCTATVVDLGNRFRLIVNDVECIEPKPLPKLPVASALWIPMPNFEVGAGAWILAGGTHHSCFSYDLTAEYWEDYAEIAGIEMIRIDKDTTISNFKKELRMNEVYYMLNKALC", "MCRCNNLPIDKLADCHITQLFIMLEKLKEKVFRANLDLVKHGLVIFTWGNVSAIDRETGLVVIKPSGVSYDDMKAEDMVVVDLDGNVVEGSLRPSSDTPTHVVLYKAFPEIGGVVHTHSTYATAWAQAGMDIPNIGTTHADYFHDAIPCTADMTEEEVKGAYEQETGNVIVKRFKNLNPVHTPGVLVKNHGPFAWGKDANDAVHNAVVMEQVAKMASIAFAANPHLTMNSLLIEKHFSRKHGPNAYYGQK", "MTTYYNINPKFYVSVDCIIFGFDEGELKLLLLKRNFEPAMGKWSLMGGFVQEDESVDAAAKRVLAELTGLENVYMEQVGTFGDLERDPGERVISVAYYALVNVNEYDRELVQQHNAHWTKIDELPQLIFDHPIMISKARELMKHKASYNPIGFNLLPELFTLTQLQNLYEAIYGEPMDKRNFRKRVAEMDFIEKTDLIDKSGSRRGAYLYKFNDKAYRKDPKFKL", "MNWNSHEFIWLDWTILAVGIVAVIWAVWRSVQKDKRSQQGASSEDYLFGKGEPWYIIGAAIFAANIGSEHLVGLAGTGAKSGVGMAHWEMQGWMILLLGWLFVPFYQLLNNKMGKIITMPDFLKYRYTPRTGSWLSIITLIAYILTKVSVTAYTGGIFLEFLLGLPFWYGAIGLIVLTGIFTVLSGMKGVMTLSAIQTPILIIGSFLVLFLGLSALGDGNIATGWTEMMDHARSAMNVGADGHAYGANHMFHWTEADPMYQDYPGFWVFIGASIIGFWYWCTDQHIVQRVLGQRKGEDNDVVMKRARRGTIAAGYFKILPVFMFLIPGMVAAALAAKGEFDMSNTDAAFAVMVKDVLPAGVKGIVTIGFICALVASLAAFFNSCATLFTEDFYKPMFKNKSEATYVMVGRIATVVVVILGMAWIPVMMSLGSLYDYLQGIQSLLAPAMVAVFALGIFSKKITPKAGETAMIVGFLIGMLRLLTNILTNTGKDVMTGWFWENTTWFWQTNWLIFEIWLLVFLLLLMVVVSCFTPAPTAKQVEAITFTGSYKELIRKSWNKWDVITSLGVVLLCALFYAYFW", "MKSMKRTFLGTGIALTLLSACAPKQSQETLTKSGLNPTNYETIVDGVKPVKLYTLKNAAGMEVCVTNFGGRIVSIMVPDKNGNLKDVVLGFDSIADYQNIPSDFGASIGRYANRINKGVIVMDGETIQLPQNNFGHCLHGGPKGWQYQVYEANQLNDSTMTLTMKSPDGDANFPGNVTATVTYALTRDNAIDINYEATTDKKTVINMTNHSYFNLSGNPANPATDHILYVNADSITPVDSTFMTTGEMMAVTETPFDFNTPKTIAPDVTNFENEQIKFGNGFDHNWVLNTKGDINQLAAKLTSPTSGITLEVYTNEPGIQVYTGNFLDGTVKGKKGITYPQRASVCLETQHYPDSPNKSQWPSVILEPGQTYHSQCIFKFGVEK", "MDIEYVRSRFIKHFDGTTGSVYASPGRINLIGEHTDYNGGFVFPGAVDKGMIAEIKPNGTDKVRAYSIDLKDYVEFGLNEEDAPKASWARYIFGVCREMIKRGVDVKGFDTAFAGDVPLGAGMSSSAALESTYAFAINELFGDNKIDKFELAKVGQATEHNYCGVNCGIMDQFASVFGKEGSLIRLDCRSLEYQYFPFKPEGYRLVLVDSVVKHELASSAYNKRRQSCEAAVAAIQKKHPHVEFLRDCTMEMLQEAKAEISEEDYMRAEYVIEEIQRVLDVCDALERGDYETVGQKMYETHYGMSKLYEVSCEELDFLNDVAFDCGVTGSRVMGGGFGGCTINLVKNELYETFITTAKERFKEKFGRSPKVYDVVISDGSRKLV", "MNQQKQNGNIIAIITMFFLFAMISFVTNLAAPFGTIWKNQYAGANTLGMMGNMMNFLAYLFMGIPSGNMLVKIGYKKTALIAMAVGFIGLFIQYISSLFGADIDVFNLGEYAIKMNFIIYLLGAFVCGFCVCMLNTVVNPMLNLLGGGGNKGNQLIQAGGALNSLSGTLTPLFVGALIGSVTPQTAMSDVAPLLFIAMGVFVSAFIALSFIAIPEPHLRKAGHEKEKFSHSPWNFRHTVLGVIGIFVYVGIEIGIPGTLNFYLADPTEKGAGLLANGAAIGGAIAAIYWLLMLVGRSASSVISGKVATRTQLIVVSATAICFILIAIFTPKEITVSMPGYSVENGFEMASVPVSALFLVLCGLCTSIMWGGIFNLAVEGLGKYTAQASGIFMMMVVGGGIFPLLQQFISDAVGYMASYWLIIALLAYLLFYGLVGCKNVNKDIPVE", "MINTSTSDENLCGLKRADFQTTVNGKQTDLFILKNENGAEIAVTNYGGAVLAIMVPDKNGKYANVIQGHDSITHVINSHEPFLSTLIGRYGNRIAGGKFILEGKEYSLTINNGPNSLHGGPTGFHTRIWDAEQETPQSLKLHYLSADGEEGFPGNLDIHVTYTLSNQNEFIITYHATTDKTTLVNLTHHGFFSLSGIANPTATVDNNIVTINADFYTPIDNVSIPTGEIAKVEGTPMDFRTPQRVDSRINDPFEQLEFGAGYDHCYVLNKREAGTLSFAAKCVEPESGRSMEVYTTEPGVQVYTSNWHNGFEGAHGATFPARSAICFEAQHFPDTPNKGHFPSCVLHPGETYNQVTIYKFGVEK", "MNYEETLDYLYNSAPLFQHIGKDAYKAGLENTYLLDKYFNHPHRQFRTIHIAGTNGKGSCSHTLAAILQSAGYKTGLYTSPHLIDFRERIRVNGIPVSKEYVIDFVEKHRAFFEPLHPSFFELTTAMAFHYFAQSQVDVAIIEVGLGGRIDCTNIIRPDLCVITNISFDHIQFLGNTLAKIATEKAGIIKEKTPVVIGETTPETKPIFTTRAKEVNAPIYFAEEEQLLHSSSINEKGKRIYQTTDYLNLEGELEGLCQLKNTNTLLSAIRLLKQAGYQLTESNIRKGFSQVCELTGLMGRWQKLESEPTLICDTGHNVGGISYIIEQLEHQKYERLHIVIGMVNDKDISGVLSMLPKNATFYFTKASVKRALSEKELQSLAMQSGLHGDTYPDVETAVTAAKEKANKNDFIFVGGSSFIVADLLKFHV", "MAKRDYYEVLEVDKTATLDVIKKAYRKKAIQYHPDKNPGDKEAEEKFKEAAEAYDVLSNPDKRARYDQFGHAGMSGAAGGGFEGFGQGMSMDDIFSMFGDIFGGHGGGFGGFGGFGGGGRSAQRKFRGSDLRVKVKLNLKEISTGVEKKFKLKKYVTCDHCHGSGAEGEGGTETCPTCHGTGSITRTQQSIFGMVQSQSVCPQCNGEGKIIKNKCKACAGEGIVYGEEVVEVKIPAGVAEGMQLSVNGKGNAGKHNGVPGDLLVVIEEESHPDLIRDENDLIYNLLLSVPTAALGGTVEIPTIDSKVKVKIEPGTQPGKVLRLRGKGLPNVNSYGYSNGTGDLLVNVSVYIPETLNKDEKQALEKMQESDNFKPNTSIKEKIFKKFKNFFD", "MSKNKKNKKFNKNMNPTEKNQPQDEEVLKNQEAAEAAIDEETQKEATEELNAEEKVNKELAEAQKTIEEQHDKYLRLSAEFDNYRKRTMKEKAELIKNGGEKAITAILPILDDLERAVKTSETSDDVKAMREGIELIYNKFLKVLNQEGLQKIETDGENFDTDYHEAIALVPAPSEEKKGKILDCVQTGYKLNDKVIRHAKVVVAQ", "MITVSNLAIQFGKRVLYNDVNMKFTNGNIYGVIGANGAGKSTFLKAISGELEPTKGSVVLGPGERLSVLSQDHFKWDEYTVMDTVMMGHTVLWNIMKQREELYAKTDFTDEDGLKVSELEEKFAELDGWNAESDAASLLSGLGIKEDKHYMLMGELSGKEKVRVMLAQALYGNPDNLLLDEPTNDLDMDTVTWLEEYLSNFEHTVLVVSHDRHFLDSVCTHTVDIDFGKVNMFAGNYSFWYESSQLALRQQQNQKAKAEEKKKELEEFIRRFSANVAKSKQTTSRKKMLEKLNVDEIKPSSRKYPGIIFTPDREPGNQILEVSGLRAETEDGMVLFNDVNFNVEKGDKIVFLSRDPRAMTAFFEIINGNRTPQAGKFAWGVTITTAYLPLDNTDFFESDLNLVDWLSQFGEGNEVYMKGFLGRMLFSGEEVLKKVNVLSGGEKMRCMIARMQLRNANCLILDTPTNHLDLESIQAFNNNLKTYKGNVLFSSHDHEFIETVANRIIELTPNGIIDKMMEYDEYITSDHIKEMRARMYGDN", "MILMKNLILILIFAAVGLNTMASNPVHVIITAGQSNTDGRTPNEDLPAYIKALATDTLTYAEGAYRYCQIAQNDGKGEFIPFWPRAKRSGKNNMWAFDAVTYYWLEQLLQEKFYVVKWAVGGTSIAPDYNASKGRFWSAAPEWLAQAKPTSDGGNSLLLSFIQEIDMCIDKTLSRLKDGYQIDAFLWHQGESDYAKSKDYYRNLKTMVAYVRMHLTEKTGKDYSRLPFIFGTVARSNKYFSREVENAMKQLAAEDPNMHLIDMSGAELLNDRLHFTAHSAEYLGQQVYKQLEQIIKGVTVRTDELKGKRLGIIGDSYVKNHKEPVKNTWHYKFAEKHGMEYLNYGKNGSSIAYSSPRWGEAMYVRYKEMPDDLDYVIVVGGHNDGFKLDSIGGIDVFKERLAMLCEGLIEKYPTAKIFFFTRWNCKNFAGSDAEKVVDAMIEVCGNYSIPIFDSARKGGIYASNDHFRKIYFQNSKNNTDTAHLNEKGHERFLKVAESFILQY", "MIPTIRLHSQQLINPVFNNPKDLVSWMGGIQAQDYTMSKWAIGIRLKAGNLQTVNEALAKGDILRIHVMRPTWHYVAAEDIRWMLKLSSRRIITANDSFAKSRGQDISVDIYNKANRLLEKALAGHNHLTKQEIDNIFKEGGLETNERLSNRFLIHAEAEGLICSGADKNNKITFALLDERVPPIQELHKEEALAILARKYFRSHSPASLKDFVWWSGLSVTEARQGIAAIEQELLTDRFLAQKLYVHQSYKEEKTTDILHILPSYDEYLISYKDRTDVLNKEYQHKAFNSFGIFRPVILYNGQIVGNWNKVIQKQTTHIEMNWFKKNTKIKRELLSLAERKYLTFFSEL", "LQENKEERIMKQIKLEINIEACHYDELTEKDRKLIDAACEATKRSYAPYSHFAVGAAALLENDIVITGTNQENAAYPSGLCAERTTLFYANSQYPDQAVKTLAIAARTENGFLDTPIPPCGACRQVLLETEKRYGKPMRILLYSKTDIYILENVSGLLPLSFDGNYLK", "MLATTTPTIEGKRITKYYGIVTGETIIGANLFRDFFASIRDIVGGRSGSYEEVLRQAKDTALREMQDQAALLGANAVVGVDLDYETVGDSGSMLMVTASGTAVRIED", "MRKFKQLLLLVISITLVSCHTTGSIKQKAWKVQQGMTTEEIGQLLGKPDFRRFDGSLEQWEYQSGGIATSCKFLIIEFRNGKVTSMDSYNEIAKETSVGDLYSSKISLDTVGSIDDNEFEKIYNETKNSVFKDSTLEKAIINKKLSCAQCLKLMSLYTFDNDKLKMLQVLKDHIADTTNYDNIVNSLDFISSKNKAKEILGIP", "MRRLKQTLLALTICTLVISCSLTTSSTIMKVQRGMSQEEVSHLLGKPDFRRFDNGSEQWEYTKTNMSTAANTVIIIDFVDGMVTNMDSFESNITPPPVAVCPPNEIITVVPPSHPDHSGPHRPKHKAMNPHDFENLYKKVKNKAFKDDQMELLSVGVVNNYFTCKQTARLMSIFTWDDEKMKVLRMVSNRIVDRENGKEIIKTLDSLFKQDDARKILGITNQW", "MINEDKIREVWASNPERGFKLLIDFFQQPIYWHIRRLVVSHEDAEDILQEVFIRVFRHLPQFREESSLGTWIYRIATNECLRFLNMRKEQAVSAEEVQEELMNKLMASDYVDYENEMAVKFQQAILMLPEKQRVVFNLRYYDELEYEEISRITDTKVDTLKVNYHYAKEKIKEYMINN", "MEKEFDFKNIGKRMPYRTPDGFFGKMQKQVLERTQAERFNRQHRIKLIIGAALAIAAVMLGVLFFPISQPVAEELPSPSLIVSTDLDSSYSDTLDRYIESMSDEELAGWVELSENDIFIN", "MMRTKFFLMMLAAIVMGSQVTLFAQEKKGAKSERRQFNKEQMLEIQCNQIIKGLALDDATTAKFIPVYKQYMEEMRATRHMGACRNIANRTAADKQTPKPLPTDAEVEQAIKARFAQSRKILDVREKYYNEFRKFLSPKQIQKMYNMEKHNGDKFRKEMRKRQGMKKQHDGRRHMPQGDVKK", "MKVKKLSGKVQDAQQIPTLFDNENITYHPIDIVNWKEYPYQPQVSFRIAYTNDAILVHYKVVEDSVRAKYGEDNGSVWTDSCVEFFSIPAGDGIYYNLECNCIATILLAAGSERNNREMAPSEITDQVKRWASLGRETFEEKIGECTWEVALLIPYKVFFKHAITGLDGMSIRANFYKCGDELQKPHFLSWNPIKIEKPDFHRPDFFGLLEFE", "LKQFYMMKHTLKFILIGLFCCLCNFTVQAQTRNRQYEEYIHKYKDLAIDEMKRYRIPASITLAQGLLESGAGKSTLARKSNNHFGIKCGGDWTGRTVRHDDDVRNECFRAYKHPRDSYEDHSKFLKGRSRYASLFKLKITDYKGWAHGLKKAGYATDPRYAYRLIDIIELYELHKYDTKDGIKWMKEFPNPHQPYLANDLLYIVVRPGDTFKKLSKEFDISQRKLRKYNDLYKGYVLKPGDIIYLDKKHRRADKEHIVHVVRGGESMYSISQKYGIRLKNLYKMNKMKPEDPSPKVGDILRLR", "MDREIPKEVRDKERKKKFIKYGAIGVAAVVCIAVLISFMRSSVNRKDLVFSEVDNGTIEVSVSASGKVVPAFEEIINSPINTRIVEVYRKGGDSVDVGTPILKLDLQSTETEYKKLLDEEQMKRYQLEQLKVNNNTYLSDLSMQVKISAMKLNRMEVELRNERYLDSLGSGTTDKVRQAELNFNTGKLELEQLRQQYANESKVKEADLKVKELEFNIFSKSLAEMKRTLDDAQIRSPRKAILTYINNQVGAQVAEGSQVAIISDLSHFKVEGEIADTYGDRVAAGGRAIVKIGNEKLEGTVSSVTPLSKNGVISFIVQLNEDNNKRLRSGLKTDVYVMNAVKEGVLRLANASYYVGRGEYELFVQDSKDEIVKRKVQLGDSNFEYVEVISGLKPGDKVVVSDMSSYKNKNKLKLK", "MAMIKLTGINKIYRTNEIETLALENVNLDVAKGEFVSIMGPSGCGKSTLLNIMGLLDAPSSGKIEINGTSVESMKDKELAAFRNKTLGFVFQSFHLINSLNVIDNVELPLLYRKMAAKERTRLAKEVLDRVGLSHRMRHMPTQLSGGQCQRVAIARAIVGNPEIILADEPTGNLDSKMGAEVMELLHKLNKEDGRTIVMVTHNEEQAKQTSRTIRFFDGRQVQ", "MIKQYFKQALAQLRQHPLISVISIAGTALSIFLIMLVVMLQQVKVAPFSPESNRNRFLHVHYMSISHKDWGDGTSNGPMSVRTAREVYKSLKTPEAVTIYCSMPVSTPVSLPAMPAIGADVRETDDTFFKVFDFRFVNGKPYDEATFNAGTPVAVITESISRALFGSTESAGKEFLLNHAPYRVVGVVKDVSTLADASYGQVWIPFTSTDLPSDTWSDQHMGMMSVTILARSHDDFGEIRAEAKRRMSEYNSILADQGYTLIDRNRPYDQETQSISFAANLEPDLKSARRERAIIFIILLLVPAINLSSMTQSRLRQRVAEIGVRRAFGSTRMEMVGQIIMENLVVTLLAGAVGLFISIVMAYLGTDILFAQPYSATLNAPTVDSSILLHPSTFLYALLFCFVLNLLSSGIPAWRASRTSIVNALGGRIH", "MNKKLFTQIKNEWRSNLWLVTELLLVSVVLWYIVDYMYVQTSIYNEPRGFDISHCYLVQMGRLTDKSPDFIPNQTDEEKRQDIKELLSRLQHRPDIEAAGLGQNSYPYNGSNSSASVVYDTLRSPGWTIRRLVSPDFVRVFRYRGTRGETPEQLAEMLKHPKNFLASDNLYKRRYGCDLTSLVDKQFYLFGDTTNTYNLAASLQVVRYGDYFEAWNSYCMVAPMPEEWYDLGTELCVRVKEDQDRDFIARLKADSEKLYRVGNVFIADVRSFTDIRRNFQQSHTNAWNSYLFGMGFLLLNIFLGLLGTFWFRTQQRRGEIALMKSLGGTDHSIFVRQLAEGLILLAVATIPAIFIDWNLANSELNAWMNGTTIEGGRFIITVLISFILIALMIVVGIWIPARKAMKVQPAEALHNE", "MIKLYIKQAFYQLRENRLISLVSIIGTALAICMIMVIVLVLEVRITDCVPEVNRSRSLYMKAMSIHQKGDTSDNSSNGCMSLTVAKECFKALTVPEAVTIVSTGGRMRISVPAGKMMTVDNLETDDTFWKVFSFDFIAGKPFTAADSESGLPKVVLSASVARNLFGTVEAVGRTVQLNLADYTVVGVVKDVSKLAVSSYAQIWIPFNSTEIARKCWYDNAMGTFRVVILAHSEKDFPAIREEAERLRQKFNDGLQDSEIFYRGQPDDRFSFIFRHWGRELQAKEAYLHYLLVIVILLLVPAINLSSMTLSRMRKRMSEIGVRKAFGATANVLLRQVFYENLLLTLIAGAVGMLFSYACTFLLNDFLFSNSENRAQIGETSLSADMLFSPWIFLAAFIFCLLLNLLSACIPAWRASRMNITDALNQR", "MNRNLLKQIWNERRSNAFLWMELFVVFVILWYIVDVVYVTLSIYNLPMGFDIENTYVLRFERMTSKAAAYQPGRTMKEDVADLHEIVNRLAHRPDVEAVSLSQNCIPYNDGANSFSFYLDTVPVRSLKRWITPEYFNVFRYRNIDGSGSESLAEALTPSGMVLSVNIADVYQDAPWHGKELLGRRVPVWRNEPEAEHLSIAALTEPVRYDHFTAPDDYGSRYAAVYLTDEALESLGETVYIEVCLRVREGQNDGFIDRLIVDADRQYQVGNLYLLDITPLSDVRTAYETDSVNELNTQFCIIFFLLLNIFLGIIGTFWFRTQHRRAEIALRMALGSTRWGICGRLMGEGVLLLLISAIPALVVAWNLGYAELVEVTRMPFTAGRFAITVLGTFILIAGMIVIGIGYPARRAMSIEPAEALHEE", "MKQLLLQAFNLIRQNPFYATISIIGTAVTIAFVMVVVMIYDFRTMDMAPESDRSDMMYTGSGMTYRKLDHTNQNSGMGRKAFEALFSELPGVKEVTWYRGVSKTPCNLSASNEIFNYYVRPVADNWFKFFDYEFIAGRSFTQEEYDARRWVSVITERMALQLFGTTDVVGKEYQSNFFPTKVVGVVKDVNAIFQTAYADAFVPFSLENEDYYATWTGGLGGIRLGLLKLLPDTRPAEVRTEVQHRQDRLNSSTAEYAFEMNELYTHTEYTFFRGKDISAPLVYSMLLMVLLIVPAINISGMTNARMQERVTEIAVRKAYGASRISIMVRLFLENLLTVFLGGILGYLFSCVLVWLGRVWLFGSGEVELSGISLDGGLLLHPALFVLVFGVCVVFNLLSVLIPVWMVTHRNIATTIKGE", "MIKSLFRQMWNQRHANVWVWVELVVVLVLLWYSIDLVYNYEVAARQSKGYDTENVFDIQLNIKPALQNDSVLMSHSAEYLEQIYHLIQQYQGVEEACFYYGTIPYTGNNMYEGYAPHSDSTHVVGCFIRYVSPTYFKVFRLQPLSGSFEAERWDKNEYPMPVLMSETLSDSLFSGRNGVGETCFNPYFLNSVQPETNYKVMAVLPAHKTDEYERYEPFIYLPSSPLTYWHHIAVRVASNSIPGFTERFMQDMQGKLSIGPYYLYDINSYGDMKEAFDIEQGTVNYLNTTYAVILFFVFNIFLGMLGTFWFRTRKNRSEIALRMALGCSRMNVFGYYVLEGILLLVSAAIPAVFVCANMQMADLTVHTLMEPAWGRFLLCFVSAMLLLGIIILLGIYFPARKAMRIEPADALHNE", "MKKQVISLFLAFGISLVAGAQPQEKRRAITLEEAITIARVQSVDAAVALNELKTAYWEYRTFRADLLPEMNFEATIPSYNKKYNSYQQDNGAYTFVRNNYMEMNGEVSIDQNIWLTGGKLSLNTSLDFLKQLDGDKSKRYMSVPVALTLEQPIFGVNTIKWNRRIEPVRYAEAKAAFLTATEEVTMTTINYFFNLLLAKENVGIARQNLKNADKLYEVAKAKRSMGQISENDLLQLELNVLNARSTLTENESNLKSNMFKLRSFLALGEDEELEPVVPETIPTVLLNYPDVLDKALANNSFAHNIRRRQLEADFEVAKAKGNLREIKLYAQVGFTGTDNEFNSAYRRLKDNQIVEVGFKIPILDWGKRRGQVKIAQSNRDVTESKLRQETMNFNQNLFILVEQFNNQQAQLQIADDADKIAQKRYSTNVETFMVGKISTLDLNDSQTKKDEARQKHINELFYYWYYYYQLRSLTLWDFNTNTNIDADFEKIIKQ", "MILIIDDDSAIRSSLSFMLKRAKYDVQAVPGPKEAIEIVRSVAPQLILMDMNFTLSTSGEEGLTLLKQVKVFRPDVPVILMTAWGSIQLAVQGMQAGAFDFITKPWNNVALMQRIETALELTSQDKKAAVPVEDNDGFNRSHIIGKSKALMDVLATIKRIARTNASVLITGESGTGKELIAEAVHLNSSRSKKPFVKVNLGGISHTLFESEMFGHKKGAFTDATADRVGRFELADKGTIFLDEIGDLDLSCQVKLLRVLQEQTFEVLGDSRPRKVDIRVVSATNADLRQMVQEHTFREDLFYRINLITVHLPALRERREDIPLLVRHFADKQCESNGLPKVEFTSEAMDYLSRLPYPGNIRELKNLVERTLLVSGKEILTADDFKSQYQHPIEQKMTTNLQGMTLEEIEKQTILQTLEKYNNNMSQVAIALGISRAALYRRLEKYNIQVND", "MKLKFLFFFLALLLTAVWTILLVLTLKERGALFYIGEGVITFSLVFLVYFYRKVVKPLDIIGNGMELLREQDFSSRLTPVGQKEADRIVLVFNRMMEQLKDERLRLREQNHFLDLLVSASPMGVVILTLDGHISMLNAAALRFLDYSSSEEVRGRLLCELASPLAEEIERIPKDTTETIRLSDSMIYRCSRLSFVDRGFSHPFILVESLTSEVVKAEKKAYEKVIRMIAHEVNNTTAGITSTLDTVDGALECMEDTEDLREVMKVCIERCYSMSRFITNFANVVKIPEPQLQSVDLNDRVAACKTFMETVCRNRKITLHLNLCKENPEVMMDTSLFEQVLVNIIKNAAESIGETGDIFIRTSVSPTMLEIADTGAGISKEVETKLFSPFFSTKPNGQGIGLIFIREVLIKHGCTFSLRTYPDKLTRFRIRF", "MKYPIGIQTFSRIRENGYVYIDKTALIHQLVSRGSIYFLSRPRRFGKSLMISTLEAYYEGRKELFEGLAIAGLEKDWFQYPVFHIDFNGDNFAKEGVLEAAIEGYLGNWEDMYGKNPNYTTPGTRFIELLRRASEKTGRRAVVLVDEYDKPILDVLDTPLEEENRNTLKAFYSTFKGADKYLQFVMLTGVTKFSQVSVFSGFNQPKDISMDPRYESLCGITQEEMERYFHEPISELAEKDECTYEEMKERLKAQYDGYHFSENMLDIYNPFSILNAFDSLQIRDYWFASGTPTYLVRLLQHSNEQINELVGQYYDASLFADYKADVERPLPMIYQSGYLTIKDYDKYTHSYLLDFPNDEVRRGFLSLLASDYFKVQGVSVSSWLISSVRLLNAGKTAAFRDSLTAFLSGIPYDSHDSTKTPELTEKHFQYTFYLILRLIGVYCLRIEQTQSRGRVDCILETPQYIYIFEFKLDGSADEALRQIEEKGYAQPYLADKRKIVCIGVVFSSQTRTISEWKEVR", "MRVTYRRELDVKNLLDQQGVSSFIPMHYVIRMAKKRKVRELVPVVHNLIFIHITQTDMKELKKDIPYLQYMTDSRSGEKIIVPDGQMRDFIAVAGTYDEHLLFFKPEEINPAKGTRVRIIGGDFAGYEGIFIKVKGARDRRVVICIQGIIAMAMATISPDLIEVIKEPKKK", "MMVFVLLELLFLFKSFVMLYKVSHLIIFTLYNYE", "VVPSGVLLQRLIQNSHTDILNREKNNDRFMHLYHIGTYWVAFERSACRLCGLFPKSELSLFCVPGCPEYVVMASVPVDEVEGCFRKHVVLCDGVYHKILSDNLLAARDYYRWHDMAVRMVFL", "MLNFFYRSVAKSEMNLLAIKNRIDIYLKKGNERNVLAKRNIIASFGIKIIGIIISLILVPMTVNYVSSAQYGLWLTISSIVAWISYFDFGFAHGFRNRFTEAMALNDRELAKQYVSTTYAVLTIIFVTMAFVVLVINSFLDWSSLLNVGLEYGHELRLVFAVLTITLCLNMIASVLPTMLTADQRPVYSSLFIVIGQIMSLIVIGILIRVTAGRLLYLAFALSVIPLLVLFVASFFVFSSKRYCDVAPSMNTINWALTKKIIGLGAQFFIIMVCMLFIFQVINIIIVRVCGPENVTAYNIAYKYFNILNMAMMIIVTPFWSACADAYTKKDFLWMKNVIEKMEMIWKLSICVLIIMILVSSTFYDIWVGDSVKISLSLSVMVGVYILVQNLCGIYIYMINGTSKIRLQLVVYLVSAFISVPTMYFLCSKYGITVMLLVPISVCLIQAFVAKKQLTKIIRGTASGIWIK", "MEYILLLTACINPDGMPFTCLADVGVRKKQYIEALNFYLKNTTRKIVFVENSGTDISSSFKDFQHRIEFLCFDGNLCFDKRKGKGYGEALILEYAMAKSEFITDDVLIFKLTGRLQLININRLIHYMDKLSPSKNFVCVVPPQNNSVDSRCFVCNKYYLENIFLKDKFHIDDSVGYYFEHLLFDSLRQNKGKIRIHKIPFFLYWRGISGSLGDRLIEPKCRYWSDFKALYHCMISKL", "MKRFLFMYTSFGGHVLEYFIHIYHYAIDDEKNTYYFIFSPDFKKHIECEQLVLKKNIILRYLTVRELERLHHTKKILKSYWGNRLLNEKIRKYDITDVIMCSYDCLDPLFAFMTMKKVSYIGIYYYIYLYEWADLSIKQRILKSIIFWKMAHNKSIKKICICNDSSSAAFFNRKYQTMKFDRISDPYVSITLTLPDFRKDNAVKEDAIVLSHIGVLSERKGTLNILKAIKEMSVVDRNQFVFVFAGKIDLDIKDEFYRLAAECSEKYRLIIKDYFCSYEEISAICKSSNVLLIPYLNNSQSSGVLGYAAQFNVPVFSPSSNMLGKIVRKSKLGYISSDVEILGIKTFLESCLLNKLMTIDGQEYLKLNTVNSFLNTLLN", "MNIVFLLRLWPIYGGGETVTICLANEMAKRGHAVTVFYFKDSETNELPYIDPSIKAVRIPDVRCDEYTYDSDDGIKITIALKLYQQNSFDFIINQWWPVVFLSPLRSFFNAKIISVHHTALYTRSVIEGFCLKSILKRVFFLLYRFFEKERQLSVIDKLLIFSDKVLFLSPQFKDQYIQLRNPKSVEKLDWCYNPLVFDNFISMDEICKKRKEVLFVGRLLESNKKISKLLTIWKHIQLDKEFNEWHLYIVGDGKDKSFYLDFTRNNNIPNVHFEGRRYPIPYYKRASIFAMTSAFEGFPMSIIEAQQNGVVPIVMDSFLSVHDIIDNDVNGVLISYGNTTMFAESLKSLMKDEMQRNKLAIKGLETCRRFNIETVVDRWEEIFEKMR", "MELLLYLYILIVVYLFLKYSRIRTLYIFSPYILIYLNFIFNDAIPFLFFYPDVPENIQYTTFTAAIINLSFLFLFRKQAQVPISINLPLSSIKLNKKRKILLSCFVFFLLWAGVMSGVLINLLRGNNIEDLRRTSEIGVGVIRDIPMLGIQIIMLVLFLQKTWKCYYKVVAFYSFCLSVFLFLTTGNKGGVLVGVTLFLLFFHLKKRGFKWYEYVLYYLAMPLAAGTLQGIRGGDLTLIASQIAVFFSYPVILYQANSIPIMNAVGTENFFWGEEYYTGLVKFIPRFLWPDKPLSFDYKLKELANYDFEGGGIYTTLCNDLYINFGYYYFIFYILWLLFIHYLYGMVMDDKRFYYSRIIALFIILMGGIASTIGSCEILLLFLLFLILYYSRVKTL", "MKKKILFVASEFASGMIPFAATAINALAKDDRFEVHCLCVNSGIYSYRNIILQEANPVFLEYPKSKMMKLFYKLWPLEIIKHLSQLEKSINPDAVHFLTGDFTLALYICLNNKRTFYYTVHDLHPHEVVRKTLLGYLMQKYIIWGYKLCRNIIPNLTTSSYFQLKELKEIYPCKKVKYTSFPSLITSLIINGKKMPLEVSGLKEYILFFGTVDKYKGVDLLVDAYCNLTCKSLKLVIAGRGFDIETHNENIIRINRFIEDEEVAYLFKKAKFIVYPYRSATMSGVLSLAYYFNKKVLASTIPFFEDNATLNVVLFKVNDIDDLERKMKSLIFGKNLSVDPTSYLNIYGEGTLINSYWGLYED", "MKILLSNKFYYRRGGDCVCTINLEELLKRKGHEVAIFAMQYPDNIETPWSKYFPGEVKFKPGLGMLEALLRPFGTNEVKRKFTALLDDFCPDIVHLNNIHSQLSPVIAEIAHQKGIKVIWTLHDYKLLCPRYDCLRNGDTICEECFSDKRKVLEYKCMKHSRLASYLSYWESMKWNRERLEVCTDIFICPSRFMAEKMRQGGFDSKKIKTVCNFIDTEKCYGKDYTKRGNYYCFIGRLSPEKGVRTLIEAANALPYKLVVIGGGPLLEELKTVAGNNVEFVGFKQWNDIKELVGRARFSVIPSEWYENNPLSVIEAQCLGTPVLGARIGGIPELIEEGVTGMTFESRNKEDLRMKIESMMQHPLNYEMIAGKGQELYGAEMYYQQIMNLYQG", "MAIKNFKTKEEALAAMKKATDRKRTLIEYLKKGYSLEELEKMGFKMAKFA", "LHDVIDLPIERVNALSPYKVYINNNGSLGFETQYGSVYEVGFVEDYTFMDENAFQFFILESQGKHSVKDPLVRETIWVILETFFLENNPVILYICDMSDGKQAIRNRLFSMWYYEYEQRESFTFLSTKVEVESTDYYASVLVKNSNPQLEDIKVAFNSFIENMKEKLE", "MVNKCSLHPTDASIILTYRCPMKCKMCNIWFNPTNKSEEIKASDLKSLPKLKFINLTGGEPFIREDLPEIVEECYKHTDRIVISTSGWFEDRVIALAKQFPIIGIRISIEGLSCKNDELRGHAGGFDKGLRTLLALKEMGLKDIGFGCTVSNNNSKDMLSLYQLSKSLGMEFATAAFHNSYYFHKDDNVITNKNEVCGDFEQLIEWQLKENHPKSWFRAWFNMGLINYIEGGRRMLPCEAGSANFFIDPFGDVFPCNGLEEKYWKKSMGNIHETPDFMTIWTSKKAEEVRAMVRKCPKNCWMVGTASPVMHKYIKYPLKWALQNKLRSMQGKTVCLDKKWCDVGQDPCQGDLREKF", "MKIFNFIKEVLQYAGIIILSCLSALWLMITGKKKK", "MKIIVTGTRGIPDVMGGVETHCEELFPRIARRGEDVTVIRRKNYVHDGLTEWNGVKLIDIESPKQKSFEAIVHTFKAVNKAKQLGADVLHIHAIGPALLVPYAKMLGLKVVFTHHGPDYDRDKWGIMAKMVLKWGERMGCLFADEVIVISEVIRNLIRRKYGRTEQVHLIYNGVPCPDYTNCPEYFRELGITERNYILGMCRFVPEKNLHHLVEAFRRVDKKGCRLVLAGDTDFEDEYSRALKREARENGVILTGFVKGKKLHSLLTNARCYVLPSSHEGLPIALLEAMSYRLPVIVSDIPANKEMGLDASCYFETGNIEQLADRLQEIIDGDFKQVHYDMEKYGWDGIALRVADVYENLKK", "MRTLSLVGLMVLLLCPSALRAQFVYGTTGLLHMPTGEMQRDKTFMFGCAFLDTEATPGHWSYDTGNYFINITIFPWLEVGYTCTLHKAPYGSTYYPESVWGKYCNQDRQFSGRLRLWKEGWWKEWTPQIVLGANDPGTNDRTSEKDYGIGMTGSASGNGHWQRYYLAVTKHLSIRNTGELGIHLAYVYNKRTDYHLNGPAIGANFRFQLLGNQPLMKAVNKLNLMAEYDARTMNIGAEYSFWKDYINVVIEFNRCRYFSGGVFFKVYLK", "MKNVWLLVLACICMTACRNRQQSAEVTNYDLPQIKDSGELVVLTLNSSTSYFDYRGEPMGFQYELADQFARSLDVKLKIKVAQNARDLVHKLLQGEGDLIAYNLPVTKEFKDSVEFCGEDIITHQVLVQRNTQKKKKALNNVTELIGKEVYVKPGKYLERLINLDKELGGGILIHEVDNDSITTEDLIMQVSNGEIDYAICDNDLAKLNKTYYPNLNIDLAVSFDQRASWAVRKTSPLLGEAATKWHQENMTSPAYQASSKRYFEISKRTPHGSILSIKDGKISHFDTLFKKYAKDIDWDWRILASLAYTESNFDTTAVSWAGAKGLMQLMPRTARAMGVPPGKEQNPEESIKAAVKYIAATSRSFNAIKDENERMKFVLAAYNAGIGHVLDAIALAEKYGKNKYVWDNSVDNYILLKSNEEYFNDPVCKNGYFRGVETYNFVKEVMSRGEVYKKKIKD", "MIIIGIAGGTGSGKTTVVRKIIESLPPGSVAVIPQDSYYNDQSSIPLEIRKQTNFDHPDAFDWPLFEQQIADLRQGHPIEQPTYSYLVCTRLPETVRVEPKEVIIVEGIMSLYDKELRDLMDLKIFVDAEPDERLLRVITRDMVERGHPLEMLIDKYRNILKPMHDEFIEPTKQYADIIIPNGGNNQKAIEILKLYIEKILGR", "MVKHIVLFKLKETLSQEEKLKVMNDFKAAIEALPAKIGFIRHIFVGLNANSAEKWDICLDSEFDTLADVNAYAVHPDHVAAAGLLKEVKADRACVDYEF", "MKKIINPWKGMEGYNCFGCAPNNEAGVKMEFYEDGDEVISIWKPQPQFQGWIDTLHGGIQAVLLDEICAWVVLRKLQTTGVTSKMETRYMKPVNTNDSHIVLKASIKERKRNIVFVEAAIYNNKEELCTKALCTYFTFSQEKAKEEMHFLNCEVEQDEIIPLI", "MLRIAVQSKGRLFEETMALLQEADIKISTSKRILLVQSSNFPIEVLFLRDDDIPQSVAGGVADLGIVGENEFVERKEDAEVIKRLGFSKCRLSLAIPKDVDYPGLSWFEGRKIATSYPGILKDFMDRNGIHSDIHVITGSVEIAPGISLADAIFDIVSSGSTLVSNSLKEVEVVMKSEALLIGNKNMSEEKKEILNELLFRIEAVKAAEDKKYVLMNAPTERLKEIIEVLPGMKSPTVMPLAQEGWSSVHTVLDEKRFWEIIGKLKALGAEGILVLPIEKMIL", "MKKIIYPEKKDWMEILRRPALNTDTLRNTVKEVLDKVKTEGDKAVREYEERFDKVKLDSLGVTETEIAEAEKEVPIELKAAIMLAQKNIHTFHSSQRFEGKKVQTVPGVTCWQKAVAIEKVGLYIPGGTAPLFSTVLMLATPAQIAGCKEIVLCTPPDKEGKVHPAILYAAKLAGVNKIFKAGGVQAIAAMAYGTESVPKVYKIFGPGNQYVTAAKQQVSLRDVAIDMPAGPSEVEVLADETANPVFVAADLLSQAEHGVDSQAMLITTSEKLMKEVEYEVQRQLALLPRWEIAEKSLASSKLILVRDMDEAIALTNEYAPEHLIIETRDYMEQAERIVNAGSVFLGSLTPESAGDYASGTNHTLPTNGYAKAYSGVSLDSFIRKITFQEINGEGIQNIGPAIEVMAANEQLGAHKNAVTVRLKTV", "MKDLKELTRPNIWALKPYSSARDEYNGAEASVFLDANENPYNTPNNRYPDPMQRELKNMIAPIKKVDPDTIFLGNGSDEAIDLVYRAFCIPGVDNVVAIDPTYGMYQVCADVNDVEYRKVLLDENYQFSADKLLAATDDHTKLVFLCSPNNPTGNNLDRREMEKLLDTFQGLVIIDEAYSDFSDAPSFLADLDKYPNLIVFQTFSKAWGCAAIRLGMAFASKEIISIFSKIKYPYNVNLLTQKEAVMMLHRHYEVERWVKSLLEERTRLVNEFVELPCCEKIYPTDANFFLAKVTDAKKIYNYLVGKGIIVRNRTSISLCRDCLRVTIGTRPENDMLLEALKNYEC", "MKKALFIDRDGTLVIEPPIDYQLDSFHKLEFYPKVFRNLGFIRSKLDFEFVMVTNQDGLGTSSFPEDTFWPVHNLVLKTLEGEGIIFDDILIDRSFPEDHVSTRKPGTGMMGKYLTGDYDLANSFVIGDRATDVELARNMGCKAILLQENMDILKEKNLESYCVLATTDWDKVAEFLFAGERIAEVRRTTKETDIYISLNLDGSGKCDISTGIGFFDHMLEQIGKHGGIDLTIKVKGDLEVDEHHTIEDTAIALGECIYRALGSKRGIERYGYCLPMDDCLCRVALDFGGRAWLVWDAEFHREKIGEMPTEMFLHFFKSLSDAARMNLNIKAEGQNEHHKIEGIFKALARAIKMAVRRDIYHFEVPSSKGCI", "MINFAGIYNNRYNMKYGFVRVAAAIPIVKVADCKFNAQQIETQIAIADGKGVQIIIFPELSITGYTCADLFGQSLLLEEAEMALMQIMNNTRQMDIISIIGMPVVMNSTLLNSAVVFQKGKILGIVPKTYLPNYKEFYEQRWFTSALNHPDANVRLCGQNVPVSANLLFDTPETCFGIEICEDMWAPIPPSSALALKGAEIIFNMSADNEGISKHNYVRSLVSQQSARCLAGYVFSSSGFGESTTDVVFAGNGLIYENGTLLAESERFSFKEQLVISEIDVERLRGERLTNTTFAANIGNCPGRPAIHISTEFVNTRDLSLTRSIEAHPFVPQGKELDERCEEIFAIQIAGLAKRLVHTHCKTVVVGISGGLDSTLALLVCAKTFDKLDLPRKGIIGITMPGFGTTDRTYNNALHLMASLGVTIKEISIKESCIQHFNDINHDMTVHDVTYENSQARERTQILMDVANQLGGLVIGTGDLSELALGWATYNGDHMSMYGVNGSIPKTLVKYLVNWVALNGMDNESRITLLDIVDTPISPELIPADENGNIKQKTEDLVGPYELHDFFLYQFLRFGFRPAKIFFLASIAFRDTYDEETIKKWLTIFCRRFFQQQFKRSCLPDGPKVGSVSLSPRGDWRMPSDASAASWLKECEEL", "MKSYDYLISYNIKPSVQRIAIMDYLLAHKTHPSIDEIYLALCKDIPTLSKTTVYNTLKLFVEHGAALMLTIDEKNACFDGDTSLHAHFLCKKCGKIFDLPYSNEVKKVEQIDMNGFKVDEIHQYYKGICPACSKED", "MKKFICTVCGYIHEGDAAPEKCPLCKAPASKFNEMVETEGGLEFADQHVIGVAKGCDEEMIKDLNNHFMGECTEVGMYLAMSRQADREGYPEVAEAFKRYAWEEAEHAAKFAELLGDCVWDTKTNLEKRMNAESGACADKKRIATRAKALNLDAIHDTVHEMAKDEARHGKGFEGLYNRYFKK", "MKKTITCILLTAGLTGYLSAQTPVQDKSDKNSGKVIRMDKQMFLDNIFDYTSGSTNWKYKGEKPAVIDFYATWCGPCRMVAPLLKSLAKEYKDRIAVYKVDTDKQKELSAVMGIQSLPTIIFIPKTGQPQIIIGAANKATFRKAIEEVLLKE", "MERFEDLITSNIPVLVDFFAEWCGPCKAMKPILEELKGIKGEKVRIVKVDVDKHKEIAAYYQIQSVPTLMIFKDGKQLWRQSGVMRANDLNTILAQYE", "MKRTNFILNGFLALAIVLVFAQCSDKNNNAATSSAAPAAGVAGSSNMKIAYVEIDSLLTKYNFWNDLNEVMIQKEENIRTTLNEKAKKLDADAKEFQRKLENNGFATRERAEQEQMRLMKQQQELQALQQKLSDELASENQKNSLQLRDSINSFLKIYNQNKGYDLIISNTGFDNLLYANPAYNITQEIIDGLNARYTPSSVKK", "MLDTILITVLIVAICIALLSIGIFLKGKFPNTHVSGNKALRKKGIGCVQSQDREARKPNKNAIAEIEKQSKTDNN", "MNEKNLKPASVFYYFEEICQVPRPSKREEKIIAYLKAFGREHGLETKTDEVGNVLIKKPATPGKENLKTVILQSHIDMVCEKNSDVEHDFLIDPIETVVDGEWLKAKGTTLGADNGIGVATELAILAATDIEHGPLECLFTVDEETGLTGAFALKPGFMTGDILINLDSEDEGELFIGCAGGANTTAEFTYQPVSAPQDYFYFRVAVKGLTGGHSGDDINKGRANANKLLNRFLTQLASKYILYLCEIDGGNLHNAIPREAQALCAVPMKDKESVRVDLNIYTAELENEYAATEPNLRTELSSESPCKEAIDMTTAGHLLRAVYAVHNGVYAMSQDIPGLVETSSNLASIKQVEGNKIKIVTSQRSSILSSRKDMSEMIRSAFLLGGAEVTTGEGYPGWKPNTDSPVLKVAVDSYKKLFGVEPKVKAIHAGLECGLFLEKYPSLDMVSFGPTLRGVHSPDERMLIPTVDKFWRHLLDVLVNIPTK", "MKKTILFLCLCYLVGVTYAQEPFRVMFYNVENLFDCQHDTLKNDYEFLPDAPKGWTQARYHDKLAKIAKVIIATGEENVPDLVGLCEVENDHCLKDLTENSPLREAGYRYVMTDSPDERGIDVALLYQRGSFKLLGKNSLSVPYKEMERRPTRDILHVMGQVASGDTLDVFVCHMPSRAGGEEKSEPYRLFTAQILNIAADSIMNLRQHPNVMIMGDFNDYPTNNSIAKVLGAVAPKGEVQAKKLYNLMDGRKEGTYRYRGEWGVLDQLIVSGFLLQGHDSMRTSYDKAQILKYPFLLEEDEKYGGDIPSRTYWGKKYHGGYSDHLPVCVDFEIGK", "MKFTLLVVGRTVEKHYITAINDYVERTKHFISFDMEVIPELKNTKNLSMEQQKEKEGELILKALLPGDVVVLLDEHGKEFRSVEFANWIERKMHTVNKRLVFIIGGPYGFAPKIYDAAQEKISLSKMTFSHQMIRLIFVEQLYRAMTILNNGPYHHE", "MDELVVKDLIDRLIDLSFAEDIGDGDHTTLSCIPADAMGKSKLLIKEEGILAGIEVAKEVFRRFDPTMKVEVFIQDGTHVKPGDVAMVVEGKVQSLLQTERLMLNIMQRMSGIATMTNKYVKKLEGTKTRVLDTRKTTPGMRIMEKMAVKIGGGCNHRIGLFDMILLKDNHVDFAGGIHNAVSRAKEYCKAKGKNLKIELEVRNFDELNQALAEGVDRIMFDNFTPEDTRKAVEIVGGRCETESSGGITYDTMLPYAQAGVDFISFGALTHSVKGLDMSFKAC" ]
[ "AAGCGTTTGTCTT", "AATCTTTTTCATTATAGTTATTGATGCGGAACCGGGGATTTTATTCCACAGGCTCCAAAAGGTCCGCACCCCGGCAGTGCAGGGTTTTTCGGGAAAATACCGGAGCCTCCGGCGAGGATGATTTTCCCGAAAACCGCTTGCGGCGTGACCTTGCTCTGCCGGAAAGGGGCGGAGTTACCTTTGCCTGTGGAATGGAATCTACGGTTACTCATGTTTTTTCATTT", "ATCTTTAATCGTTTACGGTTGGAAATGAAGACACCCCGCAAGGGTTGTCTTACGGGGTGCAGTGGATTTTGTCTCATGA", "ATCTGTTTGATTTTAAGTG", "GACATTGTTTTTTTTGTTAGTCCGGCTTTTCGGGGCCGGAGTTCCCGTAACTACAGGCTTTAAAAGGTCGTGTTCCGTACATGCAAGGTTGGCGGGAAAAATACCGAAGCCCCCCGGGGCGAGGATGATTTTTCCACGCCACCCGGAGGGCCTGACCTTGCTTGTACGATAAGAACACGAGTTACCTTTGCCTGTGAGTTACGTGAACTCGGCTACGGTACAGATGTCATTCTTGATTTGGATTATTTTTGAAATCACCTTAAAGATTATACCCGGAAAATTCCGGTATTCAGAGGAAAAACACTATCTTTGCAGAAAGATAAAGAGTTATTTGACAGCATGAGGAATATAGTGATTCTAAACAGTTTGCATTTTTCTTATCCGTTGCCCATTCTCATGCGAGTTTCATATAATACACTGATAGTCAAATAAAATACTTCTGACTATTACAAATATAGAAAAATAAAATAGAATGCCGTATGAAAAACAGAAAAAGACGGGAAACATCACGCTCCCCGTCTTATGAAATCTTTTTGCCTTATGCTAATACCTTGATTAAGTGAAATATTTAAAAATCTCTCTTATAAATAATAGTTGTTACTGAAATATGAA", "AATGTCTTTGTTTTAAAA", "ATCTTTGTAATGTGTTAAGTTAATAAATTTGATTAAGGTCAATTGTTAGCTGTCGAATCGGGGAGTATGGGGGTACTTTCCGATTCTTTTTTCAAAGGTTTTTATGTAATCATAACAGTTCATTTTTTAAGGTTAAACTTCTATTGTGATT", "TCTTTCTGCATTTTGTATATAGAACAGGATAGCTGGAAACAACCCTAGTGGAAAAATGATTTTTTTTTCGATTTTCTCAAAAAAAGTGCTTTTTATCCTCTTTTTTCATTTCAAGTAAAATTATTACCCCCCTGACACACTGCTAATAAAAAATATTAGTAACTTTGCAACACCCAAAATAAGCGAGATTGTATTTATACACTATAAACAAAAATAAGAGATTATG", "TTAATTTTCTGCTATATATAAAAAAGGCAGCCTTTTCGAAAGCTGCCTTTTTTATATTCTTTATTTTGTACTTT", "ATTTTAAAGGGTTATTATAAACAGTCGGCAAAATTACATAATTTATTTGAAAATCGCACGTGTGTCTTGGTAAAAGAATTGTGCGCCTCTACATATAAAGTCTGCACCGATACAAATTATTTTTGTTGCCTCAT", "AGCGTTCATATTTTAAGTCTTTCAATATAAATTCTATGTACAAAAGTATGGAGTTGAAAAAAATAAGATTGTACCCAGATTACAGATTTTTATACCATTTTTCCTGTTTCGTTTGTTGTGCTTTTTAAAATGAAATTCCATTGTCATCCGGCTAAGTTTTCAATCAGGAAATAGAAAACAAGGTTTTGAGCCGGATTACAATGGAATTTAATTCTCCTTATATAAATAGGTAA", "AATAAATCTGTGTTAAGTTTTTAAATAAAGGGGGTATAGAAATTCTTTTTGGACAAAAATAGCAATAAAAACGGAATAAACATGTTTTTCAGAGAGATTAATATGTTTACAATATAAAAATATTTTTCACAGATTTGTTTCTTTATTGCTAATAGAATGCTATCTTTGTCCGAGTTAACCAATTTATTAATCTAACGCGC", "AAACGGAAACAGAAAATTAGTTAA", "AACAAGACCATGCCTCAGTTATTTGGACATGGTCTTGTTCTTATTTCATATACAGGAA", "TTATTACTTGATTTTTTGTTTGCGGGCGCAAAGGTAGGATAAAATAACACACGGGCAAACCTTTTGTGCAATTATTTGTGTCGTCTATTGAAAAATCAACTGTTTCAATAGAAACTATTTACTTGGATGATGAATATCCTTTTTTTATTTGTGTGATTGATTGGTAGGAACTGTGGAATGAAGGAGCGTGCTGATGCTTTTGTGATATATTTGTTCCGGCACGCCTGTCCGCTAAGTAAATTCCTGGTCTGTTTACGGTTTCTTCACTCCATTCAGAACACCGGATTGAAAAGAATGTAGTATCTTTGCAACTCTTTTACTCAATGCAAAAAAAATAT", "GGTTCATAAGTTTTTTGTATTTTTGCACCCTGTTGTTATTCTGAAGGTTAGTAAAGAAGGACAGGCAATCATAATTCGTAATTTATAATTAAAGATAT", "GGTTTAAATAAACAGGTAGGGAGAAAGTGCGGAACTTTCTCCTTTTTTTATTATGCAACGTGGCTGATTTATGCTACATTTGTTGCTCAATGGATTATTTATAAATAAATAGT", "GTGCCACATGCTTTGCGAAGCTTGATGGATAAAAAAAGAATGTGTCAAAAGTTTGGTAATGCTTACAGGATAAGCTGACCTGCTTTTGACACACTTGTTTTCATGAACAAAAGTTATGCCTGCTTTTCGGACTTACCTGTAAAATCATAAGGAAGGATTTTCGCTCTTAAAAGATTATTTTGTTCTTCGGAGTAATCATACTTTCGGGAGTGTCCTTGATGTATAGGTCTTTCTCGCTGGGAAGTTCGGGACATTTATGCCCTCATGCCCTCACTGCATGTATAATAGGTTTATTATAAAAGATTTAGATGGTGAGGGTAAAAAATATTGCTCTCACTTATGCTCTTATGCCCTCACTGTATTGTGAAGCTGAATTTTGCATAAAATGCTTGAATGCAGTTATATATATACTTTTTATAGGATATTCCGTTTTGATGTAAAGTAGGCTATTTTTAGGTTTTTTTTGTAAGAAGTGCGCATGGAGGATTTGTACCTTTATGGAATATGGCGGATTCCGGCTGTGGTAAAAAAGATCAGGTCATTTTTAAAAAAGATGACGAGCTTTTTTTAAAAGGCCGCCGTCTTTTTTAAAAACGTCTTGGTCTTTTTTTTAAATGTCCTTGTCTTTTGGACTGGCGGTTGGCATGCTTGCTGACGTGGTTTCTGTTGGGGTCATTGTCATCAATAAGGCATGTGTGTGATATATAAAGATTTGTTGCAAACGCTTGTAGACCCTCTTTCTAATTTTTTATTTGTATTATTCGCTTGAAAAAAACGATGGTACTGCTGTTTCAGAACGGGTGCAGGATAGTGAAGCCTGTTGGCACAGTTCGGGGTTGCTCCTACGGAATTCAAGCGTATGAAAACTGTAAATTAGTGTAGAACTTTGTTCTTTACGAATTTTTTCCTATCTTGTACCCTTTAATGTTAGAATAG", "TTTTTCCTCAAAAAGTTTGCAGATATAAAAAAAAGCAGTACCTTTGCATCGCTTTCGAAAGGAAAGCCTAACATGGTGACTATAGTTCAGTCGGTTAGAGCGTCAGATTGTGGTTCTGAATGTCGTGGGTTCGAATCCCACTAGTCACCCCTTCACCTATGAAAGGTTCTGTAAATCTAATGATTTATAGAACCTTTTTCAGTTTTTATGTCTATTAGTGTATTATCATG", "AATTAAATTTTTCTTCTTTTTCTGTCACCCATTTATTAATTTGGACTCTCTTTTATTAAAAGAAAGTAATCA", "GTTATAACAAATAATATATTTATTAAATTAATCAACAAACATT", "TTTAAAGATT", "ACAACCTTAAATAGTGCAAATCTTCAATGATTTTTTGAGATGCTACGTTTGGGGTATATGTAGTATGTTTTTGACAAAATGATATGTTATTAATTACATTATAATAATTGGCGAT", "TAAATTT", "AGAAATGTGTTTTTTAACTCATAAAGCAGTAGGCTGGGAATGTCCGGTCTACTGTTTTTTCTTTTATGGAATATGGTTTTTTTTTGAAGGAATGATATGGTTTTATTATAGGTAGTGATGTTCTGTATTGTATTTATTTTTTAAATAAATCTAATGTGTGACCTGTATTTCTTTAATGTTTTCCTTTTGAAGAACATATTGTCTGTCGGATAAGTTAAAAGTTTACCTTTGCAATATAATCAGAGGATGAGATATTGATCTATAATAAATATTATAAATAAAACGCATAGGTATTGTAAGCGTACAATACTTTTTGGTGGTCTCGTTGGATGCTTGTGTTCAGATTTAAGGCGAGGTCACCTTATTCTCGTAGAGAATTTAGATTTTAATTTGAATGATACTTGCTCGCGATGAGTAGGTATCATTTGTTTTTTAGTCTATTCTGTATGGAAATGGCTTGTAAGATTATACATAGAAGATAGAATAATTAGATCACTCTGCCGTTGAAATGGAGGATTTGAGCGGATTATTTTAATTATTGGAAGAATTGTTCTATCCTTTAAAGCATATTGCTTCTATCTTTGCCATACTGAGAAAAACATTAAATTACACTATT", "GGAAT", "TGTATGTTAAGGTAGAAGGAATTGTGGAATATAACATTTGGGATAAATAAGATTCAGAGAGGAAGAGTCCTGAAAGAAGCGGTGAGAGTAAACACTGGTTCTTTTGGGACTTTTTCCGGATAATTATTGATATGGAGAATTTAATCTTTAATTTTGTACTCTCTAAACTAAATTGATATACT", "TTTTTTTCGGCGCATGAAATAGAATAATAGAACTAATCGCCCTCAGGAACTATTCTGAGGGCGATTTTTGAATGTATTATTCTATTAATTGGTTGAATAGTTCTAGCACATTCGGGGAGTTTGGAATACATTTGCATCACAAAAAGTTGAGAATATGATTCATGTAATACTGTGAAAAAAGAAATGCCTATGACATAACAAGAATGTGACCTTTAAATTCTATCTGTTGTATGTATATTTATGAACATACTTGTGATTAATTAAAAAGCGGAACTGAAATCTCCGCACAATTTTACAAATCTAATTCTATAAAAA", "CTTATAAATTAGTATACTGAT", "TAAAAAAGAAAGACTGTGTCGGAGTGTTTTTTCTTTTTATAATACTTCCGGCATAGTCTTTATTTAACTAGTATCATTT", "CTAGTATTATGAAAAAAAA", "TTAATAAATACATAAAAAT", "TTTGAAAATTGATAATTTATTATGATGTTAAGTGATTAGCATTTCAT", "TATTGTTCTGACTTTACATCTCTTATTGAATAAAACAAGTAAAAGAAAGAATTTCTCTATGTTAAGATAACAGTTTACTTTAAATTCTTAACTTTGTTCCCCTTGAAAAATGATTGTTACAAGATAATTTAACAGCTAAAA", "TAAGAAAGAAGGTGGAATTTTGAAGATTTTTGTTTGTTTCCTTAAATAATTTGCTATATTTGCAAAAAAAATATAAATATAGGTAGGGT", "AAGCAATAATGTGCTAATATGTCAATGTATCAATATGCTAATGTGGCAATATGTTAATATGCCAATTGACCGCGTTCTATTCCGCATGGCAATTGGCATATCAGCACATGGTCACATTGACACATTA", "AGTTTCTTCTTTATTTTTTAATGACCGCAAAGTTAGCGAATTAAACCCATATATTTTGCTGAAAACTAAAAAAAACGATATTTTTGCATCGATTTTTTCTAAATATAGAAA", "TTTGATTGTAA", "GGTATGAT", "CTTACCTTCTTTTTTCGGTTACAAATATAATTGATAAATCAATAGGGTAGAATTATTCGGGCAATTCATTTGTTTAGTTGAGTAAACTTCTTATATTTGCATAAATATATTAGATTT", "ACAAACAACAAACCACATTTTG", "ATGATAAAAAGCTT", "AGGATTTTTTATCCTGTCTTTTAGGGGTGGATTCAATCTGCCCCTAAAATCGTTTTCACTGATACCCACCTGTTTGTGACGCTTCATCTTTCATTGTTCCATACTGTTTGGCTATAGAAAAGGTAATTATTTGATGAGGCTGTTTCTTCTTGATAAGAATATTTAATGCAGTTATATCCGGTTAACTCGGAAATAATTTCTATCTTTGCACCCCGAATTAAAAAATAGACGTATGATGCCGTGAATAGCGGTCGTGTATTCTAGAACACCACGTAAAAAACAGGAGAA", "TAAGATGCTGATGTGTCAGTGTGCCGGTTAGCCAATTACCATGCGGTATATGGCGCAGCATATCCACATAGCGCGGCAATTGGCACATTGGCGTATTAGTAAATTGAAAAATTGTCAACA", "GATAAACGAAGGTGTTTTCTCTTTGTATGAAAGGTGTTCCTTTCTTGCCGGGTATATCGTTTCACTTTAGTGAGCAAATTTTATCACTGAAGTGAAGAAGTTTCATCACTCAAGTGGTACAGTTTCATCACTTCAGTGAAACGATGTACTTGACAGGGAGAGAATTTCTATTCCAAGGTATGAAAGAGAAAACATGAATATGAAAAAAACAGATTTTA", "ATGTATCTCTTGGAATGAATAGATAGATTGTGGACTCAAATATTTGCTGGTTTTGAAAATTTGTTCTATTTTTGCACTCCGAAAAAAGGAGAGGTGCTCGAGTGGTTGAAGAGGCACGCCTGGAAAGCGTGTATACCCCAAAAGGGTATCCGGGGTTCGAATCCCCGTCTCTCCGCAAAAGTAGAAAAGTTAAAGTTGTAGATTCTTGAATTTGAACAATTCAAGAATTTTTCTTTTTCCAGCACTTATCTGTATGGATGATAATGCGGAAAGTGGTGAATACAAAAGGTGAATAAGAAACAGTACCCATAGTTCAGGCAGATTGATTTTATAATGGATGTAAAAACAATCTAATCGGGATATTATTATGGATATAAATTGATTGACGCAAAGGCTGGAAAAGTATAGCTTACGCTCAATGAATCATTTATGAAGGATCCCTTTCAAAATCCTTCACGACAACACATTGAAAAACAATGTATTGTGTAAATATGAAGGATTTGAAGGATTTCCCGGTGTTTTTTTATTTTAATAGGTT", "AAGCGGAGTTCTTTTTTCTAATATGAGTTCTGCAAAAGTACATAAATTATCCGTTTTTATGGGTAGGATTGCTTGAAATATTTCTATCTTTGCAAAAATCAAAAAAGGGATTCTTTGT", "GTAAATCTTATAATATAATTTCG", "GAAACCAGTGTCATTTCTTGTCCGCGTTTATAGAGGCTTATCTTGTGAAAAATAGGAGAACGCGGACGACACTTGTTACGTGTGGTCCGCGTTCTAAAAAATAGTTGTCCGGTATTG", "GTTGTGTACTAATTGTTATTTCTTTATCCTTATATCGGTTACAAAAATAGAAAAAAAGAGGGAACTTTGCACTATAAATTGCCTTCTCGGTAATAATCTTCTATTTAGTTTTAAATTTTAATAAAATATTTTTGTCACTAAATTATAGATTGTACCTTTGTATGCGAAAAATAGTAATGGGGCGTAGATAAGCATGAATAAACTTTTTTTCTCT", "TGAGGAGCCTTTTT", "TTGTCTGAATTTTAGTTGTACAAAGATAGAAAAACTATT", "AATTTATTCGTTTT", "ACATTTTTTGTATTAGCTGAATAAATATCATACAAAAGTAACTATTATATTAACACTCCCGTCAGAATTTAATTTTATTAATTAAAAAAAGAGGATTACCCCTGCTTGGCGCACGGATAATCCCCCTATTTAACAGAATAGTTTAGAAATCT", "ATTTCCTTTTTATTTTAAATGAATACTTGTTTCACTTACAT", "GTCAAGTTTTTGTTTAAGTTAATACTAATTGTTTTTCGTCTAGTTTCTCTCATACAACGGCCAGCTTATGTTTTTGTTCACTAACAGTCTGTTCTTTAGTGAATTTTTTTGCAGCCAGGTTAA", "TGTTAAGTCAGGTTTTTAATGGTTATTTGGGTACATAACATTTGTTATGCCCGTTTTGTTTTTAATAAGTTCTCTTTTCAGAAAAAACAAGACTATGTTTAAAATGCATTAAACACGGTCTTTAATAAATAGGGCGTTGTATCTGGATAAATCGGA", "AATCTAATTCCTTTTTCGATCTGCAAAGCTAGGGATTTTATTTTAAAGTAGGGATAAGGTTTGGAATAAATAATCCAAAAAACCTTTATATAAAAAAGAAATCCGCCGGTTAGGTCAAGCAGACTTCACGGCGGACGTTCTTAATTTT", "AATGTATGAGTTTAGTTTT", "ATTTCTTTCTTATTATAATTGCTGTTTAAAACAGAGTGGGGGTAAAGAAGTTGAGTTAAAAAGCTCCAAATTGTACTTAGTTCTAATATTTTTTATTTTATTTGCATAGAGATGATACTTGACTT", "ATTTTAAAGTTCTATTTGTATGTCTTTTATAAACAAATGCGGGCAGACTTCGGTTCTTGGC", "GGGGTTATATATTAGCGTACAAAAATAGGAAAATCTTGAATAGTTGGCAAAAAAAGGAGGAAGTAATCAGATATTTCTTTAATTTTGTCCCCATCTTAAAAAAAGAT", "TAAAAGATGTCTGTATTGGCGGAAACCGGCATTGCCGTAGAGTAAGCAGGATATGTTAACGTAAAATTGAATATTAACTAAATAAACAGCTGATTTTTAATTAATTGTTTAACGGAATTGAATTTGGGGTGTTTTATATTAAAAAATAATATAAGACACTCCTTTTTCTTTTAACTTATCTATATTTTTACTATCTTACTTCCAGTTTAAAAGCGGACACTGAGATTTTTACTCACATATTGTCTAATTTAAAAAACACTTGTT", "GATTTAATTAGTATGGATGGAGGAAGCGTAATCTCAGAAGAGGACGCTTCCTCTTTTTTATGACAGTACGGTCGGCTGTTTATATGTGCAGGACACAGTGAAGTGTCTTAATTAAAGGGTGTATTCTAAATCGTGTTTACTTTGTTAATGTATTGTTTTATTGAAAGGTACTTGTCTGTAATTGCCAATAAATCCAATCTTAAATGATATTACGGGAATCTGAATGTGAAGAATAAATTTCGGTAAGTAGGTCTATGATATAATAGTCTGATAATCAAAATTTTCTTGTTTTAAATATTTGCAGCAAATTGGGGCTCTCTTCATAAGAGAACCCCAATTTGCTGCAAATATACGTATAATTCTTCAATCTGAAAGTTTTCGCATTAGAAAAAGTGTCTTCAAATTGGCTTAAGAATGATTATTGTTTGCAAAGGTTTTAATTCTAAGGAATATTATGTGCCACATTATCAGTTGGTTAAGATAAATTGGGGTTCTCTTATGAAGAGAACCCCTCCAAAAAGAAGAAATTTAAGGGCTTGCCTGAGCCTTATATTTCAAGATTATGAACTTAAATTGTAATGTTTTG", "CAATGGCGGACATTTTTATTCAATTAGGTATTTGTAAAAGTGTTTATATAAAAGGAATTGCTCTAAATAGAGTACTAGCCGGCCATTTACTAATCAAGTATTGCTTTTATA", "GAAAAACAGAATGAAATTTGTTTCTTTGCATAGAAAACAAACTAAAAAGGGAATTGACATCA", "AAGGAGCTGTTT", "ACCGGCCGG", "AAAGGATAAAGTTTTAAGTGTGATACATTAAAGAT", "AATGATTTTGTTGTTAAATGATTAATATGAATTGAACATGACAAAGATAACACTTCGGAAAGGTGTGGATATGAAGGGAAATAAACAGACATGAAGGTGTGTGACACATTGTGGCGGAGAATGAAATAAAGTGAATTAAAGGTTTTGATATATTTTTATATGTGAGTTGTTTTTGTTACATTTGCTTTGATAGATAATCTCTGTGAATAAGCTTGCTGCAAAGGAGTTGTAATAGCTGGATATTTACGGATAATAGAAACGTTTTAAAAAAGTGAATGTA", "GAGTCGGGCGTCCGTATGCTTGGTTCTACACGTCAGTATGTGCAGACCTATGCATACGTATGTATCGCCTCACACAGACGTATATGTGAGGCGACATCGCCGTATGGA", "TTGAATTAAAAATTGAGAATTAAAAATTAAAAAATAAATGCCGGGCAGCCAATGCGCAGCCCACTAATCATAAACCACTAACCA", "GACGGAACGTAGCTATTACAGTGCTCTTAAAAGTAAACTGACAATCTGAATTTTTATGCTACTATCATGCATTCTTTGGTGGAAAATTATGGCGATTGCGGAATGGACGAGGAGATAGAGGCGGTGAAACGCGAGTGGTGGAATGTGGTGACGGAGAACTCGTGGGAGTATTTGGCGGGGGAGAAGAAAGAGGAGTTGTGAGGAGTATGCAAATAGGACACAGTTTTAATAATATTAGATGTTTGAGAAAGTGTCTATATAGATGGGAATATTCCTTTTTGAATGTTGATAAAAGACGGATTTTTTGCGATGTATCAAATTGGGTTACTTAAAATATTTAAACGGATAGATTCTT", "ATAGTAAT", "TAGATACTTCTTTGTAA", "ACATTTCGTAAGATAATGAATCCTGCTTTTCTGATAACTGTCCATAAAAATTTCAGAACAATTAAGTGAAATGGTTCGAGTATCAGATGTTTCCGGTCATTGTAGTAAAATTGATTTCGTACTTTTTAATAAATTAAAAAATAAGATATCAGAAAGAATAGAAGAAGTGTGGAATTTTGATTCTTATTGAAATAATAAATATGC", "GCATATAAGGCATTTGCATTAATAGAAATATAAATATAGAAAATCACATAAT", "CA", "CATTAGTAAATAAGAGGAGGAACTATCAATTCTTATATTACTTTCTTGTTTGAAAGAATAAGAATCCGTATATTTGCTATCGAAGAATGATGT", "AATCTTGTTATATGTAGACTTCATAGC", "GGCGTTCGCTGTTGGGCCACAAAAATAATATAATATTTTAGAACTGCCATATTC", "CTTG", "TAAAAAAGAGCATTCGGTTAGGATTTTCCGGTTAGATTTTGTTCCTTTGTAGTACAATCAGAAAAAGTAGCGCGCC", "CCCCTGTCATCGGTTTCGTATTAAATTCAGTGGCGGAACGGTTTGCGATATCAAATATTTACGATATCAACTAGCAAGCTGCTGATAAAAATGAATTCATAAAGATAGAATATTCACTATAGGCTGTGTGCGATGATGC", "ATACAACTGATTGGTTTCATATAACCGTTACTGTTTGAGACAAAAATAAGGCATTTAGTGCAGCCCAGCAAGGCTTGTCCTTGCTGGGCTGCTTTTTTTATGAGGAATAAATAGGGGATATTTATGAAAACATGTAAGAAAAAAGGGAGTGAAAGGAAATAGTTAGTAATAAAATGCTTGCTATCTTATTATATTTGTTACCTTTGTGGATCTTATAATATGAAAAACA", "AACAATTAACAAAATAATAGATA", "TGCC", "AAATAATGTGCTAATAGGATAATGTGCCGATTGCCATACGGTGGGTTTGTGCGCCAGTACATTGGCTGATTAGCACATTGAAAAATTATTTATTATGATTTGGAATAAAAATAAAGAGTGC", "AAAAGTATGCGAT", "TGAATTTGACTTTGTGGGTATGAATGCATCACAAGTCTTTTTACCACAGGTTACTTGGGCTCACACGGGTGTTTAAGCTGAAAACTTTAAGATTGAACCTGTGCGAATCCGGGTAATCTGTGGCTGTTTTGATGGAACAGTCTATCAATAGCAAAACCTGTTTGTTGGAGAATGCAGAATGTTATGAGCGTTCTTTGACAATGGGACACTCGAACCGATAAAAAATACCGAAGTA", "AAATAGTTTATCAGAATCTTTTTTAAAATTAGAAAGCCGCCTCCTCCGAAGCGGCTTTCCGTTTTTCTA", "ACGCAGTATTGATTTAAAAGTTGGTTTTGCCCAAAGATAGCAAAAAATAAATGATATTCAATAGGATAGGTCGTATTTTTATCAATTAATATTTGCGGTGCTTTTCTAATTAAGTTTAGGGGGGAATAAATATCTGTAACTTGGAACACATGTACCTGTTCCTGTGTTTCGTCAAAACACGATGAAAGGTAAACAAGACGTTAAAAAACAGTTTCACCACAGATCAAATCTGTATAATCTGTGGTGAATTTAAAAC", "AGAGATTGTTTA", "AGTCGTTTTATTTTAATGGTTTATACGGACAAAGTTAGGCGTTTTTATGAAATAACCTCTTATTTGCCCTTTTATTTTCGTTTAAAAATA", "GTTGTTTTCTTCTTTATTTATGGTTGCGAAGTTAGGAATTTTTGTTAGTTTTGTCATTCTAACTAATCTATAATGAACCTAA", "ATACAAATAACACTGATTATGCGGGTGAAAATACAATCCGCATAATCAGTGTTTTCATATCTGAAGAACTACAAAACGGAAGGG", "TCCTTAAATC", "AAATGTAATGTTTTAAGTTAATACATAATTCTAAATTCGCGGTAAAGGTAATATTTTTATTTGTATACGTTTTCATATTTGCGAAAAAAGAACGAAATTTGCACATGAATTATAAATGAAATTATACACCTTTAAATAGAATAATAGAAT", "TTTTTTCTTATTTCTATAAAGCATAAAGGAAACCCATCGGGGCTGATTGTAAAACCATTCTCCCGATGGGTTTTATTGCATAGTATGTGGGAATAGGTAAGGTAAAAACAGCTAAATAGGATAAA", "GGGAACTGTGCCTGAGGTTCCGAAAACTTTGTTTATATTTGCTTT", "TAAATATAATACCGTATGGTCGTTTGGTCACTTTGGATAAAATGCAGCGTCCTACGTGCGTTTGTCACCGCTTGATTCCGGTTCTAATTTTCAGGGAAGAAGAACAAAAGGACAAAAGAGAGAAAATCCGTTCTTTTGTCCTCGAATAAATCAATGCACATCCTGCGTGGTTGGTGGCAATTCCGTCTTTATCGCGTCTTTGCCATACTTGAAAATGGTA", "ATCTTTTCGT", "ACGATTGTTGGTTTAAGGATGATGACAAAGATAATTTCTTTTCATTACTTTCACAAACAAACCTTCCGTCTTTTTGTTTCAGACTTGTGCTCTCATTGCAATGTTAGATGCAATTATGCCCTCATGCCCTCATTTGTGAGGTAATTTGTTTATAATGAACAGGTTATTTGTGATGGTAGCATAGAAGAGTATGAGGGCATTGCCCTCATACTCTTCTATGGTAAAATAAA", "ATTTGTCTTTCGTTTGAATGTTTTTTTGGTTTTATGTACCTCCCATGGAAAAGATGATGTTCTGTCGTGTGGAGAAGACTGCGTATTGCGAGTGTCTATGTGTGCATATTTTTAGTAAAGATAAGGTTTCTTTCCGGATTCTGCAAATGCTTTCCGGATTAAATGCCGTCTTTTTCTTTTTCCTTTCTTTTCTGTGTTTTTATTCTTAGTCTCAGTGCATATTCCCCTGTCTCTTATTTGTTTTCTACCTTTTCTTTTCTTATTTTTGTATGTTTGTAATTAGTTATCTACCCTTGTGATTAAGTCTGATCATAAAGGTGATTAAAATTAATCACAAAGGTAGTTAAGCTTAATCACATCCGTGATTAATAGATATTGAACTTTCATCAATAAAAAAAGAACAGT", "TTTAAGTGAGATGTGCAAGAAAATAGGAGTGAGGGCATGAGGGCAATGCTCTCACTTTTTAACATGCCCTCACTGTGTGTAGTGGTTTAATAATGAGTAGGTTATGACTTTTAGTGAGGGCATGAGGGCATAAGTGGGTGCAACTTAATATAAAAAGTTGTTTTACCACCAGATTTGTTTACCATCAACGTATGTGAACGGACGTTCTTCTGTTTTCCGTGTGTGTGCAGAAATAGATAAAATAGTTGAAGTCCGTTACTAAAATAGGGAAATCCCTACCAACTAATAGGGATTTTCTTTGTTATGAACTGAAACCTCTGGCTATCTTTGCACTAGAAAAAGTAGATAGAATAACAAATAAAACAGGTACGAGT", "GTAGCAGATTAAACCAGACTGTTGTATTTAATAGAATTGATGT", "GAATTTATTGTGAGTCTAATATAATTAACCTGTGATATACCGGAAGAACTTTATAGGCTTCCGGTATATTTT", "AGGCAGAAA", "GGTAATAACTTTTTTGTCATTCCGCTTAAAATAATAACAATTTATATTGCAAAGATAGGACTATTTTGCAATTTCAGCCGGATAAAAA", "ATAGACCG", "AACGGCGGCAAAGATACAATATAAAAATAAAAGGAAGAGCTTTTCCGCTATGGAAAGTTCTTCCTTTTATTATTCGTTTAGAGTTATTTTAAC", "GGTTTCTGTCTTT", "AGTTTCTCCTCCTATTGC", "ACGGTC", "ATTTGTTACAT", "GGGTTAGTTA", "TGTTGTTTACAAAGATACTCTTTATTTGTTATGAAAGGGAAGATTGGAGCAGAAAAAACGGCTTTCTTGCTAAAAACATTTAAAAAAAGGAGAGAAAGATAGGGAAAAAATGGAAGA", "AGTAATATTTTTTATTTTATATTTTAATCTAAGCAAAGATAGGAATAAAAAAAAAGAGAAGCTATAATGCCTCTCTTTTTTTACTTTTATTATTATTGCGTGAATT", "ATTAAAATAATATTATAATTTGAATGCTATTAGTGAGTCGGTTTATCTTTGCTATTTTATTTAATATCTGCAAAGATAACTCTTTATGTGTAAACCGCAACATGTAAAGAGGCTCTTTTTTAAGCCCGTTTGAC", "ATTAAAACCTATAATTTAAGGTACAACAATAATGATGATAAAATAAGGTGGGATGTGCCAAGAATCTTATTAGCCTTGACACATCCCCTCTTCATGGATGAA", "AATGTTATATTTTAAAAGGGTTATTAATTTCTTTGTTTC", "TATT", "GGTTTAGCGTCGGTTTAAAATTAACAGGCTGTATTCTTCAAGATAATTCAAAGCATACAGCCTTTAATTTATGGT", "GATATTAAATATTTAAAGTTTAACAA", "GATATAAAAGTACTAATTAGTAATAGAGTATATGATTTTGGGTGTAAAAGTAACACTATTACTAATACATTGGATATGAAAAGAGTATGTTGTGCAACATAAAAGTGTATTTCCTACACTTTTATAGCATAAAGAAGAAAAAACCGCTTTGAATCCAAAGCGGTTTTTTCATATGTTAGCGAATTTGAGATCTTTT", "GATATTAATAGTTTAAAGTTAATACTATATGTTTTTATAA", "GGTTTTGAAAAAATTATAAGTTTATTAATTAGGTTATTTCATAAAA", "GTTATTGAAAATCTTTTTATTTTATGTATAAAACTAATGCAAATAAAAAGATTTTCTTCGGTATTCCCAAACTTTTTCTATAAAAGTGAACGGA", "GGCAAATGTTTTTATTTACCGCAAAGTGTCACAAAAGAATGCCCGGCAGATATAAAACCTGGTATTCTTTCTGTGACACTTTGTAGTATAAAAA", "GTCGTTTTATGATACTGT", "AACAGTCAGGTCTTTTAGGTTAATTTACTGCTAACAAATTTCGTGCCTAGTTGTTTTCTTAGAGTAATAATTTTGCAAAAGTACGGAATTTCTGCCACACTGGCATACTTTTCTGAATTAAAATGCCTACCTTTGCAATTCCAAAATAGAATAATTATATAAATAAGGTATATTAGG", "ATTATAAATATGAGCTGAAAAAGAAAGAGTCATTGGGAGCCATTGTTCCTGTGGCTCTTTTTTTATGGTTTAGCCGCAATTCTCATTCTGTATGAACCATAATGAGTTCAAAAAATCTATGATAATGGACGATAATCCATGTCGTTATATTAGAATTAGGATTATTTTTGTGTCCATTAAAAACATGAA", "ATAATGTAAATGTAATGGATTATTTTTAAAATGTGATGACGCAGAAATAATCCATTTACAATTTTC", "ATGTAATGTTTTGTTTTTAATCTCCCAATGTTTCTTTTCTAAAATCTGGTTTTAAATTTATTTGTTTTCTTATTGCTTGTCGGAGTTGAATGTGAGAAGAATAATATAGTTACCGATAAAAAAAGGAGAAAGTTGCAACTTTTTTTTTTATTTGTGCGTCTAATGAATATATAATTAAAAAAGAGAAAGATT", "GGTAAAGCAGATGTGCCTGTTGCAATATTTTTTAGGTTTATTGCAACAGGTACATCTGCTTTTACTGTA", "ATATTTATGTTTTTGTGTGTTGTTTAGGTTGAA", "TTTTTTGTTTTTTTGTTTGTAACAAAGATAAGTTGGGAAAAGGTATATTCTTCTATCTTTTCCCCTATTTATTTTTAGGGATTTCCCTACTGTTTATATGGTAATTCTTCTAAGAACTGAAATTTATTGATAGGAAGATTGAGTTTGAATTCTTTAAATTCATATTCCGGAAGATTGGTTGTATTTTTCTCTTGTAGGAAATGTGATTGATTATTTTAACTTCATCTATAATTAATGTAAAAACTTCATTTTCACTTTTAACTTCTTGTTCTCGGGTGGTTCTAACCTATAAACTTTTGACGAATAG", "AGACAGG", "TTAATCACTAAAAACAAAAAA", "ATATGGATGAAAATGTAAAAATTGTGCTTTCATTGTCTTTATAGCTTTATTCTTTTAGTGGCTGCTGTTTATGGTAGCCACTATTTTGTTTTCTCAGTGGAAGTATATATTTTTGTGAAGCTAATAGTAGCTATTTAACTAATAAAAACAATACG", "AATTCGTAATTAATTTAAAAAGATTGCATACAGCCGGATAAAAATGGTAAGTTTGCAACTATATATAGCGGAAAAAGTATAGTCGCGATT", "TCATTATTGTACGGTAACTGATGTTTAAAAGCGTACAGGGTGTCCGATATCGGACACCCTGTACGCTTTATTTGTTGTTGATTATCAATAAGTTGTTTCCTTGGCACGGATGTTGTTTATTCTTTAGCACAAAAATGATAATAATAAAATTACAATAAGTT", "GAAGCAGCTATGACATAAACAACAAGATAAAACTCTCTCTTAATTAATACATTGATTGTTACGGGAAATGAAGTGTTTTTTCATCCTTTTCACTCATTTCCCGAACATAATTTAATTTGTTCTTAGGCTATGTCGTTTTTTTGATCGTTTACCTTTTTATATTATTCATAGTGCTTGTTGACATTTTTTTCCGGCATACAGCATCAGTCGTATTAGTCCGGTAAAAACGGTCACACCGTCTGTTATCGGACAGTATGTAGAATTAAAATTCGTTGAATATTAAATGATTATAGCTTTGGAATGTCTTTTGATTCATGAAAATAGAAAGTGTATAAGATTAACAATTTAAATAAGAAAAAAAT", "CTACTGATTACTATGAAAAGGAATTTATTAAGAAATAACAGAG", "GGTGATAACGAATTAAAAGTAAAGAATCAAG", "TCTCTTTTTTGTTAAAAGGTTTTAGAGCATAGCACAAAC", "ATC", "ATAAAATGAAGAGATAAAACGA", "GAGGAAAGGAAAA", "TGTTATTTCATTGTCACATGATCAGCAGTGTTCGTATATGAATGGAGTGTCCGATTTCGGACACTCCATTTTTGTTGTAAGGTGTTATAAAACAGTGAAATAGTTTTTTGGCATACCATTTGCCTTACCTTTGATAAAAAGAATAATAACGCA", "GATTTTTACTATTCAATTCTGTTTGAATATAAAATGGGGTTGTCTTTGTACTTATTAAAATAATAATTTCTACATTTGCAGCAGT", "CAAAAACGGAACCGGATAAAAT", "AAAGTATGACGTTCCTGTTTCTAACCGGTATTAGCAAATTCAACCGGATGACGATGAATCTGTATGTATTTCACCGGTTACCTTTCGAGTATATTGCATTCTGCTGTTTTTTTACAGGAACATAAATAGCTGGAATGAGAAATTTATTCTCAATTAGCGGGGAAAAATGAAAATATTCAACCAAGATTGGGTGAAATCGGTTGGTTTTGGTTATCTTTGCAATATCATGCACTAAATACATCAAAAT", "TTTTATTTTAGTCATCGTTATCAGCCAAGATCCACAACAAAGTTGGGAGCGACAAAAAAAGTTTTTTTCATAAAAGTCCGTCACATCCGTCACAGTTGTTTTCAACTTGTTGATAAACAGATGCTTACTAGTGTGACGGATAGTGTGACAGATGTGTGACGGACTTTTATCTGTCACACGATGTCTGAATCATGTCAATGTGTATGGAATCATCAGTAGTATGTCCGGTTTTCAAAGCTATACAGTCATTGGACTTTGCTGCATAATTCACTGTGGAATAGTGTGTTCCACCATTAGGAACAAATGGCTTTCCGCAGTGGAATAACTTGTTCCATAAGGGCGGAACAAATGGTTTTGTCCGGTTGGAATAAATAGTTCCTCACTGTGGAATAAATTATTCCATATTGATGAAACAAGCTGTTCCAACCGGTGGAACTTTCTATTCCACTGTAAGCCTGAGACTTCGGAATGTAGTTTGACACTGTCTGTTGACTACTCTTTAACCTTGCTTCTAAGGATGATTGGCAGAGTACCTTTTTATCTGTATGTTGTGACAGATAAAAAGTCCGTCACCTATCTGTCACACTATCCGTCACACCTGTAAGTTCCTGTTTATTAATAAGTTTAAGCTTGCTGTGACAGATGTGACAGACTTTTGCCGAAAAACTTCTTTGTTACTCCCTACTAAAAAAAGCTCCTGTTCTTTCCCTAAAAGGCCGTTATCTTTTCTGAAAAGCTCTTGATCTTTTGAAAAAACGTCCTTGTCTTTTGAGGTGGAATTCTGGATCTTTCGGCCATGATTTCACATACGTACGCATTCATAGTCCTGTACGTATGTGTGGCTCCCTGCGGGATGTACGTAAAAAAGCGTGCTTTCTGGTACGAGATAAGACACAATGTGCACAAATTTATTGTAAAAATGATGTGGCGGATTACAAAAAAGAAATTCATGGGTACAAAAAATGGGAATTATTTTCTATTCTATTTATAATTCCGTATCTTTGCCGTGTTTTCCATGCTGATACTTCCGTAAGGGGGGTATGGGAGAGTGGAAAATACATATATATAGAAAAGACGTTTACTTGGCGTTTTGTTCTTGGCACTTTGAAACTTCGCAAATTTCTAACCCTGCCACAAACAAAGCAACAGTAGGTGTCTGCGCGATATGTTAATGTTCTTGTTTCATCTTTGCTGGTCAAAGAGGTATTCAAGTTGTACATATCGGCGTGGGCTTCTGCGTTGCTCGTTCGGACAGGGTGGGCAATGCGAAGGCCTCAAAGTGCAGGATGAGTAACAGGTACAGATTCCCGCGCTTTTTCTTTATATACGTATCACAATTTCTGATTTAACACATAAGAACCATCCGGTTCGGGAATCTGCGGAAGGTCAAAGTTGGCCCGATATGCTGCATCAATTAATAATGTAGATTCCATATCTCCTATCATGAAATAGCTTCCGGCCGACCTTCATAAAAAAGAAGTCAAAACTTCTTGTATTATCCGAAAGGGTAATGTAACTGATTGAAGTACAGAAAGATATACGTACGTCAAAGCCCCAAGAGTATGTTTTGTTGTGAAAAAACACAATGGTTTGCC", "A", "AAAAATATCATATAAATAATTAATCAAAA", "AAACAAATAGTCTTTTAT", "GTG", "TTATTTGTAAAT", "ATTGTA", "AAATGTTAAAATGATTTATACATAATGATAAAATATTGATTCTTCTGTGATA", "TTTGTTGCTTTATAGTATATAATGGAAAGAAAAGACAGGGAAATATTGGATAATTCATTTATTACTAGTATTTTTGTATCATCAAGAAATATCGG", "TGAATAATTAAATCAAACAACTT", "TATTCTAAC", "TGGCTGATTGACATTTAATGTCGCAAATCTACTGTTTTTATTTTAAACTGCTTTATTCTTT", "TAAAAGGAGTGGCTG", "AATAATAGAATGTATTTTAAAACAAAACTGTTACTTTTGCATCAGGTTAAATACTTTAGCCCTGTGTCGATTACAAAAGTAGGATAATTAATTAAAATATCAAGT", "AAGATAGCCT", "AAGAAAAAATAAAGTTTTTGCGATAATTATTTGCTGATATTAAATATAAACTTTATTTTTGTCGCAAGTAAAAAGAAAAGACTATGGTTAGAACTGCTACACATCATCATCATTTTCCTAACGAATAATTCGGTGGGCTAAGGTGATATTGTAGTATAATGATATAGGGCTTGCCGAAAACGGTAAGCCCTTTTTATTGCCGGTTAATAATTAAAAACAGATAGAATC", "CCGGTCCATACGTCAGGCGAGATTTGTAAACTTATAAAAGAATACATGT", "AG", "AGT", "GTCGGCTTCAAAGACAAAGTAACTTAACTTAGTTGGGCGAGTAAGTTAAGTTACTTTGTCTTTGAAGCCGACTTACTTTTTTATGTGGT", "TCTTTCCCGATTTATACCTCTAAATAAAAAAAATAAAAAAAAGTGCGTGATTTATTTGTTTGTTGCGAATAACTATCTATCTTTGCATATGAAATAAAATTGTAATAGTTACAAAAAGGGAATAT", "GAAAGAAGTTAAAAAGGATAGAGAGTAAATGACTAACTAATAAATAAAATATTGACTAACTAATAAAAAAAGAAATT", "TATACAATTTGCTATAGTATAAATGGAGAGAGGGGGAGCAATTATTATTGCCCCCTCTCTTTTATTGGTTATATTTAGATTAGAGATATATAGGGCTTGTTTC", "ATT", "AATGTTCTCCTTTCGTTATTTTTATTATAATAAAAACGTTTATAGGGTGCTTTTTGTTTAAAAGTAAAGCCGGAACAGTAGACTGTTCCGGCTTTACATATATAAGGTTGATGAACTA", "AATCTTTTTCACGTATTGTTTA", "TTCTATTATTTTTGTGGTGCAAAGTTAGTGTAAGGCAAGGGAAATGCCAAATTTATTGAAGCATTTCGTGTCTGCGGACTAAATTTGCATAGCAAATATAGAATTTTGCAATGATTTAGCTTTCTCTTTTTTCATTAAAAAAGAGGAAGTGTCCTATTTAAGAGAGTTATTTAACTATTTTTTGCAAATTTGGAAACTGAATTTTCCTAAACGACATGATT", "TTTAT", "GGAGAGAATTAGTGGTTAGTGATGAATGGACTGCGCTATTATGCCGCAGGTGTTAATCACTAACCGCTATATATTT", "ACGTTCTTTTCTGGTTTACTTTGCAAAAATACGGAAAATCTCTACCTTTGCCTATCAATTCATAAAAAAACGATAACATT", "GGAAATGTTTTATGATAAGAGAGAATTCTAAATTTTGGACTTCTTTTTGAAATGTGAATGACGCAAGTGGTACGAATAAAATTAAAATGAATTCGCACATTTGCGTCATTGATATTAGTTTCTTTTACTTTCTTCTTTAAATTGGGTAGGTGTCATTCCTTTAACTTGTTTAAAGGAGGTACTGAAATGCCTCTGGCAGGAAAAGCCTACCTTGCAAGATATGTCGTTGATATTCATGTTGGTATTTGTAAGTAAACAGATTTTTCTGTGTATAGTGTTCTTGATTTTTTCAGTTTGGTCATAGCAAGACAGTCCAAATCCATGATATGATGTGATGTTATTTATCTTTTCGTCTCTTTGTTTTATCTTTGTCGTTGAATATTATAGTAATTAAGTAT" ]
[ false, false, false, false, false, false, false, true, false, false, false, true, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, false, false, true, true, true, false, true, true, true, true, true, true, false, true, true, false, false, true, false, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, true, false, false, false, true, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, true, false, false, true, true, true, false, false, false, true, true, true, false, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, false, false, true, true, true, true, true, true, false, true, true, false, false, false, false, true, true, false, true ]
[ 1, 3, 5, 7, 9, 11 ]
[ 0, 2, 4, 6, 8, 10 ]
[ "2510065017|RJ2H1_RJ2H1-contig-055.55|CDS|2510124627|-|1120:1566", "2510065017|RJ2H1_RJ2H1-contig-055.55|CDS|2510124628|-|1634:2827", "2510065017|RJ2H1_RJ2H1-contig-055.55|CDS|2510124629|-|2911:4164", "2510065017|RJ2H1_RJ2H1-contig-055.55|CDS|2510124630|+|4289:5080", "2510065017|RJ2H1_RJ2H1-contig-055.55|CDS|2510124631|+|5409:7328", "2510065017|RJ2H1_RJ2H1-contig-055.55|CDS|2510124632|+|7546:8106" ]
[ "2510065017|RJ2H1_RJ2H1-contig-055.55|IG|IG_000001|+|1108:1119", "2510065017|RJ2H1_RJ2H1-contig-055.55|IG|IG_000002|+|1567:1633", "2510065017|RJ2H1_RJ2H1-contig-055.55|IG|IG_000003|+|2828:2910", "2510065017|RJ2H1_RJ2H1-contig-055.55|IG|IG_000004|+|4165:4288", "2510065017|RJ2H1_RJ2H1-contig-055.55|IG|IG_000005|+|5081:5408", "2510065017|RJ2H1_RJ2H1-contig-055.55|IG|IG_000006|+|7329:7545" ]
[ "MNIGDKAPEILGLNEKGEEIRLSNYKGKKIVLYFYPKDMTSGCTAQACNLRDNYAELRAHGYEIIGVSVNDAKSHQKFIEKNELPFTLIADTDKKLVEQFGVWGEKSMYGRKYMGTFRTTFIINEEGVIERIISPKEVKTKEHAQQIL", "MGKVLIIGAGGVGTVVAHKVAQNPDVFTDIMIASRTKSKCDAIVKAIGNPAIKTAQVDADNVDELVALFNSFKPEIVINVALPYQDLTIMEACLKAGVNYLDTANYEPKDEAHFEYSWQWAYKKRFEDAGLTAILGCGFDPGVSGIYTAYAAKHHFDEMHYLDIVDCNAGNHHKAFATNFNPEINIREITQNGRYYEEGKWVTTKPLEYHKDLTYPNIGPRDSYLLYHEELESLVKNFPTIKRARFWMTFGQEYLTHLRVIQNIGMARIDEIDYNGVKIVPLQFLKAVLPNPQDLGENYEGETSIGCRIRGVKDGKERTYYVYNNCSHQEAYKETGMQGVSYTTGVPAMIGAMMFLQGLWKRPGVWNVEEFDPDPFMEQLNKQGLPWHEVFDGDLEL", "MKRFLAILIGATSCSLCTYAQNGYIVTTTSQQTSISVESLEKQFINDHFKYYNLCDWTPGMKFMVMPERKDIIIPPFKSAETNKEVDTGELKHKIFEYLGSEITERGFVHFNFECEGQQYYHELKNTTLEQYCLKPKAGIPTLAYLGDVDIAKELLEGQTLYMRTNKVRIDDPNSISGYKEVPIGINEEVTVTAVGVGSRAYPVKIVFQDKKGNTYYQPVAISKTNCGMADSDFIMENKNKYFPNSFSFSDANTKKSKNLMSKYGKKTVYLKAETECLDETDTPVRLPRYTQFTIKNIISQNNSPYVFLELENIDGKHYKIKAAFTHTSVVDVILQSDNYFTDLFGIGNLRTKYPNITEEVWNMISRGKVRKGMTTDECRLALGNPMRIHIVTGGYETWSYERKTLDFTNKKLDRIH", "MKKLVLKMMAVCAGALIVSCGSGKNMLSVSSLDGEWNITEVDGQKISTERMPFIGFDVAQKRIYGNSGCNHMMGSFEADSLKPGTLKFGQIGSTRMMCPDMKTEQMVLGALDKVTSFQTVSDKPDVITLCNQDGQPLMTLEKKAAPEVSLSDLSGEWVIELVNGKKIVGTAEVTPFIGFNLDESRIYGNVGCNTINGALMQEDGKPNSLRFDNVATTMMMCPDMETETIVLNALNETKSFSMKDDKVYLLGENGNELLVLKKQ", "MGKIIGIDLGTTNSCVSVFEGNEPVVIANSEGKRTTPSIVAFVDGGERKVGDPAKRQAITNPQRTIFSIKRFMGETWDQVQKETARVPYKVVKGDNNTPRVDIDGRLYTPQEISAMILQKMKKTAEDYLGQEVTEAVITVPAYFSDSQRQATKEAGQIAGLEVKRIVNEPTAAALAYGLDKAHKDMKIAVFDLGGGTFDISILEFGGGVFEVLSTNGDTHLGGDDFDQVIIDWLVQEFKNDEGADLTKDPMAMQRLKEAAEKAKIELSSSTSTEINLPYIMPVDGMPKHLVKTLTRAKFEALAHNLIQACLEPCKKAMSDAGLSNSDIDEVILVGGSSRIPAVQELVEKFFGKTPSKGVNPDEVVAVGAAVQGAVLTDEIKGVVLLDVTPLSMGIETLGGVMTKLIDANTTIPARKSETFSTAADNQTEVTIHVLQGERPMAAQNKSIGQFNLTGIAPARRGVPQIEVTFDIDANGILKVSAKDKATGKEQAIRIEASSGLSKEEIEKMKAEAEANAEADKKEREKIDKLNQADSMIFSTENQLKELGDKLPADKKAPIEAALQKLKDAHKAQDLAAIDTAMAELNTAFQAASAEMYAQSGAQGGAQAGPGAGAGQQANQGSSSNNKEDIQDADFEEVK", "MPAAKFEIVRKCKICGEPFKAKTIESWYCSPRCSKIAWKRNKDEELRMQKLDEVVKKIPKSKDYITVPEAYALFGISKETLYRLIRKGVISSVNVGERQTRVSKEELLKLYPLRKKALTKPKPVAKLYSLEPKDCYTIGEISKKFHLDDSTVYQHIRKYSIPTRQIGNFVYVPKKEIDNLYKGAKQ" ]
[ "AAATCTGTTGGT", "TTTTTAGTGGTTAATGATTAATGGTTAGTGTGGCCCAAGTATGAATTATGGGCCATTAATCACTATT", "TTGCTGTTTTTTATTCTTGTTAAAATAAAATGCCCGGCAGACATTACCGTTCACAGGGCAAAGATAACAAAATATTTTGGGTA", "TGCTTTAAGTGTTAGTATATATAAATTGATACAAAGGTATAAAATTTTTGTGTATAATTGCTATAGTGAAAAATAAAATGGTTAGTTTTGCACACTAAACTAACAGATTTAACAAAAAAATAAT", "TCCATCTACATTCGAATTGATATGGGTCGGCCTGTTTTCTCATAAACGAGAAAACAGGCCGATTGCATTTATGGCATTATGCTAGGATGCTGGCAGGTATTGTATTTGGTGGAGTTCTGACATTCTTGCCTTATATGAATCCATTTAAAAGTATCATATAGCAAATAAATCAGTCATTTTGTCAGCCTTTGTCACATTCTTATTTTTGGCATACCGTTTGTTTTATGAAGGGCGTGCGGTTGGTGCACCAAAGAAAAAAGAAGTCCTTCGTGAACCATTCGCCAAGAAATCAGTTTAATTGAAAACAAATAAATAAAGATATATAATT", "GTATCGGTAAATAACGATAACTAACGATAGTAAAATAGGGTGTAACTCAATTGGTTACGCCCTATTTTGTTTTTAGCACTTTCTGATTGATTTGCTTGTTTCTCGGATTTTTATTGTATATTTGTACCATATTTGTTCCGACACTTAGAAGTAGATAATGTGAAACTTATATAGTTGTCGGTGGTGATAGTGAATAACTTATGTTTCAAAGACAGAT" ]
[ false, false, false, true, true, true ]
[ 1, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 21, 23, 25, 27, 29, 31, 32, 34, 36, 38, 40, 41, 43, 45, 47, 49, 51, 53, 55, 56, 58, 59, 61, 63, 64, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 96, 98, 99, 101, 102, 104, 106, 108, 110 ]
[ 0, 3, 5, 7, 9, 11, 13, 15, 17, 19, 22, 24, 26, 28, 30, 33, 35, 37, 39, 42, 44, 46, 48, 50, 52, 54, 57, 60, 62, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 97, 100, 103, 105, 107, 109 ]
[ "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124635|+|1344:1703", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124636|+|1703:3079", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124637|+|3196:4203", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124638|+|4216:4770", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124639|+|5185:5451", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124640|+|5627:6835", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124641|+|6860:7345", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124642|-|7357:7956", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124643|-|7995:10058", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124644|-|10062:10568", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124645|-|10630:11073", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124646|-|11018:11200", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124647|-|11267:11683", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124648|-|12032:13177", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124649|-|13183:15003", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124650|-|15015:19769", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124651|-|19778:20014", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124652|-|19993:20184", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124653|+|20365:22950", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124654|-|22980:23969", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124655|-|24164:24919", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124656|+|25424:25513", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124657|+|25470:26405", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124658|+|26702:27352", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124659|+|27370:27804", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124660|+|27830:28630", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124661|+|28668:31082", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124662|+|31282:32823", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124663|+|32910:34064", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124664|+|34069:35184", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124665|+|35181:36296", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124666|+|36313:37056", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124667|+|37049:38104", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124668|+|38453:39472", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124669|+|39482:40276", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124670|+|40269:41453", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124671|+|41450:42529", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124672|+|42537:43277", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124673|+|43285:44091", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124674|+|44155:45576", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124675|+|45608:45823", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124676|-|46004:46501", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124677|-|46559:46681", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124678|-|46833:47372", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124679|-|47655:47879", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124680|-|47897:49840", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124681|-|49844:50413", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124682|+|50599:51066", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124683|+|51122:53401", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124684|+|53516:53692", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124685|-|53755:54663", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124686|-|54895:55980", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124687|-|55977:57032", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124688|-|57110:57931", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124689|-|57931:59604", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124690|-|59631:60773", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124691|-|60770:61177", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124692|-|61269:62618", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124693|+|62855:65356", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124694|+|65390:65719", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124695|+|65752:66357" ]
[ "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000001|+|1052:1343", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000002|+|3080:3195", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000003|+|4204:4215", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000004|+|4771:5184", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000005|+|5452:5626", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000006|+|6836:6859", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000007|+|7346:7356", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000008|+|7957:7994", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000009|+|10059:10061", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000010|+|10569:10629", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000011|+|11201:11266", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000012|+|11684:12031", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000013|+|13178:13182", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000014|+|15004:15014", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000015|+|19770:19777", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000016|+|20185:20364", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000017|+|22951:22979", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000018|+|23970:24163", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000019|+|24920:25423", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000020|+|26406:26701", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000021|+|27353:27369", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000022|+|27805:27829", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000023|+|28631:28667", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000024|+|31083:31281", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000025|+|32824:32909", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000026|+|34065:34068", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000027|+|36297:36312", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000028|+|38105:38452", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000029|+|39473:39481", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000030|+|42530:42536", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000031|+|43278:43284", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000032|+|44092:44154", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000033|+|45577:45607", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000034|+|45824:46003", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000035|+|46502:46558", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000036|+|46682:46832", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000037|+|47373:47654", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000038|+|47880:47896", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000039|+|49841:49843", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000040|+|50414:50598", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000041|+|51067:51121", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000042|+|53402:53515", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000043|+|53693:53754", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000044|+|54664:54894", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000045|+|57033:57109", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000046|+|59605:59630", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000047|+|61178:61268", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000048|+|62619:62854", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000049|+|65357:65389", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000050|+|65720:65751" ]
[ "MEDKNITFEDLPKAMSWMMDKLNKLDSKIDGLNNIPQIRPADQWMNLKELCEYLPSHPAEQTVYGWTSCHQIPFHKRGKRIMFLKSEIDAWLHDGKRKSQKELAEEAAQFINAKRNRPF", "MDSLDLCNSIRMEFEGIVENKIPLDVFPAKLQDMVLALARQENYSIEYTMASLIAAASTAIGNAVNIRIRGGWVSSPILYMILIGRPGMGKTPPLDFAFRPIRKLDAKVIKQFKIDMENYNSILESQKGKKDERPSLPPKPILKRTIISDFTPEALIRALNDNPRGVTVYVDEIMGMFNAVNQYSKGQLIEQLLTAFSGKPLDVSRCSMPIPIHIERPFINIVGTMQTTRVHELVDKGYKDNGLLDRIIFVYPSSQEISDWPIDEDFTASSFEKYSALWEDVINRICEICFITDENNDYALQNVLNFSPEAGTYFTNWRNGLIHKVNQIKDDGLVDSRIMKIPMIAARLALVFQILRWACGEVHKDFVDIDSIKSAIRLSSYFEDCYSNIQRFMLMESIEPQKKELLDNVPALFSTTEAIQAGKEVGLSERSVMYMLVNLTTSKIIRKIKRGEYEKLQ", "MTEYRFTLQKYKRGSKLTCPKCGRKQCFVKYVDTEGQIAFPDYVGRCDHEHSCQYHYKPSDYFKDNPVALEERKSWKSQAKVMQPKPTDYIDRDIMHRSLANYELNPLFIFLSGVLGEKETSRLFKLYCVGTSKKWGGSTVFWQIDRQGKVRAGKIMLYNPTTGHRVKEPRSYVSWVHTELELEHFNMKQCLFGEHLLAGYPTKAVAIVESEKSALVASHFMPDFVWLATGGIHGCFKADTVGVLKNHAVILCPDLGAKMVWQEKVQLLSSVCSKVVFSEKLEQCATNEQREKGLDIADFLLMADTPMMTLQKMIKRCPSLQTLIDQFQLELVEQ", "MKKDVYYCIVLSDSQLDFLAGSKYGIDRMKVLKCLIDAVVIKETKYEKKGFAVTLHIGQAALSEVELATRLGYDKKTVSRLLDKMAELGIVTSEQTNRTSIHTVHCVSAWYTDNQKILNPYYVSVKERHHCVGEIGDNGIDKDGISANTPNDGIGKSDTSACCNSEQPSLSLILGNNVHDGNGA", "MTTTEENKDEKKKDIKRTKRLEARVTEKEYAKAVELAETCGLTLSDYIRKCALGQHPRRRLTDKEXXXXXXXXXXXXXXXXGSPLQSL", "MIAKAATISHGGNAVRYSVNKDKAEIVKVNFLPDDISAEAMYQRMVLKQKEFANTINKGRPLKRNVIRMEISPTKEESSGWTLDDWVRLANEYIQVFDSIDLSKKTKRTSAKSTNVKNSQYVVALHHDAKSGIPHLHIDVNRVDMDGKVNDDHLIAERAMSAAYIINERRGWVQPEDIYEKRRLEIAGTCMDVLRSLPNFSWSGYEAGLKAHGYGIHLQEDDKGNVRGYSILSGNSSYKSSILGKGRHLMPSKIEATWARLHEERKSSLIPNTEQKIRPATVSTEMPQTNRPALVIKHYDISTDEYHHYHVAIPEEADEIICKECSVPDDNPLATIEDVQKTALLLFAEYLDGATSMAASSGGGGSDMSGWGKDKDGDEREWARRCAQMANHLCKRRRGLHR", "MGIGKGKSDKIDIDGLIEGVGNENKVAQEESKLEQKIKELKDVRLELEAATRKMEEVTFALKTATDSADNIISGICRAIVKAEQNIVFRAKISTDELAKVHQCTVRHIKAEEDLLERHSDKMAKHLQNNEGVWLSTSWLIFTLVVLAISYLAVILWAIYKR", "MKTRTAKLRDIEQVGFPIVKAAFKGKDGNVYVGAMMVDSGSVHCILNKSVLSLIDESSVIDGKTMDIHSVQGKGVKCQGVSFNFKIGCGEYDTFYVNNTIDFNAMFDGVLIGNDEHPTEPCTYRNYYNSLMAKLDIPKLKYHGLRHSFATRCIEAGCDYKTVSVLLGHSNISTTLNLYVHPNMEQKKRCITKMFKSLGK", "MQNTQIDPHNHEQQLAYELVANTNSSFFLTGRAGTGKTTFLHNVQKLVGKQFITLAPTGVAAILAGGDTIHSFFGLPMEVCTPGTCGKMNEAKILTLLHADTIIIDEVSMVRCDIMDAIDYTMRKALRNNMPFGGKQIIFVGDMFQLPPVVKQGPEKDMLKDLYQTDDFFFYKSDAIKRMRLVKIEFRKVYRQDDEHFLHILENVRLNKVTPENIMHLNDRVHIPTAEDGAVITLASINKTADKINLQRLEEIESEEFVYEGTIDGKFEEKKFPVDMKLRLKVGAQVMFTRNDQQKRWANGTLGKVSKLTKDEISVTLNNGETYIVPCCSWESYSYDYNKEERKMKKELIGTFTQYPLKLAWAITVHKSQGMTFDKLSLDLSRGMFAAGQLYVALSRVRSLEGLYLSKNVIPQYAHTSREVLTYASEYNNEQQIGNEIESGKAVYGALQHNDYDEAARQYLLLVAKKAENGDIKEAMQQAKRFLDILVCDEHLYGSIESVPECLTKASHWAPKFLASLLCLYAEKYEEALAYANEVLATHQCAEAMYIKSRALAKLERYTEADETNCQLANVFEMATPDAKVLFMIAMLNEMHIGDPGLDLMRKLVEARPKYDLGILALRTLMQCHNLKLDKQSDNNCELVDLFNSDATEEEFLAQLKVCREKAPKAIAYLIRRIKKQEFNEEQPAA", "MASLTKAINKDLFDSILPTFGNQRVHIPVWDEGQKMFLCEEYESASGNRYYKGVRFCDRIVVVEKVGLYHNWTYIDGIEVYAFNGTRLELVQKRDYDKVHRNEEFIRKELEIMVRNFFEGVLKAQRSCMPQEELEEKAKGIIDGCYKSFLDSDYNTRLTQILPQIEQK", "MNTSLALIAAKALPALSGSSLTYNAEKNVYLTLGYTSAAGNTYYRAIRLSDRLAVYYHIGQGYAHTFLNGITLFAWNGQKANIIAQKFWGGCNWRCFNERSAKEESILMLKDFLKGQAKTMGSMVAESQLLDFSRSMIEATHQKCLG", "MPYTNIVTLHHNSERVGFVYTLFYYYKQQRWRPIPLNKNQMYDEHITRTHCRKGTPSPLG", "MKQIPNNNKATMNNKVTAKQTKKQRKAEADAHRRTNKRWERVETSNRYRKAATEELCNVTTNKIEIEVLDGILLNLNIRSKMKPSKVQDRILRRIIEKRQAQANHKKHGRSEDFTSMHITLACTSKCMKDIRKKRYAA", "MQRKNLFDGDSYKAQFALITYRWLMSHRWVSYADIMADYIGVTTKELPANLSNCDGYGELKKVVGTLKKAIADKLEKDVGECFEEEGNNRNKRFRYVGKDDDPLADMRNAKVINNLRQYWKFCQDSAGFFPKSWLEYFFHDCQDLLDMKAKRRKGEQVISSSLDRILTNIEYLPQLYEAITNKTVMEIEYKPYDNEQVTLLFHPHYLKEYNGRWHLFGHAEGREPEFGYNIALDRIQEKPRERSKVDYVPAPEHYYDEFFKDIVGVSHMKEHPNKEHIVIRAHEHYIFKLIDTKPLHHSYEVVKPYGLYEDGEYAEFSVDVEMNNEFIGRVLQMGAGLEVMSPPRIRKIFATRVKDIVSHYLEPGEKLQRMVPNTEEPLES", "MEPFIVGIDITSTKDLFGRNREIETLISCAKRKGNAGIIGARRFGKTCLMKSLETYLVNNSSIGAYPLYFDVKTQCGVKKNTPAVYRSMASLLASKMCLDNLLPEGELKISRRCSLDISCDELDMRVQMEEWNPEYQKQVLFTLANSLAKNNKYLLLLLDEIDYLLLEAFDTPSDFSRIRGAATSPESNLKFWIAGTSTWSAICTSVGSPELNCGLENVTITSTSKEDFTSLWQHECSLIEETFLRQQYLDLLSPLFQKTGGVPYYAKFVASHMYTNRKVALPEYDVIRDYLCEIINNRFVSEAERSTMFLLSKGPKNFEGTIPDGITSLRSKGLVNSISESTFYLPIGYLEDYLRACSHDKEVVDVDSIEQEELNELVGQIERLRVGVNKRYINVHEVFIPSHEDPIEFGILRKRCYDEASMDAFSGSLYKLYYEGSNNGNNLPDKSSEFANLTRALRHLYNHRECVPSTMPEERLLMIVNNGSRPIYDYEFAKMQVRILQLCRDELCGMQVPTSPSRTNTNTFTTGSDSSSALRGKINGDRNRILVDNHPVYIIDNRKGFAPNNSPREYDYSEREEVLFELKEGTNPKTGKPIFFAINVRPAND", "MSKISEHIFGLYSQLVGDEIQPIDENVVDEAMGVINKINVTDDNSLFYFLSAINLLNAAIKTEKYKSRLFYEFIKTRVSAVADCVLKNATSFYDSKLYYDKNQKCLYFEVYGVIFSFHQIKETKQILIVAANNPPITWTGVRLQRIAQNIFLFAKEQLNTIQMQDQSPSIAPIKESKNTELLNKLVECPDCGKKISKSAFICPNCGHIPLYNIITNSYKVGDKVQISFNTNKVSGEIVNLTPVFATIKKRDETIITVRQTSIDSIQNILTTTEVENNFRDADSLSSQKVLDIFDLLLLKIFPILSINNRTLIPTNATIVDINDNGINITTDSGLSETLRGNFVNFKKKSCAPGSRLYCNRINENSTMFSLIETSYHDVMNLFRKALVYRKGVTAKRKKTMLAVLKFMMDEMTSKKEAYIEIEKFQKIILSYVGTDPDIFDDEAEEYDKSTNTPEDQSIKAIHPTNVELYKTESEKILENGGLQLKVMGKVDLDSIPYSKRTRFPKIIETSHTDNDSSQRKLVKPTILFSNEVTAFLSKKMPNLNESKCKQLEKELDTLIRNGQKEECLKRSYQIINTSRPTPKYLRSYLDRIVNTEIALNHTTEALQSLAYLIVLTEQQSDVNVNSIGHLYITMARLYQKDNNREEALKAILYAESVKPNNNAITKLKESIQRMDSNDINNPDSSSDSISKMLLEDVCQEAKRQELLPSNEIIPAEQLFGRAQNKRNDDTESFEGKAQLFLEAAGAYYNTKQTSSIMYKISVANYARMKGHGMYARFANLIRNNTGEFAELQALCDCACSYYIEALGIFNSLGEKNHLQELLLKYLQLHFVISQLEGGKTPDSDWEDWTLKAKLQEILFGDSNEEQKVFFRSCIAIGASSENSWNTLSGSPDGLMPMFSAFRDDDNLRKKCCNLINSIENANVDSKLTSGTFLKRIIQHRRTRITKLETCLHDCLEWKFDQFDITTFVSLWNSVLEHSALMTNTDTNSQNIVKEVLNTLANYAGHRENERYRSLVTSQQILLNGQKSITETTTNYGRTFFSHLFNNWLEEIGRQIKEKEARTLPVLSISCEPAFITKDLSGNGCISIVVTNNGDSTAESFVVTASINGKKYSITHNEELSAGDCCGERFISPDFYEIISANVKFDLVAKYQGKELQPREYEATYEVENEDVLSDEIDIPWTISNTPEEHIFKGREKELRTLIDHYLSKDRSLTYILYGLTRTGKSSILDYLRNRIDGQILKEDSSKHILAFKWYLNEFPYKNSTSAQFWTWALETNIYDKLTDELADKVEAAYGEDGLPPAESLSQIDFCKVIDVLNENNVIPLVTIDEFSFVRLMLKEGLIDATFISTLRNLALTGKACFVYSGTYDIKELPKEKEYIAGQMNNTLPMPINQIEEQYANELIDACPKIIFDERAKAYIRALSGCVPYWIQWICLACGKYAVASKHRHLGFNEVNDVVRVLTGEVQPGKGDTWESLDETNFHNNQIDPENIAEHQLISSISYLNRESTQIERGISMDELKRLWDKYSVNEERRLNMTRALVSLKDKKILYSFTDEGREVYRLSVDLFRRWWFVHHRDLDLEFSL", "VFNVNLLNMENPQKLNRLKAVLADAGQTNKWLAEQLGKDPVTVSKWCTNTSQPDLQTLAKISDLLKVNIRELLVERNN", "MDVIYFHTYVDKHVNIKAHPVISINLLCISVVNVKLPLQIEENYILFLLLRCNLVNLCSTLIY", "MGKSHLFLKNEFGICLKFNRTRGRDDEEETSEDEKNYCRQREKLHRCRINFKQALEERHSLRRQDVVSEHFDMLSIDFLKIADSTLTRQYCQVYGLSELNYSNMNQTVLFAIVDEDRFNDVFITQIDSFSTEENNESTEYKPLTLMAGFSYWDSDSMKPFGITSDDAHDVLLELVESSPRIAMKHQAIVKAMEEYLDQKQILYKRIESGIYQINFISKDDLAILLDNFDVIQRIQSLYTTRVRPNTFGDLERVSDATLNLLEGAPIIGVIDTGVQRLAVLDPILEHDGLDLVDKNTPHPYEIDLRSDSSHGTTVATLAAFGNNFYRNMDANVVDADAKIFSIKVQRGETGLVNIADIKEAITIAHQNYGIRIFNLSMSVRGKFYNEDISTYAYILDELAYIYDLLIFISVGNLSEEDIKNMQIVAANPNTSERVKRFLKYPNHYYNPFISIEETECHDGECMNLCEPSESMNNMSVGAIAESYNINHGNHGLSLGCEFPAYYSRKYHIDYNSLINGTRFKNNQKNKNLFKPDIVMPGGDQLDVESRMLVLAPRIDGTGLKIEQNSGTSYATPLAANIAAKIVSKYPNINMQSVKALMINSAEPIKKYYLEEIIDELKFLDNNSYPYVDSKEKTLLSKKYSAERLSKYISGHGVPNISKCIDSDDNRCTFVIEDTIAFDSHKVVNLNIPNYLLQYSKKGVLLTLTATLCYKFNPKRADVLSYCPIHIAFNFGNSMNHDEPRKNAEEYASKRASDDKDRMAIKSSVGSWSDDFYPVSSKMFSNVQKMSLNISRDEIEKIRNQISIIFRCTGREYLEGTNHPFSFVLTIEQKHSAELDGNSLYDSLEQVNIVEAIAQAALEAEL", "MKQADYIKQIAKFALANDNARLRDLLYQYVEYSQQNNRGKFATEILSIIKDSDRENSLGKLKEYRLNKHFDYKADEYILQTVTSSFTMKDLVCTQDVREEFEYFIKERQQTEALVQMDIPVSNKILLHGPSGCGKTLSAYVLAGELNRPLIIVNLGTVISSRLGETSKNLTQIFKTAVQEKAIVLLDEFDSLGKIRDYDQDHGEMKRVVNTILQLFDFVSQDTIIIAATNQLQMIDEALIRRFDLSIKMDLPNSEQVHALIQYTIKDRFVFDDEQVKENIINSECRNLSYYVIKRALLNAIKRNILDGYNDNIIRTNIWKKLLNDKRIS", "MKQRQHIFQSWAIEVVTVLLASTISFPVSDALNVQLSILPFVMVACYVALKLIYHLCLSLSAHIISLAFLVRHKPTKSDRQTKDDCTFATAISTVDNDNIQMKRMELFHYEYQHKERQYLQQKEREEDEKLQAVLQYTRNTFRQFDFSEEEIFQICECVRYFVTNQQALSVNIHIKRRTAVTQISLKNFAWNIAFQYNISRDVTAQFVIQTFHEWFVNSTIDTIRKNLRTTTGNHKIKIDEQIIKHPTISN", "MILYFSLMIYLINLGNGKYEISKIKEPSE", "MANMRLVKLKNRPSKGVFVFEYMQEQIERLRGQGKERTVETYQSALNSFMKFRDGIDLCFDEMDADLMEHYETEMRSTHHLSRNTTSFYMRILRCVYRKAVGEGLALPADPFENVYTGVDKTSKRAATLTDIKHIKQLDLSDHKSLEFARDIFLFSFYMRGMSFIDLAYLRKKDLNSGFVSYSRRKTGKKLIIRWEKQMQEIIDRYGDSETQYLLPIIEREDGTERRQYRNKMLLVNRKLKKIAARAGLTTPLTMYVARHSWASIAKTKNISIGIISEAMGHDSETTTQIYLSSIQTNQIDNANRNILKDL", "MPQQILGTTVQKTDDAVGIFRNDQEKKHWYVAVVNNRSEKACQDRLRKRLKNETDSEKAYEVYVPIQQEMSIRHDGKRKKVDRIVFPALVFIRCTDSVRRKEIVCLPYIKRFMVNIAGSSRNTCRPVAIIPDHQMYSLMRMVNDAEEHVTIESCPLHLGDRVRVNGGKLVGLEGNICREIDGSTSLVVKIDILGCAKVTIARELLEPIAEKNSVNI", "MTIAVDFDGTIVEHKYPAIGRELPFAIETLKKLRDEHHRLILWTVREGRLLDEALAFCRDRGLEFYAVNRDYPEEEKGRNNHYSRKLKADLFIDDRNLGGLPDWGTIYGMIHDGTTFSDLIRSAGESPVSENHGRGLFSRLFGR", "MKTLKKLLLFVVASVLLAGCTSYKNVPYMQNPEVVNSYREDLPLYDAKIMPKDLLSITVNTSDPQAAAPFNLTVQTPLNAALTNINTTTQPTLQQYLVNNKGEIDFPVIGRLKVGGLTKNEAEDLIREQLQPYLKESPIVTVRMANYKISVLGEVNRPGTFTVGNEKVNILEALAMAGDMTVYGVRDNVKLIREDAKGKREIINLNLNNAELVVSPYYYLRQNDIIYVTPNKTKAKNSDIGSSTSIWISATSILVSLASLLATILK", "MTDEQKNTPSGTEQREENVDLYALFFKYFAYWPWFVASVLVCIISAFIYLRYQAPVYNVDAAVLIKEGDKKGGSSNNPMGALQDLGMFSMTNNFDNEVEILKSRTLIKKVVNHLNLYISVAEERMFGYNTPLYKSTPVKVYMTPEEADNLEEGAKLHLKYTMNGKLDVKVEYMFDEEKQETEVSFDSIPAVFPTPVGVFSFTKNDSVPPLEEDMNLVAYVNSPTDVTESYVENLSVEPTSKTTTIAAISLQNTVKQRGIDFINCLVDFYNLDANDEKNEVAQKSAEFIDERIGIINRELGTAETELADFKQRSGLTDLTSDARLALEESSKYEQQLTENATQLRLVESLRNYVNNPKNANEVIPANVGLQDQNLGSIINQYNTMLIERKRLLRTSSENNPAVININTGIESMRHNVQTTVNSVLRGLQIAQSNLERQARKFEGRISSAPQQEKEFLTISRQQEIKATLYIMLLQKREENAITLASTANNGRIIKAALPSKKPVSPKKMLVMLTALVLGMGIPVGLIYLKDLLKYKIENAEDVEKITDVPILGELPLSKKPEKGAIVVQENQNGMMEEAFRGLRTNMLFMLGASQKVVLFTSTQPGEGKSFIAGNTAVSLAYMGKKVVIVGLDIRKPGLNKVFNLSHRTEGITNYLADPEHTNLFDMIQHSDVSPNLDILPGGPIPPNPTELMARTVLEDAIEKLKERYDYIILDTAPIAIVTDTAIASRVADMCVYVCRADVTPKLGYQYINVLRDQKKFDKLATVINSIDLNSRKSSYGYKYGYGYGHKYGYGYVAETCGKKD", "MKYETSINDSSNNKRIAKNTLLLYFRMLLMMVVTLYTSRVVLATLGVEDYGIYNVVGGVVTMFGFISGCMSTATQRYLTFELGKGRVKRLQEVFNVSILIHGIVALVILVVAETIGLWFLWNKMQIPTGRLDAAFWVYQSSVLAALIMIMSIPYNATIIAHERMSAFACISVLEVLLKLGIVYLLLLLNVDKLILYAVLIVAVQFAVRICYGWYCKCHFKETRIRLTWNRCLIKEMLSFAGWSIVGNAAYVAYTQGINVLLNIFFGPTVNAARAISVQVQHAVNMFSQNFQTAINPQITKSYAASDYVEMYTLIYRSSKFTFFLLLFLSLPVMIETEYMLNLWLTVVPDDTVIFTRLILCTTIIDSMANPLMVAVTATGHIRRYHIIVGGCLLSIIPLSYGVLKLGCSPASVLVVHFLLSVVAFAIRLCIVTRMINMPLYIYIKEVILKSLKVVVLSVPVPLVISFYLHTSFIVTGVVCVVSVSVISYVFGLDENERTFVGKRLKGALLKMGC", "MKSLFYPVLLLFHAVFISLGNDSKMIKYICVVLLMVYLFHKKRFILQRKYRTVNQALLLFGGTVLFSSIHMLTIHFPPGFKEVSPLSGVLLVLCVACSFFMMEYVNEKNLHQSFFILMYRFTLGYLLVNDLLLLAQMPSVSGMESSTIIYLIGNKFEVTYMHLFFSALYYQVFCTGMKVYLRQYIILVMHLVLTLFIAIGVECSTGVLGVVLLAVFLFLYKKIRFIFRPFFAIILMLLFGAFFLLYETILAIPSVQYLIVDILNEDLTLTGRTYIYTRMLDLMDTQPWFGYGNGTATFFTTYYIHEKLTNTQNGLLNDYIDWGIIGVICLIILTYSVLRSASSRINPFICLIYTYIVLSSIEITLGLRFLAVLPMCMWANKKNN", "MKNISELSHCYGCSLCAVICPQQIITLCQDKEGFYQPVIEKNDECTACGLCSKVCAFINDEKWQNENIRSFASWSREPSVRKKSSSGGTGFEIARMLSRKGYNVVSVCYNADKKRAEHYVARCVEEIIPSMGSKYIQSYSYKAFKEIKKGGKFLITGTPCQIASMRRYVRMRRIEDDVILMDFFCHGVPSKLVWDKYVSEIENQIGKVTYASWRNKQSGWHDSWGMFIKGEKSYYNKKRSEGDLFYKFFLGNMCLGRACYKDCKFKYLNSAADIRIGDLWGSKYVDEEKGVTGILAFTERGRMVIANCPGIETVNESVEVVTEGQMKSCITKPYYYRGLMALLRTPLKLKFIYRIIQMLRIGNILKVKMHL", "MKRVGIVTMHKVVNYGSALQAWATQEVIRRLGYDVLIIDYVYPNAFHIKDKKRNIARSVLQWCLHFCQGFPWEKKRKHFEKFWNDNFNLTQTYPCVESIYNNPPDFDVYVAGSDQIWNPTCIKGDGVFLLDFVTNGKRRISYASSFAQAKLEEKFRKDMADSLRGFFSIAVRESNGVKIVKKLIGKDVPITLDPTLLLRKDDYGPLIKQSSLHIQKPYILVYILQYAYDPYPYATEFIREVYQQTGLHVVCLDFSAKQHLGIKDMIHLHDAVGPAEFLSLFANASFVITTSFHGTAFALNFGVPFYSLLNDKSSSDDRMGNLLRLCGMEDRGIIINTRKVRVSTSFDINGCETRLRQKREESIAYLQRSLM", "MGVFNQIKMIWHKRSSSAYIKYLRKKGIHIGEHCIIRAPRTARIDVSRPSLVTIGNNVDMNMNFQILTHDWASLVFRTKYNDFVNSSGHVTIGNNIYFGTNVVVLKGVTIGDNCVIGACSLVTKNIPANSVAAGVPCRVICSIDEYNRKRKQVALAEAVEYVQSIQKRFKRDPFKRELYEEFIYFTHKDNIEQYEQEGSPVKSQLGIAYTDFIQRDEANFKDYEAFLQYVNKKGVISSENNNIIKNE", "MNKPKVSIVVPIYNVEKYLDRCIQSLLAQTLKEIEIILVDDESPDNCPQICDRYVAKYTYVKVVHKKNDGLGQARNSGMEVATGEYIAFVDSDDFIDADMMERLYDECKEHSLDVIYSEFNVDEYPGFRITLKPERLYTGREEIEQLRLDIVGAEPNHRSSVKFQCSACKGLYSMKLLSEHHLQFHSERQYISEDMLFNLDVLYHAMQVKTVPWQMYHYCLNGASLSHTYRPDRWPKLLYMLEILDKKGQQFKHQEEFRLRLARTAIFYTRSGIGQEIRRTDISFKEKLRRVTEIMKDTTISSLIKDYPFYNLPLTWKIYGYLLKCKCKWIMFIVMYINNKKRRMTSIKIC", "MNTLANGNMCKRRKVFSFNSELYKVTGVQKVLMDIHHAVKEDYDAIIVGTVSYGMVDKSHQIFEDEYKQFKNPFMFYDSIVVLHERKFLLLFWILNHIFFQHIKLVYVHHNIFHNHKLMSIMPTTVVSISDKCTENLMNYFKVPKRHIHKISNCVRELYPHFHDCPQVDYISIIYPARINNIKRQIEVYKHLKGKVKEQIKIKFVGTGPCYEELRKIIAGDSQFECLGFRNDVLDLLQKSNYMMLFSTTEGLPITLIEASMCGCPIICNDVGGNLEIAHDGENAFIANSWNELVCVLNSLLDIPKDDYMAMCAKSREIYLSRFTFDMFKQKYLELFSIL", "MRYLFLLIILYLCFNFVTLGQEVNIEFEKKPYWVENFDKKGGLDDKLWGSKKEKSGGLVFYTGADEKNVKVKKGKLILTIRKDSASGKYLYTSGRIFTKQYFRYGKFEIKAKLPVTAGVWPALWFKANSGPACVGEIDLVEYIGCMKAEKMNVNVHLWGTFGGKKNNHKQYPRSVAVNISNYHIYTLEMLRGKLVFKVDGKVVYEVKKGDIEYWPFDETSYRLMIALSYGGTWAGSCGLADNSLPQSIKVDYVKYYRLKEECND", "MIKLAPFESCTGCTACMNSCSHNAIVMAEDAEGFIFPHINGNKCVECGLCMRVCPVLNTPIITNATKPNVFAVWSLKDRTVSSSGGAFSAFARNVLEADGVVFGATLGTNLLCYHREIHMIEELEVLRGSKYVQSELRDTFFKVKAYLKEGKNVLFTGTPCQVDGLYRYLRRNYDNLLTLDLVCHGVPSNTLFHSYLLKLEDLKKVKISMFEFRRRNGWGFASAISDRGKLEPIYDIENLYMYAFDKAVLFRKSCYACPYARLPRVGDCSIADFWGIGRHGKSFKHNVLKGVSLVLANNAKGINAVQNLQESFVEERTLDEALAENYNIIHPSPLHPMRDKIIAAFLDSSRSLKSINKEFELIDNSLKERVKEYASRYHIYDLLKNIYNKYKAL", "MKVAILSMQEVKNYGSFLQAFSLKKTIESLGNTCEFINIIPGEQLGNYKISKFHKIKLLIQRLWGRDFIRRLSSIYIFQTRFSKEFLPYLGVESERNIRHYDVIVIGSDEVFNCAQKTWFGFSRQLFGEGLNADKIITYAASFGATTVDKLQELGIKKIVGRLLGNISVISVRDANSSITVKTLIGKVPVMHLDPVLIFNYDLFMPSNVTLKNYMIVYTYPGRITDKQEIQSIKDFAKSHRLKLISIGHYFSWCDDVVIPSPFEVLAYFKNASYIVTDTFHGSVFSIKYNKAFCTIIRNMNNQKLSYLLKQFHLESRIINDIDKLDSILTTPIDYKEINEYIAKETRCSIEYLKTNICK", "MFSILVKKIIITDGNSFNDLLEDKGKVYTFLNPVSYLTALENKELFASFDGIFADGSILVAAIRLLYGITVKRRSFDMTSMAPELFRFAENNGKMLYIVASKQEQVEKAVEIFKERYPKLKFMGCRNGYFVSEQEMDAEAKHITQVNPDFLIVGMGALMQERFLLKVRNAGFQGIGFTCGGFIHQTANNEIEYYPLWIDRMNLRFVYRMYKEKHTRKRYVQAALLFPARFLWEKLIFSWYKDSKPL", "MHNSFLAFLCLCFCTVLKGQALYGTSGLLHMPTADMQKDKTVMLGGNVLDKHPLSTYWNNKNYTYTYNYYINVTIFPWLEVAYTCTLVKGVKGNYWPEQTWGKFRNQDRNFSGRLRLWKEGWWKEWTPQLVLGANDPGSFDNNGGGNINFNQEAGTHNYFNRFFLAATKHLYFQNVGELGLHMAYIYSRATGLNYEGPALGVNFRCCLPDTSLGNKILNGLNLMAEYDARTINIGFNYAVWKDRFNLIAELNDGKYLSAGLYFKICLK", "MTMKDKLASYNHLIETFVIVTETFLCGLLFLLFSKLSNEMQWDSLQVGGTTVLQVMLTLMLCYALCAIHSGVVLHRRKVHSLQIWKRVFENMFLFVLLGGLVLSVGKYADIASLFMLEYLFLLFLCLVSFRFTLRLLIRLYRMSKKHTRFVVLVGSTDNNLEIYHEMSGSEDTGYSVVGYFDGQANPAFPVECPYLGQPAQVQEYLEKHDYVHYLFCCLPSKDREVIVSLIDYCENHLVHFFSVPNVRNYLHHRMSFNIMGNVPYLGLRPDPLSWPGNRLLKRTFDIVVSSVFLCTFFPVILIVVAIVTGLTMPGPLFFRQKRNGLNGREFYCYKFRSMKVNADADRIQATEHDPRKTRWGNIMRKTNIDELPQFINVLLGDMSIVGPRPHMLLHTQEYSRLINKYMVRHFVKPGITGWSQVTGFRGETKELKDMEGRIRGDIWYLEHWSFGLDLYIMYRTVANVFRGEKNAY", "MRVLSNLAVKKLCFCSLLFPSAGRKWNIAGTNVRSEPLSFVKSAGGAPGTRALVPGALIIKKIIRLGFIQL", "MIIGEEEMKNERQLLTVEDGPLMQGRESVRYLILHCSATRCDKDYTAEQLLRDHKTRGFRTVGYHFYIRRDGTITQHRKLLEVGAHCRPWNRCSIGICYEGGLDADGHPADTRTTEQTEQLTLLLMRLAKLFPGARIRGHRDMSGSIPKACPCFDAEGVFGYLER", "MNESNHESKKITWTQILRAVIQAAIAALTALGITSCASLI", "MINYSTCMRGNPTDKDAAKKAYANAQYSQVMTLDKFCYHIASHGCVYSRADIQAILILAVDCLREQLLNGQQIQMGDLGVFSNSIRSLGAHSMAEFTVENITEVNVLWAPGVRFNNLRQDAEFQLVPTRKAAAEVVKALKAGKTTVDLTGNSSAAGIAADDADASRIPAASGEPADENS", "MKDFLYFPSMTKGSLALLYFPGSNPRIATRHLMRWINGCPPLMEELSATGYHTSQKVFTSRQVTLINRHLGSPG", "MNDSISILEQLVTAIEQAGVNVAPTYQEYMPLAFAIANSCGEEGRTRFHRICRISEKYHHDEADKLYGHALTKGTGRNSLGTVFHLAEVAGVKMDPKLANLQNLQSLHTHTRARVSYNAHPDASDGTPPDAVFTDPASPLSDGVSKTILPARLPYFPDYRWPAFLQGIIDCGNSPAQRDILLLGAATVLGSTLNKLVSFVYGRKHKYPCLQVFVTAPPASGKGALTWVRRLAEPIHNALLDTYREKIKTYRMEKTKWDTLGKEKANTPEPEQPQLKMLLIAGDNTGTGIQENLMDSGGVGLICETEADTVSTAIGGDHGHWSDLLRKCFDHDRLAYNRRTNHEYRECNVTFLCVLLSGTPAQIKPLIPSAENGLFSRQLFYYMPAIEEWEDQFNEADTDYDSRFLEWGAQWKEVLDAITASVSNINMKLTHEQKEIFNFHFARVFGRASAIHGNQMKSAVTRIAINIFRIISIIALLRSLESLLPGGERSGEPQENIVRTLLNCPGLTPSAHIPQENIADGIVPQFNLSIRTDDFYAVLALVEPLYRHACHILSLLPAGTPTAPSANMTPETLFDCLPLRFTRNEAIDKGEQVGVPAGSVDSLLKRMTERGQLVKVGRGEYEFNARMHTRTCVGVRESASSASLQDS", "MKEYIMSFFNAPVTNKIPTCICSVAGLHTYISTNPQLEELTRKVRTGLGDKQVFRKNKQTLLPYVTPAGIFSYCKEQCMQVPSGLFIIDIDELASTEEAAMWRDRLFADEVLHPVLSFVSPGNQGVKLFIPYRINPFLSVEESFDNALHTAWEYLEWKYELKVDRANADLSRACFLSHDGEAKINNHKY", "MNEKPDMMINIYGGNNLIAPVASSAIQNFYGDRGDEAADQPEGSEKTESTESVTDEKPAQEPAELSDDEFYVSVYIPDIKVMRAYKILLGECTTARELAVVVGKMLADENCRNVDKHTVVKAAFIKSLLPFAKRLVSGGTIANIRAQINNMLASK", "MLRDYQIEMKTRLMEAWKAHRSVMVQMPTGTGKTHLLASVVSEFVSSAGSGVWLIAHRRELVAQMEETLAKYGIRREDTPVRVMSVQWLSRHWNEAGDAPGLIVIDEAHHALAASYTEMWKRYPAAKKLGVTATPCRLNRRGFTELFEVLVTSWSIAEFIEKGVLSVFDYVSIRPGSEEQRLIDGLEKRGADGDYQVKEMDAVLNRRPGIERLYRSVRQFASGKKGMVYAISIEHARRIAEYYSRRGVNAVAVDSKTPAMERKRMVEEFRHGKIEVLVNVDVFSEGFDCPDVEFVQLARPTLSLAKYLQQVGRGLRRSEGKEACMLIDNVGLYRIFGLPTQRWNWDAMFRGRMAGKGSLPGRMNCDASVTAFPVVERPAEAGGDLVVVMEHGRLLSSIREQVLPDEKEQSLSCRLRAFVDKETGLWGLEKGDEMLPDASFKEILSIKGRFAVGRLRNGCVRVLDDTGALVVEPGHCREVRFLKDDLLQVRHAGNSVSYVDLRNGRCYSVRPRVLRYGSIELLQVNRTYYSRTRQVYANTCGLPFSSIVWMGFYVKMYDGRVPSRCRRMEDGGFCCEPQVCLLEGDEERAYYLSGWLPDQSIVVMDEEGRYYHVEKGHGKRYVACNRPSDRSEDFDEAVALLRRQADERVEKRLREEKCEYERKRQRIISRSVEAVPFQIGVKWGLRTAERILIPPVYRRILHPVGGYCAYQDSSCQWGVLAVDGRIIIRARYMEVEIDRDGTARLTLVPGKMETVKLTD", "MELPDDPMMLFSMVNMKLRDCYHSLDELCDDMNVDKELLVKKLKAAGFEYSKENNKFW", "VKLDLIQSMLYNNPKPQDTKEGLSDQDFSIYMDAHTVPFSKYPSYMPAGVLGICTEGNAEIQVGLRKYVICANDILIFMPGFLVSFIKSSPTFTIDYCTFSNVLFYDVINGSIKRFPTGFHTYTQTHCVYSLSQEKAEQFSIYFRLLYNRATSPTYLFTKESITNLLKLPFLELYADYYSTVKEHKVTTLHKEEIGYFFLDLLLKHYKENKEVAFYAEKLHVSSKYLTEALTLVSGKSPKEWIIHYTLQEIYALLENPSISIQEIVQRTRFANLATLRRFFKRHTGTSLLQYRKQDLYKNNQ", "MTKFCTNRNKQTILIESIPYSNWEIEMVRMNIPADNRSFRQELFSFLSEWFDPADTLPVHTSGSTGKPKELYVEKERMMESACLTCSFLGLQKEDSALLCMPLQYIAGKMVVIRALVAGLDLLPVTPSGHPLKDLTKAPVFAAMIPMQVYNSLQVPEEKTILQQIRHLIIGGGPIDSQLNAALKDFPHAVWSTYGMTETLSHIALRRLNGPEASDWYTPFESIQIRLSKENTLVIYAPEICEKELVTNDIAEINGQNQFRILGRKDNTINTGGVKVQIEQVEAALKEHLSVPFLITSAPDEKFGEIIVLLAEGQLPDDIEQTCTHQLPPYWRPKRFVPVFKLPLTETGKPDRAIAKLLAQK", "MFQTRIIKKQLHFKQPAGTSRGVYTTRNVWYILLTDTGSRHYGVGECAPLPALSCDDIPSYDEVLATACKNLEKNGEIDREALLPYPSILFGMETALLHFRARSLQFWHTPFSKGKEGIPINGLIWMGNFDEMYRRIGEKMQQGFRCIKLKIGAIDFEKELELLAHIRRHFTPAQIELRVDANGAFSPADALEKLHRLSEFQLHSIEQPIRAGQWNEMARLCAATPFPIALDEELIGINRRDRKIELLETIRPQYIILKPSLHGGISGSEEWMELAAERGIGSWVTSALESNIGLNAIAQWCATLQPALPQGLGTGLLFTDNIDYPLHIEGDCLWFHPEEQEPDLLNWLKQ", "METREWKTIKEYQDILFDFYNGIAKITINRPRYRNAFTPTTTSEISDALYYCRECQDINVVVLTGAGDKAFCSGGDMHVKGHGGYIGTDGVPRLNVLDVQKQIRSLPKPVIAMVNGYAIGGGHVLHVVCDLTIASENAIFGQTGPKVGSFDAGFGSSYLARIVGQKKAREIWFLCRQYSAQEALEMGLVNTVVPFDRLEDETVAWAEKMMEHSPLALRMIKAGLNAELDGQAGIQELAGDATMLYYMTEEAQEGGKAFLEKRKPRFQDYPKFP", "MYSDKKNILQLVALLKAHGVRKIVLCPGSRNAAIVHTLANIEDFTCYSVTDERSAGFFAIGLSLQGGGPAAVCCTSGSALLNLHPAVAEAFYQQVPLIVISADRPAAWIGQMDGQTLPQPHVFGTLVKMSVNLPEVHTEEDEWFCNRLINEAILETTHHGKGPVHINVPISEPIYRFTAKTLPEVRVITRYQGLSVYDRDYKELIERLNKYNKRMVVVGQMNLIYLFEKKYVKPLYKHFAWLTEHLGNQTIPGIPIKNFDAAVYSMTPERQEDMAPEILITYGGHIVSKQLKKYLRNHPPREHWHVAADGKIADLYGCLTTVIEMDPFEFLEKIAFLLDNKPTHYPLMWENYCKTIPMPDLAYSEISVIGKLIRALPEPCALHLANSSTVRYAQLFTVPPQVEICCNRGVNGIEGSLSTAIGYAAASSKLNFIIIGDLSFFYDMNALWNQNYGANIRILLLNNEGGEIFHTLPGMDKSSRSREFITAEHYTTAKGWAEERGFIYMKVTGEEELEEAMQPFTSPETRMQPMLLEVFTDKEKDTTLLREYYHGLKNKNE", "MNLPDIHTQKLLDCLTHSRLGFALYRLPWTDECYLVLQTSGDVEQLADIQELNGKKGFVMAPFRISEEHPLVLIRPDVTAYDWSEISEALSSLECADALLTCKSRQSELSPFVSEETDKEQYTRAFGRFITPLQEKRFQKLVLSRSSARHIGDDFSPLGAFVRACNNYPRMMIYLCHTPASGTWLGSTPEILLSGHGKEWHTVALAGTMPMQNEVMPTDWDKKNREEQGYVADYIRRIAKRFGNKMTEKGPYTARAGQLVHLKTDFYFLLKNTDHIGDLLQELHPTPAVCGLPKEEAFRFIPDNEGYDRSYYSGFTGWLDTEGHTDIYVNLRCMEIKPGEAILYAGGGILASSEVESEWMETGDKMNTMRSILHPDFINK", "MMEETTCKPDYSNSMAEHLGIRFLPSTEDAVHAEMPVDHRTSQPFGMLNGGASLALAEIVAGHGSTSLCREDEYACGVQVSGNHLSAVPVGGKVFATGKLIHRGRSSHIWNIDITTPQGQLVSTVRVVNFILKKK", "MLSKIDLTQGGITGTLLRFTLPMIIGSLLQQCYNIADTLIVGQCIGSGALAAVGSAYTLMVFLISILLGLSMGSGTVFSLQYGAGRTDSLRRSIYVSVLLIGTVTLILNIAVFVWIHPILRILQIPKDIYGMMYDYLWIIFWGIGFTFIYNFYAALLRAIGDAVTPLWFLAVSVVLNIGLDLFFILQLDWGIKGAAIATVAAQGVSALGIMGYAYVKYPELRLHRNDLHFDRHCLKEITSFSALTCVQQSVMNLGILMVQGLVNSFGTVVMAAFAAAIKIDSFAYMPVQEFGNAFSTFIAQNFGARKEERIRKGVKSALITTVLFSLVISILVFLFAKPLMLIFVRPHETEILNIGISYLRIEGAFYCGIGILFLLYGYYRAIRMPGMSVVLTVVSLGTRVALSYWLAGIPAIGVIGIWWSIPIGWFIADVIGIIYYKYRKSSQTIEFK", "MKYIVIGGVAGGATAAARIRRNTEQAEIILFEKGEYISYANCGLPYYIGGVIAEREKLFVQTPEAFGKRFNIDVRTRSEVIAIHSADKTVDIRTSDGKTYTESYDKLLLSPGASPVRPPLPGIDNEGIFTLRNVNDTDAIKSYLQQHKVKRAVIIGAGFIGLEMAENLQEAGAEVAVVEMANQVMAPIDFSMASLVHEHLLQKGVHLYLEKAVASFERTVNGLEVIFKSGERLPADMVLLSIGVRPNTSLATEAGLEIGEMRSIKVNDYLQTSDEHIYAVGDAIEFRHPLTDRPWLNYLAGPANRQARIVADNMVFGNKVTYEGAVGTSIAKIFDMTVAASGLPAKRLKQAGIDYLSATIHSGSHAGYYPDALQMSIKITFSPVNGKLLGAQIVGYNGVDKRIDEFSQVIKHNGTVYDLMTLEQAYAPPFSSAKDPVAVAGYVAGNILSGKMKPLYWRELQAADLSKVTLVDVRTPDEFALGALKGAVNIPLDDMRERMKEIPQDKPVYLYCGVGLRGYLASNILLQNGFGEVRNLIGGLKLYKAATAPLPKPKEFSNSGSSSSDSSKVDHSEHSKDSAEAYTIASSVIPSMKAIKVDACGISCPGPIMKLKKSMEELADGERLEIVATDAGFPRDAEAWCQTTGNRFVSVKSGAGKYEVIVEKSTPQSSSSEVCREDKGKTFILFSDDLDKVLATFVLANGAAATGKKVTIFFTFWGLNAIKRLDKPAVKKDIWGKMFGMMLPSSSLKLRLSKMNMGGMGARMMRYIMNKKNIDSLESLRTQAIQNGVEFIACQMSMDVMGVKREELLDHVTIGGVATYMNRAEQANVNLFI", "MRELFKALSELETRLMEQYGVSLNEAMALCCIGGDTLTASVISENTGLSASHTSKVIRSIEEKELIVRNLGDKDKRQMHFTLSDKGRECLEALKANEIEIPELLKPLFK", "MKLEKWKNKWKEWSNLRKWQIWKLKLIDARLYFVSIFVGLLTGLVAVPYHYLLWYFFDVRKTFFTAHYPWYWHVLLFFILWGILIFVASLVKRMPLIAGGGIPQTRAANNGRIRYEHPFKELVAKFCGGVLALSAGLSLGREGPSVQIGSYIGTLISRWGHILKGERKQLLAAGAGAGLSAAFAAPLSSSLLVIESIERFDA" ]
[ "CCTCTTATATCATTTCATTTAAGTCATAAGCCATCTGCATCTTCATGTGGGTGGCTTTCTGTTTCTGTGCATTTTTATCTTCACAACCTTATGATTCAATGATGATTCGCTTATGCCGAGAGGCATAAGCGAAAAAATCTAATAATGGAATTTGTCATTATAGATGCTGATAATCAGTGCATATTGTTTATGATTCTTATGACCTGCTGATGTTTCTTTATGTGATATTTGTCACCCATAAATCAGTATTGGGATTTGCCATTACTTTGCGGCAAAATCAACTGATAATGAT", "GCTACACGGTTTGCACCTTGCAGTATTTGCAGTTTGCAGTTCAGAAGACATGGGTAACCTGCAAAACTGCAACAACTGCAAACTGCACGAACTGCATTTATAAAAAAGGAGGAACT", "ATAGAAATGAAA", "AACCTAATGAAACCGAGACCGTTGCAAAATGCCGTGTATTGGCTTTCAAAAGATGGCTTTTTACAATGCGAAACACGGCCTTTCACGCTGCATTTTGTGACCTTTTGGAAAAGCGTTGGTTTATGGCGGAATTGGGACTTGTTCTTATGAAGCGGTTGGAAGCACTTTTTTGAGGAATGAATGTAGCGGAGTACGTTTGTTTTAGACGTTTATAAAGACTTCGGACAAACTGCCCGCTCTGTGAGTCATGATATATCCAAAGTTCGTGTCAGGACTGTTACAAGAATTGCCTATGTCGCACAAAAACGCTCCGCGCTTCCATGCCACATAAGCCGTTACAGCCGCTCGCAAGCTCGCACCGGGCTGACCAGTTTTATTTATTTTATCGTATCACCTTATTACAAATCATTAGAT", "TGCACGGGGCGCACTAATGCGAATAGCGGCAGCCGTCAAGTCCATACAGGGCAGCCATCGGGCGCAATACTTCGCAGACACCCGTTTCGTGGAGCAGTGGATGCGTGCAGCCATTCCCCTTATGGCCCGTTGGAATGAAATTCAGGAATACATCACCCAATAAATTCCCAACCAA", "TTGTTTCACTCAAAATCAATGAAT", "GTTACACACTT", "CTTTTTCTGGTTTTGTTTGTTTGGAAGAGCCACCTGCT", "GTC", "GTCGTTTTGTTTTTCTTGGTTTGTGATTTTTGTTTGTTCTCTCTTTGATTGGGCATGATGG", "GCAAGCTTTTTTACATGAGTATTGGTTCCTTCCGTTTTGGAACCAATCACACTCATTTTTGAAAGT", "AGTCGTCCGTTTTTGATTTCGAGTGCAAAATTACTACTTGATTTCGGATTTGAATAATGTTTCTGTCTGAACAATAGTTCCTCTCATTTTGGAACCATTGTCTCTCTGATCAACTACTTCTTTCCTGCGAAAAAACAGTAATAGCAGTACCGATTGCCGAGCAGATAGCTGCTGCGAGCAACCAACGATCTTTCTCTGTCATGGGCGTTTCTGTTTTTGGAGATTGCTGTGTTTCCATTTGCGATCAGTTTTAGGTTTCCACCAACGAATTGCAGCGTCAACTTCGTGGCGGTTTTCGAAGTTTGACTCTGCAAAGGTAATAACTATTCTTGTGATAAAATCAAGAAC", "GATTA", "ATTATTGATTG", "AACATTTA", "CCTTGCTCACCCCTTAATAAAACATTTATCAACAGTGTTAAGATGGGTGTGGTAAAACGACCTCTATCCATGCGTAAGAGTCTCTTGTGCTAAATCAACTGCAATTTATGGTATTATTTTAAAAGATGTTGCTAATTTTGTAGTTTAGAATGTTTTGTATTTATTAAATGGTTCGATACA", "TTTAAATTTAAACGATAAAAGGATTGGCA", "AATGAATTTATTTTCTTTAATTTACAAAATTAGGCAATTTAGTCGAATTACCAAAATTTTGGAAGATTGAAAAGGATAATTTAACAAGAGATCAATTTCAACATCAACTTTATAGAATCTGTTTGCATTAACATCATATCGCAATTTATGAAAATAAGTATTTCCCTTATTTGTTTTATTGATGTCTTTTCTTC", "AACTATTTTTATCTATGGTTGTCTTGCAAAGGTATAATGTATTTCCATAAAAAACGAAAGCCAAAAATAAGCAGCAAAGAGGCAATAACTTCATTTTATGGTGTTTTTGCAAACAAGAGCATAACAAGAGAAAATACCGATGAAAAAATGAAAACATCTGCAAATAAGAAATGAATAGTTAAATAAATATAAATCTTTCTTTGACCTACATAAAGCCAGGTTTGTCCTTATGCTTTTTGTGTGTCGCTTATAAAATTGTCCTTATTTGTACCATAGATTTATAAATTGCTTATAATCAATATATATTATATTTGAGGAAGTCAAATGATTCCGATAAGGAAACAGATAACAAACAATAAGCAAAAAGCGCGCAGCTCATTGAGCTGCACGCTTTTTGCTTATTGTTATATACTTGTCGATATAAGAACACTGAGTTCTTTCTCTTTAATTCTAAAGAATCTATTTGCCATTACAAGTATTTAAGTCCTCATTAAAATATAAATC", "GAAGGGGAATATTTGAATTATTTTGAAATTAGGACGCACTCTTATATAGAGAGCGTCTATCGGCTGCAAAGGTATAGATTTATTTTCATTTTCTAGCATTTACAGACTGTAAACTGATAAAAAAAGTTTTTTTCTCTCTCTATATATAATAAACTTATGGGCTGATTTTATTCATACAACTTACTACATAAATGGAAATCAGAAATTTAAGAAATCGGACGCTCTCTATATAAGAGTGCGTCTGTTTTTAGTCGGATTATTCCACTATGAGTATTGTAAATAACAAGGGCAAAGAC", "TTATATATTGACATACA", "TTAAATAATAAATGAAAAAACTGAT", "AACTCATAAATATAATTGTTGATTACAAACTGTTGAT", "TTTCTTCTGTAAACTGATTTAATAGTTGCTATATAATTTATAATCTTTAATCATTAGCCTAACTTCTCTTGTTTATGGTTGCTTTTTAATATACCAGTAACCGTAAAGATAGCAAAATAGTATATAAATAGAACTAATGATAATGTAATTCAATATGAACTGTTTCCCTTAAAAGAGGGAAGAAGAATATCTTAACCAT", "TTTTTTCGGTTTAGCAATTGTTGGCAATTATTTTATATCTGACTAAATATCTGATGCTACTAAATTTTGATTAGCTACTTATTTTT", "GACT", "TAAGATTAATTATATT", "GGCGTAAAAGTATTAGTGATTTTTGAATATGCAATTAAGAATTATTACATTGTATCGAACCGAAAATTATGGGGCGTTTTACAAATGTTCGTTTTGTCGTTAATTAATCTATAACTTGTATCGTGATAAAAAGATAGATGGTTGTGTACACTTGGGTATAGAAAATACCAGTTGTCTATCTAGTAGCTACATTGTACATTGGCATGATGATGTGATTCGTAGAGAACATTTTAATAGTTAATAAATTATTTGTATCTAATATCCTTATGCTTAAATACTTGAAAAAGTGTCTAAGTAAAAAGTATACTCTAATTTTAGTATTAATATTTATTTTGCAAAATATTAAAG", "TTTCATATT", "ATTAGCG", "TTTCGCA", "ACAGGTATGAGAGTTGATAATGATAGCCACATATATGATCATAATTTTTTAAATCATACTACT", "TAAAACGGGCTGTTCTTAACGATCCTTCTCG", "AAATAAACGCGGATTATACAATAACCCGTAACAGGAAGGATTTTTATCTATATGATAGTCTGTTTCGGTGTGTCGGTACCGGCCGGATGATCTTAGTAAAAAATTCCCTCTGCCAGTAGTATCACTCTCTACTTGAGAGCTGAAACGAACACTGGCAAAGGGAAACCTTGGAAAAAGAAA", "TGGGAATGTTTCTTGTATCATAATAAATTGAGAATTGGAAAATGTTGTGTTTGTTCT", "GGAAATATCTGTTTTTTGTCAGTTTAAAATGCGAATGGAACAAAAGGAGGTTACGGAACTGGCGGACGGACACGGGGGAGTGAAAGAAGAGTCCGTGTCGCCCGTGTTCCATAATCACATCATGCTATCTCTGTGGAGCGGAACAAGCCGG", "GATAAATAGGGTTTTAAGAGATGAAAAATAAAAAATGAATTTCGTCAGTGAAGAGGGAGAATACTTTACTTTTCTTGCGGATTGCACGTAGGTAACGTGTAAGGCTGAAGGTTAGTAACGTATGGGGTTACATGTTAGTAACATGCTAGGCCGAAGGTTAGTAACGTATCGGCTCCCTCATTGTTGACATTGCAAAGATAGGTACTGTGAAATGCGTGCTGACGCTTTATGACACCTTGTGGCACTTTATGGCTTTCATCCTGTTCCAAAGAGCTTGCAGGG", "ATTTATACATTTTAAAT", "TTT", "AAAAGAAATTGATTTTGATTTATCTGCACAAATATACGGGTAGGGAATGACGTATGGAAATCGGTTCATAACCGTTTACTATCTGTTTACTATTGGTGCATGCTATTGATTATATATTGATAAAATATGGATTTTGATTAACGATCTGTTGATAATAATTAAAGAATAGAGAAAAGAAATAGTAT", "TTTTTTCAGTTATCCTCTTACTCCATTGGCACGTTAGCAAATCGGCAAATTATTT", "TAGGATAAAAAGCAGGGGATAACTTTTTGTCAAACCGATATTTGGCGATGGAATACGTATCATTATGAAAAGTTTTGTAACTTTGCATCCGATTTTTAAAAAGGAGATATTGAT", "ATAGAGGGATCAGCCCGTTCCGGAAGAATGTGTGGAACGGGCTGATTTTTTTATTGAGGTAC", "AAAATTAGAATTTAATGATACATTCCACCGGGAGTAGTGGACATAAGGGAAAATAAAACGGAAAAAAACTACGTGTATTTGATTTTTTTAATATACTGATAGGAAAACAATTTGAGCTTTCCGTAAGTTGTAGGAGAACACGGAAAATTATTCTTTTTTACAGAAAGAAGGGTGAATTCAAAAAGACCGTTTGATTGGTTTTTGAATTCACCCTTTATGAATGGATCGGAG", "TCTTATTCGTGATTAGTGGTTAATGGGAAGCAAGGAGGACTATTGGGTTGTCCTCCACCGGAAAAATGAACCACTGA", "TATTCTTCTACTTTAATTGTTTCCAT", "TTGTATGTCTGTTCTTTTCTATAGGGCTACAAAAATACATAAATTTTAGATGAAAAGGTGTTGTATGTATGTCTTTTACTAACAAAAACAT", "GATGTGCGTCTGTTCGATTCAATTTCTTATTTATTTTGAAATTTATTGCGAAGGTAGGGAATAAAATATCAAACAACAATAGTGATTGTCTATTTAATACCCGGAATGTTCTTTCTCCTTAAAAAGAGTTAACTTGATAATATTTTCCATAGGAAACTTTTTATTATAAGAAATATCATGTATGTTTGCATAAAGTTTCCATTGGAAAATATTAAATCAAATAAAATAGTTCGATT", "ATTTGCATGGAGAAAATAAAATCAATATGTGTC", "ACCCTTCTCTTTTCCATTTGTTTATTCCGGAT" ]
[ true, true, true, true, true, true, true, false, false, false, false, false, false, false, false, false, false, false, true, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, false, true, true, true, false, false, false, false, false, false, false, false, true, true, true ]
[ 0, 2, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 46, 48, 50, 52, 54, 56, 58, 60, 62 ]
[ 1, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 47, 49, 51, 53, 55, 57, 59, 61 ]
[ "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124696|+|66415:67401", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124697|+|67411:68001", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124698|+|67998:69815", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124699|+|70007:73258", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124700|+|73270:74691", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124701|+|74710:75891", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124702|-|76159:76215", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124704|+|76457:77746", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124705|+|77789:78625", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124706|-|79104:81176", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124707|-|81794:83158", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124708|+|83335:85182", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124709|+|85185:85871", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124710|+|86086:86655", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124711|+|86748:87188", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124712|-|87368:88576", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124713|-|88726:89889", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124714|-|89933:91618", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124715|-|91730:93244", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124716|-|93263:96652", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124717|-|96668:97837", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124718|-|97941:98492", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124719|-|98572:101082", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124721|+|101589:102824", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124722|-|102657:102995", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124723|+|103082:103612", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124724|+|104248:104781", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124725|+|104864:105085", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124726|+|105097:105579", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124727|-|105799:106119", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124728|-|106126:106587", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124729|-|106610:107500", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124730|-|107504:107899" ]
[ "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000052|+|67402:67410", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000053|+|69816:70006", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000054|+|73259:73269", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000055|+|74692:74709", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000056|+|75892:76158", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000057|+|76216:76456", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000058|+|77747:77788", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000059|+|78626:79103", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000060|+|81177:81793", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000061|+|83159:83334", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000062|+|85183:85184", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000063|+|85872:86085", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000064|+|86656:86747", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000065|+|87189:87367", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000066|+|88577:88725", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000067|+|89890:89932", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000068|+|91619:91729", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000069|+|93245:93262", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000070|+|96653:96667", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000071|+|97838:97940", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000072|+|98493:98571", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000073|+|101083:101588", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000074|+|102996:103081", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000075|+|103613:104247", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000076|+|104782:104863", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000077|+|105086:105096", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000078|+|105580:105798", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000079|+|106120:106125", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000080|+|106588:106609", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000081|+|107501:107503" ]
[ "PAITTLLAGVVAGGVASWMFPTTPYHLISAVVPGLSFIRQAELYIIFAALMAVIAKFYSLIVPFFQERIPAMKLSIPVKMLYLLIIAYAISLTETNLTGGGEQFLMMQGMNGTHDIRWLTIMMLIHFVFTLFSLSSGLPGGSFIPTLVTGGLLGQIFGLVLVQRGWIGYENVSYMMLIGMVAFLVAVVRTPLTAIVLITEITGHFEVFYPSIVVGGLTYYFTELLQIKPYNVLLYDRMFRSHNPESSEEAGARYHLFVEIMDGSYFDGKEVDTLALPNHCIIRSIHRNRKNLLPQGQTLVPGDQVEIEMDAQDIEKLYEPLVSMANIY", "MKKKFQLEVPGGADKVLLHTCCAPCSSAIIECLMQHGVTPVIYYCNPNIYPLEEYIIRKDECTRYAQSLGLEIIDADYDHDAWRCRMAGMEQEPERGGRCLRCFKMRLQETARYAHEHGFPVITTTLASSRWKSLEQIEEAGRYATAPYPDVTYWEQNWRKGGLSERRIAIIKEYNFYNQQYCGCEFSMRKEEKGG", "MTGMKMFKLWMVVMLLGLLPVVSEAQEDINNAINVQLEYLKKYPKDKDALRKVSFLYLNKADYDQAIFYGRQLFEMGYNERDYNGAVIYSHICLGQAHMMKGNVKEAYSHLGQARLIGESNKNDSALCSVYNGLGLYASNVQKDYYRSLTYFFKGVEAARRCHYDRLYSILLSNIAGIYYLKNDSTGLKYALECYELGHERKDPYLIYSGSTNTAYMYYLKSDYNTALKYIQEAEFTMVQNDFYDQSNVYNLYGYILHKLNKDDEALVFFRKALDLKEQGNISSVMNSYLGYAEILMEHHQYDRAVRMLKAGIELSYQQANAIYRSDLLKALSRCYEEDGMLVEALKYHKLYQLETDSLYNAEKERAVGEIRAKYDMERQENEIKQNRLELLEKENKMQLLIAGFICIFIAASLLYYLYYRKNKLYLTIVKQNQDAIRREQQLQNKIDEQFAEIGRQSALLQEYLTDSTKTSLPQPEKYASSSLTDEKKQDLFLRLETLLREEKVFTDNLLTKEKVAEMLGTNRTYLSQIINEQTKQTFTQFVNGFRTKEAVRSLSDPDNQTPLKAISAELGFNSMTTFYSQFQAATGMTPAQYRNKVQELHKNR", "MKHSDYHGKLLRLAMFFVALFLCGTMAYAQTDRMISGIVVDENGDPLPAAHIRQVSQTKGEELAAVITDMNGHFRLTLLRTAKEIEISYLGYESKKVRLTSANSYRIVLEPASELLDEVVVTGYQTISRERATGSFAKVDSKKLETQRLSSVSSLIEGRIAGYSDGKIRGVTSMNGLTTPLYVIDGFPVEKTISDGLGNWVENVPDLNIEDIENITVLKDAAATSIYGARAANGVVVITTKKAGKNQLNVSFSATLTVRPYNFYTGHLAGTADMIEMEKEWAAMNPNLQGEGASQYAQNLLDNASMYSCLGVQAILKHHTGAISEAQMEQTLHELASQGFRYYDDVKKYGKRNPFSQQYNLNIGRGTEKNTFNASLSYRNNREEDKYTDSESFGLNLQNTTRLTSWLSLDLGTYLNYGDGTTQSYNLTSPGYNYVPYSSLLNDDGSYYTNTVADRYSKSQQEIISSYGLYSMDITPLDELGRNLSKSKNFSNRTFARLNFKFTDWLRYTASFQYEVGEYKTSQLQDKESYAVRNKVNTFATDASGSGQATYNLPYGNIYTTGTNSIRAYNFRQQLDFNKTFAEKHDVTALFGMEVRENKTEYNNRKYYNYDPALLTYDLIDERVLSNTYTGVLGNYVSFNKNDISNIYELVNRFVSFYGNAAYTYDGKYMVTGSIRWDRTNLFATGSKYQKKPIWSVGAGWNLDKESFFKVSFINMMKLRFSCGIGGNIAKNSAPYMTAYYNNNTHVGGIQGTISNRPNPDLRWEKTTTANIGVDFSMFRNRLNGSIEYYNKSGVDLLANTNGVPTEGFGFSTYTMNNGKMRNRGFELTLSSDVIMGKDWNWNVGGVLGYNKNKVTYVNVKAPAIFLQFDYPAAYPRVGVPYNAIYGYLWAGLDSKGQPQVYDSEGNIHVSSSPQKVEDVVYLGTSVPVYSGAINTNLRYKNWELAAQLLFEGGHKMRNTNIAYPGLGVTSKDVSKRWRQPGDEAYTDVPRYVPSESKDYNSYSSDLYSKASIHVLDADNWRLKNLSVTYHVPASVCQKFYVKNARIMLGMENVFTLAKSRDVKWMLGGYSKPNYLCNVNLNF", "MKKILFMIMTVLGWINLTSCNDYLDIVPKGNKIPTTLADFEALLRDEYTIGYIPVTNSLYLLNDKFVGQSSLTSVTLTSANYLWNETADRIVLNNQDEGTYYRSYMAISTCNLLLEHVPVATEATDSERNEVMAYAKVIRAMSYYILANYYADTYVSATAGTKLSVPLITSADINAPHKQVTIQEIYDFMIKDVKEAIQQGLPQQSRTVIHPNLGAAYAFLARVYLQMANYEEALKYADMALEQNDTLYDWISYYNSHKEAITKEDSYPSLPSATGYDYVENYYFRCGEGNPNYATSELNIPVERAESFEGGDARFLSRWKLRTVNQDTYYQGLAKGYFNGAGLTTCEVYLIKAECLARQVTGNDFSAAMDVLNKVRKTRILPEIYQPLQASTLTEAIDLIRRTKDNELIFTIVPFADTRRFNAEGTFARALSKTWEGQTYTLTPASHLWIMPFPAGAVQNPGSGTITQNVSK", "MKLMYLLATFAVVLVMMASCTRQSVTGYTLTAAIDGIPDGSHVQLFPVSHGHEKPVADTIVVDGKFIFKGVADEPLAVRLMVKDAYGSMPLMLENGSISVEGKVTSENLNGTVNYNFSQVSVTGSPLTDKYVKLLSTRDALDSIYVTNNEKFKDIRVAYGKARVAKDKILMDSVVATEAYKASAVADSLFFATVDATYYKLVMDNKESYWGPLMMISLFSYLTEEQKPWYDALSEEAKHSRYGKMVKESVAPDSQIGSKVPVFTAKSQDGKSVTLTDLCQGKKYVLIDFWASWCNPCRKEIPNLKKLYTQYADRGFQIVSISIDKKEAEWTKALKEEQLQWPNFLDTEGIADIYKVKFVPTMYLIDAQGVMVGENLRGEALANKLAELFGEIE", "MAFLFGSPALCRVSGGSV", "MKSIFKSMSCLVLGAMIVTSMFAQQKKLYPELEGPGPVVIISKDKNGKEELINVFEETQRPHFHDPRAPRFLLTDQQGKFALGIGGYLKTTMEYDFNGIVDDVDFIPALIPQPGSTSVRNQFQMDASTSTIFLKLVGRTKHLGNFIVYTAGNFRGSGKTFELQNAYLSFLGFTMGYDTGLFMDLAAAPPTIDFQGPDGMTFYRATQLRYEANVMKGLKVGVGVEMPSVDGTPAQDVRIGKQRMPDIPAYVQYSWAKASHIRVGGILRSMTYEDQVNNKAKSLTGWGIQASGTARLGGFQLYGQYTYGRGIAQYLNDISNLNVDIVPLADESGRMQVLPMKGWYAGLQYNFSKRVFASATYSQSRLFTEDCYAHFNETQYKKGQYLVANVFWNVSHNLQVGAEYLHGWRENFNDVNREANRINLSAQYNF", "MKKIGAHVSVSGGVEMAPVNALGIGADAFALFTKNQRQWIAKPLSVESVTLFKENCEKEGFDARYVLPHDSYLINLGHPDEEGLEKSRAAFLDEMQRCELLGLKMLNFHPGSHLNKISIEKCLDRIAESVNMTLDKTTGVTAVIENTAGQGSNVGNEFWHLRYIIDKVEDKSRVGVCLDTCHTYTAGYDIVNEYDRVFTEFDEVVGRNYLCAIHLNDSKKPLGSRVDRHDSIGKGLIGIDFFRRFMQDSRFDDMPVILETPDDTIWRDEIKMLRSFES", "MKNIMKLFLYAALPLALIALGSCSYPKNITFKADSQTGALSGLYLTSDTSMNWILRTDGTQYEWVDSRYGWGLGHLRINGTEYSWNIPTKKHDTSHHMTVKYQTGDIEINVARKWNRDGNLVESYEFVNTGEKDADLQDIAINTPFNDNYPDAQTCYEARCNAHIWAGGNEAYVYCTRMSGAPGGLGLIMEEGAIKGYEVRERSQKKGSSNFRGVFQLNPQDKTLKPGECYTIQWLLLSADNWDEFQAKAIDNGLIIASADRYVVEAGEKINVSFKSNCPSLKGKLLLNGKEVAEVSGDNINYTTIINEPGEKIFTLAYGNGKQTSVECLAVSNFDSLVNHRCQFIAGHQQFIKPGDPRSGAFIVYDNDTESLYINGESGSKRSDCDEARERVAMGILLALQYQRTSDKKLMDALNNYVSFIRRIQKPDYTTNSTVDFKSKNRGYNYPWVADFWFTMFRTTGNKQYLKDGYGTLRALVRYFKHGFYCINIPTYGYTLLKENGFTAEADTLLNDFKSMADVFCENGPNYPTSEVNYEQSIVAPSIIHLLNVYMLTGDEKYLKGAESQLPLLESFGGKQPSFHLYDIAIRHWDGYWFGKDRIWGDTFPHYWSTLSGIAFRLYAKATGKQEYAERALNIFRNNLCLFTEDGRGSCAFIYPNKVNGQKAHLYDPFANDQDWAMVFWLEYGPDFK", "MSTKQKRFILPEDEIPKYWYNIQADMKTKPMPPLNPKTKEALKPEDLYPIFAKELCKQELNQTDAWIEIPEAVREMYKYYRSTPLVRAYGLEKALGTPAHIYFKNESVSPIGSHKLNSALAQAYYCKEEGVTNVTTETGAGQWGAALSYAAKVFGLEAAVYQVKISYNQKPYRRSIMQTFGATVTASPSMSTRAGKDIITRNPNYQGSLGTAISEAIELAMSTPNCKYTLGSVLSHVTLHQTVIGLEAEKQMEMAGEYPDMIIGCFGGGSNFGGICFPFMRHTILNGKQTRYIAAEPASCPKLTRGKFQYDFGDEAGYTPLLPMFTLGHNFSPANIHAGGLRYHGAGVIVSQLLKDNLMEAVDIQQLESFQSGCLFAQAEGIIPAPESCHAIAAAVREANKCKESGEEKVILFNLSGHGLIDMASYDQYLAGNLMNYELKDEDIQKNLDEIKDM", "MQDDIDMEPLHKLFIYRKKLVKPYIERLLKWMDGITYMMSALFILTLVYEHGFLISFEEMEMINTLYHFVWIVFLVDISLHLLLNYSDTKRKYRGLAWILSLMLYLTLIPVIFHEPEVQGGIHDFWSFFHSRLYHVVLLTLLSLLQLSNGIVRLLGRRTNPSLIFASSFLIFILIGAALLMLPRATYHGISFIDALFTATSAICVTGLVSVDVSSTFTSEGLFIIIMLIQIGGLGVMTLTSFFAMFFMGNTSLYNQLVVRDMVSSQSLSSLLSTLLYILGFTLVIEAAGMGVIFLSIHGTMGMDIEEELAFSAFHSISAFCNAGFSTLYGNLGNELVLHNHNLLYITISFLVILGGIGFPILVNLYETVSYESKRLYHRYVKKNKRTIRKIHLYNLNTRIVLIMTAILLVTGTVAIVVFEWNHAFEGMTATEKWVQGFFNATCPRTAGFSSVGMTTFSVQTLLLMVVLMMIGGGTQSTAGGVKVNVFAVVMLNLRAILIGADKVNIFNRELSHDSIRRSNATLILYLLIVFAGIFGLSILEPQASVMALVFECTSALSTVGSSLDLTPTLGSDSKLIVIVLMFIGRVGVLTLISSLIKQKKITKYKYPSDNIIIN", "MKYIIIGLGNYGGVLAEELSVLGHEVIGVDTNEHRVDVLKDKIATSFIIDATDEQSLSVLPLKDVDVVIVAIGENFGASVRVVALLKKKGVKHIYARAVDDVHKTVLEAFNLDSILTPEKEAARSLVQLLDLHVNVESFQIDEEHYVMKFKLPSCFVGYKVSDLSLETEFNIKIIALIKGEKVLNGLGISILEHQVENHFEENYELEEEDQLVCYGLYKNFMDFWKAL", "MKQIYFLFVVLLVVMTACGGKKEASVDAEQLMFQIDSVDHVTGLQRMQVSRIDQHIVSGGKKYNLFIERAPSDSLPSVKSDLGIFADNRIIVRISRENGSRVFAKTFTKQSFSGFVSADHLRHFILEGVVFDEEKTREGKNIILAASVSYPQTDLYIPFSITITPEGKMSISKNEDMEELPPMLGDSLN", "MRKILFLMAMLVCSLQFAMAGDVVTRDVNKLPVAAREMIGKHFSQTKVAYIKIEKDLFQTTSYDVKLADGIELEFNSKGEWLEIDCKNKSVPSTFIPQAISKYMKANYNGHKTVKIERNRKGYELTLENGLEVDFDQFGGFLKLSD", "MKSIRELYRIGTGPSSSHTMGPRKAAEIFLGKHPEAKAFQVTLYGSLAATGKGHMTDVAILDTLQPHAPTEIIWKAHVFYPFHPNGMTFKSLNEKGKVTDEWTVFSVGGGALAEEGHDKGSTPEIYDMNRMSEILYWCERTGRNYWEYVQQCEDEDIWDYLAEVWKTMREAIERGLDQEGVLPGPLNLRRKASTYYIKAKGYKDNLRSRGLVFSYALAVSEENASGGKIVTAPTCGSCGVVPAVLYHLQKSRDFSDMRILRALATAGLIGNIVKHNASISGAEAGCQAEVGVACSMASAAASQLFGGSPAQIEYAAEMGLEHHLGMTCDPVCGLVQIPCIERNAYAAARALDANIYSAFTDGNHRVSFDKVVEVMKQTGHDLPSLYKETSEGGLAKDYEPMD", "MTSKTNFINYFLLAFTLAFISSGLSAGTLDFKDKKKDKEKKEELTADGPYVLYQPDGQIRVINVDKKGNIIDTTYTTLPQNFTLHVTDHKGRFPFDVKLHPVKRPGWNYPQADKVFVMSDPHGRLDCVISLLQGNHIIDKDYKWSFGKNHLMIIGDIFDRGKDVPQIFWLFYKLEEEAAKTGGHVSFMLGNHEPMVLANDLRYTKEKYKILAEKLKMEYPRLFGPDTELGRWLETRNTMQMIGNDLYVHAGLGKDFYDKNLSIPTVNEEMSKGLFMTKKERKALSPLTAFLYGNSGPIWYRGLVRTDGKYNPLAKDSLEMIMDRYKAKHIIVGHTIFKDISTFYNGKVIGVNVDNKENREKKRGRAMLIENNQYFVVGDKGIQRQLE", "MRKSLLLFIFASCLFNSCDDTFTNDIITTHPNNPPSNRSAFDNYFDWDRINDIETINPFTHTITTMGIPWKKGSSNNLGIPSTWLDENASDPIYANRYYSRENGWELIYSNITESTPTKYFALYHKYTGLLRFFFYEIASSAGLGSSEAFWGIRTDKTTSLFNFMEEISIPINRSEKSSYIASTEGTFLGNEFVSSGYKANNWYGLEIECAYDPSLNTQSLANFEIRGWAVNKIKTTGMAQTSGDITGTIVMNTTNISNFNFNLANTFNNSKTSIAVNQEGFINTVSKEIENGITKKDSFWKSIGNSIKGATVSGIKNGLKALVTSGGSAAVSALKGLAGSIIGINKSKPSIGQIDLKINTNTQMQFESEQTTTGWGSISSFPVAGTTNNNNDVPIYNFPLGVWNLKKSPQIIRNVEGEIGMYYDAGYFTYEYEVGDYEILVNPVVSQEYRVYSKANLLFKSNSYASAPPFGYINEMKYYGGGSSFSTDILEGDSSDKHFHAHYPIKKDDLLIHLYVELINKNNPDIKFSFSKYFATGDIIEGTSNIEEIDDREGPITEHY", "MRTLHNFLSIVLSIGLFTSCGNDWLDLEPSTQIPTETSIKSLSDIDYSLNAIYATMRNAYAYSGRLIYYGDVTGDDMQAVQSTCRTAHYYQMDWLPANGPSTHWSYLYSIIQNCNVILDGIDNIEILPNDEDEHIFRNDLEGQALAIRGLALFDLTRFFGYTYLKDNGASLGVPIITSASATADSKPSHNTVAECYDQIIKDLKNAASLMIPTYSWSGTSLNQKDLSLNKKGKISKWATLTLLSRAYLYMGKNSEALQAAEEAIKGSEANKYQLWNTEEYPTVWGTEASEANPGEILFEIVNTTTESPGNESMGYLTSPKGYQDMCITVSFYHHLLETPNDVRIKLLVNQDKKVMYLNKYQPQPGENIMDANIPIVRLSETYLNAAEAAVKNGDATKAAKYLKAIALRGNPDYTMPAKVTLDDVLEERRKELIGEGHRMFDLLRNNLRVIRINETDDMMKEVVHFADEKTSMDFDRNYYRTILPIPQKEINANSNIVQTPEYLK", "MDKKHNYTNHGKSSKRLWITFLFFCIITTGFMQAANKVFAQATVTASFKNATLSEILWEIQRQTDFTFVYSTNDVKQIKVQNLNVNNEKIANVLDKCLMNSGLTYSVHNGVIAIKQIEEKKSAVPQQKTTLTGTVLDETGEPIIGANILVKGTTNGTTTDLDGHFSLDVDRIPATLIISYIGYGKQEIKATAGKILKVVMAPDNNVMEEVVVTGYGTFKKSAYAGSASTVKTGELKDLPVVSFSSLLEGNAPGVQVTSSSGQPGASTSIRIRGMGSFNASNSPLYVIDGVPVQSGSVAATSSDSGFDIMSTLNNSDIENITVIKDAAAASLYGSRAANGVILISTKKGKSGKAQISLKADWGSNDFAMDYRPVMGGEERREYIYNGLILYQQRKLADKNPNISEEELMSQSKTYADGQIDKYAPIPWCGFTDWNKELFQKGHHSTYEASLAGGSDKFKYYSSLSYMKQNGIVQGSGLERVTGRLNADFSATDKLTVGAKILFSNVNQSVYDEGFTYTSPFYSSRNWATPSDPVYNEDGSWNRKFIRKANDRNPKLSAEYDYKHEKMLRAFNTLYAEYEIIKNLKFKTTFSYDYTTVKGEKWYDPRTSNGEDENGEVVKRIREYKKMVWSNTLSYLTTFNNIHHLDFLAGYEIDDTYNDYLSGEAYNFTTPDKHAISNGMKTVSVGGSDSRYRLVSYLSRLNYDYKNKYYLGASFRVDGSSRLHRDNRWGTFWSVSGAWRTIEEEFMQPVKDWLTDLRIRASYGVNGTLPSDYFGYMGLSSISGGYLEQPGIQMSQIANPNLKWETNYNMNIGLDFGFWDRLNFTIEYYTRTTKNLLMDCPVSMTTGFSSYLMNIGEVKNKGIELTINSTNIKIKDFNWNTTFNLGHNSNKVVKLDGEQTQIVSGTQIHKVGSSYRTFYVQEFAGINPETGNPLFYTNELDENGNYIKEITENSKNAQFIPYKHAEPTVNGGISNSLRYKWLDLNFLFSYQFGGYSYDTWAQKTEHGGYDSYANIPTYYRDSWKKPGDQTNIEVYMPGKSSSVSMHKITSSRRIHSTDYFRLKNITFGITLPKEWTNKINIGNVRFYASASNLWTWAAYDNYDPEAVSAGSATQTTPPLKTVTFGLNINF", "MIKQDFYIANLIARYLSEEITPEETIKLTAWREESTAHEILFKKICDEENQKQHFRKNTAFNPSSGWKEVEKRIKRNNNRSRYIKIVSYAAIILLPVLFVSISMKFTSPVSLSDKQFIAQSILPGESQAILTLEDGQTIHINKETESLLEKIDGARVHMDSTMLNYQVTSKTVPKNKPVYNKVETPRGGEYALLLSDGTKVHLNAMTSLRFPVTFDNGPRKVELEGEAYFEVCKTGQPFIVCTQGMQVEVLGTTFNISAYPQEEYQTTLVNGSVKVNTETGESCILKPSQQATISLGNSSIQIRMVDAGFYTSWIKGKIHFKDQRLEDIMKILSRWYDMEVIFANEKIKDLRFGCNVDRYSEITPFVRLLEETQKVHVKVNNKTITFYN", "VAAGTSHTTISIKLNEQGFHDIFNKYYVTLCLFANQYTENQETSADIVQDSFAKLWQIREDFFYLHQVKAFLYTAVRNKALNELEHSKVVYEYAQNVIEKKKDSFFHDAIVEEETYRIVSEAINKLPDQMKAIMQLSLEGKKNAEIADRLNISTETVHTLKKIAYKKLRENLKDYYYFLLFFI", "MIYPQNFEQKIGFDSIRHLLKEKCLSTLGQERVDEMNFSESFKDINEWLEQVMEFMRIIQEEDSFPDQYFFDVRPSLKRIRVEGMYLDEQELFDLRRSLETIRDIIHFLTLTSNDEEQEKENSPYPALQKLAGDIIVFPQLITRINNILDKFGKIKDNASSELLRIRRELASTAGSISRSLNAILRNAQAEGYVDKDVTPTMRDGRLVIPVAPGLKRKIKGIVHDESSTGKTVFIEPAEVVEANNRIRELEGEERREIIRILTDFSIIIRPQVPAILQSYEFLAEIDFIRAKAHFSIQTNATKPSLEDKQILDWTMAIHPLLQLSLAKHNKKVVPLDIELTKNQRILIISGPNAGGKSVCLKTVGLLQYMLQCGMPVPMHERSHAGLFGSIFIDIGDEQSIEDDLSTYSSHLTNMKTMMKSCNERSLILIDEFGGGTEPQIGGAIAEAVLKRFNEKGTFGVITTHYQNLKHFAEDHEGVVNGAMLYDRHLMQALFQLQIGNPGSSFAVEIARKIGLPEEVIADASEIVGSEYINADKYLQDIVRDKRYWETKRQNIRKREKQMEETIAKYEEELQELEKSRKEILRKAKEDAEKLIQESNARIENTIRIIKEAQADKERTQSARQELTDFKNQIEDIEKKNKEDEIIRKMEKLREKQERKKNKKDKAKTESSQLSIPKEQPITVGSTVKIKGQSSVGEVLGINGKNAIVMFGMIKTNVKLDKLERSTPIQPTQKTMVKSTFVSSETQDRVYEKKLNFKQDIDVRGMRGDEAIQAVTYFIDDAILLGIDRVRILHGTGTGILRTLIRSYLGSVPGVAHYQDEHVQFGGAGITVVDLK", "MKSTFNICFYAKKDKQKANGAYPLFARITVDGVASRFNTKLDVLPSIWDGKMGKATGRTSEASRINRMLDDINASLNTIYHEMQRRDNYVTAEKVKNEFLGHSESHETILTLFQKHNDDVKQLVGISKTIATYRKYEVTRRHLAEFIQSKYNVSDISIKEITPMFITDFELYLRTACKCGYNTTAKFMQFFKRIIIIARNNGILVNDPFANYKIRLEKVDRGYLTEDEIKIILKKKMVSERLEHVRDLFIFACFTGLAYIDVAGLTQDNIRKSFDGNLWIMTKRQKTNTDVNVPLLDIPKMILKKYKGKLPNGKILPVISNQKLNAYLKEIADICGIKKNLTFHLARHTFATTTTLSKGVPIETVSKMLGHTNIETTQIYARITNSKIGSDMQGLDKKFVGIEKIYKEVAM", "MRQFHKSQKMDVIFVGLKNNTFYKSLIISIIRFTYSLNSYNNSKDWSQIVTSYQQSRLHSDFLVNLLDADKLLVKPLHITTYLAVSDAGIDLGRFNVRVSQHFRHRFNGYAL", "MDLQIIQNKIFEVRGCRVMLDYHLAELYQVETRALKQAVKRNIERFPSDFMFVLTKEEANLLLSIGVSQNVIPPAYNFGVAMPMAFTEQGVAMLSSVLRSKVAIEVNISIMRAFVLMRQMAIGYEELLKRIEELEVSTDAKFNELYQALTQLLSQSKQQKERRPVGFVTYNRDKNE", "MEAKVLSEGKVYVGTYGKYNNGSLSGAWLDLSDYSDKEEFYEACRELHKDEEDAEYMFQDWENVPEGLIGESWISENFFSLRDAVEDLDDTEQEAFFVWCNYKSRDLSEEDADDLVRDFQDEYQGQYNDEEDFAYEIIEDCYDLPEFAKTYFDYEKFARDLFMCDYWFDDGFVFRAA", "MQSLNKNGVSITQTPGEEKFVKCRLGAFRGQVYFQYDYRHTDGELFSTVAKTLDECRRRRDGWIAKKNGVINK", "MKTTEVNKELIGRRCECIFTGLMVTGVIEDIQDDQHSTAVKVRFDHPHQWGNDLYNDVWAWGRKTDEFGTLHHLQLLEDKPDFQIMTVVFGEPISQIDRSVFEDVATWGVCSLQGWVNSYESVRFVAINDHTAVITGEYNMEQVKVWLEKYTSIKSLKTS", "MVKIELDIKGISWYIETTLETDTVPAVGDIIIVDKDCISARDRAELWKTPSNQVFKWADEEDDAPVMEWFDCDTEMLVYKRTWKYDIEEEETVCILGVKFFQHEDL", "MKNVMYKIIMGCYIVAALVLVTACNDNLDIQQAYPFSIETMPVPKRLKVGETAEIRCRLVRGGYYQPTTYQIRYFQPDGKGKLEMDNGTVFLPNDLYPLEKETFRLYYTSASTDQQTIDIYVIDSFGQVRQLSLSFNNDNGKEETETITTPKQ", "MVLLELFSGIGGFSKGLEAAGYTFDKVYFSEIDKHAIANFKYNFPYAEHIGTVTNIGEVGIERPHIVTFGSPCQNFSAIGDGKGLQGRESHLVRYAVEAVRRFRPDVFIWENVKGIFFARHRPDFWGIVKAFADIGGYRLEWQLCNTAWFLPQNRERMYLVGRVADRCTADLFPLPTPGGVHGKVGRDEPAARPSGTITRNYGRQPNIGNYVLCLKSGEAYNGTPTPEQLKHVRMLTEVECERLQGFPDNYTRYGIYDGEKKEIAKIHRYALLGNAVSVPIVREVAARIRQTTTLF", "KNANRWVIGGEYLHKKYDYKDMRIPVEQFTAEGGYYLKFLSDRRKTFFLSLGLSALAGYETSNRSEKLLPDGSTLLDKDCFIYGGALTLELEAYLTDRVALLLNARERALFGSDIGKFHSQVSVGLKFIIN" ]
[ "ATTTGCCGT", "TCTATTAATTTGCTATCATAAATTTATCCAAATGCGGCAATTGCTGTATTTGGACAACCTGTTTTTGCTATAATTTAAAACTTTATGCATTAATTTGCTATCGACGCAATATAAGCTGCAAAACTGTTTTAACAATAAAACGGGACTTAAAAGTGATTATAAACAGTATTAATATTAAATCTATAAAAAGT", "ATGAGAGCAAT", "CCTATAAAAACAGACTTT", "TCATATTCTTTTTATGGTATTCTGACATAGAACGGGGCGGAGTCGATGAATAAGTTGGATCTGTCCCGTTGTCTTATGTTTCGGACTGACCCTTCTGTTGATTCAGCCCCCCCTGTACAAGACTATCCAGATTTATTTTGATTCAAATCCTTCATAAGTGTCTAATCCGCTTGCTTACAGTTGTTTATTATGAAGAATTTAAAGCACAATCCTTCATGAATCCGTCAGTTTTGGGTCAGTGGTCCAAATTTTAAGACTGACCCGTTT", "CGATTTATCGATGGCTTTTTTATTTGGTAGCCCGTGGGGGAATCGAACCCCCCTTTCAAGAATGAAAATCTTGCGTCCTAACCGATAGACGAACGGGCCATCCTTTTTATCGAGGATTTCTCTCTCGATTGCGGGTGCAAAGGTAGTGACTTTTTTTGAATTTGCAAACATTTCCCAAACTTTTTTCTAAACTTTTTGTTCATAGTCCATTGAATTGACTATTTACCTAAACTTAACTTTT", "CTTATTTTTCCTATCTTTGTGTCTATCAATAATGGAAAGAGA", "TTTGTGGCTGGGTGAAAACATATTTAAGGAATTCAGCTATCATTTTGCTTGTAAGGGCGAGGTTTGCTCGCCCGAAAATAGGCTGATTTGCATATTCTCCCAAGATCGTGCCCGTTGGTTCGGGCAGGCAAAAAAACTTATATTCGTCAATCTGAGTTGGCAAATAGTATTTTCACCACCGTAGGGGCAGACTCGTGTATCTGCCTGATAGTACGAAAGCAGTTGCAGGTTCTCTTTCCAAAGTATGACCGACCGTGGTCTTGTAAGCAGCTTCTTGACGATAAAGTCGGGAGAGAAGCGGAACTCTGGAAGAACCTGCAGACTGTTATCTAAAACTTATAAAATGAAGAAACCGACCCTTTTGGGTCGGTGTTCTACGGTAGATGTTTTTCCTTTGCTTGACGGCTATGAGACAATCCCTGCCCCTACAGCTGAATACATGACAGCACTATCGGACGGACTTTCGGCATCTCCTCAT", "ACTTATTTGGATTATTGATGTAACTGTGCAAAGAAAGGAATAAAAAGGGAAATTAATTTGTACTTTTCATACATAATCCTGTAAAATAGTTCATTTTCTTTATAAACAGGCTTGAAGTGCCTGTTATTTTGTGGTTTTGAATCATTTTTTAGGTGTGGGTTGTGCGGGATAACGCGGATTTTTTCTTATGATAACATGAGTTTATTATAAGACTAGCTTATAACTGACAGGAATATGAGCAAGACTGATATTGAATTTTAATAATCCTTTTGTCATTTAACTCAAAACCATCCTGTAACGAGTTATTTCTTATATCGAACTTATGTTAATGTTATATCCCGCCGGTAGAGTGGTTTGTGTCTTTCATTGTATGGTTAAGCCTTTTCTATCCTATCCCTAAGCTTAAACTAACGTATCCTTTCTATCTCCATAGCCTTTGGAGTTAACTCCCAAGCTTATGGAGATAACTCCAAAGGCTATGGAGTTAACTCCGCAAGTTTGGGAGATAGAAGTTGTCAGTAAAAGAACCCTTAACCTGAAGGATACAACCGCTTAGCTTGCCGTAGTTTATAGGATAATATATCCTTTCCGTATCCTTCGTTTATTTTATGTCCTGA", "AATCTTTCAATTTAATAGTTTTGCGGTCAAAATTAGGTAAAAAAAGTATTCCTTACTAATTTTCAACTGCTTTTTTGTCGGGAACAATAAAAAAAGGGATGTCAGAGTAAAAATGCGAAGTTATTTGTTATTATAGGCAGAGATGTGAATATTTTGATTAAGTTTGTTTTCTGTTA", "TT", "CAGGAATGCCTTTCAAAGAAAGAATATTTTTTTCTAAGTGAGATTAAACCTTTTCTTTTGGGTTTAGTCTAACTTAATAAATAATTTAGTTTAGTTTTTAGTTTTCTCTGAAGGCCGGCCAATGTGATATTGCCCGGTTTTCGCTTTTTATGGAGGTTTTCTTGTGAGTGTCCGTTACTTTTTGTTACTTTGTCACCTAGAAATCGAGATTTAG", "ATCTAAAATACAGGAATAATTTTTCGCGTCATAATCTTTTTAGGCGGTTAGTTGTTGGTATGGCATTAACTAACTAAAGAATAAATTAGATT", "AAAAGATTTTTTCTGTAATAGGGGAACTATCTCTCCTTATAGTAATGATGCCATAAGAGGGTGTATCAGAAATAAATTGAACTATCTTTTTATTATCAGCGTTGAAAAAGATAGTTGCAACGAATTTTGATTCACCCTCTTATGTATTATAAATACAGTTCTCGGTCTTTGCCCTGTTA", "TTCGTTTTATAAGGTTAGTTATTATGAGGAACAAAGGTATAAAATAAAAGGGGGAAAGTAGCAAAAAAGGAAAAGAAAAACTCTCTTGACAAATAGGAAAGTTGTCAGGAGAGTTTGGAAAACCACCGGTAGGATTTGGTGTATTGTCT", "CGATTTGATTTAATATTAAAATTATAAATTTATAGGACATCTA", "ATCTTTATGTATTTTATATAGTTAATTTCTCTTTAAGTTAGGGAGTGATAATACTCCCTAACTTAAAGAGAAGGATTTATTGATTTGGATTTTATCAATTTGTGTTGACTA", "ATTGTTGTTTTTTAGTGG", "AATACATCATTTTTA", "ATTTTGACCTGTTTTTTATCTCTTTTATAATAAAACAGGTCTGTTTGAAATTTGGGTGAATAATAAAACGACTTTTTTTGAAAAAAAACAAATTAATGTCTTT", "CTTTGCTTTTAATTTTCTCTAATTTTAGTGCGAATATAGAGAAAATTAATTAAAAACAGCCTCTTATTCTATTAAAATA", "GATTTATTTCTTTCGTTTATTATTCGTATGCAAAAATACGATTTGAAAATTTTATAGCAAAAAAACTGGTAAACTATTTGCTTATTTAAAATAAAGTCGTACCTTTGCAGCGCTTTCAACAGAAAGTACTTTTGAAAAAAGAAGTCTTGGAGAGGTGGCAGAGTGGTCGATTGCGGCGGTCTTGAAAACCGTTGTACTGCGAGGTACCCGGGGTTCGAATCCCTGTCTCTCCGCTGAACTAACCGGACAATACCGGACAGTAAGCAGACAAATCCCACAAATTCAATGATTTGTGGGACTTTTTTTATCCCCTTGTGTCTGCCTTAAAGTACACCTTTTCGCCCCTAAAAGACAAAGTTCGTAACCTAACTCGTACCCCCGACAAACAAAAACGGAAAGGGGGTACAGATTGTCCGAAATTGGCGAAGTCCTGTCGCTATTTGGCTTGCCTGCATAGAACTCATTTTTAGAGAATTACAATAGTTTTGCAACTTAAAAAGTGAGTT", "TGGTAAGCGTCTGAAAATCAAGAAAGGATTTCGCTGAATAGTTACCTATTATTCCAACATTACTAAATCTCCTAAAATATACGATT", "GTAACGATTTCGGTAATGAAATACCGCCTAACAAACTATATCCCAATAAAGTACATTCTTCCACCTTGCGGGCAGTCCACCGACTACCCGCATTTTTTATATCCCTTTCCAACTGGCACATTCCCCGAAACGCCAGCCGTGCGTGGCATGGCTGACTGTATTTCGTGAAAAGAGCCGTTGGAAACCCGCACAAGCGTACCGCAAGATGAACTTGCCATACCCTTGCCTTTCTCGCCCGCATGGAGTGTTCCCTAAAGGATGAAAGGGAACAAGCACTTTTTCCCTATTTCCCTATCTGTAAATCTTCCTCATTATGCAGTCCCCCAGCCGGGACAGCCGTTTTAATCATTTCAATAGGCAAAGGTAGTTACGTGTTCTTCACGATTTTGCAAGGTCGAGCCGTTCCGGTTTGGCGAAAAAATCTTCCCTGCCTTGCGAGGTATTTTTTGCCCAAAACCCTGCAAACTCTAAACACTACCCTTTTGAGCCTATGTGAAACGAAAACGACCGACCCGACCGGAAGACGCATAAAAAAAAAGTCGGATTTACGGGAAACAGGAAAAAAGTTCAGTGAAACTTCAACTCCCTCACCTCTCGAATCCGCATAAAATCAAAAACTTAAAAATTACAGCAAT", "CCAAATACTAATTCGGGCGGGGTGTCAAAGCCCTGCCCGCTTAAAACAACCAAGTTTATAACCATAACAAAAATAAGACATC", "GTTTTGAGGAC", "TAGAGAACGGCGGCTGTTTGCCGCCGCCACTTTCTTTCGTGAGTGCCGGGAAATAAAGTGGCAAAGAAACCCGCCCTGTTCCCTAACATGAAAAGTCCACCCGCCGAACTGTCAGCGGGTGGACTACCATAACATACGCCTTGCGTATCATTCCCTATTTGGTGTTCCCTGTCTATCGTTGCTTTTCACGTATATATGCAACCGATAAGGAAATAAAGC", "GTCATT", "ATACATTATTATATAAGGGTTA", "AGG" ]
[ true, true, true, true, true, true, false, true, true, false, false, true, true, true, true, false, false, false, false, false, false, false, false, true, false, true, true, true, true, false, false, false, false ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 41, 43, 45, 46, 48, 50, 52, 54, 56, 58, 60 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 42, 44, 47, 49, 51, 53, 55, 57, 59, 61 ]
[ "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124731|-|107972:108142", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124732|-|108146:109081", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124733|-|109115:110440", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124734|-|110719:111342", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124735|-|111363:112358", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124736|-|112378:113004", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124737|-|113016:115712", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124738|-|115872:116168", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124739|-|116333:116995", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124740|-|116998:117399", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124741|-|117401:118183", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124742|+|118746:119168", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124743|+|119183:120421", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124744|+|120441:122450", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124745|-|122494:123510", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124746|-|123520:124221", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124747|+|124469:125773", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124748|+|125799:127886", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124749|-|127984:128502", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124750|-|128902:129180", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124751|-|129193:131238", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124752|-|131201:131560", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124753|-|131778:132623", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124754|-|132625:133308", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124755|-|133305:134432", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124756|-|134551:135174", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124757|-|135255:136076", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124758|+|136628:137851", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124759|-|138361:139749", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124760|-|140646:140798", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124761|-|141215:142033", "2510065017|RJ2H1_RJ2H1-contig-056.56|CDS|2510124762|-|142136:142492" ]
[ "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000083|+|108143:108145", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000084|+|109082:109114", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000085|+|110441:110718", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000086|+|111343:111362", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000087|+|112359:112377", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000088|+|113005:113015", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000089|+|115713:115871", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000090|+|116169:116332", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000091|+|116996:116997", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000092|+|117400:117400", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000093|+|118184:118745", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000094|+|119169:119182", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000095|+|120422:120440", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000096|+|122451:122493", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000097|+|123511:123519", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000098|+|124222:124468", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000099|+|125774:125798", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000100|+|127887:127983", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000101|+|128503:128901", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000102|+|129181:129192", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000103|+|131561:131777", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000104|+|132624:132624", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000105|+|134433:134550", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000106|+|135175:135254", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000107|+|136077:136627", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000108|+|137852:138360", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000109|+|139750:140645", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000110|+|140799:141214", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000111|+|142034:142135", "2510065017|RJ2H1_RJ2H1-contig-056.56|IG|IG_000112|+|142493:142881" ]
[ "MKRHIFIMMLFALCLTSFQAHAQRYLPGMKGLQVTAGVTDGVHWNAGSDFAYHIGAA", "MKQIFVMSALLLGVCAANAQTADTVKYAAGNDLYRGITRKLPYRQMVTPYGVEVTFAKTVHIIFPAAVRYVDLGSNHIIAGKADGAENVIRVKATTEGFPGETNFSVICEDGSFFSFNAKYAHEPEMLNIEMKDFLENEDTSDFSHTRMNIYFRELGNESPLLVKLIMQSIYKNNDRKVRHLGSKRFGIQFLIKGIYTYNGMLYVHTQTKNSSNVPFDTDFIKFKITDKKVPKRTAIQETVLDAVRSYNEVVEIAGKSTVRTVYALPKFTIPDDKLLVVELYEKNGGRHQVIRVENSDIVNAEVIDELKIK", "MEEVQKNENGTTVPQTDGKPKKEDNPKRELTPQQVQQRRKMIVFPLMFLAFAGCMYLIFAPSGKEDVNMESVGGFNADIPLPAEDGIIADKQKAYEQAMLNRKQQDKIQSLQDFGFTGDDETEEPQAEIDLMPEEEPKSQRGGGASYSANAYRDINRQLSTFYETPAVDEEKEDLKRQVAELTDRLQQQQNATPTADDQMALLEKSYELAAKYMNDGEQNGQTAQVPVAGTVTQKPQAQPVQAIRETTVSGLQQPMSDAGFIRAYSQPRNYGFNTAVGTGYAIGRNTIAACIHQDQTLTDGQAVKLRLLEPMQAGNIVVPKNTLVAGTAKVQGERLDILVSSIEYAGNIIPVELAVFDTDGQKGLSVPSSMEQEAFNEAMANIGSGLGTSISFARSAGQQVAMDVTRGLLQGTSGYLAKKFRTVKVKLKAGYKVMLYAKQQ", "MEFKSLTNIETSFRQIRLFALVFICLCALVTGFAVWNSYSFAEKQRQKIYVLDNGKSLMLALSQDMAQNRPVEAKSHVRRFHELFFTLSPDKGAIESNIKRSLFLADKTAFNYYKDLAEKGYYNRVISGNVTQTVEIDSVKCDFDQYPYKVNTYARQLIVRESSLTVRSLVTSCRLLNATRSDNNPHGFIIEAFTITENKDLQTVKR", "MLLAAVDFDNLHQVLRVLYDEMMPLCSNMTGVAKGIAGLGALFYVAAKVWQSLARAEPIDVYPLLRPFALGLCIMFFPTFVLGTINTVLSPVVKGCNQLMETQTFDMNEYRAQKDRLEYEALMRSPETAYLASDEEFDRQLEELGWSPSDMVTMTGMYMDRAAYNIKKSVRDWFRELLEMLFQAAGLIIDTLRTFFLIVLSILGPLAFAISVYDGFQSTLTQWISRYISIYLWLPVSDLFSSVLARIQTLMLQKDIQELSDPNFIPDGSSTVYVIFMIIGIVGYFTIPTVASWIVSAGGTSAYNRNVARAGSIAGAAVGAASGKVTGKLLK", "MKKTILLMAVCLCFIGNASAQWVVSDPGNLAQGIINTTKQIVQTSTTAKNTLDGFMEAQKIFQQGKKYYDALKAVHDVVKGGVKVKKSIELVAEISEIYVRNFQSMLADPNFTPDELSAISFGYAKLMSESSDVLQDLKNVVNITGMSLTDAERLAIIDNAYRSLLNYRNLVNYYTRKNISVSYLRAKKKNDTDRVLALYGSADERYW", "MYRFHRVGFAAARVADVPAQRTVRHARADESSRTQKTPTLHYQPKGDTPAVQLQKKKGRKNMRNTLKATTLERKFPLLAVENGCIISKDADITVAFRVELPELFTVTSAEYEAIHSAWYKAIKVLPDYSIVHKQDFFIKENYQPDTERDELSFLSRSFERHFNERPFLNHYCYLFLTKTTRERSRRQSDFSTLCRGRIVPQEIADKEAAAKFIEAVGQFERIMNDSGFVTLTRLAASEITGQDGKAGIIEKYFSLSQTDTTCLKDIGLYPEEMRVGDDILCLHTLSDVEDLPGKVGTDCRFEKLSTDRSDCRLSFAAPVGVLLSCNHIYNQFIFIDDHAENLKNFEQTARNMQSLSRYSRANQVNKEWIDEYLNEAHSRGLISVRCHCNVMAWSDDRDELKRIRNDVGSQLALMECKPRHNTTDTPTLFWAGIPGNEADFPAEESFYTFLGQALCLFVEETNYKSSLSPFGIKMVDRVSGRPLHIDISDLPMKKGITTNRNKFILGPSGSGKSFFTNHMVRQYYEQGAHVLLVDTGNSYLGLSQLIHNRTHGEDGIYFTYTNENPIAFNPFYVEDGVFDIEKKESIKTLILTLWKRDDEAPKRSEEVALSNAVSAYIERITGDRSVTPCFNTFYEFVRDDYRRQLEQKNVREKDFDIDNFLNVLEPYYCGGEYDYLLNSDKELDLLHKRFIVFELDNIKDHKILFPITTIIIMEAFINKMRKLKGIRKLILIEEAWKAIASANMADYIRYLYKTVRKYFGEAIVVTQEIEDIISSPIVKESIINNSDCKILLDQRKYLNKFDSIQNLLGLTDKERSQILSINMANHPGRKYKEVFFSLGGTQSAVYATEVSLEEYFTFTTEESEKMELFALAEKLGGNLELAIKRLAESKRNPQSSTT", "MRKRIFLSAAILAAAVSAYAQGNGQAGITEATNLITGYFDPGTKLVYAIGAVCGLIGGVKVYNKFSSGDPDTSKTAASWFGACIFLIVAATILRSFFL", "MVIHCILTGLLVYYIALWLWYRYTDSRTSPGRTDDASLPRNGVLGYTLIGESRYKGGQIGTVQDKSGHLPQAAENDTIFAPQADVQPEENISEAAAEAEETPGYENDEPDYEDEEIAGYMDGDDDTGRATGVSFDELGKAVRTANADNPIEAEQRQAAGTLASIEGTNLYDAVVENINGGLAKVAELLGRNEAELATAAVPAEAGDTSKEHEAFDMNDFL", "MAKQSGGMPKIDEDFMKELISQGVPSKQDNNKTNDVPQEIQTETVQVEKTTNRKRKGGSGDYRETYFQKVELADRQPLYVSRSTHEKLMRIVTVIGGRKVTVSSYVENILLRHFEQYQDEINTLYESHFQKPV", "MNESNMENEKTPLYVAFSTQKGGVGKTTFTVLAASYLYYLKGYDVAVVDCDYPQHSIAGMRKRDAEQVGADEDYKRMAYEQFTRLGKKAYQVLCSSPEKAIATADEYISTGHVPDIVFFDLPGTVNSEGVINSLAGMDYIFTPISADKVVLESSLSFAMAIQKLLVKNEACRLAGLYLFWNMVDGREKTDLYTAYDKTIKELELPLMKTFIPDTKRYKKELVADKKAVFRSTLFPASRPLVRGSNLEELITEITYYIKLQ", "METRRTNKGGRPALADPAKHRHVLYLNDRENARFLSHWEQSGVTSKSRFIAARLFGEPFRVVKVDKSAVEYCARLTEFYAQFRAVAVNYNQVVKALNSNFSEKKALAFLYKLEKATTELSALNRQIIALTNECKELWLPK", "MFGALAYNQNKVDSEEAKVLFSNRMLLSEDGNFSIGECMRSFEMQMPVQLSTKKPILHISINPHPEDVLTDQQLSDIAKEYMRKLGYGDQPYLVYKHTDIDRHHIHIVGLRVDENGRPLNDKFEHRRSKQITRELEKKYGLHPAERKERAERPELKKVDYVAGDVKYQIGNTVKAACYGYRFQSFGEYKALLAAYNVCAEEVKGEVNGKPYQGIVYSAMNDKGEKTGNPVKASRIGKSVGYEAVQRRMEKSGEAIKNGKLKERTRKIVATAMQTARSRKELEQQLRKQGIDVVFRQNDSGRIYGITFIDHDSRVVLNGSRLGKEYSANVFNERFSGETGKMHQPEVSAPQQDQSTHQEQPGFMPKSDIVPGVAYVLGAFGGLLGGGASGGDEPQDTARQNRKKKKKRTRRID", "MQQEDDLRGLARVMDFMRAISIIFVGINVYWFCYSTLKEWGVTFEVIDKILWNFQRTTGLFSSVLWTKLFAVVFLALSCIGTKGVKEEKITWTKIHCSLAAGVVLFFLNWWLLELPLPHTADAVFYIATLSAGYICMLMAGTWMSRLLKNNLMDDVFNTENESFQQETRLIENEYSVNLPTRFYYKKKWNNGWINVVNPFRASLVLGTPGSGKSYAVVNSYIKQQIEKGFALYCYDYKFPDLSEIAYNHLITHLDGYKVKPKFYVINFDDPRKSHRCNPINASFMSDIADAYEASYTIMLNLNRSWISKQGDFFVESPIILLAAIIWYLRIYQGGKYCTFPHAIELLNKKYADVFTILRSYPELENYLSPFVDAWESSAVEQLQGQIASAKIPLSRMISPALYWVMTGDDFSLDINNPKEPKILVVGNNPDRQNIYSAALGLYNSRIVKLINKKGQLKSSVIIDELPTIYFRGLDNLIATARSNKVAVLLGFQDYSQLTRDYGDKESRVIQNTVGNVFSGQVVGETARILSERFGKVLQKRQSMTINQREKSTSISTQMDSLIPASKISNLTQGMFVGAVADNFDERIEQKIFHCEIVVDNEKVKRETARYVKLPQIIDFTDKDGNDRMQEEIQANYDRIRQEVRQIVEDEITRIKNDPELCHLIKEEE", "MNLHSDKEAFKEIIALAAEHFGYEQSHVEKDYWVSKILRDISMSEYADKTYFKGGTSLSKAYGLIERFSEDLDLFVFTGDKGASKQAEKTLNKKLSKYIAELNSDIYKEDLSETGGNYRKLYFSYDNIFQGVGLKEHLEVEIKSCDIPDKKLMFYPADKRVIKSIVTAFLESIGQEELISTYGLGSFETQCINPRKTICDKVSRLVKLSYNEDAAALLAKHIRDVYDLSALYHNQEYNDYLHSEDFLDAMYRVTIEDGLNKNSRSHLSLADAPIFKDAEAVMALPEVATAYTTDLKKLTFDKSKMPPIGKAVEALKNLHEILVRFEAYRTKKQNEEQP", "MASFRKEILGQIERIDTGRIFTFRDLSFEMEKTANVAVLLSEQSRKGVLVRVEKGAYYRPKKSVLGLGKLPVYQDEQFRYLTEKLNGYITGAYVYNKMGLTEQVATTITIATPNPVRRFRFKNLDIECVKAYCMDYPDESLVPYLRLLDAIKDMKRIPGTTGQDIYNRIKSQYFNGYSLPELEKIVSLAKSYPPRVRKVVADILGDIRQTVLQTEMAKTILPTTRFNLDYKTA", "MANRMTPPAEGQEKDVLLVLDKQQGKVSAVKGIDKEGNLQTVPPTQGGEFMQVDKNSDVFSNFISNFFRKFQDTSGLELFSVKASEAEQDAKAIEDNHRNPTPEGGKRAEMLRVPKPDFHEFKQGYRFDPSKIDWENLKKVGITANTLKNTKDFDRVMRGYKSRNTYTVSGTVGGFYLKPTDVKLSFYQAKDGTVVPKLHGVQQDEKLLQRPFHEHGFTKQEQGNLQGTGNLGGIAEIKDPKSGGQIPVFVSRDRYTHELEYMRADKWKCPDTICGVKVSPEQKAAFEAGQAVKMENLQFRDGTKRSAYLQVSAVERGLEFLPRAAVQFLQQGQQPAEQQVAGIKDGKGVEFNGHVQPGAQGKSPDKVQPKDVTPAAESRTQVAVNSEGKTNEATKQGKEPLKQGQDKPTAKQKEKQDKSLKADKPKKSRGMKM", "MIALIAEKPSVAKDIARIIGATQRNDGYLSGNGYMVTWAFGHLIQLAMPEAYGVANFRKESLPILPPDFQLIPRQVKAEKGYKADPGVLKQLKVIKEVFDKCDRIIVATDAGREGELIFRYIFHYLNCRKPFVRLWISSLTDKAIREGLDNLQPGERYDNLYLSAKSRSEADWLIGINATQALSVAAGQGVFSLGRVQTPTLMMICSRYLENKNFVPAKFWQLKAATTSGEISFTAQSTAKWEQQPEAIAALQRVKDAGQLAVKSVERKEANQEPPLLYDLTTLQKEANTKLNFSADKTLSIAQSLYEKKVMSYPRTGSRYISEDVFDEMPERVALLGQYSRFAGYAAGLDGAALNRRSVNDSKVTDHHALIITENLPGELSKDERAVYELVAGRMLEAFSGKCVKDVTIAILSAGDTDFTVKGSVMKVAGWRTVFGEQETGGDEEDASLPPLQEGEGLPISNVELLEKQTKPKPLHTESSLLAAMENAGKELEDAELKASLKDAGIGTPATRAAIIETLFTRQYIVREKKNLVPTDKGLAVYGIVKDKKIADVEMTGMWETALAKIEAGSMDADTFRKGIEVYATQITAELLSVQLSVANGETCSCPKCNNGRILFYPKVAKCSNVDCTLTIFRNKCDKQLSNKQIIELVTKRKTGLIKGFKGKNGKAFDASLVLDEQFNVVFSFPEKKAKPKK", "MKQIKAHIAVSLDGHTATLDKELDWLPDEVKTIVGKYYLDADCLLMGANTYNYIFEHWGGWPHKSKRSFVVSHYDTNVTPDCGVEFLTEEPLQRVYELKQETDMLVVGGGKLLTSLIKAGLLDSLTIYTVPVMLGKGIGFIGETFGSLWKLSESRVLDNGVVCSTYLFGGSV", "MYIDKENFVAWMERIMDRLDMQDKKIDRLLSGHNFLNGEKLLDNQDLCFMLKVSTKTLQRYRKKGILPYLTLDGKYFYRASDIHKLIRERMD", "MLRKEEILSRTNNGLLVFKHYLPGDWRIGRHFLNPLYQDKKASCNIYFDRHSGMYKMKDFGNDNYSGDCFFFVGQLKGLDCNRAADFVEILEIIDRDLGLGLASGTPVSMSPATVCRGVPDKTEETPEKPVKPYQFREQKFSLAELVYWQQYGITPELLEYYKVCSLREYNSETAEGKPYTYTSSVAEPMYGYKGKQHIKLYRPFSTPRFLYGGSFGENYCFGLEQLPAKGDTLFITGGEKDVLSLAAHGFHAICFNSETVTIPPTLVYRLTFRFKHIILLFDMDKTGKESSRKQEKLLEEFGVKRLLLPLPGTKEEKDISDYFKAGNTREDFLKLFIEFLDNLYSDTLIMLKSCEIDFNNPPAKAQEIISAGDVPLGTQGNLFGITGGEGTGKSNYVAAIVAGCICPVGADIDTLGIQITANGRHKAVLLYDTEQSEVQLFKNVSNLLARAKQPDKPDELKAFCLTGMSRKERLNAIVQSMDKFYYQYGGIQLVVIDGIADLVKSANDEAESVAVIDELYRLAGIYNTCILCVLHFVPNGLKLRGHLGSELQRKAATILSIEKDDEPAQSVVKALKVRDGSPLDVPLMLFAWDKKAGMHVYKGEKPREEKEKRKERELVGVARDIFGRQAHITYIDLCEQLQQVLDVKERTAKSYIRFMREREIIIKDPVNQSYFMIGLI", "MEIIAFESKAYKELDNKITAIADYIFNHLDENKTDEDEIWVDSYEVCTFLKISDRTLQRLRAAGMISYSDIKGHYFYKIKEVKRMLEERLIKRDKECINELITNHQKYVKERRNSKQNK", "MKGYIGNILQEIDIEIDEIDLYGYDIIETSLSMVHKLQTVLDDLRTKIQTYIFPTKEDEILFFKTQKPEILGRLLFFYKIYKIETQCPNGSDEVIRNYINRELDNLTYFFNRNLDFYQYYRSHSTVYDEYYFVRGKADLRLCTDSAQFDKDPNFSTGYDYKVAKIIANEMLRIYLNKRLVKLVTNNQIEDNLQRCFKYPFRFTGKKAYLIELGYSLVSSGDINNGNVEIKEMMNFLSTIFHIDLGDYYASYIAMKERKDRTAYLHHLIESLVKRMNEDDMK", "MNDLNKEIEQQLRNENADFVHFVDISMLDIRQNRGFPYALLIGIAINPHFIKTVHDSPDYVHTLSDEYAQTEKRVGMIADKLAGRLISKGYKALSQSDNALITENTFDFTTKTSVLPHKTIAVLSGTGYIGKNNLFITAEYGAAQCLGSVLTNAPLSIMEHEIKSSQCGNCNICRDVCPQKALNGVVWDMNVSRDEIVNVYDCVTCLKCLVYCPKTKAYMKRHITMK", "METDIETKYCQTCGIPLDIDYNNLEVNTSAEYCDYCLKHGVKGYDFSMDYLIYLWGLFPEEYYKEVGISYTSQEIREVMSKRLPEIKRWKQKINTAHVLYELIVRVQEYINRHLFEELSLDALSQTAGISKYHFRRVFKAVCGENIGLYIQRLRLEYIAFKLISTNISVTELLCQINYQNKHTLSRAFKSYFKCTIPEFRKLHSNANPAGMYPVQIVPCIEKVPPVRIACLKLEWTEHINHDFTVLWKQILRLSENCGLQSSGCKFISLTLDCPLISSEEQTRFMVGITVTESFNVPNGFSVHEIEAGEYAVFQFKGLYHELNRVYRYIYLDWLPTSGYALREPYTFETYLNTPEKTPVSELRTDIYIPIVRKDK", "MKEVNPQETSRAYAFEMWMNAPMPMVTFFKTLNVSRLVKISRKSGMKFNMLMCWCIGKAARDVKEFYMLPVGGKLMQYDTIAVNTIVANRKGEVSSCDIPFCDDLSLFNQHYLQLTKQVAESCVNNDLTESMVIGTSALAQYEIDGAVGMYSGIFNNPFLIWGKYKHRLLKTTLTVSFQFHHTQMDGAHASRFLDGIQRAIDNLQYK", "MIQEEFKFYKPCKLLQPYIRYYWVFKSNRPLDAFTYPIGCSQIIFHKQAPLYIPELNVTQDKLTVSGQVNFSSHLYADGNIEMIVVVFHPHAMSMFLNIPTSLFYNQEVSGYSLENKSLNELATRIFDCENNSICISYVEKWLVSQNADNLADTTHRIKRIDAAIQRIYITPQISVNELSSIASLSKKQFERLFHSFVGINPKEYTRIVRFQKALAQMQHQAGKEINQAQIAYTSGYADQSHFIREFKKFCGYTPMSLLKISNPYSDLFTNPV", "MERTTFCLLFYIRRTKLNRNGEAPIMMRITVNGVRVDASVKKTILPEFWSAAKGKALEKKREYKELNLYLDSIRLRIMKIQRELEIEEVSVSANSVLDRFQGKDAPVQRTLFEVFREHNDKCVQLSGTDMAPATVQRYETSLKHTRDFVWETYHKKDVLLDEVSRQFIEDYEFWLKTEKKCCHNTATKYLKNFKKIIRIALAKGWMKNDPFLEIRFSLDKVEPDFLEDSEIQKLISKEIDIPRLSQVRDIFVFCCFTGLAFSDIHGLRKEHIVEDSNGVRWIRKGRQKTKIMCNIPLMEIPLKILEKYSTNEYCKKHGVLFPVLCNQKMNAYLKELADICGIKKTLTTHVGRHTFATFALANGVSIESVAKMLGHTNVQMTRHYARVLDRTVIREMSQIKMDFHISI", "MVTIYLDKQVFSHLFNAKEEKYSLLREKILSHKDEFIFFYSNAHLFDLQDDKTDIKYTEMEYMQSIVSGYHLIYENHKQEVIKQSPRNAFETIGKIEDFSWLENFDFSQITEEQRNVINNIVDISIKDLKGELDFDWLKKRAPISVDELQMDISTFTSLMKFVSHYFYENKESYKIMRDNTIARYNPTSIKAEGENIFNEQLASSPLGLSFLDIIQASLTQTGLSYTDFATVYYMSYILLDLFGVNKETRKKVKFRNMQVDCYHSFFGSYCDCMVSDDEGMRLKSKTLYKLFNFNTKVYSIDEFIEKFDEAINNNKKSAREYFDEVLSDYITRQVTRVETKSGQFLTYLSTSYKYFGYFNCMIERKSKDETVIILHKNNDLKQPILAKELEIITNRIVRVFNDMGATFTLFDEAVEIPLLKADNWNRFLTLNDADVCLTKFKDTPMLCLWIKLKQPILQNKN", "MIVEYLPTCKSNNSTLLSCHRGECFTFVYHSDDNLEYLSYHSEQHHQLHF", "MDAFLSCMIRHNPALQVQRSEGKQYNHILRFFDLNKSYVNYKEKGDWLPIYKAFVHKKISPVPIMKKFLLNPEQYLDKEAEEFVMALFSVAAILPDTSIPLNLEDLFTLDEWHRYWQTQNLRQYMSKSSAPVGKMLPVAIAWPLLSEFIRSAQEVISGKSDYQANFRFAHAETVIPFVSLMGIEKTDVQVCRPDSVSVYWKDYEISPMAANVQWLFYRDRDQRIWVKILLNEEAAALPISTSCFPYYSWEKTRIFFNQRIEMAKKTLSVFNE", "MKRLLFVLTFMSLTFPVIWGQTKIQKYAGTAMPYPNRTDSSITFRDGMTPFYINHLGRHGARFPTSRKALDKVEKVLVSAQQENGLTSEGMALLSMIRRLSRLFDGQWGKLSKLGETE" ]
[ "TCC", "CGTTAATTGAAATTTTAGTGGTTGATAAAATGG", "ATTGCGGTCTGTTTAAGGGGTTGATACTGTTGATTGTGTCATTGCCTTGCAATGGCAGTTGTTTGATATGTTCTATTTGCAGCCGCCTGCCGTCCCGTTTCCCGATATTGTAGATTGATGAAACGGTGATGTAGATAGACAAGCCGCCGAAAAAGAAGAACATCACGAGGATAACCGCCAGCCTTTTGCCCGGCGTAATCCGTCCGCACATTCGGCGCAGGCGGTCGTCCGCCCAGTCCTGCACCTTTTCGATATAGTTTCGTTTCCTTTCCATACGG", "ATATTAAATTTTGATGTTGG", "AGGCATACCGATTTATATG", "GTTCCTGATTT", "ATCCACTGGTTCACGCCTGCCATGAACAGGACGATGAACACCACGAAGACGGCGAGCAAGCCGCCGCAAAAGATAAAGAGGTACTGGCTTTTCAGCCCCTTGAACTCCACCGGCTTCCCGATACCCCTGTTTACCGGATATTCAGGCATAGCCGCTGGT", "TGTGATTTGTTTTTAATGCCGGGCGGCGGGTGGGAAGTCCGCCTTTCCCGGCTGGTGAATAATTTGAAATTTTAGTGGTCGGGAACATTCCCGTTTGTCTGTTTGGTTTTCAGGTTGCCAATCAACCGTAGTCACGTTGCTTCATATTGTTACACATTATTATA", "AG", "A", "TATTGGTTGATAGATTTATTTATTGCTTTCAATCAATCCAGCCATATAGCTTTCTTTGTTTCCATATTGCAATCTTGAAAGACTGTTTTATTTCAATCTTGTTTTCCTGCTTTCATTCTTTCTTGAAAGATTGAAATCCTGCAATCACGTTTTCTTGAAATCCTGCTTTCTATCCTGCTTTCTTGCTTGAAATATGGCTTTCAACCTTGAAATCTTCTGCAAATAAAAGGAGAAAAAAACGTTCGTTTTTAGATGTGTCCCGATTTGTCCTGCGCTGTCCCGATTTGTCCACCAACCGCCTATTTTACAGCATTATAAATCACCATTACTTTGCAGCGGAGTAACAAACCGTAGGGGGCAGAGGTGTAAAACCGGGCTGGTGAACGGCAGGGCTTACCGTTCCACGCTAACCCCAATCCGTCACGGACGGATTTCGATTTTCGTCAGAAAATAGCAAGGTGTGTTCTATGGCACATAGAACCGTTTTCCGTGCCTGAAAACGCCTTGCCCCTGTCGGGGGTAAAAATCACTCCGAAGTCGTAATTTTTTAATTGAAAGAAGT", "GCACGGGCGGCAAT", "CTTAAAAAACATTACAATC", "CTGTATATAATAATGTATGGAGTGAAGAACAGCCGATGTAGCT", "ATTTCTGTC", "ATTTATGTACTTTGTTTCTATCTGCAAAGATAGTATATTATGTAATTACATACAAAAACATAACAAATATTTTGCCGAAGATGTTTTTATTTCTCCAACTGTTGGTTGGATATTTTCATCTGCCACGGACAAATCGGGACAGCGCAGGACAAATGCGGACAGCCCTTGCCGCCCGTCCAAATATAGCATATTTAGTCGCTACCTTTGGGCGGGACTATTCCCGTAACCACTAAAATTTCAATTATTT", "TACCCACCTTAAAAAGTAAAGAATT", "CCTATCTTTGCCGCTGGAATTTCATTGTGATAGGTTTTGCCACTTATGATAATTGAAATTTTAGTGGTTGCGCCCGGCGGGAACCGGGCGCATTTTT", "CCTATCAGGTATTAAACAGTTGATAATCTTTTTCAACCTATTCGTTGATACCTCAAAAAAGAAGCGTGAACTTACACTGCTCCACTACGAGGTACTGGCATACCCAAAGAAACGAAACAGGCAAGTCCACGCTATGACAAAGCATAGCATAGACATTGCGCTGAAAATCCCGTTTCTTTTGAAAAGTGCCAGTTTTCGTAGTGAGATATTCGGCGAACGAATATGTTATATATAATGACGATATTGCAGCCGAAACTGTTCAATCGCCGTTATTCTCTTATGTTACTTTTGCAAAAGTAGCGAAAATCACCGAAATAACCTTGCAAATAATAAAAATCCTGCCTTATTATCATAACAAGACAGGATTTAAAGAAGAAAATATATGATATTGATTATCAC", "AAGGCTACCGGA", "ATTGTAACTTATTGATTTGCCGCAAAGTTCGGGAGAAGAAACAACCTGAAAGTCATGGTTATATCTATCATGGTATTACTTTTTTTTAGGCTATTTTAGGGTGAAATCAGTGTGAAATCTCTGAAAAAAATGCCATTTTTCAACGTACATTTCGATACAAAAAGAAAGTACCATGTTGGGGTGAACATGGTACTTCTATTTATTGAAATACAGAGGA", "C", "TGCTGTTTCTATTATTGAGAGCAAAGTTACTCAATCTGTTACGAAATCAGTTACCCTAAATAGTCATTTTTGAAATAAGCTGCAAAATCGTAACCTGTGTATATCATTTATAGCAAAC", "ACTTAATGCTATTTTCATTTAATGAATCAGACGGCAAAAGTAGGAGAAGCGTTTGAACCCGGATAGAACAAACGGGACAT", "TTTACAAAGGTACTTATTTTAGTAGTATCTTGTTTATTACAAGGTAGTTTTTAGTGCAAGGTGCAAGTATATATCTATATATATAGCTTGCACCTTGCACTAACCGCATAAGATTTATTTTCAATGATTTGCATATGTCAAAAGAAAGCCGTATCTTTGAACCGTAGTTTTAGGCAGACAACGAACAGCCAAAAGGTGACAGAAAAGCGTTATTCTTGTAACCTAAGTTGTACCCCCTAAGGCTTTGACATACTGATAAGAAATTGAATTTCAAGGATATTGGAGAGTAGGTTCATAACCCTGTCTCTCCGCTGGAAAGAATTGCAAAACAAAGAAAATCCCTGTAAATTAATACTTTACGGGGATTTTTTGTTTTTGGTACATAGCAAAAATAAGCATATCAAAGCATTCTTTCGGTGTACTATTCGGTGTACCTGATTGCCTTCAATGCCAGGTACACCTATTAAGTAAATAATTCATTGTTTATCAGTGCTTTGCATCTTGACTTGTTGCTCTTGGAAATTTAGTTTTGTGATTAAAAAACAAGTAAG", "AATTATCTAGTAGGAGGTTGTGTCAAAACTCTGGCACAACCTCTCTTTAGAATGGAGGAAATACAATAGCCTTCGCTCTTTGAGCTATATGACTACATCGCTGTTAATATGTGTTTTGTTGGAAAACTCTTTTAGTGAGTTCTATAGGTCTGTAAGATGCGAGCACTGGAAAAAATTATAATCAAAGATTGTTGTTTTTTCGAGAGGATGTATGATATTTTTAGTATATGATGTTTGTGTTTTCTTATTCTATAGTTCTTGTTCTTTCTAGCAGTGAAAAAAGTAAATACTGGCTTTTACTGTAGAATAATGCATAAAAAACTATTTTGATAGGATCCGTAGCTGATGGCTCGCTATTTTTGGATGTCATATATCCTAATCTGATGAAAAATAAACTTTTGCTCTCCTTGCGTATTCAACGCAACAAGTTGATACATACTAGCGAGCAGACACAAATCGGAAACCACTATATCCTCGTCAGTGGCTTGTTGCAGGAATCTTTAATTTCT", "AAATTGTAAAATAATAACGATTTGTTTGTTGTTTTTACCTTCTTCAATACTAAACCTTTTCGTTGTATTTCTGGAAGATTTTTTTTAATCTTCTTTGTCTTAGGAAGTTTGAAAGAAGGGTTAAATAGAGTAACAGATTGGCAATCGTTTCTGGGCTTTGTTGTGCATTGCTGTCAATATCACTCTCTTTAGTACAAAATTACGAATAAAAATCAGAAGCACATCACCCCGTCCTGTGTTTTTTACGTGGAGGTCTTTTTGCCATCACCAAATAAATCCGGTTTAAGCGTAATATCAATTCTTAGTGAATTACCGACTATTATTGCTGGAATTTATACTTCAATCCATACTTCTTCCAGTTTGCTATACAGTGTTGTACGTTCGATTCCGAGCAGTTCGGTGGTAACCTTTCATTTTCTGTTTGCCTGTTTCAAGGTACGGAAAATCCTCTCTTTGTTTTCAGCGTCATTGCGCAGGGCGAAGCTGACGGATGAGGTTGCTCTCGTCATGGTAAGCTCCAGGTGCTCTTTCGTGACAAGATCTGTCTGTACCTGTAACACCGCATCCATAATTTTCTGTCGAAACTTAAGGACATTGCCCGGTCACGCATGGGCAGTAATGTCTTATTGCGCACGTCATGGATTTGCGACCCTTACTCCCAGTAGGCGTATTCTCGTTGAGAGTATAAGCCGAGTATTGCGGCATACGAAATTATCACTGCATAGAAGTATGTTATGATAACCATCTGAAAAATAGACCTGGATTTGATAACGTTTGGCAACAGACTTAACCAGTCATCTACTGAAATCTCAGTGGCTATATTACTCATGGAACGAACAATTATAACAATCAGAGAAAACGGCAGAGTGAATATCCCGAAGGGTAATGTATGGATG", "GAAATTATTATTGTCCTTGTGTTTTAGAAGAATACACTATTTCATCCACAAGTTATATTAAAATGGTCATTTACTACATTTTCAGTTTGGGAAGAAGTGATGGGAACAGATTGACTTACTACACAAACATAAGATAACACATCCGTCTGCCTCACCGCTTACCGTTGAAACCTTAAGAGAATTTTATGTGATTCGATTATTGTATTTAAAGATAGGCGTTATAAAATTGGCTCATGGTACATATTAGGGTAAGTATAATACTTATTTTTAGCTTTAACCTCATTCTTACATCAATTTATACCTCACCTAGGCAACCTTATTTAACTGTAACCACCACTATCTATGTATCTGTCATTCATTGGTAAATAGAAAAAATGTTTTTTTCAAATATAATCAAAACTAAAAAATGGCTTATT", "ACTATTTATGCTGCGGGGAACATAGGTTGCTATTGCTTCAATCTTGGCAGAATTGCTGAATAGTTGAGGATAGTTCCTTATCATACGTCCGGCAATTCCTTC", "ATTATACCTACTTAATTCATAGGCTATAACGATAAAAATGAGGATTATGTTTGCTCAAAATCAATCTTAAGACATCCAAAATCTTCATGTCCGCAAATAGTTGAACAAACGAATAAAATACGAACCAATAATGATTATCACTATATGAAGTTACTAAAATGATTGAAAATTAGAATATTCTTTTATTAAATAAGTGGTTATCCAGATGATATATTCAGCAGATTCATTTGTTTGTCACTATTTTAAACTTTATATTTGCATAAAGAATTAATATCTATATTAAAGAACTGATTTTAACACAGAATAAATGGACAGCCTTTACTTATAAAAACAAAATGGGCGAAGTATTGAATTATATAGAATTATATAATTTTTATTGAGAAAACATT" ]
[ false, false, false, false, false, false, false, false, false, false, false, true, true, true, false, false, true, true, false, false, false, false, false, false, false, false, false, true, false, false, false, false ]
[ 1, 3, 5, 7 ]
[ 0, 2, 4, 6, 8 ]
[ "2510065017|RJ2H1_RJ2H1-contig-058.58|CDS|2510124767|+|993:1634", "2510065017|RJ2H1_RJ2H1-contig-058.58|CDS|2510124768|-|1735:3324", "2510065017|RJ2H1_RJ2H1-contig-058.58|CDS|2510124769|-|3340:6660", "2510065017|RJ2H1_RJ2H1-contig-058.58|CDS|2510124770|-|7100:9085" ]
[ "2510065017|RJ2H1_RJ2H1-contig-058.58|IG|IG_000001|+|973:992", "2510065017|RJ2H1_RJ2H1-contig-058.58|IG|IG_000002|+|1635:1734", "2510065017|RJ2H1_RJ2H1-contig-058.58|IG|IG_000003|+|3325:3339", "2510065017|RJ2H1_RJ2H1-contig-058.58|IG|IG_000004|+|6661:7099", "2510065017|RJ2H1_RJ2H1-contig-058.58|IG|IG_000005|+|9086:9100" ]
[ "MNTKGILIAILALGSITLINAQQPAGYFFKEFTPGKVLLKNKQFAKGKFNYDCINKEMHFLNESTDMVIENLEDIDTVVIDIHRFIPFEGHFMEVMTDQHTTLFIDWKVKPKDIGKKGAMGTVTHGSVQAIDVNTRFQRVNGEQNLDLSVYKMVTENVYYTQIKGKLRSFRNIHSLLKLYSKDKQQLIKEFIETEKIKIEKPKDILKVLKRFQ", "MKKILAYLMVGIAFTGCNSLDLEPISSIADNKYWQTEAQVDAFNVGLHSKFREKCSYSIFLFGEPRADYYFGESTFGSATQGNERMWNNSLNDVNTVVSNFGNLYEVINQANLMINKVEGMSMNESTKKYYLGEVYGIRAFLYFQLLRSYGDVVIWTDFSEGSSLDLGNLARPASSAADVMKLIVDDLQASETAFGDNYGFRNDSQRYFWSKAATMMLKGEVYMWRGKHMGGGNEDYTTAKNALQEVRNQTDKFGLLEDFSEVFSYDNKNNKEIIFAIRNARDEYNMWGDVTYNNNMFPQQNILFGYMDENGNPISSLGDKVKVNGTIRYPVNKDVYTKCFNDNDTRKRSTLQAAYEKKEDGTLSLYGLYPAKFLGTLLDGADTRSPLDDYPVYRYADCLLLLAQAKAFLGEDPVEEINAVRKRAYGEDYFNAHPEVQYPNDNDAALYADNKSVKPDNAGAMEAVLKERMREFMVEGKRWYDLRLAGDEYVLEHTTAEATRLLWPIDKNTLTNNSALKQTPGYESSGGK", "MLKRLKSVSMMLFLMGASTGAAYAVASPGVTDLKITQQSGTCTGVVKDATGETVIGASVVVKGTTNGTITDFDGNFTLNDVKNGDVIQISFVGYITQEMKWNGTPLNVILKDDTQTLDEVVVVGYGGSQKRAALTTAITKMDDQVLKKAAYSNVGQSLQGSVSGLRVVNTTGQPGSSPNITLRGGATITGDNSAALIIVDGIVRNNMADINSSDIESIQVLKDAASTAIYGARANGGVILIETKKGKEGKVDVNYKFKMGMNFARKGYEYLNAGDYLYYTRLGFKNANQAVAGYSDGWNPDTQNGCGTTKNNYDVRYLEGNEDLVNQGWQTMTDPYSGKQLVYKDYHGAMDDEIFNSPALMQDHYISINGGNDKGTFAASLGYYDEDGQVVGTGYQRFNGSLNGSYKLFPFLTINAGTTYSWSTQPTLSWTGTYEFFYRTRGMRPTWNPWNEDGSPNSADTNISDGNPAYFRDKLLYSDGTNKSTYNIGFKLDILPKKLVLNGNASLYRYDYMVEKFNKAYQLENKDTNTTREASVEQERYTQQQYNATLTYTDTFADKHNLEVMLGGEYFTYDQFKLAAKTQNSPTDDIPTLNVGATRTETSTTKSAYRILSSFGRLNYNYEMKYLLSVVFRYDGISKLKDNRWGFFPGVSAGWNITEEQFWKDSNVSDLISTFKPRLSYGVNGNVNGLGNYTVYGEYATTKPYGGETGIYNSALVNTGLRWEQSQSFEAGLDIGFFNNRLSFILDYYNRKTKDLLTDLALPGYTGFDKIATNLGTLRNSGFEAEVRANIINKGGFTWDMTANLSTVANKIIKLPDNKEVNNRVGGAQVWDPNKGELVWVGGRQEGGKLGELIAYKQNHIFKDWDDVKKYANNRIDNVANLYGPGKAAEYAGKQGWKPIEPGDVCWEDMDGNDVIDSYDRYVVGNIFPNITGGFSTTFSYKNWSLYGRFDYALGHTLYNDLKARTLGQYQGTWNIITDVKDMWTEDNPNTDLSKYYFGDQNIKKNITRSNNGLTAADNNNSHYYEKGDYLCLRELTLSYTLPKSLISKCFMTDASVYVTGQNLFYITGYEGTSPEPAVSTEYGRGIDNGRYPTPRTVLFGLSVSF", "MKNYIQYLTIGALLMGSLTSCNDFLDREPLDKVTPEKFFSAEADLAAYAINNYKFVTVDDKYGINLFGKDNDTDNQASGTSNSFWIPGEKKVAADRGEWKWEDIRSCNYFFDQVLPRYEEGAITGNQDNVKHYIGEMYVNRAYSYFQLFTKFGDLPIVTTALPDIQGELVEASKRQPRHKVARFIIEDLKKAEDMLLNNPPGGKNRISKNVAYLLHARVALYEATWEKYHRGTAFVPGGSGWPGKDAQGYDADVEINYFLDEAIAASKFVADQMVGNLAENTDTPEGMNASLVSINPYYTMFCDENMEGYKEILMWKKFDESLGVTSNLQMELCRNGGGSGWTRGMVNSFLMRNGLPVYASGSGYNPDWEKEGVVATVQNRDSRLGIFTKKPGDVNYYGDDGTPSICQISLIFGDAGSLATTGYIVKKGKHYSTHMANDHSAGTSGGIVFRGAEAMLIYMEASYEKNGTVDGTADGYWRALRTRAKVDPDYNKTIAATNMLEEAKGDFAAYSHGTMIDPTLYNIRRERRNELCAEAFRWDDLKRWRALDQFKTTPYRTEGMRYWGSVYEEQLKDLCIVNPSTGNMSSPESSVYILPYEKILENNTIAKQKGFLFTPAHYLEPIGVAVFRQTASDPNDFTSSSVYQNPGWKYEASTGAVSVE" ]
[ "TAATTAAAATCTCCATCACT", "AAGAAGGTGTCCGAAAAGTGAAGTGCCCTCTAAAAGTTTTATGTCTAACTTTTGGAGGCTGTCCATTTCAGACACCTTCTTTTATTATATAACTAATACT", "AATGAGTCTTGTTGA", "AGCTGTGATTCTTTAAATATTTATTAAAAATCAACCAGTTTAAGATATTATTCTTAAACTAAACCAAGATTGACGCTGCAAATATATAATATATTTGATATATTTAAGAACATAAACAAAAATATTTTATTATAACCTCCGTTATTTAATAAAACATTAAATCATCACACATTATCATTCACCTTTATTTTATTTATATTCACTTGAAAATAGTTTATTTTATGCATTAATATAACAATAGATCACCGCATCACATATGCCCTCGACTATGAAGTTCTTTATTCATGATACTCTTCACTATAATGTTTCATCACACCTTCAGACAGATAAGCGATGCCTACCTTCAAAAGGCAAAATACACGCTTAAGAAATAAATGCCTTAAATAAAAGCAGGGAAGCCCAAAAAGACTTCCCTACAAAATAATATCAATATATATTC", "ATAGATCTTATTTTA" ]
[ true, false, false, false ]
[ 1, 3, 4, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 40, 42, 44, 46, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 72, 74, 76, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 96, 98, 100, 102, 104, 106, 108, 109, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 129, 131 ]
[ 0, 2, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 41, 43, 45, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 73, 75, 78, 80, 82, 84, 86, 88, 90, 92, 94, 97, 99, 101, 103, 105, 107, 111, 113, 115, 117, 119, 121, 123, 125, 127, 130, 132 ]
[ "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124773|-|1157:2494", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124774|-|2736:3155", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124775|-|3152:5278", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124776|-|5217:5552", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124777|-|6606:7049", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124778|-|7218:7517", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124779|+|8181:8744", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124780|+|8862:10013", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124781|-|10186:10611", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124782|-|10625:12343", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124783|+|12441:13259", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124784|+|13264:14022", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124785|-|14118:14366", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124786|-|14541:15701", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124787|-|15703:16134", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124788|-|16158:17087", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124789|-|17115:18668", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124790|-|18771:19184", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124791|-|19341:20321", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124792|+|20409:20945", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124793|+|20948:21274", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124794|+|21274:22080", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124795|+|22086:22604", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124796|+|22622:23203", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124797|+|23270:24277", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124798|-|24274:25443", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124799|+|25562:25951", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124800|-|25953:27128", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124801|+|27194:27721", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124802|-|27856:28218", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124803|-|28318:28605", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124804|-|29153:30163", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124805|-|30182:30883", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124806|+|31120:31629", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124807|-|31798:33177", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124808|+|33600:34355", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124809|-|34470:34664", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124810|+|35072:35641", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124811|+|35641:36093", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124812|+|36131:38884", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124813|-|39083:39928", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124814|-|39925:41355", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124815|-|41458:42789", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124816|-|42794:43360", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124817|+|43717:44040", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124818|+|44246:44494", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124819|-|44582:45211", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124820|-|45224:46576", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124821|-|46590:47666", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124822|-|47875:48456", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124823|-|48493:49413", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124824|-|49410:50345", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124825|-|50368:51108", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124826|-|51127:51864", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124827|-|51957:52901", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124828|-|52923:53927", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124829|+|54085:54759", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124830|+|54764:55567", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124831|-|55533:56300", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124832|-|56297:57565", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124833|+|57923:59263", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124834|+|59293:62574", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124835|+|62587:64029", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124836|-|64177:64755", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124837|+|65111:65485", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124838|+|65504:65596", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124839|+|65899:67701", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124840|+|67801:69498", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124841|+|69509:70063", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124842|+|70063:70806", "2510065017|RJ2H1_RJ2H1-contig-059.59|CDS|2510124843|+|70841:71884" ]
[ "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000001|+|1149:1156", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000002|+|2495:2735", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000003|+|5553:6605", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000004|+|7050:7217", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000005|+|7518:8180", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000006|+|8745:8861", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000007|+|10014:10185", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000008|+|10612:10624", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000009|+|12344:12440", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000010|+|13260:13263", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000011|+|14023:14117", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000012|+|14367:14540", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000013|+|15702:15702", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000014|+|16135:16157", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000015|+|17088:17114", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000016|+|18669:18770", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000017|+|19185:19340", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000018|+|20322:20408", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000019|+|20946:20947", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000020|+|22081:22085", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000021|+|22605:22621", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000022|+|23204:23269", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000023|+|25444:25561", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000024|+|25952:25952", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000025|+|27129:27193", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000026|+|27722:27855", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000027|+|28219:28317", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000028|+|28606:29152", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000029|+|30164:30181", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000030|+|30884:31119", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000031|+|31630:31797", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000032|+|33178:33599", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000033|+|34356:34469", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000034|+|34665:35071", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000035|+|36094:36130", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000036|+|38885:39082", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000037|+|41356:41457", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000038|+|42790:42793", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000039|+|43361:43716", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000040|+|44041:44245", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000041|+|44495:44581", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000042|+|45212:45223", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000043|+|46577:46589", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000044|+|47667:47874", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000045|+|48457:48492", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000046|+|50346:50367", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000047|+|51109:51126", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000048|+|51865:51956", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000049|+|52902:52922", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000050|+|53928:54084", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000051|+|54760:54763", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000052|+|57566:57922", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000053|+|59264:59292", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000054|+|62575:62586", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000055|+|64030:64176", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000056|+|64756:65110", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000057|+|65486:65503", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000058|+|65597:65898", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000059|+|67702:67800", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000060|+|69499:69508", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000061|+|70807:70840", "2510065017|RJ2H1_RJ2H1-contig-059.59|IG|IG_000062|+|71885:72011" ]
[ "MILKKITIENFRCFKNYEVDLTPGITVFIGKNGAGKTSLLNAIRYGLSVFFSNDSTMGDDLLISGNPDLKVISTLATDFYRAQNADLPAVDLTINMEAEFNDIPLNWEYYKRSTSGASLFVSKYQQAYRTLMAEYHNSDQLPLFVFYSDSFPHIDTRTSDFAKKAIKEQGYIIRNFAYYKWNSEVSCTSIWQNRFINSMLKQISLNDSDPLNSKEVEYIKNKLRTFSEPINSALEEKDDFEIKDFFPVVDDKTLSLYLRLKNERDVIFDNLPAGYKRLYSIAFDLAYRLYILRKTNEEDPKGIAIIDEIDLHLHPSLEQEVLARLKKTFPSIQFIVSTHSPMVLSNLKVKDTGNMIYRMQADEDTPNALPNLYGVDYSAAVYDFMGTPYADNEVKEEIEAILRLSRRGKPELVEKRKEELKSMVSEEQYINIISKINSQLAEDKY", "MIPLDKETFEAYMERLLEQVEKVVGALDKKNKKPQNYLNGERLYDNQDVCLLLNISKRTLQRYRDNGLKYYTILHKTYYREKDLHEFIRRYFDGENVEKGKTKECNGDERIEGGMLSDDKDEPMEEDKPVDEGKLTEDA", "VIHIRWMSSVETSYSMGTMNKDDILRLTDRGMAIFKHYLPTPFRVGRNFLNPLYEDKHASCNIYYERRSDTYKMKDFGNDDYSGDCFAFVGKLNGLDCKDSKDFIQIMKIIDRDLHLGLSSGNYIETKTITPISPAVTAATSPSKVKKARPYTLAQKSFTAAELAFWGKSGITQEVLRLFRVVSLKKFSSENNEGKPFSIAATDKEPVFGYTAKQYVKVYRPHSEMRFLYAGDFGENYCFGLEQLPAKGDLLFITGSEKDVMSLTVHGFHAICFNSETVTIPVGIIHRLSFRFKHIVLLYDVDKAGLDSSAKQELALKNYGVKRLLLPLAGTKVEKDISDFFRLGNSREDLIKLFLDYLDTIYSETMSALKSCEVDFNNPPPVAQMVVSVNDVPLGTQGNILCITGGEGTGKSNYVTALIAGAIGQSEKNKDKAMDTLGVSVSENSKRKAILFYDTEQSEVQTYKNITNLLKRCGRESMPEYLKAYCLTGMSRKERLQAIIQSMDKFHYQFRGIHMVVIDGIADLIKGANDETESIAVVEELYRLAGIYNTCIVTILHFIPSGLKLRGHLGSELQRKAAAILSIEKDTDPSVSVVKALKVRDGSPLDVPIMQFAWDKDVRMHVYLGEKPKEEKEKRKEDELVAVARDIFGRQDFITYVDLAEQIQAILDVKERTAKSYIKFMREKEIILKDPSNQSYYIIGNLKQASL", "MDVITMEATAYKELIRKIEKIADYVFKKESKPNEEEEIWLDNQEVANLLRISTKTLQRLRKENLISYSMLRGRCRYKLSEIERGLNEKIIVCDPHTLDEFRRNFLFNGNYE", "MSYIQNNLQAGEEIKYKADIHWYIFAYPVILLLLSAFFSSAQTGLFYYVSILLLLSGLFQLIKRILLKMGAEYVVTNKKVILKSGILNRDALELVLNKCEGIRINQSLMGRMLGFGSIVVTTGGVTNKFDFITNPIKFRNEINAQIQ", "MSAPQFYNIGKGKRIEVKVCNEDSIQIRRVRCLLYYSNSGKKECIGKIWISPLIGYETCYFCMNVDIPLTKDEWHKLTFRIKRGKNYKDYKFLKQQVQE", "MTNIIDNMLSLCPVSEDTIQELKKCMILCRFPKKYQLIKENTFCKSAYFIEKGMTRSFWLVNGEEITTSFSWEGGIVFSMDELYYNKPSEEFVETLEDVVVYKISLADLTRLFQTNIELANWGRIIHQNEYRRLHRSHKERLTLPAKERYEEFKQQFPQICQRVKLRYIASYLGITLPTLSRLRAKK", "MEKISSAAFTDTKPHYDLLDGLRGVAALIVIWYHVFEGFAFASAGNIETLNHGYLAVDFFFILSGFVIGYAYDDRWGKNFTMKDFFKRRLIRLHPMVIMGAVLGAITFCIQGCVQWDGTHVAISMIMLSLLCTIFFIPAMPGVGYEVRGNGEMFPLNGPCWSLFFEYIGNILYALFIRRLSNKALAVLVVMLGMALASFAVFNVSGYGNMGVGWTLDGVNFLGGTLRMLFPFSLGMLMSRNFKPMKVNGAFWICTIILIALFSVPYLEGLEPICMNGIYEAFCVIVVFPFLVWLGASGTTTDKQSTKICKFLGDISYPVYVVHYPLMYLFYAWLIENKLYTLGETWYVAVGVFVLSVILACLCLKLYDEPVRKWLTKKFLAPQ", "MNETIYLKKLEQREIKPTAIRLLILKTMMQHKEAFSLLDLENELDTVDKSTIYRTITLFLAHHLIHGIDDGTGSLKYAVCSNDCNCEVDDLHTHFYCENCHRTFCMKSIHVPIVTLPPGFTVQSINYVLKGLCSECSHQHV", "MKKIKLESVFNEFTLMGIAVSGAFYLGEYWEGIAVILFYLIGEWFQHKAVHKARSDIKALLDVRPETATVIYNNTYKITVPEKVQPGETIEVKVGEKVPLDGFLLEDSASFNTAALTGESVPRTLYKQEEVLAGMIASDKVVRIKVNKPYDQSTLARILTLVQDAAERKAPAELFIRRFARIYTPIVTGLAILVVILPYLYSLIKPEFIFVFDDWFYRALVFLVISCPCALVVSIPLGYFGGIGAASHKGILFKGGNYLDAITQINTVVFDKTGTLTQGVFSVQAISAAEGVSQKELLQLIASIESFSNHPIAKAIVKYAEEQSISLNSSLKITEFAGYGIKAVTNGKEVYVGNARLLSKYGISFPYEISDMTETIVLCAMENKYLGYLSLADTPKPDAVQAIRELKDLNINNIQILSGDKQTIVSNLAEKIGVTQAFGDLLPEGKVAHLEQLKANSENRVAFVGDGINDTPVLALSDVGIAMGGLGCDAAIETADVVIQTDQPSKVAEAIKIGKQTHRIVWQNISMAFGVKLLVLLLGAGGMATMWEAIFADVGVALLAIFNAMRIQKWKE", "MKKRYWAFLIGSWCLSVGMQAAKVDTLSVHSDAMNKEVQVITICPDKAMAGEKCPVLYLLHGYGGNAGTWLGIKPELPRIADKEGIIFVCPDGKNSWYWDSPLNKEYRYETFVSKELVNYIDKNFTTKAERGGRAVTGLSMGGHGSLWLSIRHKDVFGGGGSMSGGLDIRPFPNNWEMKKQLGEEASNKQRWDEHTVINQLDKIKNGDLAVIIDCGCDDFFLEVNKAVHEKLLKKKINHDFIIRPGGHTGRYWNNAIDYQILFFSKFFNKSK", "MDKIVFITGASSGIGAGCARKFASQGASLILNARNVNKLSALKEELEKQYGAKIYLLPFDVRDRKAATSALESLPKEWQSIDILVNNAGLVIGTDKEQEGSLDEWDIVIDTNIKALLAMTRLIVPGMVERKRGHIINIGSIAGDAAYPGGSVYCATKAAVKALSDGLRIDLVDTPLRVTNIKPGLVETNFSVVRFRGDKEKADNVYKGIRPLTGDDIAETVYFAASVPEYMQIAEMLVMPTNQATGTIVSRK", "MEKKTVKYHIPQHGIYMYARTNSGKTELIVLNSTDAEQVVANDHYRIMTNDSKSGKELISGKKIDLTKNMTVGARQSLIIEL", "MGEFINFLGNNLADFWTYTGFANATVGHIVMILIGLFFIYLAVAKEFEPMLLIPIGFGILIGNIPFNMEAGLKVGIYEEGSVLNILYQGVTSGWYPPLIFLGIGAMTDFSALISNPKLMLIGAAAQFGIFGAYMIALAMGFDPMQAGAIGIIGGADGPTAIFLSSKLAPNLMGAIAVSAYSYMALVPVIQPPIMRLLTTKHERLIRMKPPRVVSHTEKVMFPIIGLLLTCFLVPSGLPLLGMLFFGNLLKESGVTRRLAETARGPLIDTITILLGLTVGASTQASEFLTIDSILIFALGALSFIIATASGVIFVKIFNLVLGKDNKINPLIGNAGVSAVPDSARISQVIGLEYDPTNYLLMHAMGPNVAGVIGSAVAAGILLGFLM", "MKEYKYKINGNVYKVAIGDIEDNIAHVEVNGTPYKVEMEKAPKAVVKPVVRPVSTSPAPAPATVVKPAATSTGKSGVKSPLPGVILDIKVNVGDTVKKGQTIIILEAMKMENNINADKDGKITAINVSKGESVLEGTDLVIIE", "MKKLNIGIFLSLLLMVGLCSCGEQKSNTKLVLNEVLIENESNFQDDYGVHSAWIEIFNRSFGSADLAGCLLKVSSQPGDTATYFIPKGDVLTLVKPRQHALFWADGEPNRGTFHTNFTLNAATDNWIGLYDSGKKLLDQIIVPAGTLQANQSYARVSDAANEWEVKGSSADKYVTPSTNNKTINSHAKMEKFEEHDGSGIGMAISAMSVVFCGLLLLFILFKCVGKISVNLSKRNAMKVKGITDKQEAKEKKLGEAPGEVFAAIAMAMHEMQSDVHDVEETVLTITRVKRSYSPWSSKIYTLRETPHKK", "MSNQLEKIKELIERRATARMGGGDKAIAKQHDKGKYTARERIAMLLDEGSFEEMDMFVEHRCTNFGMEKKHYPGDGVVTGCGTIEGRLVYIFAQDFTVSAGSLSETMSLKICKVMDQAMKMGAPCIGINDSGGARIQEGINALAGYAEIFQRNILASGVIPQISGIFGPCAGGAVYSPALTDFTLMMEGTSYMFLTGPKVVKTVTGEDVSQENLGGASVHSTKSGVTHFTAKTEEEGLAMIRKLLSYIPQNNLEEAPYVDCTDPIDRLEDSLNEIIPDSPNKPYDMYEVISAIVDNGEFLEVQPHYAKNIIIGFARFNGQSVGIVANQPKYLAGVLDSNASRKGARFVRFCDAFNIPLVSLVDVPGFLPGTGQEYNGVILHGAKLLYAYGEATVPKVTITLRKSYGGSHIVMSCKQLRGDMNYAWPTAEIAVMGGAGAVEVLYAREAKEQENPAAFLAEKEAEYTKLFANPYNAAKYGYIDDVIEPRNTRFRIIRALQQLQTKKLTNPAKKHGNIPL", "MKISHIEHLGIAVKSIEEALPYYENVLGLKCYNIETVEDQKVKTAFLKVGDVKIELLEPTSPESTIAKFIEKNNGNGGMHHLAFAVEDGVANALAEAETTGIRLIDKAPRKGAEGLQIAFLHPKSTLGVLTELCEKP", "MPVRLSTYRKGNAIPPLPGTNIFHSTELFHVFEMTRGYEPLLIVAYIGNRPVGKLLAVIRKSVRLFPPAIIKRCEIYGTGEYFDEEQNKEDLFGEILEHLTNEVLCKSFLIEFRNLENPLFGYKAFRKNNYFAINWLRVRNSLHSKAPYERLSMSRRRQINKALRNGAIMEIADNEKDIQDFSRMLKKAYSSQIRKHFPDIGFFRLLAWQNPEKELAKVFLVKYKGKIIGGSICLFSKESAYLWFSGGMRKTYAFLYPGILAVWAPITYAYEKGYAHLEFMDAGLPFKKHGYRDFVLRFGGKQSSTRRWFRFSWKWLNKLLCKFYI", "MEQFSDLIKNRRSMRKFTDQELTQEEVVALLKAALMAPSSKRSNCWQFIVVDDKDMLEKLSHCKEMGAAFLADAAMAVVVMADPLASDVWIEDASIASIMIQLQAEDLGLGSCWIQVRERFTATGMPSGEYVHTLLDIPLQLQVVSIIAVGHKGMERKPFNEEHLQWEKIHINKYGGK", "VAAVNHKDTYKASAVFLIVMGMLYLIDKFIGFASHGLPWVMQKDNLLLYAAVIFLWFKVDKSVGIVLAGIWLILNIGLVIALLGQMSAYLLPAALLLVGVILYLVSTR", "MKIVLIGAGNVATHLGIALQKAGCLILQVYSRTEESASALAARLSVDYTIVPDEIRRDADLYIVALKDAVLRQLAPVLVKGREQALFVHTAGSMSMDLWKGLVKRYGVLYPMQTFSKQREVDFNTVPFFIEASAPAEVELLRMVAVRLSPKVYEVTSGQRRYLHLAAVFACNFANHMYALSSHILEKQGIPFEVMLPLIDETAGKVHELSPTQAQTGPAVRYDENVISKHLEMLADEESLQELYEKISKSIHNLPLSVIQANKEGKNS", "MINYDLKKIKALVFDVDGVLSAETIYLHPNGEPMRTVNIKDGYALQLAVKCGLHVAIITGGNTEAVRKRYEGLGIKDVYLAAAVKTREYAHLKEKYGLQDEEILYMGDDIPDYEVMRLCGLPCCPADAAPEIKETAVYISHRNGGYGCGRDVVEQVLKAQGKWMAHEKAFGW", "MLENLEKYKIILASNSPRRKELLSGLGIKYEVKTLPGIEETYPDTLKAEEIPLYIACEKAAAYRNTMHPDELIITADTIVWLDGVVMGKPHNEDDARQMLWKLSGKTHQVITGVCLTTAGAQRSFSAVTEVTFAELSDEEIDYYIRVYKPMDKAGSYGIQEWIGFIGVRGISGSYFNVMGLPVQRLYTELKKL", "MAYKIAFYDTKPYDERSFTEANEKFGFDIRYYKGHLNMNNVVLTKGVDVVCIFVNDTADAEVIRAMADNGVKLLALRCAGYNNVDLAATAGKMKVVRVPAYSPYAVAEFTVALMLSLNRKIPRATMRTRDGNFSLHGLMGFDMHGKTAGIIGTGKIAKILIQILRGFGMNVLAYDLYPDYNFAREHQVVYCTLDELYHSSDIISLHCPLTEQTKYLINDYSISKMKDGVMIINTGRGQLIHTNALIEGLKTKKVGYAGLDVYEEEEPYFYEDKSDKIIDDDTLARLLSFNNVIVTSHQAFFTKEAMTNIAHTTLQNVKDFAESRSLVNEVAVGRV", "MQTIKLYIKDWLAIHPYIQQQATDRYFVDLANRLYSTCTIRKIPESIKKKLCLYTAAYFEDVISGLGLWQAFIKKHLELYDTSLPFYTIRPDYIKDEINEEDIRFIIWNTLEKAPYKHPYINPMDRNIEETSHSFFRILDEEYETAPANDTLQDFFMNFKGKENANHKLRWLFGHTYLTEPSVQEYIAQVTETDKFIIPCGPLALFLHEWIDLLTNGKTECWEEIEGLYPAIPEISDEMKERNHHTYQLFTQGTNGARIVYLEGYKELHRFLTQVLQWPDDSNHTLPQMKEHKDFIMMVNSEKGILLAKDICKYISDPLNPMYDAATAAQEAFSLLTIPTKCPPDLMEYVINNHYIPDAQFPEFGERELVQKNADFIARHSLLYYYRGD", "MEIDLTTPALLFSAISLIMLAYTNRFLSYAQLVRTLKDQYRENHSAVTAAQIANLRKRLYLTRAMQVTGIGSLLLCVVSMFLMYIQFYLISVYIFGLALVLLIISLGISVREIYISVKALELHLSDMDS", "MKQELKENQGLPASLLWTLAIIAGISVANLYYNQPLLNRISRDLQTSEFTANLIAMITQIGYAIGLLFIIPLGDLFKRKTIILINFTVLVVSLLTIALTPYIHLILFASLLTGICSVMPQIFIPIAAQFSTPETKGKNVGMIVSGLLTGILASRVVSGIIGEYLGWRFIFFVAAGMMVICVIIIMRVLPDMPCNFKGRYSDLMKSLFSLVMEYPQLRISSLRAGIAFGSFLALWTSLAFKMEQAPFFAGNNIVGLLGLCGIAGALTASYIGNYVQVLGVKRLNYIGCGLIFAAWFSLYSGQNSYVGIIIGIFIIDIGMQCIQLSNQTTIFSLNPKAANRINTIFMTTYFIGGSIGTLLAGIFWHWFGWQGVVGTGITLATCSFMINIFSKK", "MKMEMKNWIKTVCFLFWTCVMCTACIDDDVEEGTVDLQTGESIPVFSVVMDDGQIITSETLKGEVSLIVFFHTGCPDCRKELPVLQKIYTDYGRRIRMVCISREESSAEIVRYWDENHLTLPYSAQENRTVYYQFAKSGIPRVYVIDKELVIRSVFTDNPLASYEDLAEAITASL", "MKRILLLLVLCLNISMVLGQEYKNWEKYDIEGFYIIAKSKAEAKISKNVLREGADYYILTEMDDQVFPSGVSKKITPKLYKLKDTEIYIFFSFPPFLFDADNGMIEIKDNKGTFFKKPTQ", "MGTLGCINDMMRRDKENRELRKAGKERLNETRNRLIDLNKKGHSSHLSLEQLEEIRIKTQEKEEAETHQLFKIKLIMLGIVLIAGVLIWIGITFL", "MIREVKFESQDRRIKQIIAALNENGIKDIEEANAICEAAGLDPYKTCEETQPICFENAKWAYVVGSAIALKKGCKNAAEAAEAIGIGLQAFCIPGSVADDRKVGIGHGNLAAMLLREETKCFAFLAGHESFAAAEGAIKIAAKADKVRKEPLRCILNGLGKDAAQIISRINGFTYVQTEFDYFTGELKVVREIAYSDGPRAKVKCYGADDVREGVAIMWKEGVDVSITGNSTNPTRFQHPVAGTYKKERVLAGKPYFSVASGGGTGRTLHPDNMAAGPASYGMTDTMGRMHSDAQFAGSSSVPAHVEMMGFLGIGNNPMVGCTVACAVDVATALSK", "MTYSHEVEHMCVVKKGPNHGPAPIPEEGKWVKSKEIKDISGLTHGIGWCAPQQGACKLTLNVKEGIIQEALVETIGCSGMTHSAAMAAEILPGKTVLEALNTDLVCDAINTAMRELFLQIVYGRTQSAFSEGGLIIGAGLEDLGKGLRSQVGTLYGTLAKGPRYLEMAEGYIKNVFLDKNDEICGYEFVHMGKFMDEIKKGTDANEALKKVTGTYGRVTEEQGAVKKIDPRHE", "MEANKIAITDEALRRGAEEGMDGFLKVFIDKYLEVTGGVVNAETMPLLNGYQHSLLGYHFLREEINEGGFVQLIQNGFGPYIFDNPFAKAMRQFGAKEFAKLIYSAKKIYDENRADLEKDRDDEEFMAMYEQYEAFDELEEQFMDMEESVTARIAEYVDNHIEEFAEIV", "MNAVFFNEYIQTSPRFMTDFTPWTLFVDTGIISVLLLLGKLMRVKIRFIQRLFIPPSLLAGFIGLSLGPHGFGIIPLSTQTGTYAGILIAFIFGALPLTSQKAAKGDADNIGSMWAYSQAGMLLQWAFGGLLGLLVLNRIWPLNPAFGITMPSGYCGGHGTAAAIGQAFSQFGYDEILTLAMTAATFGIVAAVIIGLIIIKWGTKKGHTSFLANYDDLPHELQTGLLPGDKRESMGESSCSSISIDPLTFNLIIVAVIALGGYCISKTVSHFMPGFELPVFSCAFVVGIFIKKIFDKTRTSDYVCPQTIGHISGAFTDFLVAFGIASIKISVVIEYIIPLLILLVSGLIATLIYVLVMARKLMKECWFEKAIFTWGWFTGTMAMGIALLRVADPKMRSRCLDNYALAYLFIAPVEISLITFAPVAFLNGYGLVFTGICLAAGLAVLATAYIKGWFIRKQ", "MKKMKLAAIFAGLVSVFTFSSCLNDGDSGPNYDLYEIVTVEDGSIFGGPVLKGDAWGYTYTPVASSVLAGLKASDGSYYKRVQVGIKLMEGEAITEGKKSYKVSEVGLIAILNYKDFNVQADTLKNEYALVSLEDSNNKIWAINGYVNVPFTFKTKEQLNMNDFHLYAVEAKEDTLVTRLRQTKGADDAYQTGGALISFHMPFNDMEFRDIFDQVVPKSDTIVVKVTAKGENDKELVSTVKCSASNMQGSY", "MEIEYIRIKRLNQGREKAYATGTNVICCYYGSRKIEPFKIHVNSFHTQEVYTESKERYEQENKK", "LNYKDLFKRVISLISSPAKAWEEIGKEEDRRKVLGAFVYPMIGLCGLSVFIGTFIGNTAGVAAFQIAMTRCCAIFVSLFGGYFLAAYAIDQLGKKLLGREDQYELNQQFVGYSMVVTFVLDIVSGLFSISILHWILQFYTIFVVFEGARTLMKVNEEKLTRYTLIASVIIIVCPALIAAVFNELSVILN", "MKPTPINIKNKRASFDYEFIDTYTAGIVLTGTEIKSIRLGKASLVDTYCYFVQGELWVKNMHIAEYFYGSYNNHSARRERKLLLNKKELRKLEEAGKNPGFTIVPVRLFINEKGLAKLVVALAKGKKQYDKRESLKEKDDRREMDRMFKR", "MATLKQLIDERVLILDGAMGTMIQRYNLSEQDFRGERFAEMPGQMKGNNDLLCLTRPDVIKDIHHKYLEAGADIIETNTFNAQRVSMADYHMQDLCREINLAAAGLAREMADEYTAKTPHKPRFVAGSVGPTNKTCSMSPDVNNPALRALTYDELAAAYQEQMEALLEGGVDALLIETIFDSLNAKAAIYAAETAMKKIGREVPLMLSVTVSDIAGRTLSGQTLDAFLASVQHAPIFSIGLNCSFGAKQLKPFLEGLAARAPYYISAYPNAGLPNSLGQYDQTPEEMASEVKEYIDEGLVNIIGGCCGTTEEYIAKYQELIVSGSAWVSPHIPATTPERLWLSGLELLEQTPEMNFINVGERCNVAGSRKFLRLINEKKYEEALSIARKQVEDGALVIDVNMDDGLLDAREEMTTFLNLVMSEPDIARVPVMIDSSKWEVIEAGLKCLQGKSIVNSISLKEGEEIFIEHARLIKKLGAAVVVMAFDEKGQADTFERKIEVCARAYKILTEQVDFNPHDIIFDPNVLAVATGIEEHDNYAVDFIKATGWIKKNLPGAHVSGGVSNLSFSFRGNNYIREAMHAVFLYHAIRQGMDMGIVNPAASVLYTDIPADVLERIEDVVLNRRPDAAERLIETAEALKNTATGTEAVKQDVWREEPMVEKRLQYALIKGIGDHLEEDLAEAVKLYPKAVDIIEGPLMEGMNKVGELFGAGKMFLPQVVKTARTMKKAVAILQPLIEADKQEGVRSAGKVLMATVKGDVHDIGKNIVSVVMACNNYEIIDLGVMVPAEMIVRKAIEEKVDIIGLSGLITPSLEEMAHVAVELKRAGLDIPIMIGGATTSKLHTALKIAPVYGGPVIHMKDASQNALVAARLLNPESSSEFVERLNKEYEDLRLKNSARQVKTVSLEEAQKNKLNLWS", "MKAMIFAAGLGSRLKPITDTRPKALVTVGGKTMLEHIILKLKAAGFDEIVINVHHFSNQILAFLEANQNFGVNIQISDETDCLLDTGGGLEKAYHLLYHPENMFTQKGETPYELIFENLNKGMDEEEIIEKTLGVMRKECYLLHNVDILSNCDFESLMYYHQHSPNINATLLVSPRKTSRYLLFNDDNLLCGWVNKDTMETKPAGFRYREGEYQEYAYSGIQVTTPKILHLLPKGKYSIIDFYLSICHRVDIQCYVEDDLQLLDIGKPENLEKAEEFLSKF", "MITEDLQNLYQTYLGEVPEEIVELPSSGSNRRYFRLTGTQKLIGVYGTSKEENEAFLYMAAHFRKKGLPVPEVYICSEDKNCYLQEDLGDILLFNAIEKGRATSVFSEEEKELLRKTIRLLPSIQFAGADGFDFSHCYPQAEFNQRSILWDLNYFKYCFLKATGLEFQEDKLEDDFQKMSDVLLRSSSATFMYRDFQSRNVMIKDGAPWFIDFQGGRKGPFFYDVASFLWQAKAKFPETLRNELLEEYIDALSKYKPVDRDYFFSQLRHFVLFRTLQVLGAYGFRGYFEKKPHFIQSVPYAIENLRQLLHNEYPEYSYLCSVLKDLTELKQFKDDLKKRQLTVKVMSFAYKKGIPNDPTGNGGGYVFDCRAVNNPGKYERYKPFTGLDEPVIRFLEEDGEIFPFLNAAYSLVDASVKRYMERGFSNLSVCFGCTGGQHRSVYSAQHMAEHINKKFGVKVELIHREQNIEQTFERTL", "MQESQTAQSNTGLIYGLNDRPPVREAIFAAIQHLLAIFVAIITPPLIIAGALKLDLETTSFLVSMSLFASGISTFIQCRRIGGIGTGLLCIQGTSFSFIGPIISAGMLGGLPLIFGTCIVASSVEMVISRILKYTRKIITPLVSGIVVTLIGMSLIKVGITACGGGVSAQSNGTFGSFENLGLALLVLILIILFNRSSNRYLRMSSIVIGLIIGYLVAWGLGRIDFSAVQSFGGFNIPLPFKYGLDFDFSAFIALGLVFLITAIEAYGDITANSLISGEPVEGKTFIKRASGGILADGFNSMLAGILNSFPNSVFAQNNGMIQLTGVASRYVGYYIAGFLILLGLFPSVGLIFSLMPEPVLGGATLLMFGTVASAGIRIIAAQKINRKATLVIALSFALGLSVEMVPEILCQFPESIKNIFSSGITTGGVTAIISNALIRMKE", "MKALKERILRDGKCFEGGILKVDNFINHQMDPILMKSIAVEFVRRFASTNINKVMTIEASGIAPAIMVGYLLELPVVFAKKKKPVTMENMLTTSVYSFTKDRSYDVCVSKDFLSKGDRVLFIDDFLANGNAAKGIIDLVEKAGAELSGMGFIIEKAFQHGGDYLRNAGIRVESLAIIESLDNCEIKIR", "MKKIFLSLVFLFGVGTFVMADDTMKVKNDTVAVEDGFKNIDLKEVPQSVKDAIAKKYPESTLKSASLSLKEEGVKAYRIVYVTKEGVENSILINIKTAPAKTAPATK", "MKRQLFTVLVCMTVFAVTSCGEKKGKDKFEERIEKAKESVEDVVDDAKDQIEDKADEVGETLDKAKSKIEKAKKKLDKANRD", "MNAATIFKTLTTVTLSITLLITGGCNNMEAKKEETGKNTAIENIFARKSVRTYTPQPIEKEKVDLLVKAAMAAPTAVNKQPWAFVVVDDRKVLDKLAAELPYAKMTAQAPLAIVVCGDLSKALNGETDRYWMLDCSAASENLLLAAESMGLGAVWTAVYPENDRIAKVRSVLSLPDHIIPFNLIPVGYPQHREEAKDKFKTENIHYNKW", "MEIKQYIKENEARFMEELFSLIRIPSISALPEHKDDMLACALRWKELLLAAGADEAMVMPSQGNPLVFAQKHVSNDAPTLLIYAHYDVMPAEPLDLWKSQPFEPEIRDGHIWARGADDDKGQAMIQVKAFEYVVKNGLLKHNVKFIFEGEEEIGSPSLNTFIKEHKELLKADIILVSDTSMLGADLPSLTTGLRGLAYWEIEVTGPNRDLHSGHFGGAVANPINTLCSMLAQVIGEDGHITIPHFYDDVEEVPAAEREMIAQIPFDEKKYMEAIGVKALKGEKGYSTLERNSCRPSFDICGIWGGYTGEGSKTVLPSKAYAKVSCRLVPHQNHAVISQLFVDYIQSIAPEYVQVKVTPMHGGEGYVCPITLPAYQAAEKGFAKAFGKKPLAVRRGGSIPIISDFEQILGIKTVLMGFGLESDAIHSPNENFSLDIFRKGIEAVVEFHLNY", "MFNSFGNIFRLTSFGESHGPGVGGVIDGFPAGIKIDMDFVQQELNRRRPGQSLLTTSRKEPDTVEFLSGIFEGKSTGCPIGFVVWNKNQHSNDYENIKNLFRPSHADYTYMQKYGIRDYRGGGRSSARETISRVVAGALAKLALKQLGISVTAYTSQVGPVKLDKDYKSYNLDLIETNDVRCPDPEKAKEMAELIWKIKGEGDTIGGVVSCVIKGCPIGLGQPVFGKLHAALGNAMLSINAVKGFSYGQGFDSMELKGSEQNDVFYNNNGRVETKSNYSGGIQGGISNGQDIYFRVAFKPVATILMEQHTVNINGTDTTMKAKGRHDACVLPRAVPIVEAMAAMTILDYYLIDRTTQL", "MKKSTIIVIVVIVLIAIWGITSYNGMVKMDESVSTAWSNVENQYQRRSDLIPNLVNTVKGYASHEKETFQAVVDARSKATQMQISADDLTPEKMQAYQKAQGEVGSALSRLLAITEAYPDLKANENFKELQAQLEGTENRISVERKRFNDTARAYNTAIRTFPRNLLAGMFGFDKRPYFESEEGADKAPKVEF", "MRNLTFLLTFFMLLGSVQLQAKEYAIKDIPMVHLQNHTRYVSNPDGILSSTAVTTMDSILYALEQKTGIQTLVVAVTGIEGGDCFDFAHRLGQETGVGQKERDNGLVILLSTDERCVQFATGYGLEGILPDAICKRIQNRYMLPYFKDNNWNAGMVAGIRAVNGYLDGSMENIGNDESEDDPLEFIIIFFVIFGGFIGIGLYANWRKTRCPHCKKHKLQRLSSKVIDRSNGTKTEEVVYKCRNCGHILRRKERSRDENYKGPRGGGPFIGGMGGGFFGGHGGSGGGFSGGSFGGGSFGGGGAGSRF", "MIIGTSSIFVLLIIVLLGGSQYMLNYSLRPENRGKNLESSWQYMFKTYPYLKPWIDSLKQNQALKDTFIYSPDHVKLHAYYVASSRPTAKTAVIVHGYTDNAIRMMMIGYLYNKKLDFNILLPDLRDTGLSGGNAIQMGWLDRKDVTQWMEVANRIYGDSTSMVVHGISMGAATTMMVSGEPQPDYVKCFVEDCGYTSVWDQFSKELKEQFGLPQFPLMYTADWLCQLEYGWGFKEASALKQVARCHLPMFFIHGDKDDYVPTWMVYKLYEAKPQPKALWIVPEADHAHSYLFNTEEYTQKVKAFVDKYIQ", "MKKYGLIGYPLGHSFSKNFFNEKFHSENIDAEYVNFEIPSIKELPSVLLANPDLVGLNVTIPYKEQVISYLDELDKDAAAIGAVNVIKIVRQKGKTRLIGYNSDVIGFTQSIEPLLEPQHKKALILGTGGASKAIAYGLKKLGLECKFVSRNLREEMLTYDQLTPEIMDEYKVVVNCTPVGMYPRADEYPNIPYQYLTPNHLLYDLLYNPDTTLFMKKGADKGAITKNGLEMLLLQAFGAWDIWNK", "MNYPQEKIKPYNADEKKSVQVEKMFDNIAPAYDQLNHALSWNIDKSWRRKAINWLEPFHPQHIMDVATGTGDFAIQACQTLHPQELIGTDISEGMMNVGREKVKAAGLDSRISFAKEDCTALTFPDKRFDAITVAFGVRNFEDLDKGLREMHRVLKDNGKLVILELSEPDWFPMKQLYAVYSKIVIPTLGKLLSKDRSAYTYLPQSIKAFPQGEIMTDIIRKAGFNQVSFKRLTLGICTLYLATK", "MSKALTRTDFNFPGQKSVYHGKVRDVYNINDEKLVMVATDRISAFDVVLPEGIPYKGQMLNQIAAKFLDATTDICPNWKMATPDPMVTVGVMCQGFPVEMIVRGYLCGSAWRAYKNGVREICGVKLPEGMKENQKFPEPIITPTTKAEMGLHDEDISKEEILKQGLATPEEYEILEKYTLALFKRGTEIAAERGLILVDTKYEFGKHNGTIYLMDEIHTPDSSRYFYLEGYEERFAKGEPQKQLSKEFVREWLMENGFQGKEGQQVPEMTPEIVNSISERYMELFEHITGEKFVKADTENIAARIEKNVTEYLK", "MIEKHIVLEDIDPVIFYGVNNVNMKMIQALYPKLKIVARGNVIKVLGDEEEMCAFEENILALEKHCAQYNSLKEEVILDIVKGRSPQIENTGDTIVFSVTGKPIVPRSENQLKLVQEYEKNDMLFAIGPAGSGKTYTAIALAVRSLKNKEIKKIILSRPAVEAGEKLGFLPGDMKDKIDPYLQPLYDALQDMIPAAKLKEYMELNVIQIAPLAFMRGRTLNDAVVILDEAQNTTTQQIKMFLTRMGMNTKMIVTGDMTQIDLPSSQTSGLIQALKILKGVKGISFIELNKKDIVRHKLVTRIVEAYEKFEEKQKTFHSCHSERSEKSENIKRIY", "MNCMKGACCTLLSLLFSLTVSSGCKEKDMSMKMNEPHNIKGVISYKRSFGDLNDTHLSVAKKIGIRPLASRSEAEGLGGKLVQIKPCERYAMDSLTHSIPFLIPQASALLDTIGANFLDSLSCKGLNPNQIIVTSVLRTADDVKRLRRRNGNASANSAHAFGTTFDVSYRRFCKVEDPDGRPMQDVSPDTLKLVLAEVLRDLRKSDKCYIKYELKQGCFHITAR", "LNFHLVGGFTMRYFIYLAYDGTNYHGWQIQPNGDSVQETLMRALSTFLRQEIEVVGAGRTDAGVHARLMIAHFDYDRELDCVQITEKLNRLLPPDIAVYAVKRVKDDAHARFDATYRTYKYYVTTRKDPFNRHYAWRLFNSLDFEKMNEAARVLFDYTDFTSFSKLHTDVKTNNCRIMHAEWTQVSGTEWVFTIKADRFLRNMVRAVVGTLVEVGRGKMTVDEFRKVIEDKDRCSAGSSVPGHALFLVDVGYPEELFIVSPSDISNI", "MILFIYDHTFEGLLTCIFDAYFRKTFPDSLLMEGEPLPLFYDGAIHIATDEEKAGRVWRGLQKKISKHALLCLTCCWLSELPKVDEMLFRYIRKAINSPHSIETNFADPDVLELAKIYKRVDGERVHLMQFVRFQKAADGTFFAAVEPQFNALPLAVDHFKDRFADQRWLIYDVKRQYGYYYNLTEMEEVTFEDPRQAHLVTGMLNETLMDNDEKLFQKLWKTYFKSICIKERWNLRKHRQDMPVRYWKYLTEKQ", "MNENVLAKLKVLAESAKYDVSCSSSGTVRRNQSGTLGNTVGGWGICHSFAEDGRCISLLKIMLTNYCIYDCAYCINRRSNDIPRATLSVSELVDLTIEFYRRNYIEGLFLSSGVVRNPDYTMERLVRVAKDLRLVHKFNGYIHLKSIPGASRELVNEAGLYADRLSVNIEIPKEENLKLLAPEKDHKSVYQPMRYIQQGVLTNKEDRKKFRHVPRFVPAGQSTQMIVGATTESDKDILYLSSSLYQHPTMRRVYYSGYISVNTYDKRLPALKQPPLVRENRLYQADWLLRFYQFKVEEIVDDSYPDLDLEIDPKLGWALRHPELFPIDINQADYEMILRVPGIGIKSARQIIASRRFSKLGFYELKKIGVVMKKAQYFITCNELPTRTVNELTPTGVRRLLVPKPKKKVDERQLILNFTDNE", "MEWFKKKMRVFLFVCLLFPFYSYAAKISLSISGKIEDHSAMLYFPDGRELPISIDASGKGELVVDVTEPVYVALGYHYISRTLLLTPDTDIQISFENKKFGERVAITGTGSQVNIYLNNGRLKAAEIDDMALGEKAFFLKMDSILNVNLQELDHAGLSEEINEMEKIRLKYFTCATLPSYPYFHMRIAKDSTYEASLEYWSKLQELIVMDASLLRYDEFRSFLVEAVSRVARKQYPESKSLDAVVRYVESEVKEPSIAEFLINKNVYAYVERYGLDSADAYCAVFDRYVKSPLLVKNFETLCNRWRKLSVGALSPNFNCTDLSGKKVSLSDFKGKYVYIDIWATWCGPCQREIPHLQKLEEKYHGKDIYFVSISCDNNKKAWENRVRAGLKGIQLHFVNGDTFMNDYMIKGIPRFILLDKEGKIISVDMSRPSDPKTIAKLDELLN", "MSEESVNSRRCKGGNLFFSRILFCICLCGPVAYALAEESTVVSEIRQNEKKSISGVVTDTNDEPLIGATVLVKGTQNGTVTDVDGRFHLKVNSGETLVVSYIGMKSKEVAVVDGKKELFIRLDADTEMLDEVLVTGYQTLSKERSTGAFSKVSTEKLELKRMDNLSSMLEGQVAGYVNGQIRGVTTMNAVANPMVVIDGFPVENTTLDRIGQTTENMPDLNPEDIESVTVLKDAAAASIYGARAANGVIVITTKKAKEGKAEVSFSSTFTVHPYSYYKKNRTNAADVIAMEREWATMALSTPEAAEMQAADLRENGPYPSLGVNTLLDMYTGKISMAEGDKILNQLASYGYQYYDQAEKYGKRNPFYQQYNLRVGKTTERNSFNFSTTYWDNDYEDINHSDWKLGINITNSLQLTNWLHFDTGVYLKYGKEKNQSYDLFDPGFSVMPYDPLVNADGSYFVAPSQSDKSRRDLVDQYGLYSEDLVPMDELNYALNTTKTFETRAYAKLKFDLTSWLNYNVMFQYETSDSDYESLGEKESNFMRKRINDFTSKSPNGSSLVYNLPNGDSFHTLKNSKHSYNFRQQLSLDKTFDEKHNLVWILGQEVRHSLINFDENTVYGYDPELKTWQNYNMKDLAYFSGLLGSAQLDQNSIASSRELLNRFVSFYSNASYTYDDKYVLSGSIRWDRSNLWGTNSKYQNKPLWSVGGSWNIYKEKFFQADFVDMLKLRASYGIGGNIGRNTAPYLIASYYDSSLVDGMAGSVNTPPNKDIRWEKTTTVNVGVDFALFRHRLSGTIEYYNKYSVDLLAAINGSPTQGFGYTTLMTNNGKMVNRGVEITLSGEIIRQKDFSWNASLLYAFNRNKVKHISVQPSLWDSRISMPTSYPMVGKPLYGIYAYKWAGLNENGDPQVYDAENNVTSGPARDYHALVYCGTTVPIHNASLTNVLRYKGFEFSAMLILDAGHKLRSSNIPSINMSNGRITSTAKGIVDRWTQPGDVTDVPRLLFSNDTENFNTHRTELYRYSDLFVYDASNIRLSNISLAYRVPAHWCKKISLSGARLQFNVENVATFAFDSKANYDLGGKVKPNYVWGLYLNF", "MKKQMIKISSLLFVMVAMMLSACDDYLDDVPKGQKIPKTWEDYNAFIRNNFSYHFLDPDQLAVLAGDIFKLPSAVTSPSLTRANYYADESVNRIDLMTGNDKNPYFNAYEGLFAWNLIVEDVPDATECTEVQRRQLIAQGRVLRAMHYFYLANFYADQYDEATKDKLSVPLITSASVEAPSPQVTLQTMYEFMLDDLNKAVEDLPVHSESILHPNRALGYGMLARVYLSMGDYDNALKNASLALEQNDQLFNWIDLYEADKERYDDPKNYTSGVAGNPETDNVENYIYCYGSSTSGWTGLNNTSYAISPERAARFEKGDTRLLTHWKSRVSSSGIPYYAGIYALEMNKGGMRSPEMYYIKAECLARKGGEANIREAMELVNKVRKTRILLEFYQDWTAATTKEAVEKIIRDKESEYIQTQVIYCDYRRLNKDPEYARTFPRTIEGKEYILKSDSHLWIMPFPREAVSNPGNGTITQNVEK", "MENKYITVAYKLYVMQDGQKTLVEEATVEHPFQFISGLGTTLERFETEITALKKGDKFEFVIPTAEAYGEYMPEGVRTVSKDMFTIDGVFDEERIFPGAVIPLQDNEGHHFYATVSEVTNDTVTVDLNHPHAGKDLTFEGEVVESRTATNEEIQEMVKMMSGEGCGCGCGDCGGGCGDGCSDENNCGCGHCH", "MKRLVLLVVVALGMSATSFAGEKVEGKDWKVDVNVAKLSKYLNLDARQMEEVANISDYFADKVQSASYAKEAKQGKKLREAVYGNFKLMKRTLTNEQYKKYVQLLNVTLKNKGLDSYMEDAANK", "MNRKESALVCILFFIYPSEDIVYICDKMIV", "MKNQLRSSFSTQGRRMAGARALWVANGMKKEMMGKPIIAIVNSFTQFVPGHTHLHEIGQQVKAEIEKLGCFAAEFNTIAIDDGIAMGHDGMLYSLPSRDIIADSVEYMVNAHKADAMVCISNCDKITPGMLMAAMRLNIPTVFVSGGPMEAGEWNNQHLDLIDAMIKSADASVSDEDVAQIEDNACPGCGCCSGMFTANSMNCLNEAIGLGLPGNGTILATHANRTQLFKDAAALIVKNAYKYYEEGDDSVLPRSIATRDAFLNAMTLDIAMGGSTNTVLHLLAIAHEAEVDFKMDDIDMLSRHVPCLCKVAPNTQKYHIQDVNRAGGILNILGELSKGGLLKTDVKRVDGLTLAEAVEKYNICKKEVDTEAKRIYSSAPGNKFNIKLGSQNAVYKELDTDRANGCIRDLQHAYSKDGGLAVLKGNIAQDGCVVKTAGVDESIWKFSGPAKVFDSQDAACEGILGGKVVSGDVVVITHEGPKGGPGMQEMLYPTSYIKSKHLGKECALITDGRFSGGTSGLSIGHISPEAAAGGNIGKIVDGDIIEIDIPNRSINVKLSDEELAARPMAPVTRDRKVPKSLKAYASMVSSADKGGVRIID", "MAKEKITGAEAMMRSLEYQGVKTLFGYPGGSIMPTFDALYHHKDTLNHILVRHEQGAAHAAQGFARVSGEVGVCLVTSGPGATNTITGIADAMIDSTPIVVIAGQVGASFLGTDAFQEVDLVGITQPITKWSYQIRRAEDVAWAVARAFYIAKSGRPGPVVLDFAKNAQVEMVDYEPMKLDFIRSYDPEPNPDKESLQEAAELINNAQRPLVLVGQGVELGNAQDELRAFIEKADMPCGCTLLGLSAIPTSHPLNKGMLGMHGNLGPNVKTNECDVLIAVGMRFDDRVTGKLDTYAKQAKVIHLDIDHSEIDKNVKVDVPVLGNCKHTLAMLTQLIRENKHSEWIDSFAEYEKTEYEHVISKEIHPVDGALNMGEVVRAVSEASNNEAVLVTDVGQNQMMAARYFKYSKNRSIVTSGGLGTMGFGLPAAIGATFGCPDRTVCVFMGDGGLQMNIQELGTIMEQKAPVKIILLNNNYLGNVRQWQAMFFGHRYSFTPMLNPDYMKIAEAYEIPARRVMKREELQDAIHEMLANDGPFLLEACVIEEGNVLPMTPPGSSVNQMLLEC", "MDKTLYTLIVHSENIAGLLNQITAVFTRRQINIESLNVSASSIKGVHKYTITVWTTQDVIEKVVKQIEKKIDVLQAHYFTDSEIYQHEIALYKVSTPEFQENPMASKVIRRYSARIVEVNPVFSIVEKNGMSEEITALYEELRGLGCVLQFVRSGRVAITTSCFERVNEYLTQREEKYRQQKTE", "MMSENKVGTYRFVAEPFHCDFSGKLTMSVLGNHLLNCAGFHAADRGFGIATLNENHYTWVLSRLAVELENMPCQYEGFSIQTWVENVYRLFTDRNFAILDKEGKAVGYARSVWAMISMETRKPADLLTLHGGSITDYVCDKECPISKPGRIKVTEKTPVSEYQTRYSDIDINGHVNSIKYIEHILDLFPIEFFKEKRIKRFEMAYVAESYYGDILSFYREEVGEKEYDIEVKKNGTDVVVRSKVIFI", "MAQLNFGGVTETVVIRDEFPLEKAREVLKDETIAVIGYGVQGPGQSLNLRDNGFNVIVGQRPGKTYEKAVADGWVPGETLFGIEEACQKATIVMCLLSDAAVMSVWPTIKPCLTQGKALYFSHGFAITWSDRTGVVPPADIDVIMVAPKGSGTSLRTMFLEGRGLNSSYAIYQDVTGKAYERTIALGIGIGSGYLFETTFQREATSDLTGERGSLMGAIQGLLLAQYEVLRENGHSPSEAFNETVEELTQSLMPLFAKNGMDWMYANCSTTAQRGALDWMTPFHDAIKPVVEKLYHSVKTGNEAQISIDSNSKPDYREKLEEELKALRESEMWQTAVTVRKLRPENN" ]
[ "ATTCTTTA", "ATTATTTTCTTTTTTTCTGTCTATGGATATAATATTCACAAAGTTAGTGATTTTCTAATATAAAACTTCATAATCTGATTATTGTTTGCAAATTTAGACTCTAAATTCCTTTTCTCCAAAACATTGTAACTACTATATACACAAGTTTACATGTGAATCTTATCCTTTCATCACTATAGTACGGGATATGCACATAACGTCAGGGTATCGGCAGAATTTTCTATATGGAAATTATAACTCT", "ACACTTATCTTTTAAAGTTTGGCACAAAGTTCATCGAATTAAATCGGGGCATTTGCCAACTTGTTACCAACTTGGCATAACTTTTTTTACATGACGATTGGAAATGAGTGGGATGGAGTCGGGTGCAAGATAAAGTCCGGTGCAAGGTGCAAGCCTATTCATATATATAGGCTCGCACCTTGCATTGGAATATAAAAAATAGATAATTAATAATCAAACATTTGGAAGATGTTCATATTTTCCATACTTTTGAACCCGAAAGCTATGGTAGACGAATAGCGGACAAAAGTGGTCATTAGGACAAAAGTTTAGGTCGTTACAAATTCGTTACAGGAAGCAAAATAATGAAAGCTAATAAGCTGATATATACGGAAATAAAGAGAAGAGGTTCAGAACCCTCCCTCTCCGCTGGAAAGAATTACAAAACAAAGAAAATCCCCTGTAAATTAATACTTTACGGGGGATTTTTGTTTTTGGTGCATAGCAAAAATAAGCATATTTTTTCATTAGAAAGTTCGGGGTATTTATACCCTCATGCCCTCACTGTATGTATAATGGATTTATTATGAATGACTTATATGATAATGGTAAAAAAAATTGCTCTCACTTATACTCTCATGCCCTCACTACGTTTTGAAGTTGATTTTCACATAATATGCTTGCTGTCAGCCATATATTCTTTTTTATAGACAGTTCCTGCCTGGTATAAAGTAGGGCGTTTTCAAGCCTTTTCCTCGTAAGAAATGCGCATGAAAGAGGAAACTTCCACGAAATGATAGCTTACGGATTCGCGGCAGCGGTAAAAAAGAACAAGACATTTTAAAAAAAGCTCTTGTTCTTTTAAAAAAAGGCGGTTATCTTTTTTAAAAAGCTCTTGATCTTTTTTTAAAACGCCCTTGTCTTTGATATGGAATTTTATTCTGGACTGAGGCATTTTACATATACATACGCATAAGTTCGTACGTACGTAGGTATAGCCTTGTATGGATGTATGTGCAAAAGCGTGTTTATACCCGTTCTCTTTGTATTTCTTTAAATGCTTTTCACTTATTC", "CGTTTTTGTAAATTAATAAATTGCATAATTTTATTATCAAGAGACAAATATACAAATAATCAGAATGGTATGAAATAAATCTAGATTTATTTTAAGGCTGAAACTTAAAATAAAAACAATTCTTACTTGTTCTTATTCTTGTCAATCTCTCTCTTATTATCAAATGTT", "TTTTATTTTGTTTAAAATACTATCTTTATCATTTACACTGACTACGACGAACTTCTCTATATTGTTTGGCGATTGGGAGAAAGTGCTTTTTGTGGCAAACAACAATATTAAAAGTAATGTTATAATTTTTTTCATGTGATTGGACTTGTTGGTTAAAGCAGTAGCAGATTATTAATTAAGAATTTATTCTTCCGATAATAAATACTTCATACTGCAAAGTAAATGTTTTTTGTTTTAAGGGTTATAATTGTTAAAATGCAAAAATAGCCTGCATCCGCTAATCTGTCAAGGGCTGTTTTTCATAAAAAATGTTCAATTCTTTGGTATTTCTTTGGGGTTTTCTTGGTATGAAATTTCTGATAGATTATATGAAAAGTGATTTGGAGGCTATTGAAATTCTTTTAGAGTGGTGCATTAAGCGATGGGATGTTTTAAAAGTCTGGTTGGCATTTTAATGCTTTATCTTTGTAATTTGAACAAAAAGTAATAAATAAAAGAGGTATATGGACATTCTTGTATGGAGTGGTCTTGGAAACTCCGATTATTTGGAAAAATGATGTCGTTTTCCAATATATATTTGTACTTTTGGCTGAAAAGAAAAAAAAGGTATATCCCGTGTTTATATCGGGTGTGTTTATATGTATTTTAATGGACTACGGTTTT", "TTTATGTATTGCTGATTTTTTGTCATAGGACAAATTTAAAAGCCTTTAAGTTGTGTAACTTTGCAGCATTATTAGTAACAATTAAAAAGAATCAATTATTAAATCTATTAATTTATT", "AAATACTGTATTTCTTGATTGATGTTCATTAAAAGGGCGGATACTATATAAGAAAATATTACAGCTTTGAATAACAGACAATTAGATGAATGGCATCCGATCAGTAAATTCGGATGCCTGCATTGGATTATATCAACTGGGCTTTGGGGGTGATTTCCTTTTCTGGCAATAG", "AGGTCAAATCATT", "TGTTTCTTTTTATTTATGACAACAAAGATAGCGGAAAGAAGATGCAACTAAATTGCAAATTATATTGTACCTTTACATCGGGTAATTTTTTATATAC", "TAAA", "AAGAGGTGGACAGAGATATAAATAAAGGCGGCCCTTTAATAAAAGCCGCCTTTATTCTTACTTTCTCATTTTACCTTCTTACCTTAAAAAAACAA", "CTTCGTTTAATTTAGTTTGGTTTTACGGCGGCAAATTTATATGTTTTTCAGCATATCTTATATAACTAATCGTTCAAAAATCATAATAAATCATTCATTTCTTAATCTATATCAATAAAAAATCCTCTTCCACATATTAGTTGAAGAGGATTTTATTTATTGTTGTTCAATGAA", "A", "CATTTTATCTTTTTATTGGTGAC", "GTTCAATTCTCAATTTTTAATTTTTAA", "TTTACTTATGATTTTACAATTTACGATTAAAAAATAATTCTATTTACGCTTGAACCAGACTAAATATACTGACTTCAATCGTGAATAGTCAGATCTCGTGTG", "GATCTTATAATTTTAATAGTTTGGTTTTATACTCAAGTTTTCAGATAAAACTGCACAAAGAAAGTAATTTCGAGTTAAATAAAGAAATATTTCTTCAATTTTATTTCTTATTTGCAAGATGCTTCACAACACTTTAGACAAAAAGACAAAAATGAG", "CTCTAATTGCAAATTTATTGTTTTTTGTTTATCAATCCACATTCTAGTTGTATATTTGTGCAGAAAAATAAATTAACAGGGTATATT", "AC", "GTGGT", "TGAAATAAAGAAAAGTT", "GTCAGTATGTGAACAGTAGGGGCCGTGTTTTTGTTATTTATATGAACTATAAATGGAAAAACAATT", "ATTAGTTTATTTGAATTATTTTTATTGCAAAGATATTCCTTTCATTGTAAAAAGGAAGGAGAATATCGGGATATTTACTTTATCTTTGCAATATTCTAACTTTGAAATACCTATAAAA", "T", "GCTGCAAAAATAGTAATTTCATGAAAATCATGTTATCTTTGTAAAGAAGAAAAATGGAAATGAAA", "TTTTAGGCATGGTATTTTAATTTATATTATTTCTTTTGGTAGATGGTGTATGTTTATAGCATACTTTTCCCTGTTCATCTTTAGGGATAATGAATGTGGTTGATGAAGATATTGGTGATGGAGTATCTTGATGT", "ATCTATCTGTTTTTAAGGTTATTCCAAGTTGGTATCACAAATATAAGAATTCTTATTGGTTTTTTCAAGGAATAAGAAAGAAAAATAGAGAGTGGAATT", "AATCTAACTGTTTAATTTGAATTCATTTTCACAAATATAGTGTTTTTTGCAGTTGCTTTTCTTCATTTGCTAATTTAATATGAATTTAAAGGAGGAATATTACTTAATAAACCCGATGTTCCTTGACTGCCGGATATAAATCATTATGTTTGTATTTCTGTTGAACAAGCTCTTGTAATAGTTTAATCATTTAAAATTAGACATTAGGGAAGTCCTTTAAATTTGATATATCTATCTGTATATTAGAATAATATAGCTGATTTTACGACCACTTGTCAAGATTTGGTAAAATGGTCGCAATATGGTCGCAAATAGCGCTTCAACTTAGCCTACTTTTAAGGATAATCAGCCACGTTTACCCTTAGAGATTCAAGTTCCATTTAAGCTATAATTTGCTTCAATTCCATTATCCAATCACAGCTAATTATAAGAAGAATAGCAAGAGGAAATGAGTATTAGATAGGGGATAAGCATTGCGGTACAACTAAAATAAAAATCCCCATAACCATTACGGCTACAGGGATTTATATATTAGCAATGTATTATA", "ATTCTTTCCTCCTTATAA", "ATTCTTTACGTTTTTTGGTAATAAAATAAATATTCGTAACCACGTGAAAAGATTGGTTATTCTTTTCGCCTACAAAGTTAGTCGTTTTATTCTTTTCTGCAACTTGTTGCCCGTCACTTTTTATCTTTAAATAAAATAAATTTATTGCAGGACAGCATTTTGCAAACTAGAGTTGCCAAAATGTTTTTTCTTTCCGATGAAATTTCTATCTTTGTACCTATATTATAATGATAGGA", "CAAGGATTTTTGCGTACTTTGTCGGGTATAAGCTCATGCGTTTTGATATAAAAAAAGTAAGTTGGCTTCAATAGCTAAGTAAGATACGTTCGTTGGCTGACGAACCTATGTTGCTTGGTCAAGCAAGTTAACTTACTTTTTTGTCTTGTTATTCCGGTGTCATTCCAT", "AAATAGTTTATTTTACCGAATCGGTAGGAATGGAAATTGATCTTATCGGCAAAAATAATGTATAGGTATCATGTAATATCTTAGGTTTTATTGTTATTAAGTTGTTTTGTTTCAAAGATAGGAAATAAAGTGGTACTATAGCCCAACTGCTTGTTCTTTTATATGTTAATTTTTATTAATGGGTTAATGGCGGATAGGCTACAAGTAAATTTCGGTGAAAAAAATAGTTAAAACAAAAGATAAAGCAAGTCTTATCAGAGGATGAAACATGAATAAAAAATAATGTTAAAAGACAAGTGGCGACGCAGTATTTAAGCCAATTTTTCATTTAATCATTAAAACAATAAAAAGAATTAAGTTTCTTTCTTGGAAACCGATTCTTTTATTTAATTTTGCAAACCCTAATAATTATAAAGAAGAAA", "TAATACTTTATTATATAGTGAAATCCCCTTCAGTTACGGTTGAAGGGGATTTTTATTTAATGGAACTATGTGGTTCAATTTATAAGATAAGGGGGGGAAGAAATTTGACGGGGC", "AAGCTTTGGAGTTATCTCCCAAGGCTATGGAGTTAACTCCCAAGGCTTGCGGAGATAAAACAAACAGGAAGAAAACCCTTAACCATGGGGCAGGAAAGGTTTAGAGATATGGATAAGATCTTTTAAGGATGAATCTGATTATAAAACTATGCATTCCCTATGGAGAGAAAGTTCCCAGACATCCTTCTTCTGAATTAGCGTTTGTTTTTTATATTCTAAAGCTTTCAATGTTTTTTCTTTAGTCATATTTCCTTAGTTATATTTGTCTTTCTTCTGAATCTATAATAAAAGTAGGCTTATTTTTTTGATTCCCCCAAGAGAAGTATGCGTTTTTTTATTCGTTTATTCCAGTGAATTAATTACCTTTGCATTTCATTGAAGTTGAACTGAGGTAAATAGATTAGGCA", "GTGAAATCCGTGTTATCCGTACCCCAAAAATAGACTT", "AGGAGTGCCCCCCCAAAAAAAAGTTAGACACAAAACTTTTGGGGGGCACTTCATAAAATTACGACTTTTTTTATCTGCCCATTTTATTCGAAAGAATAAGAAGCTGTTTGCTAGCAAACAAAACAGTATCCCGCCTGAAATAACCCATTATTTCAGGCGGGATACTGTTTTATGTTATGGATATATTTCTAGGACTGC", "AATCATATTTTGGAACAAAGATATATATTATTCTCCCTTTCTTGGCTGATTTGCAAAGAAAGTTAATGCTTTAGTTCCAAATTATGAGAAAATTACTTGTTT", "GGGG", "TCAAACTGTATTTTATAAATGAGTCGCAAAAGTACGTATTTTGCTGCATTATGCCAAACGTTTGTACAACTATCTCTACAGAAGCCTATTTACTTAATGATCAGGAAAGCCGGAATAAATAAGCCTGTGGAAGCATAAGCTGGTGTGGATTAAAGAATGTTTATTTCCACAAACTTTAGTTTATATATTTGGTATGTACTTCTTTTCTTGATAATTTAGTTCTCAATATAATTTTCTTAGTTTAGTATTCTTACTTAGCGAAGATATTCTAATGGGAGGAAATGACTGAATATGATGTATATTTTTCTTGTCTTTTATGATATCTGTCGGTAGGTAAACATTTAAATTTAACCATT", "TTTGACAGGTAGTATAGAATTGAATGTTTTATTAATATATTGAAAGCCACCTTGCTATGGTGGCTTTTTTTGATGGGATAAGTTTTTTTCCTGTCGGATTTAGATTTTATATGTATCAAAGAACAGGTTGAATTTGTAAGAAATAGGCTATATGCTTATATTTGAACATAGTTTAAAATCAAGTTTATAATCAAATAGAAAAAGT", "GAAAAATTGATTATGGATGAATGGAAAGAGATTGTCGGCTGATTTATATTAAATCAGCCGACTTAATTTATATTTCTAGACCTTTGT", "TTTTATTATTGA", "AATTGAATGATTC", "ATTGCTTGTAGTTTTAAAAGTTTCTTTCCGTAAAGATAATGATTTTAGGGATAATATACTTGATTGTTGCTGAAAAATTAGCAGAATGGATAAAAATGGGGTACGGATTGTATGAATTTTACAGTTTGGAATAAGAAAAACTGTAAAATTCATACAATCCGTACCCGGAAACTGTTTCTTAAGGATGGAAACAGGTATCTTTTACGAG", "ATGTATATACTATTAAAATTATGAATATTCTTGTTC", "TTTTTTTCTTTTCATACCTTAA", "AAAACTCTATACTTTAAA", "GTTTAATTAAAATTGAAAATTAAAGATTAAAAGGAGAGGATGGAGGATAGATTCCTGTACCTGTCTTTTAATCTTTAATTTTTCATTTTTAT", "GTCTTTATTTTATAATTAAAA", "TTAACTAGTTTAGGTACTTTATGTACTTGTTTATAGGGTGCAAATTTAATGAAAGGTTTTCATTGTTCTTATTTTCCGGGCAATTTATTTGCATAAGCTTTTTCAGAAAAAGGATTTTAATCTATCTTTGCGAGGAATTTGAAAAGATAGTTAGATT", "TTTA", "TTAATCAGAATATTTCTGCCAAAAATACAGATAGTCTTTGGAATGGGCAAACGGTTTGGCATTTTCTCCGTTAATTTTTAACTTCTTTGTTGGATTATACTGTTCCTTTTTTCTAATTACAATATAAGCCCGCTTGCTTTAAGCAGGTGTGTATTGGTGGTGACTATAATTCTTTTAACTTCTTTGTGGAGAATATATGGGGTATTGGAACGTGGATGAACTCTGTATGAATTCCATCGCTTTTTTTATGTTAAAATGCTACTTAAACATTAGTTTATGTAATATAAATTAAGGCGGTTTGCAAATTATTTGTTAATTTCGCACTTAATTTTTAATGTTTAATATAAAAAAATATTT", "CTTTTATATTGATAAATTTTTTTAATTTT", "AGAATGAATAAT", "TAAAGCGATAATTTTGCGATAGAATTTATATTATCGATAGGAGTATTCTGAAAAGATTAAGAAATATCCGGATATCCTATAGAGAGAAACAAAAAGGGCGGAACAAAAAATCCGCCCTTTTCTCGTTTTATTATTTTTCAAATTGGA", "GTTTTCTTATTATAATAATGTAATATAAACTACTTACGTAAGTTTTCCGTTGGCAAAGATACGAATTAATTCCCCTTAATCCTTTGTTATTACGTTTATATTATATAAATTTGTTATTATGATACTATAAATGCATTTTCGGTGGCGTTTAATCCTTGATATAAATCAATTAACTGCTCGTTTTGATAGATGTTTGCTTTAATTAACAGATATATGACAACATGTTATAAAGCATGTTGTTATATTTGCAACGTAAAGAGAAAGAGATAACGAGATTGTTTTTAAAAGGTATTATTTAGTTCTTAGGTACTAAGTAAATTGTTTTTAGGTTTAACAAATTAAAATTTTAGGTATT", "ATTTATAAAGTGAAATAA", "AGCCCGATTTAGTTACGAATGATAATTTATAAGAAAAAAAATCTTCATTTTATTTGTTTGTTACAAAAAACGCTTTACCTTTGCAGTCGTTAAAGAAACAAAGAAAACAGATATATGAATATTACTACTCTAAATAACCTGGCAGTCCTGCATATTATTCGCGTCGTGGTGGTGGTCACACTGGGAGCGTGAGAAAGGTTGTGTATGTGTAGTTGTACATATAAAGAAATAATTGAAAGCCTTTCTCACATGGTGAGGAAGGCTTTTTCAATAAGTATAGGACGTTATAGATTTAGAATAAG", "ATTAGAAATTAAAAATTGAGAATAAAAAACAGTTTCGTTGTCATCGGCCAGTTGTCCGGCATCGTATTTTTTTGAATCTCAATTCTCAACTAAACAATT", "TTTAATAATT", "AATCTAATTTTTAATTTTTAATTTATAATTTAAA", "TAGTTAGTATTTAGTATAGAAAGCGGGGGTGCGATGAGGCATCTCCGCTTTCTTTTATATATATTAATGTTAGTGGTATTGTTTATATCATGTGTAGTCGCTAATTTTGTGAAAACTTGACTTAGAC" ]
[ false, false, false, false, false, false, true, true, false, false, true, true, false, false, false, false, false, false, false, true, true, true, true, true, true, false, true, false, true, false, false, false, false, true, false, true, false, true, true, true, false, false, false, false, true, true, false, false, false, false, false, false, false, false, false, false, true, true, false, false, true, true, true, false, true, true, true, true, true, true, true ]
[ 1, 3, 5, 7, 8, 10, 11, 13, 15, 17, 19, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 47, 49, 50, 52, 53, 55, 57, 59, 61, 63 ]
[ 0, 2, 4, 6, 9, 12, 14, 16, 18, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 48, 51, 54, 56, 58, 60, 62, 64 ]
[ "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124846|-|2718:3977", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124847|-|3991:4635", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124848|-|4638:5993", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124849|-|6040:7356", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124850|-|7343:8071", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124851|+|8198:9373", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124852|+|9370:10953", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124853|+|11049:14345", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124854|+|14468:15460", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124855|+|15531:15980", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124856|-|16054:16431", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124857|-|16428:16973", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124858|+|17118:17558", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124859|-|17739:20366", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124860|+|20477:21262", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124861|-|21456:23186", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124862|-|23210:26371", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124863|-|26645:27091", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124864|-|27103:27480", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124865|-|27525:28076", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124866|-|28110:28937", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124867|-|29110:29556", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124868|-|29806:31602", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124869|-|31636:31773", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124870|+|31799:33463", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124871|-|33460:34161", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124872|-|34165:35001", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124873|-|34998:35837", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124874|-|35993:36778", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124875|-|36771:36881", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124876|+|37918:38265", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124877|+|38739:38996", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124878|+|39004:39414", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124879|+|39416:40696", "2510065017|RJ2H1_RJ2H1-contig-060.60|CDS|2510124880|+|40723:40980" ]
[ "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000001|+|2613:2717", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000002|+|3978:3990", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000003|+|4636:4637", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000004|+|5994:6039", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000005|+|8072:8197", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000006|+|10954:11048", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000007|+|14346:14467", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000008|+|15461:15530", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000009|+|15981:16053", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000010|+|16974:17117", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000011|+|17559:17738", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000012|+|20367:20476", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000013|+|21263:21455", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000014|+|23187:23209", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000015|+|26372:26644", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000016|+|27092:27102", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000017|+|27481:27524", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000018|+|28077:28109", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000019|+|28938:29109", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000020|+|29557:29805", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000021|+|31603:31635", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000022|+|31774:31798", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000023|+|34162:34164", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000024|+|35838:35992", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000025|+|36882:37917", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000026|+|38266:38738", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000027|+|38997:39003", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000028|+|39415:39415", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000029|+|40697:40722", "2510065017|RJ2H1_RJ2H1-contig-060.60|IG|IG_000030|+|40981:40992" ]
[ "MGIIIQILITMAFSAFFSGMEIAFVSSNKLRFEMDRNSQSINSRILSIFFHNPNNFISTMLVGNNIALVIYGILMAQVIEQNLLAGLIDNHFLLVLIQTIISTLIILVTGEFLPKTLFKINPNFTLNIFAVPTYICYVLLYPISKFASGISNILLYIIGIKTNKEANEKAFTKVDLDYFIQSSIQDVQNEQDIDTEVKIFQNALDFSNIRIRDCMVPRTEIVAIEYGTPIEELKARFIESGISKIIVYKENIDNIIGYIHSSEMFREQTDWTKSVRQLPIAPETMGAHKLMKLFMQQKKSLAVVVDEFGGTSGIVALEDLVEEIFGEIEDEHDTTSYIAKSVGDNEYILSGRLEIEKANELFSLGLPENDDYQTIGGLILHQYQSFPKIHEEITFDKFHFKIIKVTATKIELVKLKVTE", "MSSEKKQESHFIFLNITVAIWATVMFVLFPACSGGEKNLAEAITERDSLPSMKTLGVTTLISDSGITRYKIITEEWEIYDKKNPPYWAFEKGVYLEKFDSLFHIDASIKADTAYYYEKKKLWELRSNVHIRSQRGDKFDTDLLFWDEKQERVYSDKFIRIEQEDKIITGYGFESNQQMTEYQIYNNTGIFTVEDTAPADSTKAVANSTRTDSIR", "MKMKMVTALFVLSLGTTASFAQTGASDGSRFGHGEDSIRCLKNISIYTEYVKTNNFKDAYTPWMSVFTEAPKAQVSTYTNGAKILRALIAGEKDAAKQKQYFNELMKVHDQRIQYLDDLNKLVKRDATKGSIIGMKAHDYFTMGGQDMNEAYNMFKEAIELEKENSDYFVLQEFMDAAARKMKSDEAYKEQFIQDYLFASGVADGALKAATKENDKKLLKVAKDNIDAFFINSGVATCDNLQAIYAPKVEQNKTNLDYLKQVISVMQMLNCTEQEAYFAASEAAHAIEPTAETAVGCGYMYYKKGDMDKCIDYFDQAINLEQDPLKKADYAYKTAAILFSKKQLSKAKQYALKSISLDGNNGKPYILIANMYASSPNWSDEAALNKCTYFAVIDKLQKAKSVDPSVAEEANKLIGTYAAHTPKDADLFFLSLKKGDSVTIGGWIGETTTIR", "MINYKRLISASILLTVTGLAVAQTSTNSPYTRYGFGQLADQNFGNSKAMGGIAYGLRNGYQINASNPASYTAIDSLTFLFDAGMTLQNANFKDGNVKTNAKNSSFDYLAMQFRLWQKMGMTVGFLPFSTVGYNISKTHDFEDVDNNGKWVESYNGDGGFHQVFVGLGYKVFNNLSVGANFSYLYGDITHQSMTAIGATDTRSIKLDKFSISDYKLDFGLQYTYKLNKKNTINVGAVYTLGHTLHGDAYKYHQTGTESNGSIYVQSQTGDTIPNPFKMPHTFGAGLTYVYDNRLTIGVDYTLQKWNTADLTWSKFNKESVEMNNRTKIAFGAEFVPSYISHNYLKRIRYRMGAYYSTPYNKVSYTDPDTGATSFQDGAREYGVSVGFGLPMFQSKSMLNISGQYIKVSPKFKGLMEENYLRINIGLTFNERWFMKWKVD", "VNLIIDIGNSVAKLAIFDKGELVEVFRGSNHSLDCLPMLCSRYPLKRGIIASVITLSNTIRHQLERLPFNIIELSHETPVPVTNLYKTPQTLGMDRLAAVVAANWLKPGHDVLVIDAGTCVTYDFIDADGAYHGGNISPGMRMRFKALNIFTDKLPKVSAKGEVPMYGQSTETAIRAGVIRGMEFEMSGYITHLQKNYPELLVFLTGGDEFSFDTKLKSIIFADRFLVLKGLNRILSYNDKL", "MNKLIIALILLFFFLGQSVRGQEDNIKVSLMTCAPGTEIYALFGHTALRYEDKARGEDWVFNYGMFSFNTPHFIYRFVKGETDYELGVTRYPYFEGSYAMRGSSVYQQTLNLTISEKQELRRLLEENYLPENRVYRYNFFYDNCTTRARDVIERCIEGKVVYSEGKEGLSFRDIVHQYTKGHKWDELGIDMCLGSEADKPIDARKQMFAPFYLLEAAKKATIVVGDSVRPLILHEKKVVDAEPENVGDGFPLSPLACVFILIGITCFVGWLQFKTRKIIWIWDLLLFGVQGLAGCVITFLVFFSTHPTVGSNWLILLLNPIPLLYLPVMVCRAIKGKKDLYHTINVVYLTLFIMIMPFVQQKFNVTVLPLALCLLICSANHVLLYYRQNNK", "MKGRILTSLLTVIALTGLQAQNIPAVPRLVVGLTIDQLRTDYIEAFSALYGERGFKRLWREGRIYRNAEYDFINVDKSSAVAAIYSGTTPYTNGIVGDNWMDRSTLRVLNCVDDADFMGIYTSESTSPQKMKVSTLTDELVIATQGIAEVYSIAPTREMAVLAAGHASKGAFWLNDETGKWSGSTYYGTFPAWVTTYNDREGLDFRIGNMSWEPYLPVTVYKYLTSENKQVTFKHNFDDERKNKYRKLKTSPYANDEVNRLVNACFSNTSIGKDAVPDFLSLAYYAGNYDHKAPAELPMEMQDTYVRLDNSIAELLELIDRKVGLNNTLFFITSTGYADADPVDPPQYKIPGGEFHIERCSALLNLYLAAIYGEGQWVEAHFEQQIYLNHKLIEQKQLNISEILNRAAEFLVQFSGVKDVYSSQRLQLGAWTPTIDKIKNYYNPICSGDLWIEVLPGWTVFREHSLDTQVQRYSYASAPLIFIGNGMKPEIIHAPIKIGNIAPTVAHYMRIRAPNAAVLAPMTDIRK", "MGFNEFIGKLFGNKATRDMKEIKPWVDKIKAVYPEIAKLSNDELRAKTVELKKYISDSAAEEQKKIEELKGTIETTELEDREGIFAQIDKLEKEVLEKYEKALDDVLPQAFAIVKDTARRFSENPELVVTATDFDRELAAQGKDFVRIEDDKAIWQNHWIAGGNDMVWSMVHYDVQLFGGVVLHKGKIAEMATGEGKTLVATLPVFLNALTGNGVHVVTVNDYLSKRDSEWMGPLYQFHGLSVDCIDKHQPNSDARRRAYMADITFGTNNEFGFDYLRDNMAVSPKDLVQRKHNYAIVDEVDSVLIDDARTPLIISGPVPKGEDQLFEQLRPLVERLFEAQKKLATQYLADAKRLIASDDKKDQEEGFLALFRSHKALPKNKPLIKFLSEQGIKAGMLKTEEIYMEQNNKRMPEATDPLYFVIDEKQNSVDLTDKGIDLITGNAADPTLFVLPDITSQLSALENETDLTEEEKLAKKDELMTNYAIKSERVHTINQLLKAYAMFEKDDEYVVIDGQVKIVDEQTGRIMEGRRYSDGLHQAIEAKEGVKVEAATQTFATITLQNYFRMYHKLSGMTGTAETEAGELWDIYKLDVVVIPTNRPIARKDMNDRVYKTKREKYKAVIEEIEEMVKEGRPVLVGTTSVEISEMLSKMLAMRKIEHNVLNAKLHQREADIVAQAGQKSIVTIATNMAGRGTDIKLSPEVKAAGGLAIIGTERHESRRVDRQLRGRAGRQGDPGSSVFFVSLEDDLMRLFSSDRIASVMDKLGFKEGEMIEHKMISNSIERAQKKVEENNFGIRKRLLEYDDVMNKQRVAVYTKRRHALMGERIGMDIVNMIWDRCAYAVELGDFDNVKMEILQTLAMEVPFTEEEYNKMRKEDLAEKTFEAAMNNFKRKTDRMAQIANPVIKQVYEMQGHMYENIMIPITDGKRLYNISVNLKAAYETEGKEIVKSFEKAILLHTIDDAWKENLRELDELKHSVQNASYEQKDPLLIFKLESVNLFDNMVNKINNNTISVLMRGQIPVQEPEQVREAAPEPQAPRQQYREEKQDLSDPHQQAAAEHDTREVKREPVRAEKTVGRNDPCPCGSGKKYKNCHGQNA", "MKRSASLIILVAGTLFASCSSLQTISFDQLQAADVSFPDAVRKVAVINNMPVLKTKDNHEILSSELEGDGKVASEALAENIANVNYFDQVIICDSVFRAQDKVPRVNVILTKEEVRKLSEDLGVDMILSFDRIHIQTKPGVLFYPDFPMPIDAVDGIISPIVRVYIPNRDKPLFVVAKQDTISWEIEPALSDRKIVKEASEYAASIPVEHLLPHWDEVARFYYDGGNIEMRDAGVYLRENNWDEAYSQWKIAYEKRKGQQKMKAAFNIALYYEIKDNVEQAKEWLGKAKNLVKSGSRDEQLIAFYSLELEKRESKLSQLRIQMKRFDDNF", "MKLSAQSHASIASSLREAVDKYIADGERTVVTDIHLQPKQDSGELVIFNDDDEELSRTIIEEWVDYSEDDFYTIVERILRGEINALKDTGVLDKLAIMKPYSFVLVDEDRETVTELLLIDDEDTLLLNDELLKGLDEELDAFLKDLLEK", "MNKKQKDKGLMKAVKEQPQFRLTSNFTFRTMQKVEEAILLREKKQERKMLLATIAASLFLIISGSIGLYIYFGNHIKETMYHAFLAGSHVLKIQIPLIYLLFIITIPLFMVFDRWMRKQYFKRHS", "MENDETHIIHRILKGETSLYEYFLDKYSQQVFILIIRIVENQEDAEELTQDTFLKAFEHLSSFKAESSFSTWIYRIAYNPAISATRKRKQELIVMDSAMLMNISDQQIDDALNDESGERVGKLNKAIKKLDAEERALISLFYNEEKTIGEIALILGLTESNAKVKLHRIRKKLYILITEAE", "MGYITGWIVVGIITLGIYKLFELFVGKKERLTMIEKLGDKLDPSMLGNRLSLPLPVGTPFMSSSPISFSALKFGCLLLGMGLGLLTGYIICATTVPDYFTERNWRMSELTSLIYGANVLLFGGLGLVVAFIVELKISQKNRKDHTR", "MELASKYNPADVEGKWYQYWLDNKLFSSKPDGREPYTVVIPPPNVTGVLHMGHMLNNTIQDILVRRARMEGKNACWVPGTDHASIATEAKVVNKLAGQGIKKTDLSRDEFLKHAWAWTEEHGGIILKQLRKLGASCDWDRTAFTMDEERSESVIKVFVDLYNKGLIYRGVRMVNWDPKALTALSDEEVIYKEEHSKLYYLRYKVEGDAEGRYAVVATTRPETIMGDTAMCINPNDPKNQWLKGKKVIVPLVNRIIPVIEDDYVDIEFGTGCLKVTPAHDVNDYMLGEKYNLPSIDIFNDNGTLSEAAGLYVGMDRFDVRKQIEQDLQAAGLLEKVEAYTNKVGFSERTNVAIEPKLSMQWFLKMQHFADMALPPVMNDELKFYPAKYKNTYKNWLENIKDWCISRQLWWGHRIPAYFLPEGGYVVAETAEEALKLAQEKTGNTNLKMEDLRQDDDCLDTWFSSWLWPISLFNGINNPNNEEINYYYPTSDLVTGPDIIFFWVARMIMAGYEYKGDMPFKNVYFTGIVRDKLGRKMSKSLGNSPDPLELIDKYGADGVRMGMMLAAPAGNDILFDDALCEQGRNFNNKIWNAFRLVKGWEVADIAQPEYARLATEWFESMLAKTAAEVADLFGKYRLSEALMAVYKLFWDEFSSWYLEMIKPAYGQPIDKATYEKTLGFFDNLLKLLHPFMPFITEELWQHIYDRKEGESLMVQQLNIPTACNEIIVKEFEVVKEVIGGIRTIRLQKNIAQKETLELQVVDVNPVATFNPVITKLCNLSSIEAVENKADGSGSFMVGTTEYAIPLGNLINTEEELAKLEADLKYQEGFLQSVLKKLSNEKFVSKAPANVIDMERKKQADAESKIASLKESIAALKK", "MHTRKEQMEAFGRFLDILDELREKCPWDRKQTNESLRPNTIEETYELCDALMKDDKKDICKELGDVLLHVAFYAKIGSETGDFDIKDVCDCLCEKLIFRHPHVFGEVKAETAEKVTENWEQLKMKEKDGNKMVLSGVPSALPSLIKAYRIQDKARNVGFDWEERSQVWTKVKEEIGEFEAEVENMDKEKAEAEFGDVMFSLINAARLYKINPDNALELTNQKFIRRFNYLEEHTIKQGKNLKDMTLEEMDAIWNEAKKEEK", "MKKKYITKLMIAACLSSALSLNSCIEEVFPESSTATIDQIGKSDQAISAMVNSVVAFINAFRSYGPQFYHDFGYSGYNLIRESACEDFFCHEPKFDFFDTYGVCNDLGDADVVNTIWYYNYKFLNNVNNALSALEKADDAPENKYYKGICLSYRAMIYMDLVRMYEYKKTGVEKLDAEAASKNLYGITVPIITAETTEEEGRNNPRAPFYAVYKFILDDLASAEELLSDYQRPTKNLPCTPVVHGLMARMWLEMGSRFELYPEDLNTLNNNTDLNIASKETCFTKAAEYARKVINESGAMPLTEKEWFGGDSYTTGFNSVLTNSWVWGSIMTTEDVHSYWLNFAGSMCPEQTFGYGNRKWQGYKLIGKKLFDQIPNADWRKTTWIAPEDAHKAPGTKYRTLLTDDDFADMPPYTGIKFRPKNGEMNDYTIGAAVDYPLMRIEEMYLIEAEAIGMSQGLAAGISKLEDFVNTFRYNTSVGSYTCKANDLKEFQKKVVEQKRIEFWGEGIIFWDYKRLELQVVRGYPGTNAPIGYRFNSIEGYCAPWMNIFISLYENVFNKGAVLNPDPSQAIKEWVE", "MKKTLLLLFVCLFVGISHAQAQVTVKGTVISSENNEPVIGASVLVKGTTNGTITDINGQFTLTNISPTNKTIIVSFIGMETQEVTIKPEMKIVMTSTTEVMEEVLVVAYGTAKKSAFTGSAKMIHTEQITKRPVTNVIESLSGQVAGLQMTMTNGQPGETPSILIRGISSMSAKTDPLIVLDGMPYEGGWNNINPADVESISVLKDAASTALYGARGANGVIIITTKSAKAGDAKITVDAKWSANTRGEIEYDYIKDPGEYYQAHYKALYNYYLNAQGQTPDQAYVSANTNMIGTNSQTGGLSYNVYSYPEGEYLIGKNGLLNPNAKLGRIVNGYYLTPDNWVDAVYHTALRQEYNVNISGGSDKAQIYASFGYLDEDGIAEGSDYSRITGRLKTTYQAKPWMRFGANISYTHSIQNSAAGGFSQAFNTAPIYPLYLRDTNGKIMQDKNGDMYDFGVSNQGPLNRPINPNSNGIKLSQLDTYNTSANTLNGDAFIDINFLKDFKFTFNAGTSIRDSRYKNALNPFYGTANSLNGSINVQHWRRTTLNLQQILNYNHSFGLHNVSLMAGHESFNNVYEELYAAKNSMFSFFQNQELNGAISGTNDESSYKSKYNTEGYLFRGMYDYDGKYFFQLSYRRDASSRFHPDNRWGNFYSVGTAWILTKEKWLDDIKWLDLLKLKFSVGQQGNDRIDDFLYVDTYNINNSNNELSLGFSRKGNKNITWETNTNINLGIEFELLKGRLSGSIEYFNRRTTDMLNRFSVPLSLGYSGYYDNIGDMNNKGVEIDLKYIPVKTRNVTWNIGFNATHYRNKISRLAESKKTDIIDGHAGYVNGLYYYGEGLPMYTNYVQKYAGVSEEGKPQWYYTDKQTSEMKTTTTYSQADLYLCGDAVPDLYGGINTSLSFYGFDFSAQINYSIGGQAYDYGYQALMSPPTSTHLGYNVHKDIYKAWSPENTQSDIPQWQFNDLYSVKTSDRFLTNASSISIQNIQLGYTFSKKTLRSLYLTNLRIYVACDNVYYWSKRKGFDSRVTWNGNKDSSGEYSAVRAFSAGLSLQF", "MIQRLATLLITLYISISLQAQDKKKPGFTKEEFRARQEAYLTQKAEITQEEATKFFPIYFELQDRKKTVNDKAWEQARKGKNPKTTDAEYEQIIEGIVKARIEADKLDLEYLQRFKKILSPKKIYKLQRAEIKFHRDILKIMHQSQKK", "MKEEDELLKKCGTKNPFMVPEGYFDNFSKELMNKLPEKEQTSAPQETITTWQRIKPWIYMAAMFCGLMFSVRVVVGPPKQDTPIFTAAETEQFSDEYIETILDHSMMDDYTLYQYLTDANSDMYN", "MNTYNEKEIIALLQDPARQKEAFECIVNEYSEQLYWQIRRMVLSHEDANDLLQNTFIKAWTNLEYFRAEAKMSTWLYRIALNECLTFLNKQRATNQLSIDDADADMVNKLESDTYFNGDETQILFQKALLTLPEKQRIVFNLKYFQEMKYEDMSEILGTSIGALKASYHHAVKKIENFLKECL", "MIDCGEGAQMQLRKSKLKFTRLNHIFISHLHGDHCFGLMGLISTFGMLGRTATLYIHCHAELERLLTPQLDFFCKGMSYKVVFQTFDPGKAEIIYDDRSLTIETIPLRHRIPTCGFLFSEKQTPAHIRRDMINFYEIPVYELNRIKNGADYTLPDGKIVPNNKLTIPSALPRRYAYCSDTIYLPRIIEQIYGVDLLFHEATFAQSEQARAKETFHTTASQAGEIARTAKVKQLLIGHFSARYEDESILLQEASDIFPNTLLARETLKISIESIKP", "MAKPISFTKRDLEKKKQEKRLAKQQKKEERKSNGTSSFEDMIAYVDENGMITDTPPTPNNKPQEVDMSTIEVSTPRRTEEPIELVHEGRIEHFNVSKGYGFVKDLKNAEKYFFHISGLIDNIIENNIVTFELEKGSRGMNAVKIKLKK", "MENLKNITPVEDFNWDAYENGEAVTSMSHEDLEKAYDGTLNKVNDREVVDGTVIAMNKREVVVNIGYKSDGIIPLNEFRYNPDLKIGDTVEVYIENQEDKKGQLILSHKKARATRSWDRVNAALENEEIIKGYIKCRTKGGMIVDVFGIEAFLPGSQIDVKPIRDYDVFVGKTMEFKVVKINQEFKNVVVSHKALIEAELEQQKKEIIGKLEKGQVLEGTVKNITSYGVFIDLGGVDGLIHITDLSWGRVSDPKEVVELDQKLNVVILDFDDEKKRIALGLKQLTPHPWDALDANLQVGDKVKGKVVVMADYGAFIEIAPGVEGLIHVSEMSWSQHLRSAQDFMKVGDEVEAVILTLDRDERKMSLGIKQLKQDPWETIEEKYPVGSKHTAKVRNFTNFGVFVEIEEGVDGLIHISDLSWTKKVKHPSEFTQIGADIEVQVLEIDKENRRLSLGHKQLEENPWDVFETVFTVGSVHEGTIIEMLDKGAVVALPYGVEGFATPKHLVKEDGSQAQLDEKLSFKVIEFNKDAKRIILSHSRIFEDAAKAEERAEKKAASNAKKTTKREEAPAIQNQAASTTLGDIDALAALKEQLENKKK", "MYKHKLKTTIISHLNFNQQEISVNLFVFQYFVVSLRPKLDKQYNV", "MVAFILVCLVLLLGLLWGWEIRKRKSLEQEFVKKKNEVCSVKEFSDTILYNVDAYIILVDRNFLVEKTNYYNLNNTSEGQVLRRVGELLRCKNGLDAGACGSHENCKVCPVRNSIEKCFREKGHFSPLETPMRLYMSEKMDNYVDCIVCVSGTYLQLDQDDKVLLTVRDVTRQKKILDELEEARRNAEWAGEQKTAFLANMSHEIRTPLNAIVGFAGLLGTASDQDRISYVEIIKGNTNMLLQLVNDILDMSKIEAGTLEFIYTDVDVNQIMRELEGIFRLRLEEADVPVRIIFEPKLPVCFIHTEKNRISQVISNFLSNAFKYTVQGSITMGYEIRENGICFYVSDTGTGIPEDKVSQVFERFTKLDAKRQGTGLGLSISRTIIKKMGGEIGVTSKYGEGSTFWFVLPEKPFDFLPLQSEEKEQFIDLSEPESCLEHKTILIAEDMDDNYLLYKIYLEKKYNLIRAENGEEAISKFLEYSPAVILMDIGMPVVDGYQATEAIRQLSSKVPIVAVTAFAYDEDKRKVMSRGFNGYLSKPLNKEALFDMLRQMGI", "MKSWKLEAVILAIGMLVMGYFIKQGLDTFSGKDRVVNVKGLAEMEVPANKVTWPLMYKDLGNDLPTLYNKINATNQAIVGFLKQKGITENEISINAPEIIDMQAERYNNNSVPFRYNVTSVITVTSTKVDLVRKMISEQSELLKQGIAITGGDYRYNVQYDYTGLNDIKPQMIEEATKNARAAAVKFAKDSDSELGKIKRAYQGQFSIEDRDANTPYIKRIRVVTTIDYSLED", "MNFIKITEQPSIHEDLEQKSVRELLEGINEEDRKVASAVHACIPQIEKLVNEIVERMHQGGRIFYLGAGTSGRLGVLDASEIPPTFGMPDTCVIGIIAGGEQALKRPVENAEDDSQKGWMELQDHHITNKDILIGIAASGTTPYVIGALQKAREHGILTASISSNPGSPLSSVADIPIEMIVGPEFITGSSRMKSGTGQKMILNMISTTVMIKLGRVKGNRMVNMQLSNRKLIDRGVQMLIDELHINKAEAKQLLQEQGSVKKALDAYKTNNCITKNN", "MIVIADSGSTKTDWCLGNTKTNSRTVRTGGITPFHQSVDEIKEVIASTLIPQLGDTTEFTTIYFYGAGCIPEKTNIVKTALAQNFPKANILVESDLLGAAHALCGKTAGIACILGTGSNSCFYDGKKITANISPLGYILGDEGSGAVLGKRLVGDLLKHQLPDDLCQDFLKEYDLTPALILDKVYRQPLANRFLAGLTPFLFAHKHRPEIRNLLISCFTDFFTRNVMQYEYHDILVHFTGSIAFFFQEEVKEAALRLNVSIGKVLKSPLEGLKDYHFEV", "MNKIKAAIIEDEIPAGRLLHKMLSGLRPDWDIVVLPGSIEGSVKWFQEHPHPDIIFLDIQLTDGISFAFIEQAQPESMIIFTTAYDEYAIRAFTVNSIDYLLKPINRERLAEAIEKFERLTARYGNTTLSNPSNELLNLLKNISNPEKKYRTRFLISGDEKLYTLQVEDIAYFYSENKITFAVTKEGKEHIIDLSLDKLSEQLNPDIFFRTNRQTLVSVHAIQKIENYFFSKIIVQVKPPFKDKITVSREKIAAMKLWLNY", "MGLKNLSARYLLICNLHITIIDDSEYFTVKIPLLNE", "MEAAVATKFVKWEVPTLESLHECKVYRLRMKVNNGEVLNREEKNWITEKVNGNTYFKSAIPLQGWRFDFSDILRTFLVSQYGQWREYKVMDKTALRKILYGRIDRIVELDKRHPK", "MNRTTEKIPTWSLAYIINGDATALTDDEVQTIDRWMKRWQVQDVSPLTDEEGNAQPYFTHYPLFGLPTEVEDCEILYLNDNPTKI", "MKGTDHFKRTIQMFLEQRAAEDELFAKSYRNPAKNIDDCVTYILNYVQKSGCNGFSDGEIYGQAIHYYDENEIEVGKPIQCQVVVNHVVELTEEEKQEARRKAVAQYQQMELQKLQNRNKPTAKKETQVQPSLFDF", "MKPRTRIQKEVVRLSSGLPELTDKQKAYAFEHCFKHHAYRTKGGTITCSECGHRWKGGHTLAETICGCSCPHCGKELEILDTRKRVFRGSAYYEIITTRKGYQVLRYFMVGATYKVGQKAEYSIREVVQWWIAPNGKTEVIARLRAMHTMYYDLWTEWSDMDLRSNKMLKAYNIDAYKTYPAMRIIPELKRNGFKGAFHELTPYEFFTAILTDSNKETLLKAGQTEMFRYAVISNINLHEYWNSIKICIRNGYHIADASMWCDLVRLLRHFGKDTHCPKYVCPTDLKKAHDRLVRKREEQIERERAEQRREQLVKDEKNYLKSKGKFFGLVFTDNLILVKVIESVAEMQLEGKLMHHCVGSYHKRTDSLILSATIDGKRIETVEVSLTTFKVVQSRGVCNSNTEYHDRIISLVESNAELIRKRMSA", "MEIKIENILILWDEKVTDIFVSLINTLSLSFSETEIRNSMAKLSENENFGRLFAYGFGAHHLWVAQRMITDPEKVMENRLLIVEF" ]
[ "TTAATTTTACTATTTTTGTTTATTGTTTTTTTATACTTCATAGATTTAGCGCACGAAGATACAAAAAATGCAGCTTACAAGCTATTTTATTGTGAAAAAAATCTA", "AATAGTGAGTGAA", "AA", "CGTATATAAATTTAGTTGTTGTTATTTATTTGCTTCTCTCCATTTA", "AACTTTGCTTTTTCGGCTGCAAAGTAACGCAAAAAATAAATAGGAATAGCAATAAAAAATGGTATTTGTGAGGAATTAATTAATATTTAGGTGTACTTTTGCATCGGTAAACAGAGAATGGAATTT", "TATTAAGGAGAGAAGTGCAAATAAATCAGCATTTTATTGTAACTTTGCACTTTCAAAAGTAATCAAATTAGAAAATAATAAAAACAAAAAATACA", "TAAATAACGATTATTATAGAAACGTTCGGGTAGAATTTACTACCCGGACGTTTTTTTTATTCCTTTTAACTTACTTCTTACATAAATAAGCGTATTTTTGTTCCCTAAGAAAAAATGATAAT", "AGAATAAGTGTTGAGAGAAAGATTTTTTTTGTACTTTTGGATATAAATAATATATAAAATTGATTTTGGT", "ATAAAATAATAGGAGCATCGGGATAGTAAAGTTTCCGATGCTTTTTTATATCATAGGTGAGGAAAATGTTTTT", "TTTGTCTATTAGACGCAGGGCTGGGAGAGAGGTTACATAAAAAGAGAAAATATTTTTTTCTTTCTCAAAAGTGTAACCTCTTTGGCTACGCAGCGTCTAATGAATACAAAGGAACAAAATTAATAACTTAAATACGAATAAATT", "ATAATGAAAGACAATTAGAAAAAAGAAAGAGATGTGTCAAAATTTGAAGTAATTATCAAAACTATCCGAGCTTTGCAGCAAGACAATAGCAGAATTACTTTTGACACATCTCTTTATTAAAAAAGAAGTGATGACCGCTTTACATAGAATGTTGCAATCTCTGTAAAGCGGTATATTTTT", "TTTATATTTTATTTATTTAATTGATTATCGCATAATTGAGTGCAAAATTAATAAAAGTCGTTGGATTATTATGTACTTTTGCACTACAAAATGATATTAATAAACTGATT", "TAGGGTTGGAAGTGTTTTTTATGATGTGTGCTATTTTTGTCTTGCTTGTTTTTCTGTTTTAATCAATAAAGGGTATTGTATTTATTCTAGGTAATTTAATGTATGGTGATATCAAATTGTGTTCTATAAGAATGAGGGGCTGTCTTTTTTATGACAGCTCCCCATTCTTTATGAAATAAGTTTTATATGAATT", "ATTCTTGATTGTTTATGTGTTGA", "AATGTTTGTAATAGATTTGTGAGTTTATGGTTTGTACAAACCTAGGAATAAAATCTAATAAATCAAAATATTTTCGATGGCAAATGTTTAAAAATAAAAGTATATTCTAATCAATGTAATAAAAACTTAAACAAGCAAGAAAATTAACTTGTTTTTATAAAAGAAACATCTTAATCATTTTGTCGTTCCGCTGAACTTTTTAAATACAAAAATCCCCAAATCTAAGTTGATTGATAGATTTGGGGAAAAAGTTGGAAATATCTCTTGTTGCTT", "GTGGTTATTAT", "AGTGGTTCTCCTTTCTTTGTTAGATACATTTCTTTTAAAAAGGT", "GTTCCTATTTTTAAATTAGATATCCGGTTTATG", "AAATAGTTTTTCACGGATATTTACGACTTGTGATGTCGCAAAATGCCGTGTGGTCGGCAATGCTGACCCGCATCCTAATATATGTACTTCAAATTTCTCCATCGTTACAAAGATACTATCTTTTTTGAATGGAAAATAAAAAATGCCGTAAAAACGGCATTATAGGATTGAA", "TTGATTCTTTTTAAATTAGTAATATACAGTTCGGCCTGCTACGGGGAAGTGTATGGAATTAATAGTGAGGTAATAAGAAAACGGGGGAGAAGTCGTTATGAAATACTAACTCGAAATTTTATTACTCTATAAAGATACGGTTTTATTACGGATATCCCATAGAATATTTTCCTTTTTAAGAAATAATGGCATAAAAAAAGGATGCATTTTAATAAATGCATCCTTTTGGCTTATCTTAAAGTAAACTGA", "TAATAAAATTGTTAAAATTCTCAGTTAATTACG", "TTGTAGAAATTTAACCTATTAAAAT", "ACT", "ATCGGATAATAAGATGATTGTTAGTCTTACAAAAATAGTATAAATTTATCCGATATCCATTGTTTTCCGTATTTATTTGTCGGGCTCTTATATTATTTTAGTAACTCTGTTCCTATTACTTATAAGTTAGTGCTTTTTCATATAAACAGACTTTT", "TCCTGATTTTGCAACATCCTTTTTTAATTTGATCTTGTTTCTTACGGAAAGAGTTTGATCTTTGTTGTATGAAATTACGAAAAAGGTCTAAGTGATTGAAAATGAGTTGCAGTTACCAACTCATAGTAATAATAGGTTACGAATTAGTTAGTTCTCTGCTGTTTTATTCTTCTGCGCGTTGCGTAACCTTCAAAGAACTCTTCGTATGCAAAAGTAGCACTTTAATGGCATATATTGAAATAAAAATCCAGCTTTTTAAGCTCCACAGACAAGTTTTTCCGTAAAAGCGAATTTATTCGTAGGAACATCATCATCAATAAATTGACGACCATGTTCCTACTATTGCATAAGCATAGAAAAGGGCTTTGCGTCACGCCTGAACCATGTTCAGACTGATGATGCAAGGCCCCTTTCTTTTTTTTGCTTATGCCACAAGCGGTGCTTTTACGGCTGTTACCTGTTGATTTTCTTTTTTTGTCGGTTCCTCTAATCGAAAACGGAAGTCATGGGTAACCACACCTTCCATAAATGAAAAGTGCCATTACCCATGACGGCAAACCGGAAAGAAAATTGCAACCGCATAACTAAACAGGTTTAGCCACACGGTTGCAACATACTTTCTTGGAATGTTTGCCGGTTTCACGTTTTCGCCGTATCCCTTCTTTTTGAGATTTCCTTTCTTTCTTTTCCCGGAACATTTCATATCCAATATGCCTCCACATCCGTTTACCTCCATTTTGATGGTCTTTCAGACAGTCGCATCAGGTGGATCGTTCCCGTTCTGGGTGCAAAGGTAAATCCGGGATTGGGATGCTCAATGGTTTTTAGAAAAAATCTCCAGCCCTGCAGGTAGTATTTTTTCTTTGAAAACCCTTGCTCTACCCACTCCCTACCTTTTTGAAGCACCCGAAACGAAAACGACCGAAGCGACAGAAAGACGCATTAAAAAAAATGTCGGATAAACGGGAGGCATATAAGGTTGGAAACTCAACTCCCTCAGCTCTCGAATCCGCATTAAAAATAAAAACAAATGGAT", "CAGCAGCAATGAACATAAGACAGACAGCGCAATCCATTGGACTTGCAGTATTCGCATTTCTGTTGCGCTGTTTCCTTTGGATAGTCAATATCCTTTGGTACATCATCAGAGAAATAGTCTGTGGAGTATTCCGAATGGTTATCAATGTAATGGTATTCATCATATTCCTCATTGCCATTTTCGGCTTTCTCCTATGGCTACTGACACTTTGAATTAACTCCCAAAAGCATAGCATTATGAAACGATACAGCAAGACAGCAGCGCAGCAATGCAGATTTTACGAGGTGGACAACATCTTTGAGTATATGGTGGAAACCTACATCAACGGCAACCATTCAACCCTAAGAAGGCTATACCACGAACTCAACAAGGAAGCGAGAAAGGACTTTATCGGTTTTCTTTTGGTGGAATGTCCTCCACAATACCACACGGAGATTTTACAAGAGATTGTCTGACCCAATAAATGAACGGAT", "GATTATG", "T", "TTTATAGACTTCAAAATATACATGAT", "TAAATGACTACC" ]
[ false, false, false, false, false, true, true, true, true, true, false, false, true, false, true, false, false, false, false, false, false, false, false, false, true, false, false, false, false, false, true, true, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 28, 30, 32, 34, 36, 38, 40, 42, 44, 45, 47, 48, 50, 52, 54, 56, 58, 60, 62 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 29, 31, 33, 35, 37, 39, 41, 43, 46, 49, 51, 53, 55, 57, 59, 61 ]
[ "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124887|-|1722:3038", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124888|-|3095:5566", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124889|+|5653:6702", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124890|+|6728:7792", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124891|+|7819:8919", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124892|+|8961:9671", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124893|+|9691:10542", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124894|+|10550:11500", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124895|+|11527:12498", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124896|+|12503:13225", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124897|+|13232:14431", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124898|-|14485:15381", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124899|+|15517:16824", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124900|+|16991:18256", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124901|+|18243:18770", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124902|+|18787:19539", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124903|+|19545:19910", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124904|+|20071:20829", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124905|+|20985:21278", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124906|-|21501:22205", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124909|-|22621:23127", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124910|-|23220:23897", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124911|+|24050:25165", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124912|+|25162:25452", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124913|-|25618:26079", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124914|-|26072:27820", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124915|-|27835:28269", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124916|-|28274:28768", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124917|-|28782:30824", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124918|-|30982:31659", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124919|+|31847:32449", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124920|-|32608:33624", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124921|-|33638:34045" ]
[ "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000001|+|1665:1721", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000002|+|3039:3094", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000003|+|5567:5652", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000004|+|6703:6727", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000005|+|7793:7818", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000006|+|8920:8960", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000007|+|9672:9690", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000008|+|10543:10549", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000009|+|11501:11526", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000010|+|12499:12502", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000011|+|13226:13231", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000012|+|14432:14484", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000013|+|15382:15516", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000014|+|16825:16990", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000015|+|18771:18786", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000016|+|19540:19544", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000017|+|19911:20070", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000018|+|20830:20984", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000019|+|21279:21500", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000020|+|22206:22620", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000021|+|23128:23219", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000022|+|23898:24049", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000023|+|25453:25617", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000024|+|27821:27834", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000025|+|28270:28273", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000026|+|28769:28781", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000027|+|30825:30981", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000028|+|31660:31846", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000029|+|32450:32607", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000030|+|33625:33637" ]
[ "MKEPEISVGIVNAQEIHFSLNGNFFAKGETVCGEQQVAFSEGGILWNGNLYRELTFTPQDEHASFSLYDVTIGINFHWERQETQSFMGTLKLVVDEGKITAINILPAEDYLISVISSEMNATSSLEFLKAHAVVSRSWLFAQIEKRKALSDKNEGFFSFIKTDTEYIRWYDREDHTIFDVCADDHCQRYQGITKASSAAVTEAVRATRGQLLMYERGICDARFSKCCGGASEEFGYCWEDKNYPYLSTIRDAEEEENRPLPDLTKEEEAERWIRTSPVSFCDTHDKKVISQILNNYDQETTDFYRWKVRYSQAELSELIRQNTKSDYGDIIDLIPIQRGKSGRICKLKIVGSLKTLTIGKELEIRRTLSSSHLFSSAFVIDKGELKNGVPEWFLLTGAGWGHGVGLCQIGAAVMGERGYTYDEILLHYYKGADIRRFY", "MRKTINCFIPYRESTAAEQTIHALKESSIVNKIYLLNIEPNKTLSTPEGCEILPVDSLTSSKTMKMIAEKADTPYILLYTKTSALELAYKALERMTDFLQDRECGMVYADHHEWKNGEKKKHPVNDYQPGSVRDDFDFGPLLIFNRTEFILASLQMTEERKYAALYELRLFLTLHSHLVHINEYLYTETESDNRLSGEKQFDYVNPRNREVQIEMEEAFTRYLKSINALLEPICVETDVKKGNFEYEASVIIPVRNRARTIDDAIRSALTQETRFPFNIIVVDNHSTDGTTEIIGQYKDNKAVIHLQPQRTDLGIGGCWDLAINHPRCGRFAIQLDSDDLYSDTHTLQTIVDTFYKEQCAMVIGTYRMTDFRLNTIAPGVIDHSEWTKENGHNNALRINGLGAPRAFFTPILRETGVPNVSYGEDYALGLIFSRQYKIGRIYDVLYLCRRWEGNSDAALSIEQTNANNHYKDSLRTRELGIRKKYTEELKNRNEIKRFIHSQLACWPLAHHNHEALQTVQIKELSINGYTFVVQCNAQRAVSTTAKVDKDSIQARPCFLCKENQPKEQKALETITANRICVNPYPILPDHLTIAHKDHIPQLMDENIFSYDDVRAFVQKYPDYALFYNGAHCGASAPDHLHLQGVRKTDVPIIPNVQQLITHAQTIDIRSMYFPYLEEEEDYPLECSRIYLNTKDYPCPLVILSSNTHYDDSLLYSALAAFPPDEDGQEAKFNLLLWKEGHLYYTVVFPRSKHRPDCYFAKGSEQMLISPGALDMAGVIVTTRQEDFDKITEEKVASIIKEVGITVEEAEKIPGRYFDEKAKR", "METPKTALLGRTLDEIQQIVRNLGMPKFAAKQITSWLYDKKVETIDEMTNLSLKHREALKEGYEVGASAPVEEMRSVDGTVKYLFRTPASHFIEAVYIPDEDRATLCVSSQVGCKMNCKFCMTGKQGFTANLTAGQILNQIYSIPERDKLTNIVFMGMGEPFDNLDEVLKVLEILTSEYGYGWSPKRITVSSVGLKKGLERFLNESDCHLAISMHTPIPSQRRDLMPAEKAFSITEIIDILHNYDFSKQRRLSFEYIVFKGVNDSLIYAKEIVKLLRGIECRVNLIRFHAIPNVDLEGVDMETMVAFRDYLTQHGVFATIRASRGEDIFAACGMLSTAKQQKEKGVTLQ", "MKRIAFYLSLVLVVLVLASCKKGQKNLFTPTSSGRPYEVLVVVNKPVWDRPAGRALFDVLDTNVPGLPQAERSFRISNVDPQHFDRVLKIFRNIIIVDIQDIYTQPKLKFSRDVYASPQMIMTIQAPNEDEFEEFVAKNSKVIIDFFVKAEMNRQIALLKQKHSDVISTKVGSIFDCDIWVPVELANYKEGKDFLWASTNRATADMNFVMYSYPYTDKDTFTKDYFIHKRDSVMKANIPGEREGMYMATDSMFVDVEDIVVKGEYAQEARGLWEMEGDMMGGPFVSHARVDRANGRVIVVEAFIYSPDKLKRNLMRQMEASLYTLRLPNESLIDEIVISGNIPEEKIDTTSRVK", "MEDNYKIRVGITHGDINGVGYEVILKTFSDPTMLELCTPIIYGSPKVAAYHRKAMDIQTNFSIVNSADDAQPDKLSIVNCTEDELKVELSKPTPEAGKAALDALERALQDYREGMIDVLVTAPINKHTIQSESFHFPGHTEYIEERVGEGQKALMILLKNDFRVALVTGHVPVREIAQDITKELIMEKLAVFHRSLKEDFGIDSPRIAVFSLNPHAGDEGLIGTEESDIIIPAMKEMVAKGIQCFGPYPADGFMGSGNYTHFDGILAMYHDQGLAPFKALAMDEGVNYTAGLPIVRTSPAHGTAYDIAGQGVALEDSFRQAIYVAMDVFRNRVVEKEIHAHPLRKQYYEKRDDSDKLKLDTIDDED", "MKFAIISAGEGSRLSQEGVALPKPLVQLNGVAMIDRLIQIFVRNGADKVVVIINNESPLTKEHLAKLQAEAKIPLEVVVKTTPSSMHSFYELSPYLQDDKFCLTTVDTIFREEEFSTFIETFKQSETDGYMAVTDFIDDEKPLYISTDSALDITGFHDEATPECRYISGGIYCLTPAAVKTLHGCMEKGMSRMRNFQRQLVADGLKLKAYPFTKILDVDHAGDIVKAENFLAGKEE", "MDVISIIGVSRGNEYSPNHVDNDAAIFNKVTEELRRLGCEVKVYAEKDFVEQGVKGDIIFDMARDKVTIARLRSLEDEGALVINSAYGIDNCVRKPMTELLIKNGVPHPQSFIISTADEYLENYYPCWVKRGDSHAMVKEDVAYATCKEEVENILADFRKRGIPVAVINEHLQGDLVKFYGVHGTDFFYWFYPSPCSHSKFGLEKINGIAKGIPFSVEELKIQSDKAAEALNVPIYGGDCVISADGTLRIIDFNDWPSFARCREEAGGKIAECIYKRAKKQMK", "MSTESEKKGIEASFKSMDTEEFLDIYFNRPIGYAWALFFKKLKVHPNVVTIFSIILGIGAGVMFYYPDMKHTLLGILLLMWANHYDSADGQLARLTGQKTQWGRMLDGFAGDIWFFTIYAAICLRLMNQPMPFHIGDGMHWGIFIWILAIVSGTVCHSKQCTLADYYRNIHLYFLKGKSGSELDNFKQQREIFYSLPWKGNFWWKAFLYFYGNYTRQQERMTPNFQLFYALVKEKYGDNIPQELRDEFRAASKPLMKYTNILTFNTRAIALYISLLIGEPWLYFVFEVVVMTSLFVYMRHCHEAICARLYHKYITK", "MFGIAAIVVMLCSFDMEYDELLANLRRAGMWLPAVVGLWIIIYLFNTLSWYIIIRDGKKGTPIPFWKVYKLTVSGFALNYATPVGLMGGEPYRIMELTPYVGASKATSSVILYVMMHIFSHFCFWFFSIFLYLALRPVDIAMGTILAVVGAVSLLAIYFFMKGYKNGMAVRTLKLLQHIPFVKEWAKRFSENKREALERVDSQIAELHKQRRSTFYASLSLEFMARIIGCLEVYFILNILTTDVSFPACILIMAFTSLFANLFFFSPMQLGAREGGFALAVGGLAIPGAFGVYTGLITRVRELIWIVIGVLLMKVGNGTPANK", "MDTLKNIQGVIFDYGGTIDTNSCHWAEVLWTKYMEHQVPVDKESFREAYVFGERALAKYPFVQPWHNFHDVLSIKTKLQVEWLAEQRKLPMDELQLQSYAVKVADSCYGYVLDILQVTRPVVKELAKRYRLVLVSNFYGNIQTILKDFGLLDFFDEIIESSVVGVCKPDPAIYRLGVDAMGFAAENVLVVGDSFSKDVVPAKAVGCRVAWLKGEGWGGEVIDESVPDVIITDLAQLLALL", "MEIQRHALVKRDYLVPFVLVTSLFFLWGFAHAILDVLNKHFQEVMDITRTHSAMVQVMFYLGYFIMAIPAGLFITKYGYRKGVVFGLLLYGIGSLMFIPGEYWMSFEFFLFSLFVIACGLVFLETAANPYMTELGDRETAASRLNLAQSFNGLGCICGPLVGGLLLFSGKGEANISYPYMLMGVVVLAVGFIFSRIKLPEIVHVDDLADGETVKRSLWSHKLFLFGIVALFSYEIAEISINSFFINYVVDDGWMNARDAAVVLSFGGLGLFMCGRFAGSWIMQRIRAERVLLCCALGTVMATFLIVCNLGKISLIALFLVYVFEAIMFPTIFAISLKGLGGKTKRASSFLMMSPVGGAVGPVLMGYVADNSTMSLSFIIPFVSFCIVLFYSWYADVERN", "MNDICCIGHITLDKIVTPRKTTYMPGGTSYYFSHGISHLKDTKHYQLVTALAPSEFKAVEDIRAKGIKVTVIPSHRTVYFENTYGENQDNRSQRVLAKADPFTVEQLENINAHIFHLGSLLADDFSLDVVKYLSTKGILAVDAQGYLREVRGEKVYPIDWTDKVEALKYIDILKVNEHEMEVLTGQTDIKQAALQLAEWGVKEVLITLGSLGSIIYAEGTFHKIPAYPPKDIVDATGCGDTYATGYLYMRNKGASYEEAGCFAAAMSTLKLEASGPFSKTEEDVWNIIRTSSLKAEKI", "MEKMNVKPATGKLGVLCVGLGAVTSTFMTGVLMARKGLAKPVGSMTQYDKMRVGRGENKKYLHYGEIVPLANLNDIVFGAWDVYPANAYESAVNAEVLKEKDINPVKDELEKIVPMKAAFDHNYASRLDGDNVKDCKNRWDMMEQLREDIRNFKVANNCDRIVVLWAASTEIYVPVDEKIHGTLAALEQAMKDDDKAHIAPSMCYAYAALSEGCPFIMGAPNTTVDIPAMWELAEKTKMPIAGKDFKTGQTLVKSGFAPIIGTRCLGLDGWFSTNILGNRDGLVLDEPANFHTKEVSKLSTLESILVPEDQPDLYTDYYHKVRINYYPPRNDNKEGWDNIDIFGWMGYPMQIKINFLCRDSILAAPLCLDLVLLSDLAARAGRFGIQRFLSFFLKSPMHDYTENEIPVNHLFQQYAILKNAIREMGGYEADQEID", "MVRSEIQNVKLRFGIIGNAEGLNRAIDVAIQVAPTDLSVLITGESGVGKENFPQIIHQFSRRKHGQYIAVNCGAIPEGTIDSELFGHEKGAFTGAISDRNGYFAEANGGTIFLDEVGELPLATQARLLRVLESGEFIKVGSSKVQKTDVRIVAATNVNLTEAIAEGRFREDLYYRLNTVPIKIPPLRERGDDITLLFRKFASDFAEKYRMPAIQLTEDAKVLLLAYSWPGNVRQLKNITEQISIIETNRDITAEILRNYLPEQHVNSGLPALFGVKANTGKAFESEREILYQVLFDMRRDVTDLKKLVNTLMAERGAQSVPTAPATTAVSYYQEPQRNLVATVVPATPTIISAAKPAHPVVDDIQDTEEVVEEATLSLDEVEKEMIRKALDRHHGKRKNAAKDLNISERTLYRKIKEYGLD", "MVWIKQLKVVGVLMVLAGIVTACSISYKFNGSSIDYTKVKTISFENFPNRSAGFVWGPMENMFNTALQDIYMQQTRLKQVKRSGDLQLSGEITNYEAFNKGIGSDGYSSMVELRMTVRVNFVNSSNPTENMNGQQFSASREYNSNQQLSAVQDELVNQMIKEIVEQIFNATVANW", "MIQQQLYEWITHPERLNRDTLYELRTLLARYPYFQTVRLLYLKNLFLLHDITFGGELRKAALYVADRKILFYLIEGERFTVSSFEKQDLPKEEAGLDRTLSLIDTFLSSLPEEPVVMELPMDVTTDYTSFLLHEEEHVSDDIPQMKGQNLIDNFIEKSAEEPLLPQLGIKEAAPVKNKVSEEEESEDNEEDMEDESYFTETLAKIYVKQQRYSKALEIIKKLNLKYPKKNAYFADQIRFLEKLIINTKSK", "MYLLLIGLIVLAALLMCFVVLIQNSKGGGLASSFASSNQIMGVRKTTDFIEKLTWGLAAFMVACSVLTAYFVPAAHTDSSVIMEEAVKEGATNPLNAPSGFAAPQTNDAATETPAPSDSAQ", "MLKFISWNVNGLRACYDKGFVDVFHRLEADFFCLQETKMQEGQLDAKFEGYHSYWNYAEKKGYSGTAIFSKVKPLSVTYGLGIEEHDHEGRVITLELESFYLITVYTPNSQEELRRLDYRMKWEDDFRAYLKKLEEKKPVIVCGDLNVAHKEIDLKNPKTNRKNAGFTDEERAKFTTLLESGFTDTFRYFYPEQEGIYSWWSYRFKAREKNSGWRIDYFLTSDSLKDRLKGAHIYTDIFGSDHCPVELTIEL", "MKSTDRVRYNYYSIDFACVSIEFITKSQCMDGKWIAFGHSEGSSYFYSRGYLKYLMVEYRLFPVFIIKIRICVQIVNTRIFAKLKDKGYLNTAPAVL", "MKTIIDKANTRGYFNHGWLKTYHTFSFADYYNPRRIHFGALRVLNDDTVAPGEGFGMHPHKNMEVVSIPLQGYLRHGDNVQNESTITPGEIQVMSTGTGIYHSEYNASKTEDLKFLQIWVIPNVEETKPEYHNYNIRPLLKRNELATFISPSGNTPAHLLQDTWFSIGTFDAGHSVIYRMHKPRTGVYIFVIEGEINVAGENLSRRDGIGIWDIESVTIEATSETQILAIEVAM", "MATAYHNLSDYDFNSVPNAENMRFGIVVSEWNNNITGPLLEGAISTLKKHGAKDKNILVQTVPGSFELTFGSAQMIKSGKVDAVIAIGCVVRGDTPHFDYVCAGTTQGIAQLNAEGDIPVIYGLITTNTMQQAEDRAGGRLGNKGDECAITAIKMLDFKEKLQKTQIF", "MAEQKHTQDPLDMEEALSTSEAFLIKYKGRILGTIAAVVIIIAGFMGYKHFISDPNEVKASEALFKGEQYFGADNFETALNGDSIGYKGFLKVADEFSGTAAGNLANAYAGICYAQLGKYEDAVKYLDKFSAKDQLVSPAILGTIGNCYAEMGQLDKAAGTLLKAADKADSQALSPIYLIQAGQLFEKLGKNSEAVKAYTLVKEKYFNSYQSMDIDKYIERASIK", "MILKRISILNYKNLEQAELEFSPKMNCFIGQNGMGKTNLLDAVYYLSFCKSATNPIDSQNIRHEGEFFVIQGFYETDQGEPEEVYCGLKRRQKKQFKRNKKEYNRLSDHIGFIPLVMVSPADAELIAGGSDGRRRFMDVVISQYDKEYLDALIRYNKALTQRNALLKSEQEFDEELMLVWEEMMASAGEVVFKKRSEFIAEFIPTFQSFYSYISQDKEKVNLAYESHAMSGGLLDIIKESRRRDRVMGYSLKGVHKDDLIMQLGDFPIKREGSQGQNKTYLIALKLAQFDFLKKTGGNTTPLLLLDDIFDKLDAFRVEQIVKLVAGDRFGQIFITDTNRDHLDKILKKIEREYKVFAVEDGEVTERKEMAE", "MKRNDAEQIGEMIRKFFRQNALEAPLNEYRLIQAWKDVVGPAITKYTSNLYIKNQILYVHLTSSVLRQELMMGRDLLVKNLNKQVGAQVIVNIIFR", "LLEKLEQHPKFASARTVLLYYSLDDEVQTHDFVEKWHRQKTVLLPVVKGDELELRIYTGRQNLKTGEAYHIEEPTGEAFTAYEKIDLAIIPGVSFDARGNRLGRGKGYYDKLLPLLHSYNIGICYNFQVNEKLPVEPFDRRMDEVWTENGILK", "MSKNRTSRFMPVIVAVSIVTGILIGTFYANHFSGNKLGIINTSSNKLNALLRIIDDQYVDTVNMGELVEDAMPQILGELDPHSSYIPAKDLEAVNSDLKGSFSGIGIQFTIQQDTIHVNNVIQGGPSEKVGLMAGDRIIEVDDSAFVGKIVTNYESMKRLKGPKGSEVKLGVFRPGEKETLHFTIVRGDIPVKSVDAAYMLNDKFGYIKVNKFGETTYPELLISLAKLNQANCEGVVIDLRGNTGGYMGAAIQMVNEFLPKNRLIVYTQGRKSPRENYTSNGTGSSQKMPIVVLMDEGSASASEIFAGAIQDNDRGTIIGRRSFGKGLVQQPIDFSDGSAIRLTIARYYTPSGRCIQKPYVKGNDANYEMDILTRYEHGEFFSQDSIKQDQSQIFETSLGRPVYGGGGIMPDIFVPQDTTGMTSYYRMAVNRGLTIQFAFQYTDNHRAEMQKYETEESLLQYLKHQNILEQFARFAENKGLKRRNILMYKSQKLFETNLYGNIIYNMLGMEAYIEYLNKSDKTVLKALEVLDKGESFPKAPEQPIEPKVSDEGTKKTTAQADSARKAPSRHHRINNEVRCFA", "METKEEKQLKLDKRYIRMASIWAENSYCERRQVGALIVKDKMIISDGYNGTPAGFENVCEDDNGVTKPYVLHAEANAITKIARSNNSSDGATMYVTASPCIECAKLIIQAGIKRVVYSEKYRLEDGLDLLKRANIEVIYINSNE", "MKLKSILYILMLLPFLWSCNNEDDVEEIFASGTWHVVDFYGKANWDKRNGEPKYNAMAHNPDKTIATEGRKALDIIHGFNITFKADGTFTGSIQNGTIEGTWQADGKDRTVNINFTKTPPSTSYNNEFIEALNNAIFYQGDSNVLLLAPEGKKTYIQFAHNKQD", "MTENKNPFLKPYNTPHDTAPFHLIKIEHYEPALLEGMKEQNEEIDAIVNNPEAPTFQNTIVALEKSGALLDRVTTVFGNLMSAETSDEMQELAEKMMPVLSEHSNNISLNEKLFARIKAVYEQKDQLQLKGEDAQLLQKTYDGFVRSGANLTGEAKEKFRQLNTELSILTLRFSQNLLKETNNYELALTEKQLEGLPESSLESYAQTAKDKGKEGSIITLDAPSFVPFMKYCDDRSLRREVYMAYNTQCTHNNEYNNVDIIKQLVNIRMELAHLLGFSTFAEYKLKKRMAETSDAVYKLLNQLLDAYTPAALKEVAEVEALAREMEGNDFQLMPWDWAYYSEKLKNKKFNLNEEELRPYFELSQVEKGVFGLATRLYGITFKENKEIPVYHPDVKAYEVFDKDGSFLAVLYTDFHPRAGKRSGAWMTSYKEQWIENGVNSRPHVSVTMNFTKPSAGKPALLTFSEVNTFLHEFGHALHGMFANTTYSTMSGTSVYWDFVELPSQIMENFATEKEFLNTFARHYQTGEPIPAELIQKIVDASNFNVAYACLRQVSFGLLDMAWYTRRETFDGDVRAYEKEAWKKAQVLPGVEDTCMSVQFSHIMAGGYSAGYYSYKWAEVLDADAFSLFKEKGIFNQEVAASFRENILSKGGTEHPMTLYKRFRGQEPSIHALLKRNGIIN", "MEITMYDTLLQLPLFQGLCKNDFTNIIGKVKLHFRKYNADDIIVEQGAPCTQLIFLLNGEIISQTTDNRHSYALFETFGSPFVIEPYSLFGMQTNYTATYKARTDINIVTIDKLFVLNELNNYEIFRLNYLNILSNRAQVAYEKLWNSHIGNTEEKILNFLVLRSMKPEGKKILKIKMEDLASLIDETRINVSKVLNDLQEQGLVQLSRKEISIPALEKLTEKNK", "MFGSFDFQEFLSAFIVLFAVIDIIGSIPIILNLKQKGRNVNANKATGISFALLIGFFYAGDMMLKLFQVDIASFAVAGAFVIFLMSLEMILDIEIFKNQGPIKEATLVPLVFPLLAGAGAFTTLLSLRSEYAPVNIVVALILNMVWVYVVLKLTDRIERFLGKGGIYVIRKFFGIILLAISARLFTANLTLLIEQFQKAQ", "MNKKKLAWQLPFLAILIIGTIIILKKQAPFRTDEGFVFGTVYKITYQSEDNLKEEIETELKKVDNSLSPFNPNSVITRVNHNEKTEVDSFFVHVFHLSKKISDETHGAFDITVAPLVNAWGFGFKKSTGVDSLIVDSLRQMIGYQKIDLQNNRIMKKDPRIMLDCSAIAKGFGVDAVARLLERKGIKNYMVDIGGEVVVRGKNSKMNAWRIGINKPVDDSLSVNQELQTVLAISDVGMATSGNYRNFYYKGGKKYAHTIDPRTGYPVQHSILSSTVIAKDCASADAYATAFMVMGLDSAKAFCEAHPELDAYFICSGEGDKYETYFTDGMKKFIINEK", "LPPSRFSHLIEDYDHWIAFGLLAFLGGRMIKESFSNEDKRCFDPTKLKVVVTLAIATSIDALAIGISFAFVGINSFTSILSPIVIIGFTSFVISTLGSLIGVFCGKRFNLRMELWGGLVLIIIGVKILIEHLFLS" ]
[ "GGTTCCTTTCATTTTAAAGAGTCCGAATGGAATCCCCGCCCATGTGGGCGGGGCCTG", "TGTTATTCGTCTTGTTTTTGGGCTTGCTGTAATTCCTTCATCTCTTCGTGTTCTCT", "TGTTAAAAAGTATTTCTCACGGCAAAGATAGGTAATATATCTTATTTTTTCTCTACCTTTGTACGCTAATTGTCTTTTAAATATAA", "ATAACAACAATAATACCATATAATT", "GAAGAGACATATAATATATAATATAG", "TGGACGATCGGTTTAAAATATAATTTCAAGAAAAAGTAGAA", "CTTAAACACAACAGAAGGA", "AAAAGAC", "AAAGTAAGTATAGAAATATATTCCTG", "GATA", "TAGGAT", "AATGAATAATCCCGATACAGTTGTTAGGCTTTATCGGGATTGTCCATTTCTTC", "ACCTATTTATATTAAACGGTCGCAAAGCTACAAAAAAAACTAGAAAAAGCATGGAAGTTTTCAAAAGAAGGTGTAACTTTGGCACAAATTATCTTAATCTCTGCTATGAGATGCAATTTTAACAAAGAAATTAGA", "TTTATAACAGGATTTTTTATTCGGGCGTGGATTGCGCGGGTTTTCACGGTTTTTCTTTTAAAGACCGTATCTATCCGTGAAATCCACGTCTTATTTTTTATTTTTCTGCCATAATTTCTTGTTGTCTGCCTGAGAATTTGTAATTTTGTCATATAATAGAGTGAAT", "TGAGTGATTTTCCGTC", "AGAAA", "TTATAGAGAAAACATTTTCCTTAATTAATAAGGATAAGCGTCCTGTTTCGTTTACGAAAATAGGACGCTTTTTTGTTTTAAGTTTTTTTGCTTTGATAAGTATGCTTTTGTACTTACTTTTGTTCTGGAATGTTTTTAATCTACAAATAATAAAAGAATC", "GAAACACTCTGAAAAAGCTTTTGTTTCAACACGAATCTCAGATTATCTATAATTAAAAGATAATCTGAGGTTTGTGTCGTTGAAAGACTCTCTCTGTTTTACTTCCGTAAATTATTGATTCTTGTTTATCTTTACTTTGAAAAAAACAAACTATA", "TTGGGAGAGGGAATAATCTGTTTATTATTGTATATACCTTCAAAAATTGTTTGTATTAGGACTTTCGTTTGAAGAAAGAGTTGGTCTGCTAGATGTGTAATTTTGTTCTGTAGACCGTGTGGCGTATAAAATTTGTAGAAAAATGGGGTTAAGATAAGGAAGTAAAGAGGTATTTGTGGCGCAAATTTCCCGTTTGGATGATTGCGCCATGAATAATATGGT", "AACTTAATGTCTTTTCTATTGGAATGTTGTTATTGATATAACAAATTAGAAGTTGAAAAGTTTGGAACATTGTTGAGTAATGAAAAAAAAGGGGCGGTGTAAAATAAAAGAAGAGATGATTAAATCATCTCTTCTATAGAGCGGAAGACGGGGCTCAAACCCGCGACCCTCAGCTTGGAAGGCTAATGCTCTATCAACTGAGCTACTTCCGCAATTTTTTGTGGGCAAAGATGGATTCGAACCACCGAAGTCGAAAGACAGCAGATTTACAGTCTGCCCCATTTGGCCACTCTGGTATTTGCCCATTCGCTTTTAAGATTCTATCTCAATTCTCTCATTGAGTAGGTTTGTTTCTCAATTGCGATGCAAAGGTACGGCTTTTTTTTGAATATGCAAATTATACAAGAACTTTTTT", "TGTTTTTTAGTTTTAGTGGTTTAATAAAAGAAAGAGGTTATCTGTACACAGGTGCAGACAACCTCTTTATATCATTAAGGTATATTCTTTTC", "TTTTATATTTACTTTTTTATTATTTTTCGGATATTCTTTTTCGGTCAGCAAAAGTAGTTCATTTCTATGTATTAATGAAATTTACGGGCATATTTTTTTAGTTTTTCCCGTTTTTATCTCTAATTTTGTATCCTCAATTAAATAGAAGTTCT", "CAGGATGGTCTTCTGCTGTTTCTTTGGTATCCCCGAAGTTTCCTGCAATACTTCTTGTCAGGTGCGGATTTTGACGGATTTTTCTTATCTTTCTCGTAAAGTCCGCACTTGAAGAAGTGTAATGTGTAAGAGTAGCTTATGGAACAGTTCGTATCACGATAGATT", "ATCTTTATTTGCTA", "TATT", "ATTGTTTGGTTTT", "AGCACTATTTTATTTGACTTACAAATTTGCTAAAAAAAAGCATCACTATCCTCATTCTATTTACTTATTTAACAAAGTATATTAAATAGTTAGGTTAAAAATAAAAAAGCACAAGCAGAAATGCCTATGCTTTTCATTTTTATGGGGTTTTACCTGA", "AAGTTCTGTTGTTCGTTAAGTTATAGTTTAACATACAAAGGTAACGATAAAACGAGATTTATTATTTATAGGTGGAAGAACTTTTATTTTTTTTATTGTTTCTTACCGTCTCCTAATGTTTAAAAGCAGAATACTTGCGGACTGTATAAAAAATAAATATATTTGCATTCAAAAAAAGGAGGAGTTT", "TATGCTGTTTTTTTACAGATAATAATGTCGGAACTCACCATAGATATTTTATTGGAAACCAACTGCTTTGTGTTGAATGGATATTAATCTGTGGTGAGTTCCGGTATCATTCCATTTGAATGGTAGCTGTTTGATATTTTGATACGCTCCTTTGGTGT", "ACCTGTATTTTAG" ]
[ false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, false, false, false, true, true, false, false, false, false, false, false, true, false, false ]
[ 0, 2, 3, 5, 7, 9 ]
[ 1, 4, 6, 8, 10 ]
[ "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124922|-|34147:34254", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124923|-|34258:34959", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124924|-|34907:35455", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124925|-|35457:36410", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124926|-|36414:36947", "2510065017|RJ2H1_RJ2H1-contig-063.63|CDS|2510124928|+|37390:39807" ]
[ "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000032|+|34255:34257", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000033|+|35456:35456", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000034|+|36411:36413", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000035|+|36948:37389", "2510065017|RJ2H1_RJ2H1-contig-063.63|IG|IG_000036|+|39808:39909" ]
[ "MTTLEIWLLAISLAMDCFTVSITSGIIMRRICWRTF", "MKKKRISTYITLLTLLSSMLLYSPTLAAQEKKTTIEEEKVPFYQGTTIGVDIFGLGSKIFGGDITSTEISVEVNLKNRYVPVAEIGYGTTDTTDDGTNIHYKASAPYFRIGMNYNFFFKKPYLPGFLYGGIRYGFTSFSYDVDAPTMKDPTWGFPEIPFSYDGIKTTVTWAELLAGIKVNVYKNFYMGWSLRYRIRMNIKKAEHTEPWYIPGFGKNNSTNLGVTYSLIYKLPF", "MKKLPAIILLLLITVSTLMQSCGESDCPLTTNSFAHFDFLDAETHQAVKFSPAFDVTGFITTDVIVRDTLEDGTIKETVVKDSLMNDTIFNKAESSMSLPLSYTSKTTYVLHYTEKMRDTITLIHQNIPYLQNIECGTMMFYKVEDIKYTTYNLKSIEIVNSDINNEEKKNFNIYYVVNATE", "MDISVVIPLYNEEESLPELFAWIQRVMDANRFSYEVIFVNDGSTDHSWQVIEKLRSESDNVKGIKFRRNYGKSPALYCGFEKAQGDVVITMDADLQDSPDEIPELYRMITEDGYDLVSGYKQKRYDPLSKTIPTKLFNATARAVSGIKNLHDFNCGLKAYRRDVVKNIEVYGEMHRYIPYLAKNAGFPKIAEKVVHHQARKFGKTKFGGLNRFFNGYLDLITLWFLSKFGIKPMHFFGFMGSLMFILGFISVIIIGANKLYALSHGLPYILITDSPYFYLALTLMILGTQLFLAGFIGELIARNAPERNNYKIEKEF", "MTNYKPTLQECAMRYGTGMGLLWAFKFMLFPLGLRIPFLQLLFIVLTIGVPFLGYIFAKKFRERHCDGSITFSRAFLFTTFMYMFASLFVAVVHYIYFRYIDGGFVFEAYRSILNQFKETAGTELTTSLNQFEEAIDLLSGLTPLEMTFQLISQNMFYGMLMAIPTALIVMRKKKNE", "MIESQIVARVLPSKCREAVKVLLQEVYGYEDFRNLEVYDDLFRGKEKLQLSQGQLIEEVIMEAEKGIKGDSSAHNLLLTAPTGAGKSLLFQLPAIYLGNEYKLLTLVVSPLKALIVDQVEALRELGYERVAYASSDLSPEQKNEVYRRVREGEVDLFYLSPELLLAYDISYFVGERRIGLVVVDEAHTVTTWGKEFRVDYWFLGRHLEALKNALGYVFPVFALTATAVWNPEGGNDMIFDTIRSLHLAPCALYVGTVKRENIGFDITAMTIEEGETYDKAKQRTVAARVEDFLDGHKTIIYYPFAGGIDMKLKTWVYPANWHWVASYYGKKDKEQKAEIIQAFKEGEKKIIVATKAFGMGVDISDIDRVYHVAPSSTFVDYIQEIGRAARDKNITGVAVTDFNERDFYYMKRLHSAGAISQEQLGMILKKVWEIYLMKGCSDEMQMSLSDFEFAVKLPRKKNKLEYESDLEQVVKTALLWIEEDLSFRHGGSPVEINSQTLFSDGYVQEKTGDAAFRKKYKQYMVPVKGVEGVYKVAFESLWENCFSEMGYREFKRDLYNGNLFEGVRAAAVGKHDVLLKESAADICSKLASLLKSLKDLLTVSLYGNKGKFEEDDLRSIFAAYDMDVPSAKRFITSLLESRVEEGRSVSYITSAKKKDEDKLMFTVTRGFDLLLSRYQKLCAQRITGKKGDRLLFYVTPFSDLNMLLNLLSMLNVVDFTVEGGVPSVGVRVRDAEILKKEAASGDYQNHVLENNEKIFQEQIELFRLFFGNTKLSDEQRWEFIEDYFTGMSLEGLKEKYSCVVE" ]
[ "TGG", "T", "CTT", "TCTTTATTAATAAGTGCACAAAAGTACTTCTTTTACGGGAATCCGTCCTATAGTTATGGGTGAAAAATGTATTTTTTCTGCTAAAATCAAAAAAAAGTATTCGAAAGTATTGTAGTTTTGAAAATAATGTCTACCTTTGCACTCGCAAAACAAAAATGGGTAAGTCCTATACGGCCAGCTCCTGATGAATCCTCCAGGGCTTGATCGCAGCAAAGGTAGTTGGTTGTAGCGGCGCGATATAGTAAGCTTACCCACCCCGCCTCTTTAGCTCAGTTGGCCAGAGCACGTGATTTGTAATCTCGGGGTCGTTGGTTCGAATCCGACAAGAGGCTCGGAAAAGCGAGAAGGACGAAGAAATCTTCATTCTTCTCGCTTTTTTTATTATATTTGCGCTGATATTAGTTTTAAGGTTGGTACAACCGTTATTTAAGAGTTCTTTTTT", "CAGCTGTAAGGGCTGTATAATATAACTCGTTGGCGAAATTAATTTTGCCTTGTATGATTTCACGTTAGTATATGAGGTTGTGCCAACGTTTTGACACAACCT" ]
[ false, false, false, false, false, true ]
[ 1, 3, 5, 7, 9, 11, 13, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 63, 65, 67, 69, 70, 72, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 105, 107, 109, 110, 112, 114, 115, 117, 119, 121, 123, 125, 126, 128 ]
[ 0, 2, 4, 6, 8, 10, 12, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 64, 66, 68, 71, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 104, 106, 108, 111, 113, 116, 118, 120, 122, 124, 127 ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124933|-|921:1094", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124935|-|1771:4239", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124936|+|4360:5664", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124937|-|5803:7503", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124938|-|7540:8307", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124939|-|8358:10019", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124940|-|10135:11331", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124941|-|11328:12104", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124942|-|12156:13280", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124943|+|13434:13811", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124944|-|14061:15491", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124945|-|15511:16335", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124946|+|16688:17536", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124947|+|17558:17908", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124948|-|18038:20038", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124949|-|20048:21709", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124950|-|21786:22583", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124951|-|22606:24735", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124952|+|25095:25904", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124953|-|26220:27182", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124954|+|28445:31069", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124955|+|31195:35598", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124956|+|35764:36648", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124957|+|36678:40133", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124958|+|40154:42163", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124959|+|42332:44065", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124960|+|44126:44758", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124961|+|44958:46640", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124962|+|46642:49728", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124963|+|49915:50469", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124964|+|50518:50802", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124965|+|50837:51790", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124966|+|51787:54036", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124967|+|54061:56031", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124968|+|56481:59660", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124969|+|59684:61459", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124970|+|61459:62754", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124971|+|62904:63914", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124972|+|63907:64593", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124973|-|64885:66456", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124974|-|66490:68292", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124975|-|68298:70544", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124976|-|70555:72546", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124977|-|72659:74668", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124978|+|75096:75473", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124979|+|75636:76826", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124980|+|76836:77627", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124981|+|77645:78022", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124982|+|78065:78898", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124983|+|78918:80771", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124984|+|80855:82057", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124985|+|82537:83370", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124986|+|83407:83529", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124987|+|83608:84141", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124988|+|84474:85892", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124989|+|86184:87710", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124990|+|87712:88404", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124991|+|88401:89438", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124992|+|89454:90329", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124993|+|90543:91283", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124994|+|91284:92405", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124995|+|92411:93580", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124996|+|93583:94467", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124997|+|94504:95283", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124998|+|95330:96466", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510124999|+|96517:97530", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125000|+|97527:98336", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125001|+|98446:98535" ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000001|+|101:920", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000002|+|1095:1770", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000003|+|4240:4359", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000004|+|5665:5802", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000005|+|7504:7539", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000006|+|8308:8357", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000007|+|10020:10134", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000008|+|12105:12155", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000009|+|13281:13433", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000010|+|13812:14060", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000011|+|15492:15510", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000012|+|16336:16687", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000013|+|17537:17557", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000014|+|17909:18037", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000015|+|20039:20047", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000016|+|21710:21785", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000017|+|22584:22605", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000018|+|24736:25094", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000019|+|25905:26219", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000020|+|27183:28444", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000021|+|31070:31194", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000022|+|35599:35763", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000023|+|36649:36677", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000024|+|40134:40153", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000025|+|42164:42331", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000026|+|44066:44125", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000027|+|44759:44957", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000028|+|46641:46641", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000029|+|49729:49914", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000030|+|50470:50517", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000031|+|50803:50836", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000032|+|54037:54060", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000033|+|56032:56480", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000034|+|59661:59683", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000035|+|62755:62903", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000036|+|64594:64884", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000037|+|66457:66489", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000038|+|68293:68297", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000039|+|70545:70554", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000040|+|72547:72658", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000041|+|74669:75095", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000042|+|75474:75635", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000043|+|76827:76835", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000044|+|77628:77644", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000045|+|78023:78064", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000046|+|78899:78917", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000047|+|80772:80854", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000048|+|82058:82536", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000049|+|83371:83406", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000050|+|83530:83607", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000051|+|84142:84473", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000052|+|85893:86183", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000053|+|87711:87711", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000054|+|89439:89453", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000055|+|90330:90542", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000056|+|92406:92410", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000057|+|93581:93582", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000058|+|94468:94503", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000059|+|95284:95329", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000060|+|96467:96516", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000061|+|98337:98445" ]
[ "MSKGIIVVEGAEEEHYNLLGKDRLHPIINVYPEENDIYNKLDSLLANPNFSIIRRQH", "MRLSELRTGEKGVIVKVLGHGGFRKRIVEMGFIKGKTVEVILNAPLKDPIKYRLLGYEISLRRQEADMIEVVSEQEARTMQNPYHGSITEDVPVSESELVALAKGKRRTINVALVGNPNCGKTSLFNIASGAHEHVGNYSGVTVDAKEGFFDFQGYHFRIVDLPGTYSLSAYTPEELYVRKHIIEETPDVIINVADSSNLERNFYLTTQLIDMNVRMVIALNMYDELESSGNKLDYIKLSQLIGVPMIPTVCRRGEGIDQLFHVIIGIYEGGDFLSQKGEIRSEILEDLRDWHKTYVPDHEFGSHKEEEDARPRGYMRHIHINHGPELERSIEEVKKAISQNEDIRHKYSTRFLSIKLLENDKEIENFISTLPNGKEIIAIRNKETLRIRKVMNEDSEQAITDAKYGFITGALKETFTDNHLEKEQTTRVIDSIVTHRIWGYPIFFLFLYIMFEGTFVLGDYPMQGIEWLVDQLGNLIRNNMAEGPLKDLLIDGIIGGVGGVIVFLPNILILYFFISILEDSGYMARAAFIMDKIMHRMGLHGKSFIPLIMGFGCNVPAIMATRTIEDRKSRLITMLVNPLMSCSARLPIYLVMIGAFFPNCASFMLLCIYTAGILLAVIMARIFSKFLVKGEDSPFVMELPPYRMPTSKSIMRHTWEKGAQYLKKMGGIIMIASIIIWFLGYYPQHDAYENVAEQQENSYIGQIGKAIEPVIKPLGFDWKLGIGLISGVGAKELVVSTLGVLYTNEGDVENVNLSNRIPITPLVALAYMLFVLIYFPCIATFAAIKQESGSWKWAIFTAGYTTGLAWLVAFTVFQIGSLIV", "MFHWNIQKYIEEKQLFTLHDKVLVALSGGADSVALLRVLLVLGYHCEAAHCNFHLRGEESDRDERFVNELCKGLGVTLHVTHFDTVTYASRHHVSVEMAAREMRYDWFEQLRKERGMAVIAVAHHRDDSVETFLLNLIRGAGINGLKGISPHNGCIVRPLLEVSRQDILDYLRCLRQGYVTDSTNLQDEYMRNKIRLNILPMLRELNPSVSESIAETSRRLTDVSLIYNKEIEAGKERVMEKSGHILISRLMEESAPAALLFEILHPLGFNSVQIGDVFRSLSAQSGKRFVSAGWEVLRDRTELIIRRRKPADEEVEENVPPFRLAMETQEIMPDFVIPRNKNTACLDADKVVLPLTVRKWRQGDKFVPFGMKGKKKVSDYLTDRKFSLFQKENQYVVCSADRIVWLVGERSDDRFRVTEDTKRVLIIRQWEDK", "MKKVLLILACGIAAQLSYAQATPKWAGKAKKAVFSIVTYDKDNKIKNTGNGFYINENGTALSDYTLFEGAERAVIINADSKELPVLRILGANSMYDIVKFNTETDKKTIALKSASQPASVGETVYLLPYSTQKAATCQTGTVTKVDTIGDKAYYYTLAMTTNEKTVSCPIMNANGEVLGLIQKNASDEAKESYAIGATYGASLSITALSLNDMSLNKIGIKKGLPETEDQALVYLFMASSQQNQDEYITTLNDFLEQYPNSADGYIRRATTYMGFNDDEHNALADADLKKALEVTANKSETQYNIAKLIYSYTISLGDKKPYGDWSYDKALSIIHDAMQADNQPIYTQLEGDILFAMKKYPEAYAAYEKVNQSSIASAATFYSAAKTKQLIEGTDMNEVIALMDSAVARFTKPYTSEAAPYFYERAEIKAQTGKYREAVIDYDTFYDAIGGRVTAAFYLQREQAEIQCKMYQQAINDINKAVEMTPEDVAMWVEKGSVHLRVGQHNEAIEALEKAISLDPKAAAAYRMLGYCQIQLKKNKEACANFAKAKELGDEVVDGLIQKYCK", "MLEKNEMIFGVRAVIEAIQAGREIDKVLVKKDIQSELSKELFTCLKNTLIPVQRVPVERINRITRKNHQGVVAFISSVTYQKTEDLVPFLFEEGKTPLFVMLDGITDVRNFGAIARTCECAGADAIIIPSKNSVSVNADAMKTSTGALHTLPVCREQNLTNTIKYLKDCGFKIVAATEKGDYDYTKANYKDPVCIIMGAEDTGVPYEHLSLCDEWIKIPLMGKIESLNVSVAAGILIYEAVKQRGFTEDKTASAL", "MLQSIYIQNYALIDTLDISFTPGFSVITGETGAGKSIILGAIGLLLGQRADIKAIKKGANKCIVEARFNISAYQMEPFFTQRDLEYDPDECIIRRELYASGKSRAFINDTPASLAQMKELGEKLIDVHSQHQNLLLNSEGFQLNVLDILAQDDNELSAYKDIYTEYRNVCKQLADFITQAEQSRKDEDYIRFQLEQLDDANLQEGEQTELEQEAETLNHAEEIKAGLYKVDQIMASEDASLLSATKECMQTLHNIARVYTRAQEWIGRLDSCYIELKDLSHEIAGAQEEVEFNPTRLDYVNERLNLIYSLQQKHHVQTIEELIAVQTDYHEKLNAITSFDDRIAELTAQKETLYDKVIKQAAVLTKLRSQSGKHIERQMQSLLVPLGMPNVRFVVELNPRKEPDSKGMDSVTFLFSANKNGTLQNVASVASGGEIARVMLSLKAMIAGAVKLPTIIFDEIDTGVSGSIAEKMALIMQEMGKQNRQVISITHLPQIAARGITHYKVYKEDTETGTNSHIRRLTDEERVKEIANMLSGATLTEAALNNAKALISG", "MSMKGKKIVLGITGSIAAYKAAILIRGLIKKGAEVQVVITPAGKEFITPITLSALTSKPVISEFFAQRDGTWNSHVDLGLWADAMIIAPATASTIGKMANGIADNMLITTYLSMKAPVFVAPAMDLDMFAHPSTRKNLDTLRSYGNHIIEPAEGELASHLVGKGRMEEPEKIVEILEAFFAKQQDMAGKKVVITAGPTYEKIDPVRFIGNYSSGKMGFALAEECASRGAEVSLISGPVTIQAHHPNIRRIDVESAGEMYEAAIREFPTASAGILCAAVADFTPETVAGHKIKREKDNLTLQLKPTQDIAAALGNRKKDGQTLVGFALETNDETKNAQRKLERKNLDFIVLNSLNDQGAGFRCDTNKITIIDRQGATPYPLKSKQEVARDIIDRLVNNK", "MKLNLKNPIVFFDLETTGTNINTDRIVEICYLKVYPNGNEETKTMRINPEMHIPEQSSAIHGIYDADVADCPTFKEVAKEIARDIEGCDLAGFNSNRFDIPVLAEEFLRAGVDIDMMKRKFIDVQVIYHKLEQRTLSAAYKFYCGKNLEDAHTAEADTRATYEVLKSQLDRYPEELQNDMAFLAEYSSFNKNVDFAGRIVYDDKGVEVFNFGKYKGMSVSEVLQKDPGYYSWILNGDFTLNTKNVLTKIRLRESGMMK", "MKFIVSSTGLFSHLQAISRVINSKNSLPILDCFLMELTDGTLSLTASDSETTLSTSLEVNESDGDGRFAVSSKTILEALKEIPEQPLTFLVNTENLEITVQYQNGKYSLMGQNADEYPQAPALGANAVHVTMGAPVMLAGINRSLFATADDELRPVMNGIYFDITTEDITFVASDGHKLVRNKTFVAHGDEKAAFILPKKPATLLKNLLPKEQGDVQIDFDDRNATFTLENYSMICRLIEGRYPNYNSVIPQDNPHKATIDRMMLISALRRVSVFSSQASSLIKLRLSENQIQISAQDIDFSTSAEETLTCQYTGSPMSIGFKSTFLIDILNNISAQEILFELADPSRAGVIVPVEQEEKEDLLMLLMPMMLND", "MELAGKVIAVLEPRGGVSKTGNEWKVQEYVIETHDQYPKKMCFDVFGADKIAQFNIQAGEELNVFFDVDAREWNGRWFNSIRAWKVERVGAQGPVAPDAPFPPMNAAPAAPVDFAATDEKDDLPF", "MKIKYLCALLLAALIYSCDDSTTGIGTDLIPGGDKIPANTDSYEFTTKSLLADSVYARTSTAYLGRYTDEQFGEFTADFIAQFTCMDNFKFEEELTKVIGVNISLQYGSFFGDSLNAMRLQVDTLDKVIPEKELSTFYTSVDPKDYYNEKGKPIAVKAYSAVGPSTSKDETTTTSSGVKQRTIIQTIKLPNSLGDHIFNKYKENKEYFKTPESFIKNVLKGVYIRCTHGDGTILYIDGLSLNLNFEALIESSSGKRDSLVYKSYFFGATKEVIQANHFSNGNRLEELAQDPDHTYLKSPAGIFTEATFPIAEIYNEHKRDTLNGVNVSFTRYNEKESKYKMGIPQYVLMVRKKDMFSFFEENKIIDNKTSFLSSYSSSNNTYTFTNIAPLITYCIEERKKGITAAGGDPEKEEDGKEWDAKNPDWNRVVIIPVKAVSNSNNEIVEISNSLGMESAMLKGGTNPENKLKMQIFYTTF", "MKANKVLFITQEITPYVPESEMANMGRFLPQAIQEKGREIRTFMPKWGNVNERRNQLHEVIRLSGMNLIIDDTDHPLIIKVASIQAARMQVYFIDNDDYFQHRQMVADENGVEYKDNDERAIFYARGVLETVKKLRWCPDIIHCQGWMSAFVPLYIKKAYQDEPSFRDSKVVFSVFEDDFKSDCEGNLTEKLMLKGIEKNDVESIVKSPANYEELCKLAIAYSDGVIQNSEKVNENVMKFARESGVPVLDYQPADTYVDAFNEFYDKVWEFEKK", "MKLVQTIKELQSELDALRSEGKTIGLVPTMGALHAGHASLVKRAVAENDVVVVSDFVNPTQFNDKNDLAKYPRTLDADCELLEKVGAAFVFAPSVEEIYPEPDTRQFSYAPLDTVMEGRFRPGHFNGVCQIVSKLFMIVNPTRAYFGEKDFQQLAIIREMVKQIGFNGLEIVGCPIVREEDGLALSSRNARLSAVEREYALNISQTLFKSCTFAKSHPVAETQKFVEDAIAAAPGLRLEYFEIVDGTTLQKITDWEDTDYAVGCITVFCGEVRLIDNIKYKG", "MMIEVLKSKLHCVRVTEANLNYMGSITIDEDLMDAANMIAGEKVHIVDNNNGERFETYIIKGERGSGCICLNGAAARKVQVGDIVIIMSYAMMDFEEAKSFKPTVVFPDSAMNKIV", "MNAYNSITPETIQEDMRYLQLLSHSFPTIADASTEIINLEAILNLPKGTEHFLADLHGEYEAFQHVLRNASGAIKRKVNEIFGNTLRENEKKELCTLIYYPEQKLDLVKAVETDLDDWYVITLNQLVRVCQNVSSKYTRSKVRKSLPKEFSYIIQELLHENSMVPNKQAYINVIISTIISTRRADDFIIALCNLIQRLTIDTLHVLGDIFDRGPAPHRIMDILCDYHNFDVQWGNHDILWMGAAAGNDCCMANVLRLAMRYGNLAALEDGYGINLLPLATFAMETYADDPCTLFGPKVEKEDCTYNAKTLRMIGQMHKAISVIQFKLEAEIIRRRPDFEMDDRMLLHRIDFERKTITMPNGKEYELKDSFLPTVDPADPYKLTDEEREIMNKLHRSFVSSEKLKKHIRCLFRYGCMYTVSNSNLLFHASIPLNADGTLKDVSIAGKTYKGKALLEKVGHLIRTAFFAEEDNEDRPFAVDYVWYLWCGKDSPAFDKDKMATFERYFLKEKELHKEVKGHYYSLRNEEKVCDMLLDEFGVIGTHRHIINGHVPVKTIQGENPIKANGKMMVIDGGFSKAYHSETGIAGYTLVYHSRGFQLVQHEPFTSMQKAIEEGQDIKSSTQIVEMSTQRMMVKDTDKGRELVTQINDLKKLLMAYRTGLIKEKSI", "MEWLNSLFFEHTPLQAVVILSIIIAVGLGLGKIHLFGISLGVTFVFFAGILAGHLGFSIDPNMLNYAESFGLVLFVYELGLQVGPGFFSSFRKGGVQLNMLGIGVILAGTVMTVLFSKLGGIPMSDMVGILCGATTNTPALGAAQQTLKQMGEPASGAALSCAVTYPLGVVGVILAMLLVRKLFVRPSDIDIHEHEDTNQTFIATFKVHNPAIFNKSIKEVALLSYPKFVISRLWREGTVSIPTSEKILKENDRLLVITTEKDAPSLTILFGEQENQDWNKEDIDWNAIDSQLISKHIVISRPEINGKKLGSLRLRNSYGINISRVMRSGVQLLATPGLILQLGDRLTVVGEAKAIENVEKVLGNAVKTLKDPNLAAIFIGIVLGLILGSIPIAIPGISTPVKLGLAGGPIVVGILIGCFGPRFHLITYTTRSANLMLRGIGLSLYLACLGLDAGAHFFETVMRPEGAIWIAVGFAITFIPVVIMALVALRISHLDFGSTCGMLCGSMANPMALNYANDTLPGDNPAVSYATVYPLSMFSRVIIAQLILMFFI", "MEEDIETCGWFVFYKDQLLIEKKNGMYTIPFGTEPPMPVPVGSTIHTIGEIEGRTAKTFSVHAPVPGSENDRHLMMDLRSSYDVLPWEEYNVGGKAFQILNWDKNSRYCPMCGVPTVQISPIAKKCPQCRQEIYPRISPAIIVLIRREDSILLVHARNFRGTFNGLVAGFLEPGETLEECVHREVLEETGLHIKNLKYFGSQPWPYPSGIMIGFTADYESGNIKLQQEELNAGAFYTKDNLPEIPKKLSLARKLIDAWLEEKDHL", "MELFNKMIAAALKVSVHQVDNTLSLLDGGATIPFISRYRKEATGGLDEVQIGEIKDRNDKLCELAKRKETILSTIEEQGKLTEELRKRIEQSWDATEVEDIYLPYKPKRKTRAEAARQKGLEPLATLLLLQRENHLDSRLPAFVKGDVKDEEDALKGARDIIAEQVSEDERARNQLRNQFSRQAVITSKVVKGKEEEAAKYRDYFDFSEPLKRCSSHRLLAIRRGESEGLLKVSISPDDEECAGRLEQMYVRGNNECSRQVGEAVRDAYKRLLKPSIETEFSALSKEKADEEAIRVFAGNLRQLLLAPPLGQKRVMGVDPGYRTGCKIVCLDAQGSLLHNETIYPHPPKNEYSQAARSIVKLVEQYQIEAIAIGNGTASRETEQFITSQRYDRELQVFVVSEDGASIYSASKTARDEFPEYDVTVRGAVSIGRRLMDPLAELVKIDAKSIGVGQYQHDVDQTLLKKSLDQTVESCVNLVGVNLNTASRHLLTYISGLGPALAQNIVDYRTENGPFSSRKELLKVPRMGAKAFEQCAGFLRIPQAKNPLDNSAVHPESYPIVEQIAKDLNCTVDELIKSKELRSRIDIKKYVTPTVGLPTLTDIMQELDKPGRDPRQQIQVFEFDKNVKTIEDLTEGMELPGIVNNITNFGCFVDIGIKEKGLVHVSQLADKFVSDPTTVVSIHQHVRVKVMSIDLERKRIQLTMKGLNQ", "MSKKIMTKNTDKQAIDNLADNFNGYAALLRKIKQRVLVAQQRAIYAANEEMLRMYWDIGGMLRQSQDADGWGKKTLQRLSVDLKNDYSEIKGFSVRNMQCMIQFFNEYNQELTMVKGADSSIAQSMIAQLGEYNFSFPIKHLGWTHNLILLQQVKDIRARYWYMVQSITSHWNTRYLQEAIKLDDYGKHGALANNFTETLPVPEVNDVKSMLKDPYIFDMLTFTDQYNERDVEIGLVKHVEKFLVEMGAGFAFMGRQYHIEVSGDDYYN", "LHQQIPLASRKISVPSGTFLFMGVRYTNRAITTEQQIDILKERGLLIDDVERAVKALDIISYFRLAGYWRHFEADHTTHQFREGCRFADIIDLYSFDKQLRALLFTAIQTIEVAVRTKIIKHFALEFGAFWFMDENFATNEARFATNLAVIRKEVERSHDDFITEHFRKYNEPELPPVWKTLEAISMGTLSKLYSNFSNATAKHAVAREFGLNHHKFLRSWLECLAVLRNCCAHHSRLSNHVFPVKTKMPERMPNTWIADFSFREQTLYPQLCCVVYWLNSIVLENTFITDFKQLLISHPSVKTRLLGFPRNWEQEPLWR", "MKKLFILLVCLLPVLAQAQMETSVAGFIPLSGSGRIVYNFNPGWRFHRGDIKGAEAVRFDDTSWQVVSVPHTVELMPAEASGCRNYQGVAWYRKHFVIPQDMKGKEVSLHFEGAMGKQVIYLNGKRVQEHLGGYLPFTVQLTEQGVQPGDSCLLAVMTDNSDDKNFPPGKRQYTLDFAYHGGIYRDVWMIGKSSVAITDALEADKVAGGGVFVHFDNISGKKAEVYVDTEVHNSGKRTRTVAVETVLVDAGDVPVKRVSQQVKLQAGESKTVRQRFAVRNPKLWSPDSPYLYRIQSRVKAGHEVLDGGVTRVGIRKAEFKGKDGFWLNGKPFGQLVGANRHQDFAYVGNALPNSQQWRDAKRLRDAGCTIIRVAHYPQDPAFMDACDEMGLFVIVATPGWQYWNKNPEFAKLVHRNTREMIRRDRNHPSVLMWEPILNETPYPRDFALEALRITWEEFPYPGRPVAAADVHSKGVAENYDVVYGWPGDDEKADRPEQCIFTREFGENVDDWYAHNNNNRASRSWGERPLLVQALSLSKSYDEMYRTTGQFVGGAQWHPFDHQRGYHPDPYFGGIYDAFRQPKYAYYAFRSQSAATLKHPVAECGPMVFIAHEMSPFSDADVVVFSNCDSVRLSVYDGTESRTLPVVHAQGHMPNAPVIFKDVWDFWEAREYSYKQKNWQKVNMVAEGIIDGKVVCTYKRMPSRRSTKLRMYVDTEGKQLVADGSDFIVVVAEVTDDSGNVRRLAKENIVFTVEGEGRVIGDASINANPRTVEFGSAPVLIRSTRKPGKIKVKAHVQFEGTNAPVATEIELESIPSELPFCYTEEETDAQSAGAGLAGSPVRTERMAGKVVLTEEERQKVLMEVERQQTEFGTEK", "MKKYILIILCCLTSFPLWSYNVNMIVEHYSVDQGLPNNTVNCTLKDRDGFIWFGTWYGLCCFDGVKFKTFNKQEHDSDVPPRKIQRIVEDKNGYIWVKTIDRKLYVFNKVTECFHAVYDDMKNYSENIQVIKLQNTAEGDVLLLTKDKNLLLAGVDEKGQVTIKILFDSRDEINKHDYTLKHHVLCETEEYISWIGTDYKVSAVHKGAELASRPADFITSKINSGDKDSFTSFFEDGRKVWVGDRAGIFYSIDVRTGLVNRYVLSEIKGAISNLLVTPAGYVYLSVAGQGTYEYDLAERRLQKINTEMNDAMVTHAFIDQYDKIWFHENEKALIYYDPLNHTAKRFPFTMFGKITTLYSEDAGERGLFFLSPAGEAWLFDREHAEMVYINKLKQLSNDRANQQFYHLMLDNDGVLWLSSADEGVYCMNFPKKQFNLLSLSPQSAGQENYTIGVRALFQSKSGDIWVGTRWQSVYRMDRNGVTKHVFSTGDEHIGNVYHIMEDDKGNLWFSTKGDGLVKAVPDEKAAGGFRFKRYLHNLSDLSSISGNDVYFTYQDEKKRIWVGTLDGGLNLLCEENGEVTFKNKYNGFKNYPTYGLYMEVRNMIEDNDGRMWVGTMDGLMSFKNNFASVEQIDFETYRGANRVNYADSDVYALYKDEFSQIWVCVFGGGLSKLSGYDEETHKLSFKSYGWEDGLNNDVVMSIIEDDNGFLWLATEKGLSCFDRATSQVRNYDKYDGFPPVVMEENTALKTLDGELWLGCKEGILTFSPDKLETKKVDYNTFIVGCQISNRDIRSYTEHPIIDRSITYTDRITLNHNQSMFTLEFAALNYNNQNRVSYKYILEGYEKEWHYNGKNRIASYTNVPPGKYLFRVQTLDEANPGLESFRELTVVILPPWWASWWAYVIYMIIAVALLLVAIKLSLFMIKVKNDVYIEQKLSELKIKFFTNISHELRTPLTLIQGPIQELREKEKLSQKGMQYVDLMEKNTKQMLQLVNQILDFRKIQNGKMRLHVSLFNLNEMVDSFEKEFRVMAEENEVSFTFQLAGEDIMVWADKEKVAIVIRNIISNAFKFTPAGGNIYVTMGVSDDDRHCYVRVEDSGVGIPQSKLSEIFERFSQADNARGAYYQGTGIGLALSKEIISLHHGEIYAESPEGKGAVFTIELQLGKEHYKPSEVDFYMGGETVSVPEAESVSASAGKESEEEKEQPVDSSLPTVLIVEDNKDLCNMLKLQLEDKFNIYMANDGVEGLKKVHLYHPDMVVTDQMMPNMDGIEMLQRIRKDFQISHIPVIILTAKGNDEAKTKAISMGANAYIIKPFSKDYLVARIEQLLNERKLFRERVWQQPEEHKEEQDTYEQFLVKKDVQFIEKIHQVIEENLDNSDFNIDTIASTIGLSRSAFFKKLKSLTGFAPVDLVKEIRLNKSIELIKNSDMSISEIAFAVGFKDSGYFSKCFRKKYDQTPREYMNEWRKG", "MNVKKIISLIMLLFMLLPLGAQNSEGKQCYKIAACDWMMLKRQKIGSFQLMKELGGDGIEMDMGGLGKRDTFDNKFHQPHFCKLFKETAQEQHIEVPSVAMSGFFGQSFLTHHNYKALVQDCLNTMKVMGAQVAFLPLGGIKEDWTVAGDARQELVSRLHEVGEMAVKDGVVIGIRTPLDAGGDIKLLKEINSKGIKIYYSFQNALENGRDLCKELKKLGKDRICQIHCTDTDGVTLPYNTRLDMNAVKHTLDKMGWSGWLVIERSRNKDEVRNVKKNFGTNVAYLKQIFQQEK", "MKKENAFLQKLDKIGNLTQVVCLSLFLTGVSVQGVSAETGFPISQSVQQTKTVTGQVVDETGEPVIGASVVVEGTTNGTITDFDGRFALQVPSGKKVVISFVGYVPQTIAPKQGKDFRVVLKEDSKMLDEVVVVGYGTQKAKDVTGSIGVITPSEISDLPVSNLGAALAGQIPGLSISGGDSRPGEGATMSIRQSFSYSKDGGSTNPMVIIDDVIQIDANSGLPTLETFNALDPSEIESISVLRDASAAIYGSRASQGAIIVKTKRGKSGAPKINYSGKFGFNDAVGHPKTLKGAAYGRFANSFNLANNKISMDPDGNWMNKIYNEAELAEMDGLNYNWLDEAWSGAFTMNHSVNVSGGSEKATYFAGASYYTQGANLGKQDYNRWNFRAGVDIKLTSDLKFSATIAGNQQETTSSFTKGLSNLNGYGGTKPGESGDYLVLAHMPNYLPWEITLDDGNTYYTSPLLNSYSSAGNATSGNKMSTWNYFAMENNDGSYSTNDNFSYDANFSVTYAVPFIKGLSFKGSYALKRSASDSEQAFMPFTLAYLKASDALTPGNRFYSDHPSVSDYQLKEFTGSTRVVYSDQMAKNEQLNFYVNYEGKFGKHSIAAMAAVEKMQAYMHSKRMLFNNPDPDGYLGTSPSAGAMDTGNSITYKYKQGSLSYLGRVSYNYADKYLFQFLFRSDASTKFAPANYWGFFPGVSVGWVASEEEFMKKLLPSWFEYMKVRFSWGRTGKDNIKAWGWKQLYSLDPSRGYGFGSNGGILQTGIKPGATPNPDAHWDNTDKFNLGFDLRFLNNRLSATVDVYYDINSDILNQNIGGIIGTPIFAGGALTEVNFGRIDAFGSEFSLNWRDKIDQVKYNIGVNFGFNGNRVREWPQSAPSYIQENSVREGASTIFPTYGYKVWRGTSSGDGILRNSDDIENYWNYLSANAEAAGTSPEYLGVKDASQLKPGMLAYQDLGGVLNEDGTQSGPDGRIAKTQDYAKLNKSGKTYGFTTKLGAEWKGISFNMMIATSWGGYRQIDVNKITTSSGDMLWTPDSFWEDMFDERNNTTGKYPNLGLDNRISGSVIAPSDFWSISTFRCYIRNLSIGYTLPKAWLSPLKIQSAKLSLTGNNLWDFYNPYPDHYRNMYCGTTSLYPTLRTWSLGVNVSF", "MKKRILYTVLLAAGLAFSSCSDQFLQDMNPYDSYSPEKTFGIESNLDLYIQNVYYNYFYKSGMTPPQSYGLSGNWNDYSTYTEEKWGIEKKFDASRSLKKATDCETYFGSNLATNIKNDPYSRIRSCNEILEGVDKYGQDLSEAAIKKAKGQAYFFRAMQLFDLVRVYGAVPVVNKVLMAADREGAKDYNRESVETCVNQILSDLKEAANLLPTRKEWGSDQYGRLTKEAALAYRSRVALVFASPIFNADWNNTGSKRWKDALDITLEAQAFLSSEGYGLYGNSAKDWNEMFYKFDNQECKEVIMVKLLASSTSKNDEHSGWQKTIRLKTMGGSGSGYHVPMGMLDIFPMADGSKAVNDDGEAINGYDRSLFFKNRDPRFYYTFTFSGAKWGYDQDADAVVWNYRWSETKEDGSQLHYYTENEGSSPAIVRKMSDPAENSANTYQWDGTDVYEYRYAELLLNLAECYAATGDISNSVKTIGEIRARVGIPASNNYGLGTITDKNEAIKACLRERQVELAYEGKRYWDLWRWMLYNDDASDNNTTCTTLGIEPLNGTARVGKYLQVKDYDGKADPLVSVIADFEPVDVDNAADLQAEMNRLGEFWSQHFVLQDRETPVDNVNGQEAVISWQENYYLSGLPSNVLNMNPWLEQSKGWLDYYESEGTLDARK", "MKRKMFLGLCMATFIAPVAMAQYPQLTEEAKQAYQKMMSEERRRSDEAWAKALSVVQKEAREGRPYISWASRPYDLPQARIPAFPGAEGGGMYSFGGRGGKVITVTNLNDRGPGSFREACETGGARIIVFNVSGIIKLESPIIVRAPYVTIAGQTAPGDGVCIAGESFWVNTHDVVVRHMRFRRGETKVWHRDDSFGGNPIGNIMIDHCSCTWGLDENISFYRHMYDPSEGQYESKDLKLPTVNVTIQNTISAKALDTYNHAFGSTLGGENCAFMRNLWASNSGRNPSVGWNGVFNFVNNVVFNWVHRSSDGGDYTAMFNMINNYYKPGPATPKDTPVGHRILKPEAGRSKLDHKVYGRVYADGNIMEGYPAITEDNWAGGIQIETQPNTDGYTENMRSNRPFEMPYIRITSAHDAYDFVLKNAGANIPCRDIVDERIVEEVRTGVPYYDKKMAKDANGDLTGLAPKSMGEDGQFKYRRLPKDSYKQGIITDIRQMGGYPEYKGTPYVDTDGDGMPDEWEKANGLNPNDPSDANKDCTGDGYTNIEKYINGISTRNCIDWSDLRNNYDTLASKGKLM", "MAVVITAGAVDLDREGRDPAYVESIVKRSQKIVDKLELTDTVAAREVTTIIANRYFKLNDIYETRDAKVKLAKETLTGDAKQEAVKAAEAEKDAALYRTHFAFPADLSLYLDAKQIDAVKDGMTYGVVMVTYKATVDMIPTLKEEEKAQIMAWLVEAREFAMDAENSNKKHAAFGKYKGRINNYLSKRGYDLVKERKAWYERIKARGGKI", "MNQLKKLFAVAALTACVLPVAAQYPVIPDSVKIRGEEQQKEIDRKSDEAWAKALPVVMSEAAQGRPYKPWASKPEDLIKSNIPAFPGAEGGGAYTPGGRGGKVIVVNSLADSGPGTLREACETGGARIVVFNVSGVIRLKTPINVRAPYITIAGQTAPGDGVCVTGASFLLDTHDIIIRHMRFRRGAQDVFFRDDALGGNCVGNVIIDHCSASWGLDENMSVYRHVYNRDSTGHGLKLPTVNITIQNSIFSEALDCYNHAFGATIGGHNSMFCRNLFASNISRNCSIGMNEDFNLVNNVTFNWWNRSVDGGDETSRLNIINNYFKPGPITPKDKPIAHRIVKPESSRDKKKPDTFGKAYVAGNVVEGNARVTKNNWDGGVQVYDMPDAGKFTDQIRVNEPFSMPHVTIMDAKTAYNYVLENAGATFPKRDAVDARVMKTVKTGKAIYVKDAPEFVSTYVKRRLPVDSYKQGIITDPRQVGGLPEYKGTPVVDTDGDGMPDVWEVRYGLNPNDPGDAVKDCNGDGYTNIEKYINGIDPAKKVDWTDIKNNHDTLAKRKSLM", "MNYKLLLFGFLSLGFARISAQTFPLQVKEEKLTYVTDERGNRILDYSSCGYRNSEYPIPDVANAVFVSWKPGDNSSRIQRAIDYVSSLALDKNGFRGAVLLDKGTFELNESLRISVSGVVLRGSDREQTVLLKKGVDRGALLYIEGRNDLAVTDTLDVLTSYVPVNTCTFQVTNNVQLVSGERVRIVRPSTKEWIASVGCDIFGGGISALGWKEGEMDLVWDRSVSKADGNQLTLDAPLTMALDNKWGTVKVLRYSWPGRIAEAGLENLTLASDYDKKYPKDEDHCWTGVSIENAENCWVRRVNFKHFAGSAVIVQRTGSKTTVEDCVSTEPVSEIGGMRRSTFYTMGQQTLFQRCYSKQGIHDFSAGFCAAGPNAFVQCDSEESLGFSGSIDSWACGLLFDVVNIDGHDLVFKNLGQDKNGAGWNTGNSLFWQCTAAGIECYSPARDAVNRAYGCWAQFSGDGQWAESNNHVHPRSLFYAQLAARLNKDCSDQARILPRATNATSSPTVEAAMEMAKEAYTPRLTMQKWIEEAPYTASVSSGKLKSLEDLKFKTPIYKEKEDHLFAIINGRMQVDGRLLVGGRQEVPWWNGKLRTSFLSKAKPHVTRFVPGREGLGLTDRIDSTVNYMVKNQILVLDHNYGLWYERRRDDHERVRRRDGDVWGPFYEQPFARSGEGTAWEGLSKYDLNRPNAWYWNRLKQFAEKGAEKGLLLFHENYFQHNILEAGAHWVDCPWRSANNINQTDMPEPVPFAGDKRIFVADMFYDISHPVRREFHRKYIRQCLDNFADDANVVQLISAEFTGPLHFVQFWLDVIGEWEKETGKKATVALSATKDVQDAILNDTQRAKLVDIIDIRYWHYKVDGLYAPEGGKNLAPRQHARKMKVGKVTFDEAYRAVSEYRKKFPEKAVTYYAQNYPDMAWAVFMASGSCSVVPVADESFLTDAAAMDMEDTGTNKYQKLVKSGIGSIIYSHSATDIPVHLSPGKYILKSVDPKTGAITVIAKRLNIKDIYMLKAEENKDCIYWFHRI", "MEQNLNDKTACLVTAFQSGDVSAFSTLYDMHINLLFNYGCKLTTDKELLKDCIHDVFVKLYTKKAELGIIDNFKSYLLISLKNKLCDELRRRMFMSETAVEELNPVAAGDDVEHQYLEKEKSCFENIKVKHLLAQLSPRQREALTLYYIEEKKYEDICTIMDMNYQSVRNLMHRGITRLRELVG", "MKNDCNVDTKKMYSKVEDFLKDDDFIKYVLDDAPESAFHWDKLLKEHAELLKVFEEAKNVLLVSDEAMEVMTLSEERKLKHRIFTTLEINNMDY", "MKKCPKPLGYGIRRTPVVLGLSAALCMPAAFSYANVGGRMDSESVQSVLQTHTVKGTIVDETGEPLIGVSVIVKGQATVGTITDFDGNFVLDIPTGKGMLEVSYIGYKTQTVTIGKNTQITIKMEPDTQALEEVVVIGYGAVKKRDLTGAVSSVKNEDITLTPATNPMQALQGRVAGLDITQASGQPGEGPKLQLRGTRSFTASGDPMFIIDGMPGDYSTLNPNDIESIEVLKDASSTAVYGAAGANGVIIITTKSGKAGKININLNAYVGFNGWATVPEMRSGDVISMYFVKQTKLPEHILRMRHYFLPPKLIRHI", "LNGQYIDWADELLQTGVTQNYSLSVSGGTEKTKAYFSLNFSDEQGQFTGDDYKVYSTNMRIDHEIKKWLSVGVNMQGSYVYRNKAYSRFINSLVSVPLGTVYNEDGSINVTPVPGDGNTINLLLNQDKSVYRDNNQNFKLFLNPYIEIRPFKGMTIQSRLNASLGYDKKNYFQGIGSYQYYASDGPNASGTSASVYAQIDQNRSYNYKWENIFTYNFNIKKDHEFTVTAVTSWNHNQTDKSWQKQDNIKNNSYLWHNMDGTGIVYSNYTMSKGLGLVGRINYSYLGKYLFSASVRQDGSSRLAKGNKWSTFPAVSLGWRISDEKFMQGTSNWMNNLKLRLGYGVTGAASIDPYSSVATVELDGYYSLGGQKTNSYKFSENVANADLTWERSHNWNIGLDASFFNNRIDLSADYYITNTDGVIWKQNLPVVNGAYNASKLYYMSKNIAKTQNHGLELTLNTRNIVNKEFTWTSALTFTLNNEKVKSLIGGTADHVKNEDYYLSIGYPVNSFYAPKIDGMWQLGEETDAAAFGCAPGDIKINVPGMIKEADGKFYKVGDDGQPLTDKNGDIIYYTKDNKYTYSDADSQVLGHNAPKWTMGFQNSFTYKNFDLTIYAYFRWGQMINYEMLGWYDSTGKGNFPTYFNYWTESNPSNDFPALNANRETKSYIGYGSLNYVDGSFFKIKNITLGYTFPERLLKNAGISKCRLYATITNPLTVAKSHLLKDYDPEMNGALKYPLSKQLVFGVNVSF", "MKRSLYNMALAICTAAAMYSCSLDEYNPSDTSGEGELKTVEGLKNLGTYCYSPLYDQMFSASDYLAVAETGTDLWLTQNNKTTLQQLFYYEGLTTSTNATDKLFSQAYACINTCNAVINRAADVTEGDKNVLKVVVAEAKCLRAYYYLVLVTNYGNVTLVTTESTDTKIMNPTRSSQEEIYNLIITDLQEAAADLNTTPMDGNYARVTKKAALGLLARAYAQGAGEGLSENGVSYWQRAKEVAEDLIANMASYNAYLYDDVEDVWAQSNNRNNKEALFIASGPQAGTDAFTYGSYGANKLFTFTFCDPNKLSDIYPVGSKQNYFYGRVNNNYYAPSKYLVDCFDARYDKRWENSFTTAFSLFSMVQAGWRTYDDKNATITLTEDLCTKYGIDSKFVGKKIYPYVDVNAINLGSNGGNQYVASVWPKGEYSGDVNKLVKVKNPYVNPYPLAEDEDRFIVYLSKEYLSDAEKAKRGYICVNIDDLFAADGKYKETFIDAQQTNTYTLFPSLNKFNFNFEGGFYGSNLQCKTGDMFIMRMAEVYLIAAEAEERLGNGAKAAEYLNVLRKRACRNEADFEANMKLTTATEDDVLDEYARELCGEFTRWALLKRHKAFETRLPKYNPRAAVNFSQKNYLRPISYTFLNQIENSAEYGTNGY", "LLTIIIEIKSMKKSPKQNWESNIRRTPIILGLSAALCMPSAFSYANASDPVAGELVQSVQQGRTVTGKIIDDTGEPLIGVSVLVKGTTVGTITDFDGNYSLEVPSGKHILVISYIGYKTQDITVGKSNQLNIKMEADTQALDEVVVVGYGVMKKRDLTGSIASVKAADIVKSPASNAMEALQGQVPGLDIVRNSGKATSGVTINIRGQRSLSDVKDEFGNNVANAPLFIIDGMQGGDFSDIAPADIESIEVLKDASSTAIYGSQGANGVIIITTKKGAQGKTKFSYNGYFGVNGWAQYPDMLSGEDYMQVRREAARTGGQWNSTADDQKLFTVEEWQAIQNGEWTDWIDEVLHTGLVQSHQVTASGGTEKTTAMLSAGYYQEKGSFKNDKMDKYNLRMNIEHKLGKTVKVGATTQITHYAQDERAENVLWRAATNAPLGKAYDEDGKVVEYPLGKNGQVSPLVDEASEVAARHHVLKTNLIANGYLDFTPIEGLTFRSNLGTNYAFYRKQDFEGAGSIDRLGQNSTSLSKIKSSEKSFVNWDNIISYNKTVKDHTFGATALTSWTQSKYTSVNAQGEGQLVDSYLWHNLGANDKSSYVIGSDYIQHQTFSYALRFNYSYKGRYMLTVSNRWDGDSRLSKGNKWASFPSVAAAWRISDEAFLKNVEALSNLKLRLSWGKTGNSGIMAYGTQSGLTPKTNSAFQDNGYTYYIYNEYVGNENVGWEMSNTWDLGFDIGLFNNRISAVVDLYQTKTTDILLPRTLPTSMGGSNATPFKMYQNIGATMNRGIEVSVNTVNVDNKNFKWNSTLTFAANHEEITDLIDGKDIIGAESSITSSLLIGRPLRSYHYFINQGIWQENEAEEAAKYFKDAKKTQSFKPGDIKLQDLDGNFIIDDNDRTYLGSQSPKWTGGLNNNFSYKNFDLNVYIIARWGQMIDYELAGAYDPQGKGNFPAYLNYWTPENPSNDFPRPAQTNFYNYLGYESLNYIDGSYWKIKTVSLGYTFPKSLTSKLGVSKLRAYVTANNLFSFAKNHLIQDYDAERGGSAKAPLQRQFIFGLNLDF", "MKYNKKYLFAACAALLLGMQSCDLEEYNPAGSTPDNVYKEQAGFEALVNSAYAFWGGQFYGREDFVLLLNGGGDLWINIANCGYGRQMSKYEELTASVGQIKNTWNRLYEIINDCNAGLERIDQVEFKDKKLRDIRFGELSFMRAYAYWHLVEIYGNVDLRTKETSAESLSMNCYRSSYEDLYDLMLADAQNAVDNLPVDPYPVKDVGRATSKAAYGLLARIALTRVSYCDSQADKDKYYKIAEDAAQYVIDNQNALKVSLYDTPDEVFDPDNNKTNKEAMFVVTHSTESSLNMQAKNPNRMHMYFHASYSARAGMVQDYEYGNDKNAKSGSMAMMPTRYLLELYNENIDARYNAWFREEYKLNTPKAYAWTKDQLDYFEKPSSMIGQVIQPGETALLFTKKKIADKRNLPYAVVDIDDTYAADGSVSKSANFNIHFPTLLKYEDANFENKGLPTNSQVGANDVITMRLPEMYFIVAECEIMKSGGNKEIAKARINDIRRRAAVPGKEAEMEVGVDKMTIDFILEERAREYCGEFMRWFDLKRTGKLVEYVKAHNPDIPLIQPHHAWRPIPQMFLDSILNPDEFGQNEGYN", "MNMKTLVLFKYSVLFLCLCGIHLNGFAQSTREAILEDIARTGGVYYAYPVKEAIATPPPKGYKPFYISHYARHGSRWIQSEQDYKTVVDIFEKAHQAGALTALGEDVRKRMALVWEDAEGHGGDLTPLGVRQHRGIAERMFQNYPEVFKGSPALSARSTVVLRCVLSMDAFCERLKELNPALQIRREACARYMKYMNYHTPEAVKFVSHQGPWYEEYRKFKEAHTRPDRLVTSLFNSPDYIRKNVNPDELMWGLYWIASDLQNVEIEVSLYDVFQKDELFDLWQVCNYHNYVCDGPAPANGGIMTASARSLLENILDSADEAIRSGTHAATLRFGHDGNIIPLVALLQLGDMWKAETDPDKFYQAWCNFKVTPMAANVQLVFFRKKASDDILVKFMHCEKEVTIPVETDIAPFYHWKDVETYYRHLLHKLP", "MSKLNMKEWINEIIQKKEVVAMPIMTHPGIEMIGKTVRDAVTDGQVHYNAIKALSEKYPTAAATVIMDLTVEAEAFGAEIVFPENEVPSVVGRLLNDEEAIDKLEIPALNKGRIPQYLKANMLAAKTITDRPVFAGCIGPYSLAGRLYDMSEIMMLIYINPEAANSLLKKCSDFILRYCMALKATGVNGVVMAEPAAGLLSDEDCTQYSSVFIKEIVEKVQDDHFTVILHNCGNTGHCTKAMVATGAAAYHFGNKIDMVEALKEVPADALAMGNLDPVSLFKAATPEVMKKATLDLLEATKFYPNFVLSSGCDTPPHTPSENIDAFFAALNGFNNA", "MLEKELSFADLPISLSELYEAMGYADAVPDEAVEKEVRGVLERVEAVTSPRFCFFISGGELDESKDSLSVGDTYFSIGKIITRQLRGSESFAFFAATAGIGFERLQHTLQQEGDMVKIYIADAIGSIIAEKTADCMEIALDEYIRNRGWKHTNRFSPGYCGWHVSEQKKLFPLFPSAEPCGIRLTDSSLMLPIKSVSGVIGLGEDVRKLEYTCGLCTYDKCYRRKQRG", "MHANYLDTLDWGILIAYFLILIGIGIWASLKRKKGSSLFLAERSLKWHHIGFSMWGTNVGPSMLIASASAGFTTGIVSGNYAWYAFVFICLLAFVFAPRYLGARITTLPEFMGRRFGQSTRNILAWYTIVTILISWLALTLFAGGILIRQVFDIPMWQSALILLVISAFFTMAGGLKAVAYTNVFQMLLLIFVSATLTIAGLYKVGGVSALAEAVPADYWNLFRPNDDPAFPWLPIILGYPIMGVWFWCTDQSMVQPVLAAKNLKEGQMGANFTGWLKILDVPLYILPGIICLALYPGLKNPDEAYMTMVTNLFPVGMVGLVLAVLTAALISTVGSALNALSTVFTMDIYVKKFRPLASQKEIIRTGHVVTVAGALISVIITIAIDSIKGLNLFNVFQSVLGFIAPPMAAVFLFGIFWKRTTTMAANMALTLGTAFSMGVGILYLWVFPAEEYTTWPHFMMLSFYLFVIISAGMILVSLLDKHRQECTLNMKKVMEKPAKSVILAWALLAIVMVGLYLFFNGH", "METWKTNLDETKKRYIDWWNHKGIILNMWEHFQEGVKPHADIPAPSPAKDLNQKWFDPQWRAEYLDWYVAHSSLKADILPVANTQLGPGSLAAILGGVFEGGEDTIWIHPDPDFNDEIVFNPEHPNWLLHKELLKACKAKANGHYYVGMPDLMEGLDVLAALKGTDKVLLDTVMQPEVLEQQMQQINDIYFKVFDELYDIIREGDEMAFCYFSSWAPGKMSKLQSDISTMISEDDYRRFVQPFIREQCQKIDYTLYHLDGVGAMHHLPALLEIEELNAIQWTPGVGEPQGGSPKWYDLYKKILAGGKSIMACWVTLDELKPLLDNIGADGVHLEMDFHNEREVEQAMRIVEEYSSSSSDVSKEDLSKEEAAATKQETIVVKDSAAAGNEALKPLFNAIVDGKLEPAVEVTKKAIAEGVQPQEIINNYMIKAMGEVGQRFQDGKAFVPQLLMAGRAMKGALELLKPLLQGSASTTIGKVVIGTVKGDLHDIGKNLVASMLEGCGFEVINIGIDVSCDKFVEEVKKNKADILCMSALLTTTMTYMQEVINALEAAGIRHQVKVMIGGAPVSQGFADEIGADGYSDNANTAVAVAKELMGLHK", "MKPTNYHLFDFLDFDPDLSRDESLWKAYKPTSVYEKDGDICINVPFQKQVLSNDMAPDTTSPREEYTLVIRQYTSGITRLFIGFGEESMTDQSEMLQFSDRVKKLPLQVTQTEGEWLITTQDGIQRALIHVKPPVLDRWSELLPDPQETLDLRLYPDGKREIRLAAYDHFSPPRYDALPLAFCKRNGVKERATLSFESKPDECFAGTGERFAKMDLSGQTFFLKNQDGQGVNNRRTYKNIPFYLSSRMYGTFYHTCAHSKLSLAGQSTRSVQFLSDQAMLDVFVIAGDTMEEILRGYRDLTGYPSMPPLWSFGIWMSRMTYFSADEVNEICDRMRAEHYPCDVIHLDTGWFKTDWLCEWKFNEERFPDPKGFIQGLKKKGYRVSLWQLPYVAENAEQIDEARKNDYIAPLTKKQDSEGSNFSALDYAGTIDFTYPKATEWYKGLLKNLLDMGVTCIKTDFGENIHMDALYKGMKPELLNNLYALLYQKAAYEITKDVTGDGIVWARSAWAGCQRYPLHWGGDSCSSWDGMAGSLKGGLHFGLSGFAFWSHDVPGFHTLPNFMNSIVDDDVYMRWTQFGVFSSHIRYHGTNKREPWHYPAIAPMIKKWWKLRYTLIPYIVEQSRKAIASGAPLLQALIFHHPEDKLCWHIDDEYYFGNDFLVAPVMNSENRRDVYLPEGKWVNFFTGERLEGGRWLKNLDVPLDEMPVYVRQGATIPVYPDEVECTDDMDLSKSIGLHIDPHFKGIFKN", "MTTQSRMQQIDTLANYPMGTKPDSFRLIKKTFKSGEPMKTKIICFIAFVSYTFYLTAGDIYVSPYGNDNAAGTRQSPLQTLEQAIKQAREWRRLQSPETTGGINILLEEGIYPQYKSLFIRPEDSGTTDSPTRITAVPNARVVLSGGVPVTGWEQGCEDTRIPETLRNKIWVAEAPRMGNRILETRQMWVNGTKAQRAAQFPDGVMERMIDFNPEEETITIPTPQTAGLNAASQVEMIVHQRWAIAILRVKEMITEGANTIVRFHDPESRLEFAHPWPQPVIDGEKGNSSFCLVNALELLDQPGEWYQDYPSGRIYYYPRPHEDMTKAQVIIPVLETLLTISGTLERPVRNIYFQNISFEHTSWMRPSYQGHVTLQGGFHLLDAYRLPIPGLPEKAELENQAWIGRPEAAIQIKCGNNINFNHCTFQHLAATGVDYERAVSTSIVENCHFTDIGGTALLVGTFPDEGFETHVPYTPFHEQELCTGITIRNNLIEEVTNEDWGGVGIGAGYVKNIHIVHNEVCHVNYSGICVGWGWTLQESGMSGNRIEANYVHHFVRRLYDAGGLYTLSNQPGSVMRNNRIEHLIDAPYATNDRAFYIYFDEATDGYTVENNWCPSERFDSNRPGPHNVWKKNGPQVDESIKQKAGRVAVDGVSQPRIIIKTK", "MYNIIQLNDKDLSELQSIAKELGIKKTDSLKKEDLVYKILDEQAIVGATQKVAAEKANESQPRKRSRINVKKDGDKVYTATKDKAQKLEANTPQPAAAAAETVKETAPVAVTPQTEAVTETSAEEKTPKKRGRKPGSKKVTAKTEEQPATKTKETAKEEVKAEAPAKPTPKKAKKEETPAIPEEKEVILPELEFGSETDDFIPIEDLPSEKIELPTELFGKFEATKVETPAPVQTPAPKFQPRQQRDQNQKYNNPRNNNYNNPRQANNNNNTTAEGEASASIPQQPERKQVEKPYEFEGILTGTGVLEIMPDGYGFLRSSDYNYLSSPDDIYVSQSQIKLFGLKTGDVVEGSIRPPKEGEKYFPLVKVEKINGLDPGLVRDRVPFDHLTPLFPDEKFKLCKGGYSDNLSARVVDMFSPIGKGQRALIVAQPKTGKTILMKDIANAIAANHPETYMIMLLIDERPEEVTDMARSVNAEVIASTFDEPAERHVKIAGIVLEKAKRMVECGHDVVIFLDSITRLARAYNTVSPASGKVLSGGVDANALHKPKRFFGAARNIENGGSLTIIATALIDTGSKMDEVIFEEFKGTGNMELQLDRNLSNKRIFPAVNIVASSTRRDDLLLDKTTLDRMWILRKYLADMNPIEAMDFVKSRLENTKDNEEFLMSMNS", "MKKVIFTEKAPAAIGPYSQAVEVNGMVFLSGQIPVDPATGEFVPGGVTEQTTQVFENIKNVLAEAGLTTANIVKTTVFLADMSLFAEMNAVYAKYFEGDFPARSAVAVKALPKGALVEIESIAVR", "MKQLNKWQWSTLILSAILVMAFSVFIYRYEPFKSGFEITDQLGGNIFPATILSTATTDANLIVPADSDYIGNPKSCIAIRLKNSYANSKLRIEVAETPFFSQSVSEFILPKAGKEYLVFPDIIWNYQALRDNNQAVPVSISVKAELNKKELPQRLKTISMRSINECPLGYVDDKMKFHDTGEFFAAYVNEEHPQIDKLLREALDTRIVNRFLGYQGNAHQSENVDKQVYALWNVLQKRNFKYSSTTNTSLSSNVVYTQRVRTLDDALESSQINCVDGSVLLASLMKAININPILVRIPGHMFVGYYTDKSHKNMNFLETTMIGDVNLDDFFPDEKLDSTMVGKSQNQMSKLTYEKSKEYATRKYRENDSLIHSGKVNYMFLEIDKKTRAQVQPIGK", "MDMELTYIYHSGFALSGDGFTVIMDYYRDSEDGQAQADLNRLMDGTFSNQEAGMPEGTVHSELLRRPGKLYVLASHFHPDHFNKEVLQWREQRPDIIYIFSKDILRHRRAQKEDAVWLKKGEEYKDETLSVRAFGSTDVGVSFLLQASGKKIFHAGDLNNWHWMDESTEAQWKGAEKNFLHELDDLYAYTPEVDVAMFPVDPRLGKEYMRGAGQFVTKIKTHIFVPMHFTPEYAKANAFRDFAEAHGVRFVSLTHRGQKIEIE", "MKVKGRFDHFNINVTDLDKSIKFYETALGLHESHRKEASDGSFVLVYMADDHSNFLLELTWLRDHTQPYELGENESHLCLRVEGDYDEVRKFHKEMGCVCFENTAMGLYFINDPDDYWIEILPVK", "MKYISPGGWFSLEYPMGWHEFEDTEESFLFYNPDRWTGNFRISAYKDEAADYGPQCIAYELKENTSSTLVKVGKWDCAYSAETFQEEGAWYTTHIWVTGEGDLSFECSFTVSKGGDKHPAEEIIRSLQIRKEGVSHPREIIPIRVLEIGEVNTAFEWASTTIKKQLTKDFTASESDIDSIQQVMDSGRFQTQQRMAWENFGIAFGAILVNEMEGMEWVTVIEGQKEYPALQFMCSDMVLDPAALVWGQAKKGLPCDLKSEFRKIKREAEAVLDDLNK", "MQVDGLTKSFGDLVLFRKISFGVAEGQRIGLIAKNGTGKTTLLNIIAGKEGYDEGSIVFRRDLRVGYLEQDPHYPEDLTVLEACFYHGNSTVELIKEYESCMETEGNPGLEELLARMEHEKAWDYERKAKQILSQLKIRDFSQQIKHLSGGQLKRVALANVLITEPDFLILDEPTNHLDLDMTEWLEGYLGRGNISLLMVTHDRYFLDRVCSEIIEIDNQQVYSYKGNYSYYLEKRQERIEATNAEIARANNLYRTELEWMRRMPQARGHKARYREEAFYELEKVAKQRFNDGNVKLDMKASYIGSKIFEADHLYKRFGDLKILEDFSYIFARYEKMGIVGNNGTGKSTFIKILMGEQKPDSGTLDIGETVRFGYYSQDGLKFDEQMKVIDVVQDIAEVIELGNGKKLTASQFLQHFLFTPETQHSYVYKLSGGERRRLYLCTVLMRNPNFLVLDEPTNDLDIITLQVLEEYLQNFKGCVIVVSHDRYFMDKVVDHLLVFKGQGDIRDFPGNYSDYRDWREAKEQREKEAEKPKEEKTARVRLNDKRKMSFKEKKEFEQLEQEIAGLEQEKADIEAALCSGTLGVEELTEKSKRLPELNDLIDEKTMRWLELSEIEG", "MASIKLKFRPSSIKGKKGVLSYQIIHYRLTRLIKTSYRIMPSEWDDSTGSLLISTQSECKARLLLIRDQTNWEMTRLQGIINDLERTGVEYTIDDIVASFRKIPSVESVFNFMQRCINKLERQKRGRTAEGYTSTMNSFIQFRKNEDLSFEAFDSELMEMYEAYLKEKGLVKNSTSYYMRIWRSVYNLAVEQGYTTDKKPFKHVYTGIDKTVKRAVPFKIIKMIKELDLSFEPQLELARDIFLFSFYTRGMSFIDMAHLKKSNLQNGILTYSRKKTGQRLTILWEELMQEIVDKYKDDTSEYLLPILRYCDINDRKQYKLRAKQIGRGLNKIGLRLELKAPLTFYVARHSWASIAQDRKVSTDIIREGLGHDNEKTTHIYLASISTSQIDRANQIILRGL", "MQICNDADLGTEYTFPQNQNITGKAPRLLPCSSIASCPDQGSDLITTSQTGEEKEYPDHKWYYMFLRNRELKRYIEIFTGRRAVMINTRSGIREERYFCFKVFSYTSADHKRRFERCPYSKEEYAARRESAFAVKEAFATGSVQKLNALTDEKEITGDGYLFVCAPLDELNLILAHLFPRQYLAKDRNSYSVAVIPHRQMEEFIYLYESMPYNIELMDKPLEEYIQKKQKIRITGGVFQGKEGCIMRIHRNTKLVFAFGNMTVAISYLQAFPFEKVE", "MRVSIIEFIEIIRLKKGCKHLSFDILAIFDENFDIKKCGS", "MQSDSRRNMRKQFFDEVERMYQVNKDPKDDVFYYHPNEDRIVLSHALFWSMTHALEKPFRHNKCFLLLRQYQGEMLTAYLTESDEYIELLRYCNILFNALPYQLGHDKREGKAVKASNRLIAIAVVASGYGGDMDEDLADELLDDMDFFFNKVCCRKIERMILHLNKLVEEELCRFS", "MNKYKRLGKNTFLVFIGNTGSKLIALVMLPFYTSWLSVEDYGVTDIINVYVSFLVSIVSCCIAESIFIFPKGQKVEKQKQYFSSGIVFVLQAFFVSFLLFGTVDVVSSMYGFSNSFTNYLWLIYGLMVATLLQQFLQQFTRSIDKMIVYGMAGIVLTLLTAICSFVLIPLEGVLGYVLSLILANLFTGVYSFLFSGSYKYCSLASVNNECCREMLQYSVPLIPNGVMWWLVNALNRPVMETYVGLHGIGLFAVANKFPGIISMIFAIFVSSWQISVLEEYGKDGYGSFYNKIFRGVVLILLVLLVIVTFISKWLVSFFTSLDYLDAWIYIPVLTLGVFFSCVSGLAGSTFSAVRKSRYFFYSSVYGGLSSIVFNFLLIPLWGIWGAVLSVVLSFMVMSVSRVAYSWTYVKLTHIPRLLLMLLLTCIYIVSACLTGSANSLVAVFITIGLLLLLNCDLLHLLKRKIIRRYIMK", "MKLLDCTLRDGGYYTNWDFDDTVVDSYIQAMNALPIDYLELGYRNMPSKEYLGKFGYTPVSVLKKIRQQCTKKLDVMLNEKSTRPEHLPELLGPIVGLVDMIRIAIDPKNFDRALVLAQAVKRMGFEVGFNTMYMSKWHDYKGFLDKLTQLDGVADLFCMVDSFGGVTPKDIKDIYAIVKSKTTCPIGFHGHNNLQMGLINTLTAIECGIDYADATILGMGRGAGNLNMELLLTCLAKGGLEVDFNVLGEVVETFKTLYEEHRWGTNLPYMISGAYSIPQKEVMDWVGNRTYSFNSIVRALDNRRNKVADNARYPVLNATKTKQVLIVGGGDSVKEHINAISEFLENNAGMKIIFATARHASLFTDLINDKIFCLVGNEAKRMKSLVSADEFNGICVLPPYPRTMGTEVPEYAVNQTFELHEIAFTKSFLDSCTTTALKCAIEYDADDVYVVGYDGYRGAVLSDKEADLTNENRTLFDDFKAQTGKEIISLVPTLYKSLKVISIYQYL", "MSDKVAFFLPTRKGSERVKNKNTRPFAGDEGGLVEIKIKQLFATKHIDEILFSSNDEKCIAVAEKYRSDSRLKIIPRPNELCLSTTNLQDLICYVPTITDADHILWGHVTTPLAGTDVYDAAVELYLSKLHEDYDSLVSVKELKNFLLNKYGVLINNTTDIPWPRTQDLEVLYEINHAVFLAKRDVYVEQKNRIGKKPLLYLMDELHSKDVDWEEDFTIAEMFYKNLYQR", "MKVVTFGEIMLRLGAPDYLRLNQCNQFDISFAGAEANVAVSLANYGVKAEYITRLPKHPIADKCISELLSYRVDVSRVIRGGKRIGILYLETGSNMRSSCVYYDREDSSFATIGEHEIDWEEVLKEADWFHWTGITPALSESTYKACLRAIQIANRLGVTVSCDINYRGNLWKYGKTASEVMPQLVAGSDIILGNEEDCEKVFGIKPTDFDANHTEGCIDQAAFLSVCSQMMTKFPRCKKMVITLRGAINANYNTWGGVLYDGIKLKESRRYDITDIVDRVGGGDSFMGALIYGLLSFEGDDQKTLDFAVAASCLKHTIKGDYNQVSKEEVLALMMGDASGRVKR", "MDKMVKKEIKSALSFAKQTVKFVFFLAHLLFQTKGNLKNPLKKVYSGKVAMLANGPSMKNVLPRLTTDEFKNTDFIVLNFFGSMDIFTQIKPKHFCMADPMFFQENHNHDRVMKLFDDLNKKVDWEMNLYIPASLKRQFLAFSGLHNSYIHIVPLNLTIYTGYEEFRHYFYRHGLSMPSVVTVALMAIYVGINSGYSEIDLYGVDHTFFDSLTVNSKNQLCICDTHFYDKNKAELKPMLRWDSTVWRMSDYLEEKMDVFKNHDIMAAYAVSQGVRIVNCTECSLIDSYERK", "MKNNPLISVVTVSYNAVLTIEQTILSVINQTYPHIEYIIIDGGSTDGTVDIIKKYANRIAYWVSEPDKGIYDAMNKGIRTAKGEWINFMNAGDLFYSKDTLEKVFSKSINDNIKVIYGDVMLNKQGVLSQGKVYPISFMQIAMPFCHQSSFSHISEYTHGFNLQFKIGADFEFYYRIYHQYNDRVFLYMPINIAIYENVEGLSTIRIKECRKEWLIIRSDNKDIRWYYDYLKYFIKYKVLNIKRYK", "MRILELTGEPIGTGGQEMFIINLLRHIDLTDLQIDWLTPYYCENDTYRKEVEDKGGRVICFNLHFNPGGSRNNIVNPLNNFLKKNYYDVVHIHSGSTSVLALCAFVAKWNKVKKIIVHSHSTGLSKSLKHYVLRMITNPILSMCPTHYLACSVDAGVWKFPASVVRGKLQIINNGIDLNLFAPDETKRLEYRKMLDISDKTMVIGNVGRFSYVKNQEFLIEIVSQLKQRRKNIKLMLVGVGETMNEIKSLVVSKKLTEDVLFIGGVNNVYDYMQAMDIFAFPSRWEGLGMVGVEAQAIGMPVVASTAVPISMKLVDDVVFLSLDDINAWLGGLNRDVLKSHVNNTDIMRRHGYDINLTAKDIRVLYTQDRNKN", "MIHIQSVIIYLAVFSVSIFLLYFSQCILYKYRKVKGINNYNRRILGYFCLGLSITIPCLLAALRSMNVGDDVDAYIEPNFIFSSGLTDNGFIYFFENMPKETEFGFSFLLYIGNLFKSVGVSLFLIQLLIILPVYIVLNKYRYCLSVTLGMATFYFLCYNFSFSGMRGSIAMSLLLLDFYYLQHHDYKRAIPLFLFAALFHNSAILMMVFYCFILMILDSKYSRLWGGIFAICVFILFLIADKLLFILVGIAGLVSGRYAYYLTEYIGSGTVENVPLTDFLCKLVLLVLITLWLVKTKKFSKRYQHFFWFVLMGRIFVLFNSVFYEAMRIAFYFDLFLILYVASIFCCFKQNTSNRYIATALIMLPSFLYWIYFIMYIGAYQTNIYTFR", "MDRSTLTLIVTYNRLSDLKICVEAVRKQTYRGFNILVVNNGSTDGTKEWLARQTDIITINQENLGGAGGFYTGMKYMYDNGYEWLIMMDDDGIPDKDEIKNLIQSYDKVVSATGKEVILNALVADKDNRDYTAFLWARGSKRTNKILELQKERFFDDIHPFNGTLVKRSVIDKIGMIKKEMFIWGDEKEYMARAVHNGIGLYTIPAAIHYHPKEKGKKGNIIPFVSKYQILVKPQRMSHYYYRNEGFIYNTYPEKKKHMMAFCVAHMVYNITHFRFVELAKFIKYFRKGMHNEY", "MDIKILVASHKKAEMPEDGIYLPVHVGRALHPDREFGYQSDAEGDNISIKNPYYCELTALYWAWKNLKADYVGLAHYRRHFSLKTVHRGGWNSVLTGKQAEILCRKHDIILPKKRNLYIETVYSHYDHTFFGEQFDRTRGIISRRCPEYLDAFDKKMKSRSEHLFNMFIMKKMLFDQYCEWMFPILEELEASYDLKSMEPFQARLIGRVSERLLDVWINKNQLKYKEVGYIYFGKNNMHKKIWGFLMAAIFHKRYKQSF", "MIEDHYDYLIVGAGLFGATFAYRARQQGKKCLVIDKRPHLGGNIYCENIEGINVHCYGAHIFHTSDKRIWDFVNSIVEFNRYINSPVANYKGKLYNLPFNMNTFYQMWGVTTPADAMAKIEEQKFEAVAKMKADGVEEPRNLEEQAQILIGKDIYEKLIKGYTEKQWGRKCTELPAFIIKRLPVRLVFDNNYFNDKYQGIPIGGFNKLISGLLEGVDTLINTDFFTNRNYWESIADKVVFTGAIDEYYEYRYGKLEYRTVKFETETLDIPNYQGNAVVNYTEREIPYTRVIEHKHFEMFGSEVIACPKTVISREYSVEFQEGMEPYYPVNNNRNNRLADQYHQLAAKEKNVLFGGRLAEYKYYDMAPIIGQVLDIKIE", "MNYFITGGTGFIGTHLSSLLHEVHPETKIYNLDIVEPGTPLPTVKNYKPALRKGEEHAATFIYCDVRQPIELEKVDITPDDVIFNFAAVHRTPGHPDPAYFETNIRGAENVCAFAERHGIRKIVFTSSIAPYGAAEELKEETTLPMPNTPYGISKLVAEKIHQTWQAGGEGRQLTIVRPGVVFGRGENGNFTRLYWGIRGHKFMYPGRKDTIKACIYVKELVRFMLYRLEHHDSGVELYNCCYEPAYTIEHIVESMKRVTNMKVKVPFMPGWLIMTAAGIAGALGSPMGICPARVRKLQISTNICGKKLSASGYRFHYTFEEALADWYKDNGNLYLQ", "MRRLILFLLFFVMIGAVHAQFTYGTTGLLNMPTADMQRDKTFMFGGGFLEKHATPARWTYNTFNYYFDITIFPWLEVSYICTLHKAMEVDPAYGPGFWVPSTYGKFVNQDRNFAVRLRLWKEGWWKPWTPQIVLGANDALNNSWTEGSKIEMSSATANGFYSRYYLVVTKHLSMKEVGEWGLHLAYVYNRRKDYPLNGPAIGANFRFSLPQTSFINKAVNNLNLMAEYDSKSINCGFEYSFWKDYINAVVELNRCKYFSGGLVFKIHLK", "MNFKEDGLESKFRTDTVVSDRDSGRVVNRN" ]
[ "TCAATAAATGAAAAAATGATAAGTACTCTTTTTATTTTAGTTTTATAAAAAAATAAAGCTAATTGGTGACAATAAACCAATTAGCTTCTAAAGGTAGCGGAACCGGGAATCGAACCCGAGTTTCAGCCGTGAGAGGGCCGCGTCCTAGGCCACTAGACGATACCGCCATCAGTTGCTCTTAACTCTTTTTCTAAGACGCTGCAAAGTTAAAAGTTTGTTTTTAATCTGCAAAATAAAAAATGATTTTTTTTGTGCTGTTACTATAAAATAGGAATTTAATGGTCAGTCCGAAAAAACTGTGGGTATGTTATGTTAATACGCGAAAGTAAGCTATCAGTGCGGTTGGATTAAAAAAACAACCCAATGCGATTCTGATCGCAATCTACATAAGTTACTACTGGTTATCTTCCCTGAAGTTTTAATGAAGTATTTTGAGCCGTCTTTTTAGGGCTTCCGAAAGCCTTGATCTCAAATCGTTGAAAAATAAAAGCGGGTCATAAACTACTTTTATGACCCGCTTGCGGAAGCTGGGGGATTCGAACCCCCGGTACGGTTACCCGTACGTCAGTTTAGCAAACTGGTGGTTTCAGCCACTCACCCAAACTTCCTTCTTTTCAGAAGCGTTATCTCTCAAACGCGGTGCAAAGATAAGGGGATAAATTGAATTATGCAAACATTTTGCTCTTTTTTTAGATATATTTTTTTTGATGGAAGTAATAAGATGCTGATAGTGAAGTGCTAATGGATGGAATTAATTTTTCTCCCAAAAATCCAAACATTCTTTAGCCACCTTATTGGGCAATGGTATTTTTTGATATAT", "GGCATATAATGCATTTTAATCCCAGGGGATAAGAATAAAGTTGATCTAACATTATATCGCACCCGTCCATAAAATATTCACATTCGTCATACGGGGTGAAAACGGTTTGTTGTTTGATTTCGCATGCAGATGGATGTCTGTAATATAATTTATAGAGGGAGCTATAAAGCCTCAACATCTCCAGTGGAGTCGCGGGGGTGGAAAGCCCACCCTTCTCTACTTCTACCATAGAGGACGTAAGTAGCTGAAAAGCGAAAATGGATTAGAAATTTTCATTCTAATCCATTTTCGCTTTTGGAGGTTCCTGGCGGATTCGAACCGCCGTACACGGTTTTGCAGACCGCTGACTAAGCCACTCATCCAAGGAACCTTGTCGTTAGCAATCTTTGTTTCTCGATTGCGGTTGCAAAGGTACGACATTTTTTTTAATCTGCAAATATTCTGCTATTTTTTTCTCACTTTTTCTGCATTTTTTTCGCAACCGTACTTTGGCTTGTTCTGCATAGACTTCATGGCGCAGTCCGAACTACAGCATTCACACGGATTGCTATTGTTCTTTATGCGCTTGAAATACTGAAAGATACGTATGCTTATCCATATGGTGCAGAGGCATACAATTGCAATAACTATAATTTGTTGTAACTCCATGCTTGATAAACAAATAATTTTAGGTTTA", "CTTGTTTTAAATTTTTCGCAAAGATAAGTTATTACTTAGAAGTATGCAAACTATTTAGATTGTTTTTAAATAAAGAACAGGCACTTTGTTTTTGTCTTGCAGAACCCTTATCTTTGTGTC", "ATTTTTTTTCTTTCCTGCTTTTTCATGAATGACAGGGAATGTTTCCTGAAATCTTGTAGCAAAAATCCGCGAATCATGCAACAAGATTTCAGAAATCATGTAGCAAGATTCGCGGATTTCAGATGGAGCTTCCCGGTA", "GATATAGTTAGTTGTTTGTTAACGATGATACGGTAT", "ATTTAATAAGTGGTTAATGGTTAGTGACAGGTGGTTAGTGGTAATTGGCA", "ATTCTTGCTCCTTTTTAATAATTTGCTGATTTGTCAATTTGCTAATTTGCTGCGCTGTTGTATTCCGCATGGTAATTAGCGCATTAGCACATTGGCAACGTGGCAAATTAAGAAA", "TTTCAGCTTGTATTATATATTGTTTTTCCGCCACATGGTGGCGTGTCGTTT", "AGTTTTATATTATTATATTTATATTCTATATCTCTATATTCATTTAACTGCCAAAGTTACGAAAAGATTATGGTTCAAGCGCAAAAAATGGCGAAAAAATTAGTTTACTCGCAAGGAAAAGTGTACTTTCGTGGCGAATTTAAATAACGTAAT", "GGGATAGAGGATTCCCATAAACATAAATAAGCCGGCAGGATCCGTGAAAAGGATTTTGCCGGCTTATTTTATATAGTCTGTTTTTATGGTCATTCTCAATCATGGTGTGAAGTGTTGATGGGATATTTGCGTTCCATGAATTTCCATTTATCATCCGGTGTGGTATGATTACGGTGGAGTAAATTAAACAGGCGGAAACTATATTGCTGTAGTTGCCGCCTGTTCTTTATTTGGTTAAATAAAAAAGTC", "CTTTATTATTCTTAGTGAG", "CTTAACTTTTTGTTGCTCATAATCATTTTGCAAAGATATAAAAAAAATAGATGAGAGTGGCAAGAAACGAGGAATAATAATTCCTTATGATATGTTAAGTGGCTGGTAATTAGTCGTTAGCAATTTGAAGTTAATGGCCGATGTCTTGCGGAATTAGGTGAAAAACGGGAAAGAACAAGTGGCCCGCCGGTGGCTGAAGAGGGCTTATTGCGCCATATCTGCAAGGTATTAACCGCTTATTACTAATTACTCATCATTAATTAAAATTCTTTTTTTTCTTCGTTATCTCCCAAATAATGGTTTACTTTGCAGCCGTTTTAAATGAAACTACTCAAACAAAAGAGATAAAAAG", "TGATCGTTAACCATTAGAATT", "CGATATTTTTGTTCGGTAATCCAAAAGCCCGGTAGACGTGAGTCTGATACGGGCTTTATTTTTTTATCCGCAATCATAGGGCAATAAACTTTCATTTATTATCCGGTAGTATGATTGCGGATAATCTGT", "AGCTGGAAA", "ATTGCAAAGATAGTACATTTTTAAGTTCTGCAAGCGATAAATAGGTATTTATGGATGGAACATGACTCATCTCGTT", "ATTCTTTTTTACCTTGTTGCAA", "GTCTGTTGTTTTCTTTTGGGGGCAAAGGTATAAAAAAGGTATGGGTTTTTCCGTATAATTTGAGGTTGAAAACAGTGTATCTTATCCGTTCGCTCGGTATTCTCTTGATTTTGAAATGACTGCATCGAACTAGGAAGTCATGGAAACATTGTGTTTATTGGATGACAACTTATCATGGGAAAAGAAAATAACTTGGTCGAATTTATAGAAATGCTAAAAGAAAAGACCGGTTGTAAGATAACATTATGACCAACAGCAGAAAAGAGGTTTCTCAATGAGAAAATAGTCCATAATGGGTATAACTAATAATATTGTAAGAATTTGATGTACAATCGAATAAAAAGCGTAACTTTGTGGAA", "CATATTGATGTACAATACCTTCCTACACCGCTATTTGGTGATAGAGTTAATCAATAGTTTACTGGTGGTAAGCCGGAAATATGGTCGTAGCCTTTAAGGGTATATTCTAAGTGGTGGATATTTAACCCTTTTAGTTATTATTAACTCATCTACGGTGTCAATACGAGCGATTTATTCTTTTTTTTCGGTGCAATTCGTTTCCGATATTTCACTTCTATTTGGGCTCAATGTCTAAGCCAATACAAAGGTACAATATTTTATCCGATATTGTTTGTTACTTATTGTTTTTTGGAAATAATTTTATTGTAGATATTA", "AGGTAATTAATTATTTCTAACCTACCAAACAATAGAGCAAATATCAAACAGATATTTTTATCTTACCGCTTCTTTTTCAAATGTTTTTCCGTAATTCTGTACTCGGATTTGGGTAACCATTTCCATGCATTTGAAAATAAAGAAGCGTTATGCTACTCTTGTAAGTGCGAAGCTTGAGAAACTAAACACAAAATCAACAAGAATAGGCATGGTAGTTCCCACGTTATAGCGTGGGCTGCTTTACCATATCTGTTGATTTAGGTTTTCTCAGGACCTGCACTTTAAAATGTGGTTATTGGCAGTGTCACACTTCTTTTTTAGGAGAAAGTGTTACGACAAAAAGAAAAAAGTCAATAGATCTAGCAGCTCAATCCGGGGCAGCTAACGAAGTTGTGTCTAGATATGGATCGGCCTCAAAAGAACATTTAGTATCCTATTCTGGTGTTGACTCACAGTACATAAATGGGATTAAAATTTGGAATAAATAAATCGGGTGTCTTTCTGCAAAGAAAAAGAGTCGTTCCGATGCGTTTCCAGGGCTCACAAGGCTCAAGGCTCACTTATGCGGTATTTTAAACCTTGGTAGATGTGTAAGTATTTGCTTTACAGTCTGTTTGGTGGGACAAAACGTGCGGGTTGAATATTATTCTTTGGTCTTTGGAAAGTTCAGGATTGTCTTGACTTTGTCTGTCGTTTTATAGAATTTGTGTTTGCTTTGACCAGGCTTGTTTTTTGCCGGGTTTTATTCATAATGGCCGGATCAATGGATATGGGCACATTCGGATTTTTCACGATGCCCGGAATGACCAAGTGATGATGTCAATAGAAGTTTCTTTTATTTATACATTTTTCTTTCCGGTAAATGTCGGATGCGTTCGTGTATGTCTGGGGCTCATTATATTATTCATTTTATTTTTTAGAATATAGTCTTGTCCCCTTCTTTTTATTGTAATTAATTAAAAATCAGTGCGTTATTAGGTTTCTTGAAGCTTGTTTTGGGCTTAAATACCGCCTAAGTGAGCCTTTGAGCCCTGAGCCTTGGAAAGCTTGGTGAAAAGACCTTGTAATTCCTTTCAAAAGACCTGTTCTTTGTATAAAAAGACCTTGACGTTTTTTTAAAAGAACCTTGTCTTTGACGCCAAACATCAGGACCTTTTTTTTAAATGTCTGGATCTTTTTCAAAAACAACAAGACCTTTTTTCAAAAGGAACGGGTACAAACGGACGGCCGGTCCGTCTCTTTTCCCAGATAGTGTAATAATT", "TATAGATAGAACGCGGACTTATCTCGTTCCAATCTAACCAATAATTGGTATTTAACTAATTTCAATTTAAGTACCAACGGATTTTTTCTTATCTTTGATCTCGGTTTGAAGAAAACTAAATACTT", "TTTCTTGACCTTATAAAGCAGGAATCCCGTAAACAGATGTAGGCGAGCGTCGTGTTTGCGGGATTGTCTTATTTGTTTGCTAAGTTATTTTTGGACTTTATTAAGATTTAGTGATTACATACTTTTTTGTGATTCGTCTTAATTCTAAACAATAACTAGATTACG", "GATAATATTTTAATTTTAAAAATGATTCT", "AATTAAAAAGAATCATTGAT", "TTTAATACGATAGTTTTATATCTGCTAGTTTATTATGACAGATACAAGCATTTTTGAGTACATATCCCCGAAAAGTGCGTCTTGAAAAAGATAATTGTTAAGAAAAAGAGAACGGAATATAAATTCCAACTTACTTATAGCACAGATATAATTTTTTAAGAATAAAGT", "TAAACGGAGGAAAGAAGACAGGATATGATACAAAAGTCAGGTATAATGTTTCTGTTGCTC", "ATTAATGTTTATTGAAAAGCGGAAAAGGAGCTTGCCCGGTGAAACGGTGTCAAGCTCTTTTTTTATGTGCGGATAGAGAGTACAACAGGCTTTCTGTTCCGTCTTTGATATAGAAATACTCGCAATGTGTGTTTGAATCATGTTAAAAGCAGGGTGTTATGTTACGAAGTTATAGAAAAAATAATAATTTAGCGACCAA", "A", "TACATGGAAGGAGAACCGGACTTATTTTGGTTCTCCTTTTTAATGAAAATCTGTATTATTTAGCATATATGTTTTTCAGCAGGTTATCTGTTTTTTCGGTCCAATAATCAAATATTACCTAAAAAAGTAGCGTATCCTCCCTAGTTTTGCATCATCATCAAAACAACCTATAATTAGTATACTGTG", "TATTTTAAGTTTTCAGGTGAGTACAACTTTGAACCACTATCCGTCATA", "TTAATTTGTTAACCATAGAAAAATGAGAAAAGAC", "CAAAAACATTAAAGACAAAAGACA", "TATAATTTTTTGATAGTGACATAAAAGGGGGATATTTCCATTTCGGAAAATCCTCCTTTTTTATTTGTTAATATGATGAGGTCTTTGGTCTTTTGATTATCGGGATGTTCTGTCTTTTCGTATATAAAGATTGGAGGTGGTTTCTATGTAATCCTTTTATGTTCTTATAAATAATCGGAGCATGGCTTTGAATTGAAGCCTCACAGTAACACTACGGTAGATATAAATTGTGCACGAATGGGAGTTGGAGGGAGATAATTCGTGGGGCTACCTGTATTAGAATCTTATCGGCTAAAATCAGGTATTTATAAATTTAAGTTTGCGATTCAGTTAAAAATAAATACTAAATGAAAGAAATTATACTTTTGTTTTAACGTATTTTTATGATTGAGTTTGGGTACAGGGGCTTTTTACATGCGTCATTTAAATAGATATAATAAAATAAACTT", "CAGATAAAAAAGAAATAACTATC", "GTCTATAAGATGAAAAACGGTGTAAATCCCTGTAGTATTGTAACGGGATTTATACCGCTTTTTTGAATGAGAAAGAGCAAAGGGGACAGCAGATTATCAAAGAAAAGACTTACTTTTGCAATAACAGAATAAATAAAGAATAAAAGACC", "TAGGATGACTACAAAAATCAGAGTCCAAAAATGGCTAGATGTTGTTATTAACCTCACTGATTTTGCAATTTGTTGCAACCTTAATGAATCTCCTGTCCTATCAGACAGGGTATAACAGCCATTTCCATCTGTTATAACAACCACTTTCATCTGATATTCCACCCCGGTGAAACTCCAGTTCCACCGGGGTGGAGCTGCAATCTCACCGGGGTGGGACTGCCTCACCACCCAAGTGGAATACCAAATGCAGGCATATAAAAATACAATCTTTTCAGCCTTCTGCACCTTCTT", "AATTCATGTATCAGATTAAGATGAATATTCCGT", "ATTCG", "TCTCTTTTTG", "GGGCATATTAGCAAATTGATGCATTGATATATTGATTGAAGTATAATAAAAAACAGGCCATACCTTAATGAAAAGGTATAGCCTGCGTCATTTAATAAAAGAGATTTATTTT", "AGAGTATAGTTATTAGTAATATATTTCTTTATAATCTTTTAATGGTATAATTAAGGAGCGCAATCTACACAAAAATGTGTTAGAAGGGGGAAATGCACTCATAATTACGATTATGGGATTGATGTTGTTTTGATTGTTATCAGGAAGTAAACAGACTTTCCCGGATAGGGAACTGACGTATTTACCGAGGACAAAAGTAGATATTTTTTTTTAATCTCATGCATATTACAGAAGATTTTTTGCCGAATTTAATGAAATTAATAAAAAAAACGACGTGGGGAAACATCCTTTCTGTCTGTGAAAACCCTGATGTTAAATGAACGTAAAAATAGGTCAGATTGTTCAGCAGAGTCTGCATGAAATAGCAAAAAAAATACTAATTTAGCCCGTCAAATTTGATTTTTACTTAATTATAAATAGAGAAAAG", "CGAGCTTTTCGCCACCGATTGCACGGATTGATGCAGATTATTTCTATTGAAAAGCAATTGCTTGATATGGAACCTGTGTCAATCAGTGTAGTCTGTAGTGAATGATGGTGGATGGGGAATCATAAAAACAACTGATTAACAGATAGTGTAGAAAAGTGGAAT", "ATTTGTTGT", "CAAAAGAATAACACAAT", "GACGATCGTTTCCGTTAGTAATGAATTAAAAAGATAGAGACT", "ACAAATAATGACCCCATAT", "AATAACCGGAGGTGCAACAATGTACCTGATGCCATATTGCTATATTCGTTTTGAATAACAAAACCATAATATAGAAAAGAAAT", "CTTTATTTGTATCTCAGGATATTTTGTTCAAGAAAAAATAATTCTACATTGCTGGCGAAACAGCGATGTAGAATATCATGCGGGCTGGCTGTACAATAGAGAAATACTGTGTGGCTTTGTGTGATATCTAATTTAGGATAATTTATTTTTTATCATGAACAAGTGTGATAAATCTGAGAATCAGCTGTTTGATAGCATGATTCGTTTTATAAAAAATGGGGTTCTCTTAGTAAGAGATCCCCTTCTCTATTTAATTTATTGATAATGTGAAATATACGCATCGTAATTCATAACCTTTTACGAACTATTCTCATACATTTCTTGTTAAAAGCATTTTAAAACAAGAAAAGATTTCCATTTCTTGGGAATTATAGCTACTTTTGCAACCAAATGGGGATCTCTTACTAAGAGAACCCCAAAAATGAAGCTTTAATAAGCCTTCATTTTAGAAGTACAAATGATAAATAATTTATGTTTTG", "CAAAAATGACTATACAAAGAAGCGTGATTAAATCTA", "AAATAGCTGATGAACAAGAGAGAGTTTTTGGGTATTAATCGTGACGAATCGGCTGTGTGATTCTAAAAAAAATAAATT", "GGGGCATCTTGGGGTTTGTACTCAGATTAGGGCTAAAATTTTAAAGGTGTACATTTACAGCTGAACATTCGGTGGCCACTTATCACAGCGTGATTGGAAGGGTGAAGATTCATGGCAGTTATTTTTGGAACTTCATTGAAATTTTGTTCAAAAATATCTTTAACGGACGTGAGGATTATGTTAACATGATTCCCGACTAAGTCGCTATGGCTATAAGCCAATGTTAAAATCAATCGAATTATTTTACTATACTCAGTTTAGGGCACTCTGAAGTGCCCTTTCAGTTGGGCGTGCCCTAAATTGAGTGCTTACCTGTAATTCATTAATTGGAA", "AAAAAGATAAAGAGGTTAATAAAACAATGTTTATCTATAGGTCGGGATTCCGTTAATACTGTAGCGTTTTAGTGGAAATGATTTTTAAGAGCAAGTTGCATTATTTGTTTAGCCGAAGATATTCAGGCAAGGTGACTATTTTGGCTAATAACTCCTCTTTAAAAGAAGTATTGCCCGAATTGTAAACGGATACAATGTTGAATTCTTGATTCGATTTTCTTACATTTGGGTATTGACTTTCTTGTGGAAGAAGATTAAAGATAATTTTCCTTATAAACTTAATTAAGTAAA", "T", "GAATAACAAAGAATT", "ATTGATTTATAATAATGCTTCCATTGGTTAGTTCTAAATTAAGGAGTATGTCTTTGTTAACTAGAAATATAACATTTAGGTATTTATTATTATATGAAAAGGTGTTCCATTGGTGCTTGTAGTATTATAGACGGTAGAAGTATAGTTGTAAATACTACTCCTGCTGATTGCATTGCTATGGGTGATTCATGTAAAGTAATATAAAATATATAA", "GAACA", "TT", "CTTTATTCCTTGAATACTATAAATCAATCACATTTT", "ATTTTACAGCTGTTGGAATAAATCAATAATTTAAAATATGGTGTTT", "ACTTGGTCTTATTCGTTTTAGTTCATTAAAAAACAGTAACTTTATTCTTT", "TAAAAAGCGCTCATTCATAGGAATTTCCAGATAGAATTCGTTTCTTTGCGGTCAGAAACCATAAAATCATCTTTATTATATCATCCCGTTTTGTAAACCCTTTTTCCTG" ]
[ false, false, true, false, false, false, false, false, false, true, false, false, true, true, false, false, false, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21 ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125002|+|98776:98982", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125003|-|99241:99738", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125004|-|100207:100701", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125005|+|101590:103167", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125006|-|103263:104558", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125007|+|104724:107168", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125008|+|107217:108416", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125009|+|108567:110036", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125010|+|110042:114016", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125011|+|114244:116349", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125012|+|116374:118197", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125013|+|118212:118328" ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000063|+|98983:99240", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000064|+|99739:100206", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000065|+|100702:101589", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000066|+|103168:103262", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000067|+|104559:104723", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000068|+|107169:107216", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000069|+|108417:108566", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000070|+|110037:110041", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000071|+|114017:114243", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000072|+|116350:116373", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000073|+|118198:118211" ]
[ "SRIVENDIREQAVAEGKAIGKAEGKAEGEAEGRLKERLEIARKLKENGFSIADIVRVAGLSAEEIDKL", "MIIGEEEIPNERALLTQEDGPMMASSASVKYIVIHCSATRSTRDYTAEQLLRDHKTRGFRTVGYHFYIRRDGSVTQHRKLLEVGAHCRPWNRCSIGICYEGGLDADGHPADTRTPEQTEQFILLLMRMVKIFPGVRIRGHRDMPGSIPKACPCFDAEGVFGYLEK", "MINYSISLRANPSDQDAPKKAYANAQYSEIMTLDKFAEHISTHGSKYNRADIQAVLIQAVDCMREQLLAGQRIQMGDLGTFSIHINSMGAESLETYNPAIHVEDLNVRWKAGTRFLSLLKDSVFNLVPTRKAAKLVVKALKAGKNTVDLTGEASGPDDKTEENA", "MKYPIGIQSFDQIIEGNWVYVDKTDLVYRLVTTTKTCFLSRPRRFGKSLLVSTLDAYFKGRKELFDGLMIAKLEKDWHQYPVFKIDFNGVNFTEKGNLEATIEYYLANWEKIYGETPREVPMGKRFEQILSLAYQQTGRRAVVLVDEYDKPILDALDTPLEDANREILKAFYSTFKGADEYLRFVLLTGVTKFSQVSVFSGFNQPKDISMDNHYEALCGVTQVELEHYFAEPIANLAEKFNYTVEEMKDVLKMQYDGYHFGSGLLGVYNPFSLLNAFDMNDIRDYWFASGTPTYLIKLLRHNHEQMDELTGRYYDPSMFVDYKADVEKPLPMIYQSGYLTIKEYDSRRNRYLLDFPNNEVKKGFLTMVAANYFKPQEFEVSNWIVDAVILLEEGETTAFCSALTSFLADIPYDSHGSIKTVEATEKHFQYTFYLILRLLGVYCLLHVEKTQSRGRVDCVLETKDYVYIFEFKLDGTAAEALQQIEDKGYATPYQTDTRKVTAIGVSFSSETMTVEEWEEKTLWPS", "MKIAEIKGREILDSRGNPTVEVDVILESGIMGRASVPSGASTGEHEALELRDGDKTRYGGKGVLKAVENINTLIAPALKGMDSMDQRGIDKAMLDLDGTPTKSKLGANAILGVSLAVAKAAANYLDIPLYRYIGGTNTYVMPVPMMNIINGGSHSDAPIAFQEFMIRPVGAKTFREALRMGAEVFHALKEVLKKRGLSTAVGDEGGFAPALDGTEDALNCILAAIEAAGYEPFKHITIGLDCASSEFYHDGIYDYTKFEGPKGEKRSAAEQVAYLEKLSWDYPIDSIEDGMAENDWEGWRMLTERLGNRCQLVGDDLFVTNVKFLEKGISEGCANSILVKVNQIGSLTETLDAIEMAQRNGYTTVTSHRSGETEDATIADIAVATNSGQIKTGSLSRSDRMAKYNQLLRIEEELGNRAVYGYKKIARNFKA", "MKIKWIVGGLLWASSYLQAAAQEYKLWYDEPAQVWTEALPLGNGRLGAMVFGNPGVEHIQLNEETIWAGRPNNNANPDALEYIPKVRRLVFEGKYLEAQTLATEKVMAKTNSGMPYQSFGDLHISFPGHTRYSDYYRELSLDSARTIVRYKVDGVTYQRETLTSFADQVVMVRLTASQSGKITCNANLTTPHQDVMVATEGEEVTLSGVSSWHEGLKGKVEFQGRMTARTQGGTRSCRDGVLSIEGADEAVIYISIATNFTNYKDITGNQVERAKNYLRRAVSKDYMTSRKAHVDFFKQYMDRVSLDLGIDKYAGVTTDMRVQNFKETKDDFLVATYFRFGRYLLICSSQPGGQPANLQGIWNDKLFPSWDSKYTCNINVEMNYWPAEVTNLSELHEPLIQLIREVSETGRESAKIMYGADGWVLHHNTDIWRVTGAIDKAPSGLWPTGGAWLCRHLWERYLYTGDMEFLRSAYPIMKEAGKFFDEIMVKEPLHNWLVVCPSNSPENTHAGSNGKATTAAGCTLDNQLIFDLWNQIITTARLLGTDAEFATRLEQRLKEMAPMQIGRWGQLQEWMMDWDNPQDVHRHVSHLYGLFPGNQISPYRTPELFDAARTSLIHRGDPSTGWSMGWKVCLWARLLDGDHAYKLITDQLTLVRNEKKKGGTYPNLFDAHPPFQIDGNFGCTAGIVEMLMQSHDGFIYLLPALPAQWKEGSVNGIIARGGFELDLSWKNGKVSRLVVKSRNGGNCRLRSLNPLAGKGLRTAKGENPNKLYAIPEILQPIINKEAKLNKVELKKTYLYDLETKAGEEYIFLGK", "MKRTGLNIICSLLLAGGMCACTATPKQTEEIKWSERMAQSEMQRFPEPWMIEKAKKPRWGYTHGLVVKSMLEEWKHTGDTAYYNYAKIYADSLIDTDGKIKTMKYLSFNIDNINAGKILFDFYEKTGDGRYKVAMDTLRKQLTEQPRTSEGGFWHKLIYPHQMWLDGIFMASPYLAQYGNVFKDTTVNADIVNQIKLIARKTYDPKTGLFYHGWDESKTQNWANKETGCSPNFWSRSIGWYAAAVVDVLDYMPAQFEGRDSIMTIINTLAEGIVKYQEPETGVWWQVTDQNNRKGNYLESSASSLFVYFLCKAVNKGYIPVEYKAAAERGFNGLIKQFIKEEPDGSYTITNCCAVAGLGGKGNRDGSFAYYIGEPVIENDPKSVGSFILAAIEYEKMKQ", "MNRHALLSLLALLLCCSTSLPAQKAKTYIPWKNGKLVVSEEGRYLKHENGVPFFWLGETGWLMPQRLNRDEVSYYLNKCKDAGYNMVQVQVLNGVPSMNIYGQYSMTDGFNFKDINRKGIYGYWDHMDYIIKSAASRGIYIGMVCIWGTPVEQGLMNEKEAVAYGKFLAERYKDEPNIIWMIGGDIRGDNKTEVWDALANSIRSIDKGHLMTFHPRGRTTSATWFNDREWLDFNMFQSGHRRYGQRNGDGDYPIEENTEEDNWRFVEASQAKTPLKPVIDDEPIYEDIPQGLHDPNETRWNQHDVRRYAYWSVFAGSFGHSYGHNDIMQFIRPGYGASFGADGRKKAWWDALEDPGFNQMKYLKNLMLTFPFFERVPDQSVIAGTNGERYDRAIATRGNDYLLVYNYSGRPMQIDLSKISGAKKNAWWYSAKDGKLEYIGEFDSKVTSFQHDSGYLSGNDQVLIVVDSAKDYVQKAWTALPDAIQKWNK", "MHIMKKQVLLIILLALPLWLKAADVSVTGLRTEQMVDPMGLDTAAPRMSWRLESSQRNVMQTAYRILVASSPELLAQDKGDLWDSGKVESDASVWIPYQGKRLKSNQRVYWKVRSYTNRGETEWSEPARWGMGPLGEIHWKGRWIGWDAAFAWDREDSHSRLSSRYLRTEFKTQAKEIKYATLHLCGLGMYELFINGQRIGDQVLAPAPSDYRRTVLYNSFDVTKQVAGGNADNAIGVTLGNGRFYTMRQNYKPYKIPTFGYPKLRLNLIIEYTDGSIQRINSDEKWRLTAQGPIRSNNEYDGEIYDARMELGNWTQPGYDDSKWLKAQRASLPYGTLRGNTAPNMKVMKTLKPAVFKQYGDRYMIDFGQNMAGWVRINIAKAAAGDTICIRYAERVKNDGTELDVENLRHSQSTDYYICNGKENNTSWSSRFSYHGFQYVEVTGYKNLKAEDLVAEVVYDDLEDNGTFECSNDIMNRVYRNAWWGISSNYKGVPLDCPQRDERQPWLGDHAMGTWGESFMFNNGNTYAKWADDIREAQREDGCIPDICPAYYNYYTSEMTWSSTFPVICDMVYEQFGNIEPIRKNYAAIKKWMHHIRSEFTTEDGVINADKYGDWCMPPESPELIHSQDPARKTDGALIATAYYYKVSQMLAKFARLQGLEDEAKEFEKDAAKIKDCFNARFLTVKKGTSPVQTPHVLYPDSIFYGNNTVTANILPLAFDMVPEAYREEVEKNVITGIITRNKGHISSGVIGMNWMMRELTRMGRGDVAFLLASNKTYPSYGYMIEKGATAIWELWNGDTANRWMNSCNHVMILGDLLTWYFRDLAGFNPAQPAYKQIILKPDFSIQELSHVKASHNTLYGKMISNWKKTLTHLEWDITVPCNTTALVYLPTLDEKAVKDKDVTFVRREGNSTVWSVPSGNYHFSVSMDPSSGKNRAGIVEDQFLYEQASFPECHGATIVELKNGDLVASFFGGTKERNPDCCIWVCRKPKGATEWSAPYLAADGVFSLDDPQAVLAGITAESTPADAGPVASTFKGDKFRARRKACWNPVLFQIPGGDLILFYKIGLKVADWSGWLVRSKDGGKTWSQREPLPKGFLGPIKNKPEYVDGRIICPSSTEGDGGWRIHFEISDDKGKTWKMVGPVEAEMSVPTALRKENAANVDDQEGGEAIKGEGEKPIYAIQPSILRHKDGRLQVLCRTRNAQIATSWSSDNGETWSKVTLLDVPNNNSGTDAVTMKDGRHVLIYNDFSTLPGTPKGPRTPLCVAVSDDGIHWKNVMTLEDSPISQYSYPSIIQGKDGKLHAVYTWRRQRVAYKELDLSKLK", "MKSIRLLLLLASGVTTGAFAQSGGLTDMSQSRFAKMANTELGAVHWTDGFWGDRFNVYSHTSLQSMWDTWNNPDVSHGFRNFEIAAGVCEGEHWGPPFHDGDMYKWMEGVASVYAVTKDPELDKLMDHFIEHVVKAQRADGYIHTPVIIEEKNKGIDTHSDKQQQTVIGTKVGGEDEKGAFANRLNFETYNLGHLMMAGIIHRRATGKTTLFDAAVKATDFLCHFYETASAELARNAICPSHYMGVVEMYRATGNPRYLELSKNLIDIRGMVENGTDDNQDRIPFRQQYNAMGHAVRSNYLYAGVTDVYAETGEDQLMKNLTSIWKDIVTRKMYVTGACGALYDGTSPDGTCYEPDSIQKVHQSYGRPYQLPNSTAHNETCANIGNMLFNWRMLEVTGDAKYADIVETALYNSVLSGVSLDGKKYFYTNPLRISADLPYTLRWPKERTEYISCFCCPPNTLRTVCQAQNYAYTVTPNAVYCNLYGANTLATTLKETGKIGLVQETEYPWEGAVKLTVTEAPKPSKKKAFSLFLRVPDWCEKATLKVNGEPVQGTWKANTYAEVNRIWKKGDCVEWVMDMPVKLLEANPLAEEIRNQVVVKRGPLVYCLESMDIEGGHKIDNVLIPADIRLTPKKITIEGSPIVALDGTARLVDEVSWKDTLYREVGKADKPVHIRLIPYYAWGNRGKAEMTVWMPLARTNH", "MKKIFITTAALLIACTLSAADLFVSPEGNDRNPGTKDSPKATLTAALRQARELRRLNDESVKGGITIHLEAGDYHLYEPVFIRPEDSGTEASPTVITSDGNAVLNGGVEIRNWKKQGKLWVADVPMFNGRPLDFRQLWINGKKAVRARDVADFEKMYRIINNDPQNEILWVPAAAVKKIQKARYAEMVLHEMWCVANLRIKSVEIQGDSAAVRFHHPESRIQFEHPWPRPMVTKDGHNSAFYLTNAMELLDEPGEWYHDIESRKIYYYPRKGEKISKAVVPGIETLVWVEGTIDRPVKHIRFDNIAFQYTTWMRPSLQGHVPLQAGMYMTDGYKIRPSMIRKNNHKLDNQGWLGRPASAVVVKAARDIDFEKCRFQHLGSTGIDYEWATDGGHINGCLFRDIAGNGIVAGSFSPAAHETHLPYDPADRREVCTGLTISNNYINDVTNEDWGTLGICAGYVSDINIEHNEISDVSYSGISLGWGWTRTVNCMRNNRVHANLIHHYAKHMYDVAGIYTLGSQPHSRITENCVSDIFSPGYAHDPNHWFYLYTDEGSSFITVKDNWTEGEKFLKNANGPGNTWENNGPMVNDSIKANAGLPKEYSYLKEK", "MKNKLATLVGALALSAAASAQTWIWYPGDYEIWLGNRMN" ]
[ "CGGTGTGCACGATTGATTGTACTGCTAGGTGATTTGCTTCTGTTTTGTAGAAAGATGAATGGTGGGTAGGGTAAATTAGAATGATGTCAATGTCGGTTCTCTATAGGGCTATCGTATACGATTAGTATGGTAGTCTGTAGATTGAGTAAATTCATTATTGTAAAACCTTTCTACAAAGAAAAACAAATCATACGTAAGGGCATTCTCCATGCAGTTTCCCCCAAAGAAGAAACTGCATGGTGATTGCCACTTTCTTTA", "AGGGAAAGTTTCTTGAATCATGGTTGAATTGAAATAGGGAATTAAAAATTAAAAAGTGTCATGCGATGGGCCAGGGGGCTTTTTAAAAGAGAGTGGTGCAACTGGTTACGCCCAAGGCGGTGAGCGCTGCTATGGCAGCTTGGATAATGGCGTGCAGAATTTGCTTCCAGGTGATTTTCTTTGAATTGTTCATAGGGATATTTGTTTTAAAGGATTTGTTTTTTTCTGTCATTCCGGACAAAGACGAGGAATCTGTGGGCATAAAAACGATTGCATTCAGATTCTTCACTTTGTTCAGAACAACAGTTTCATTTCAGAAATACAGATCTTTACAGAAATACAGGTCTACTTCAGAGTAACCGTTTCATTTCAGAAAGGCAGATCTTTATAGAAACACAGGTCTACTTCAGAACAACCGTTTCATTTCAGAAAGACAAAATACGATCAGACTTCAGAAGCCCGGAAAGT", "CGTTTTTGTTTTTAAAGGGTTATTATTATCGGAAAAGTGTCAGGTAGGAGCAAGGCGCACACTGCTGCGGCGTTCGGTGAAACTTGGTACAAGATCCGCGGAATCTTGTACCAGGATTTTTGTAATCTTGTGCCGGGGTGCGGTTGGTTCCCTCTGACACTGCAAAGATAACTTCACGTCCGTGCGGCGTGACCCCTTCCGACCCCTTTTGACCCCTTGGCGGATTGCGGGGGGAATCATGGCTCTCCAAGTGGAGGCAGACTAGTTGCAACTGGCAGCGGGGACACGGGGACAGTGGGGACAGCCCCTTTTTTTCTCACACACACGTGCGCGCGTATAAAGCCGGGGTCAGCTCTTGTGATACGTTCCCCCTACCGTCCACACTCATCGGACCCCTTGCTTGGTGAACCGTTTGGGCCGCCTTTTCCTGGTAGTAGGTTTTCATCGCCTTTTTCACCTCGGTGCGTATACGAAGGTCTGCATGCAGGGGGCCTCCCGGGAGCAGTGTGTTGTGTTATTTGGTTTTTTTACTGTAAGAGATGATTTTCTCTAGCAGCTCCGGCCATATGTCATCCTGTGGAAAGGCAGGCAGGGGGAAATAAGGTTCGGAGCCTTCGGTGAGTTGTTCTTCCTCGTTGGTGTCATTGGGAAACTCATTTTCCACCTTATTGTATCGCGCGCACGTGTGTGAGAAAAAAAGGGGCTGTCCCTGCTGTCCCCACTGTCCCTGCCTTGTAAGAATCGGCGGAACTGAATAACGGAAAATATTTTTCAGGAGGACTTGTCTTTAAAATTCACTTGAAATGAATTTGTTTTCCCACTTTTATTGTTAATGCGAAGAGAAGTCGTTATCTTCGCATTAACAATCCATTTAAGAAAAAGAGAATG", "GATTAAATAATAGTGCAAAAGTAATGCCTCAAGCCGGAATCGTCACGATCCCTCTTGAGGCAGTCTTCATTTATGGAATTGGGGATATAAACCGC", "ATAATTCTAATTTTATAAGATGGTTTTATTTTAATAACAATAGAGAAGCCGGATTTGTTGAGGTGCCAGGGAAAGTTTTTTCATGATATTTACTTTTTTATTTCTTTCCACAGAGTACATCCGTCTTCTTTTTTCGTCTCCCTTTAATAAAAGCTAGAGATCAAT", "TGATTCTTGCATTGATTGTAAGGATGAAAAATAGTAAAAAGAAGAAAT", "GAATAATCTGAAAAAGATGAGGACATTTGTCAAAAAGGTCTTCATCTTTTCCGTAAACATAAGGACCTTTTTTAAACACCTTGTTGTTTTGATAAAAGCTCTTGTTTGGAGATTGTCAATTAATTAATAAACGAATTTGAAAATACAATG", "GAAAT", "TACAATTGTGGATAAAGGAATTCTTTGATAGAGAGTATTGGAGAATTTGAAAAAAACGGGTGTCTGAAATGAAAATCAGATACCCGTTTTTTTGAAAACAGACTCCCATTATCCGCCAATGAAAGCTCTTTCTAAAGATACAGAATATGAAATAATTATAAATAGAGTACAAACGTTTCTTATTATCGTCCTCCCTTTATAAAGAAAAGAATAAGTGACAGATTATT", "CCACTTACCCCTAATTAGTTACCA", "AACGTGATACCATT" ]
[ true, false, false, true, false, true, true, true, true, true, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 13, 15, 17, 19, 21, 22, 23, 25, 26, 27, 29, 31, 33, 34, 36, 38, 40, 42, 44, 46, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 74, 76 ]
[ 1, 3, 5, 7, 9, 11, 14, 16, 18, 20, 24, 28, 30, 32, 35, 37, 39, 41, 43, 45, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 75 ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125014|+|118387:120405", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125015|-|120568:120996", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125016|-|121000:122043", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125017|-|122058:123140", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125018|-|123161:124240", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125019|-|124323:125141", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125020|-|125170:126075", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125021|-|126068:126619", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125022|-|126622:127713", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125023|-|127947:128615", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125024|-|128618:129610", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125025|-|129615:130955", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125026|-|130948:132198", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125027|-|132177:132785", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125028|-|132818:133867", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125029|-|133864:134919", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125030|-|134910:136064", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125031|-|136178:137737", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125032|-|138301:138747", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125033|-|139180:139665", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125034|-|139662:139952", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125035|-|140020:140520", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125036|+|140798:140995", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125037|+|141194:143572", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125038|-|143723:143953", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125039|+|144202:144630", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125040|+|144674:144775", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125041|+|144772:145221", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125042|-|145330:147741", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125043|-|147750:148541", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125044|-|148589:149992", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125045|-|150439:151380", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125046|-|151464:152411", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125047|-|152435:153973", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125048|-|154004:155413", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125049|-|155420:155992", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125050|-|155996:157090", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125051|-|157119:157685", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125052|-|158033:158962", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125053|+|159158:161863", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125054|+|162009:162350", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125055|+|162322:162624", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125056|+|162713:163243" ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000075|+|120406:120567", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000076|+|120997:120999", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000077|+|122044:122057", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000078|+|123141:123160", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000079|+|124241:124322", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000080|+|125142:125169", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000081|+|126620:126621", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000082|+|127714:127946", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000083|+|128616:128617", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000084|+|129611:129614", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000085|+|132786:132817", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000086|+|136065:136177", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000087|+|137738:138300", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000088|+|138748:139179", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000089|+|139953:140019", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000090|+|140521:140797", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000091|+|140996:141193", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000092|+|143573:143722", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000093|+|143954:144201", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000094|+|144631:144673", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000095|+|145222:145329", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000096|+|147742:147749", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000097|+|148542:148588", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000098|+|149993:150438", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000099|+|151381:151463", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000100|+|152412:152434", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000101|+|153974:154003", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000102|+|155414:155419", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000103|+|155993:155995", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000104|+|157091:157118", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000105|+|157686:158032", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000106|+|158963:159157", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000107|+|161864:162008", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000108|+|162625:162712" ]
[ "TERGAFFPPFWKTDSHYVVVEFSKKLDLAEPEEIFIAAEGKYNVKLDGKLQFGMPSTLKLPAGKHSLNIKVWNQSTPPTIYVKGKTVNTDKTWKVTYEDKEWIDESGKASDTSATVYMDAGCWNFDGATQLPSKFSLARKPMKAVSSTPRKEGVLYDFGKETFGYITLKEVKGKGTIHIYYGESPEEALDTEYCETLDKLLAEPGQITDLAIRNTRKLYDEYTLDNSKAFRYVYVTCDPGVTMQDVSMQYEYLPEEYRGSFKCNDEELNRIWEVGAYTMHLTTREFFIDGIKRDRWVWSGDAIQSYLMNYYLFFDNETVKRTIWLLRGKDPVTSHSNTIMDYTFYWFLSIYDYYMYSGDKDFVTQLYPRMQSMMDYVLGRTNANGMVEGMTGDWVFVDWADGYLDKKGELSFEQVLFCKSLETMALCAGLAGNTADKTKYEKLASALRSKLEPAFWNEQKQAMVHNRVQGKQSESVTRYANMFSVFFNYLNADKQQTIKHTVLQNDSILKITTPYMRFYELEALCALGEQESVMKEMKAYWGGMLKEGATSFWEKYNPEETGTRHLAMYGRPYGKSLCHAWGASPIYLLGKYYLGVKPTKPGYEEYSVTPCLGGLKWMEGTVPTPYGNIHIYMDKKEIRIKSDGGKGVLNIPTRKGMKAMTIEPGEEQVFKY", "MIIAVDFDGTIVEHKYPEIGRELPFAIETLKKLQQERHRLILWSVREGKLLQEAVDFCRERGLEFYAVNSNYAEETLESNHYSRKLKADLFIDDRNLGGLPEWGMIYRMIHDKWGYEDIYQSSGNKEETKKRSFWKILFSKM", "METHIVIMAGGIGSRFWPMSTPECPKQFIDVTGCGKSLIQLTVERFQGLCIPDNIWIVTSEKYKETVRKQLPSVPPHHILTEPVARNTAPCITYACWKIKKHHPHANIVVTPADALVINTDEFRRVIAKALLMTDQSKAIVTIGIRPCRPETGYGYIAAGEEVDQDIRKVNEFKEKPDLKTARHYVDTGNYFWNAGIFVWNVKTIEEAIRRYAPGIAEVFDRIYPEFYTEREKETIEELFPACESISIDYAVMEKAERIYVLPAEFGWSDLGSWGSLHSLLPKDERNNAVVGENVRMYECNNCIVHTPHLKQAVIQGLDGYIIAEKEGTLLICRLAEEQRIKEFSKI", "MEKSAKIYIAGHHGLVGSAIWRNLQEKGYTNLTGRSHKELDLLDGVAVRDFFDQERPEYVILAAAHVGGIMANSTYRADFIYKNLQIQQNVIGESFRHGVKKLLFLGSTCIYPRDAQQPMKEDALLTSPLEYTNEPYAIAKIAGLKMCESFNLQYGTNYIAVMPTNLYGPNDNFNLERSHVLPAMIRKIHLAKCLNEGDWENIRYDLDMRPVEGINGESRTEEILAILKSYGISKDGVELWGTGTPLREFLWSEEMADASVFIMEHVNFKDTYRPGTKEIRNCHINIGTGKEISIADLAHLIVKETGYKGSITFNPEKPDGTMRKLTDVTKLHELGWHHKIDIEEGVHRMYQWYLEYKKK", "MSKKVALISGITGQDGSFLAEFLIEKGYEVHGILRRSSSFNTARIEHLYLDEWVRDMKQNRLVNLHWGDMTDSSSLIRIIQSVQPDEIYNLAAQSHVKVSFDVPEYTAEADAVGTLRMLEAVRILGLEKKTKIYQASTSELFGLVQEVPQKETTPFYPRSPYGVAKQYGFWITKNYRESYGMFAVNGILFNHESERRGETFVTRKITLAAARIAQGFQDKLYLGNLNSLRDWGYAKDYVECMWLILQHDTPEDFVIATGEYHTVREFATLAFKEAGIDLRWEGEGVNEKGIDTATGKVLVEVDPKYFRPAEVEQLLGDPTKAKTLLGWNPRKTSFEELVKIMVKHDMKFVKKLHLKASC", "MKVSIITSCYNREATIRGAIESVLEQDYPDIEYIVVDGASKDNSLAVINEYKNGIDTIISEPDKGMYEAINKGIRAATGDIIGLIHSDDFLFSSHTISDIVKTFEEQDADMIYGNGVFVDYDDTNQMIRNWISGRYSKENVKNGWLPLHPTVYIKKECMDKWGLYNESYKIAADSDLLVRYLYEADLKVYYLNKYIVKMRMGGLSTDAGKSKLKWAEDLRMYKSHGIKPISALKGKILSKIPQFIEARLPWSEIPEAEEESLIQPDAANKKE", "MNNSIAAIILTYNEEKHISRCINSLKNICEEIFVIDSFSKDRTVEIAKEAGAQVYQNPWKNYATQFNWGLKNCPITTEWIWRIDADEFLEGNLGPAMKKALAECNNEVNGVYVRKRIDFMGKPLLHGGWYPSYHLKVWRRGHGECENRWMDEHIRIFSGTTITVEEGNQVDANLNDLTWWTEKHNGYATREMADMLMMEYGLDDRGKEVQAKFWGTEEQRKRWLKVKYIKAPLFLRPFINFNIRYILKGGFLDGKEGFIWHFLQGFWYRFLVDAKIYEIKKRFGWNDKRIKAFIKETYLDK", "MELNIAENRNHLNYSKWIYVKRILWTFGKFFFRNSPRIAFGYRNTILRLFGAKIGKHVHIYSSTVIWFPWNLEIGDWSAIGEETLIYNLGKVTIGEKATVSHRVHVCAGTHDYTDPALPLLRPEIRIGNQTWICANTFIGPDIEIGEGAVIGAGTVMVKDAEPWGVYAGNPAKYIKKRILKNE", "MKVLHYIPSIDRSSGGTTAYIQLLANELGKLTELHIVSHKEKHPINIKNSTIHYISPSVLNGMKKEWKTLLQEIHPDIIHINCCWMPGCAFTQKWAQQLGYKVVLTPHGMLEPWIIKRHHWTKKIPALLLYQKRAIIKADYLHATAKSEKENLLKLGYNNKIEIIANGIEVKNIALKTSWNRKKEILFLSRIHIKKGINFLIEATANLKTELQGYTINIAGEGEESYINELKQLASKLGVENLIHFIGGVYGDKKWELFKKADLFVLPTHSENFGIVVAEALACGTPVITTQGTPWQELESYHCGWWTEIGTEATTKALKEFLQCTETQLEQMGKNGRKLIEEKYSSQKVAQNMVELYKKVCL", "MKKLLSFIVMFLPWNIKRFLLIKFWKYKIHKSAYIGLSYIYPKHLIMEKGAYIGHLNVAIHLDYLELGQNSTIGRSNWITGFPSKTKSMHFAHDKSRKSELIIGKESAITKKHHIDCTNTIHIGDYVTIAGYNSQLLTHSIDIYNGRQDSHPITIGDYCFVSTDVNILGGSILPSHCALATGAVLTKAYNEEWKLYGGVPAKPIKNIPKDALYFIRKKGFVY", "MNVNYFFRNNKAGFSIGTVFKTIVNEAEKYIEISSFYLPSPSASILSILQNGIYALHKSHKGEINHITGDAHYLLYFLNSKKTIITVHDIMYYSYLSGIKKKIWKFLYIDSLKKATKIVFISEFAKQQILNHISLDESKMTVIPNPVDPTFKKSPKDFNQHKPRILHIGTLSRKNLNRSICALEGINCHLRIIGNIDETTKKLLLEKGIEYSCNSNLTHRQIVDEYCKADIINFPSLFEGFGMPIIEGQAIGRVVVTSNIPPMIDIAGDGAAIVDPYSVDSIHHIYSKIITDNNYRNNIITKGLKNAERFKVETIAKLYLNIYNQIEIEK", "MNNILIHNSNNRIYTPYKFYRNVVWAYLLLLIFEGALRKWFLPGLATPLLIIRDPLAAYLTYIGISRGWLKSNYIIVMFIVSTLSLLISLVLGHQNLMVGLFGWRIYTIHFPTMFVIARVLTRNDLLKMIRFILYVSIPMTILIVIQFYSPPSAWVNRGIGGEGTAGFATIESYSRPPGTFSFTAGYVCFQAIVGCLLLYYLIMNKQLSEKNRIPNLLLLVMTGCYLLSIPISISRTHFFQTCVFLLFLGFATMQKQELKLKYLKFIFIVFISFVILIISGVGEEGLDVFIKRFEGANKAEGGIDNVLGGRYLGAFFRAFNNLDIPMLGYGIGLGTNVGAHLMGGNMYSFGFNAEEEWSRITGECGILLGLIIISIRTFVSLDCFSQAYKRLIYRFDLLPWMLSAGMLLLVPQGQWSIPTNLGFCILSGGFTMAAIRTTKKRKQKH", "MDKQKKIVISHPNGNANVRGAANGFFNAAILESFHTSIACYKGGWLYRLAKGPLKEFRRREYSAYLKPYTKTSPWMDLTRLIAPKIRQLEWIKHETGKFCIDAVYRDADIRVAKYITKHHNYIDGVYCYEDAAIHTFQSAKKNGIKCIYDLPIGYWRSMRKLLNIEYDKNPDWAITLGGFNDSDEKLNRKDRELALADKIYVASSFTKKTLLDYPGKLAEIEVIPYGFPPINKNRKYIPFAGRKIKVLFVGGLSQRKGISYFFDAIKGLENDLEVTVVGSGNINNCKVLKKALSNVNYIPSLPHEQILALMAAHDLFIFPSLFEGFGLVITEAMSQGTPVITTERTCGPEIIKHGENGWIVEAGTSEPISILLQQFIDCPEILEIAGRKAMKVANSRPWDCYGKELAESVKKYLNE", "MDIDKNSLYYQHISNRSFLHFIIGLYPRLIQYIKLNKARKKAIKQGANIGENTIIIKELACRANTNLTIGENSSIGSYKIDLRSPVHIGNNVIISNDCEIITTSHYIDSPEWEHKYYGLEIEDYVWIASNVLILPSCRRIGKGAVIGAGSVVVKDVPPMAVMGGNPAQCLKTRKNIHSKLVIASLLSGDLKIYWNIWINRKK", "MISIIIPIYNVEDYLNKCIDSIIYQTYSDWELILVDDGSFDSSPAICDSYATCDSRIKVIHKINEGVSKARNIGIEIAQGEYIVFCDADDYVDPNYLKQFLKNNKNADLVITGYYFDTNNIVYSYKIYQEAYCKNLEEIKKHFFLQNLKSNGYPWGKFYKHEIIKSNHLRFNEHLQINEDHLFVFQYLLCCKTIYITPSKDYHYTVFRGNNIKLSSKRNPFHMHKLASECFKKEINRMQTFWKLTSIEYNSLINEFVYSKRLLGLNSLCIQKDVTSFKEEIFYWKTRKYHPKNSFHKIILFIICTDILSTNIKFAFLRYIYALKEYNKKKKYIQYIYKSVNNCSTQIIK", "MEVGILTFSRVHNYGAILQCYALYESLKNLGHNVKIIEYKQPFLEASSRPFIFKAFINKLTHPRSFLRYIKQYKSRVISEKQYNTFKSLYLDCTKPCDSKHIPPNFDLYIIGSDQVWGTNCTNGIDKVYLGFFKRKSNSKLASYAISSNLESINILGASLIQNSLANFNHLSFRESIISEKLSTFTKKRIDTHLDPTLIVDKETWMKISNNKYAHRRYVLIYEARLYNNDRNYLKRIANDYAKQYDYEVLDPLSCKCSPSDFVSLFKYAHHIITTSFHGTVFGLIFNKPMSVFCLNDGHDSRYVNLLNSIGASDFLNNIGEPIKVSNVNYEIVNKKLEELKNNSIEYLKSL", "MIKIEKTANCCGCTACASICNHNAITMQPDALGFLYPVVDENKCTDCGLCENVCAFNNNYDRSQNLPQPIAYAARHKDMYEVETSRSGAAFIAISDWILEQGGVVYGAGYTDHFRVVHKRAVTKEERKEFKGSKYVQSDLNTVFRSIKQDLKQGLIVLFSGTPCQTSGLASYIGKYLRDNLYLIDIVCHGVPSPYIWRDYLTYLEDKQGDEICSVSFRDKQMFGWKAHKEKFEFSGSQSKISSSFTYLFSKNIMLRHSCGVCHFTNIKRPSDITIADFWGYEKTDANFNSDDKGCSLVLLNTDKGHRLFEIVKKDMNVIPAKLENCMQPNLLHPSTIHKYRDAFERDYTHKGLVYVMKKYGDMGWRYKFKILFNKTKKISKLWK", "MGKQDFSQNNKRIAQNTLLLYCRMLLLMVVTLYTSRVVLSALGIEDFGIYTIIGGVVTMFSMLTGSLSSAISRFITYELGTGNQVKLKKIFSSAVTIQIVLGLIVTILAEVIGLWFLNNKMVIPHDRIIAANWVLHLSLLTFIINLISVPYNAAIIAHEKMSAFAYISIIDAAGKLCIAYFITISPTDKLIFYAIMMCLSAMITRLIYGVYCKRKFEECKYQFIWNKKLLKQMFEFAGWNFIGSSSALLRDQGGNIIINLFCGPTVNAAKGIANQVNAAVNSFVTNFMIALNPQITKSYVSGNHKYMMMLIFQGARLSYYMLLLLSLPIIINTQYILTLWLKEVPNHTALFVQSVLIFTMSESISTPLITAMLATGNIRNYQIVVGGLQIMNLPISYILLRSGYIPESVIFVAIFISQCCLAARLIMLRNIIQLEIRQYLKKVYFNIIIVSLLSGILPIIVSFYIKCTFINFIILCFISLVNTSIVIFYIGCNKKERYLISSKIKKIYKTRFNTNILSI", "MNIKEKTVFHICRHKELANILKEGEIFYTDRFTLEPYHKDGKNQKEISAERARIKVDPNLPIRTKSMHICLEKDLEKWKNKLITANHKWYRIFKLSATGKVFWADSYEYDGGNYAKYWQGCDPNSEEARIEGLFQGEYQILETIEKKG", "MTEKKKEITERLEREFDVCDKHILRINEALEGLGVNIPMSADCYSNLTTEQVRCIDQFIFRFSKLQDSMGAKIFRYILEYLDEDITALPMRDILNRLERYLIIPSADEWTYIRELRNEISHDYPLLETDVAAILNELFSKTDIIFSIYSKLKSVFNNNRHA", "MRLQSKEIQTIIQIAKDIYGETVQVYLFGSRLNDEKRGGDIDLLVRSTGEKKGVLARIRMTARLKLHLGDQKIDVIGDHEDSPVVQEALKNGIQLI", "MKKWLVAYVRLHHEKKTAERLTAMNIENFLPVQEEIRQWTYRKKKIKRVVIPMMIFVHVDAAERSQVLTLSAISRYMVLHGEHTPAVIPDEQMERFKFMLDYSDEAVEMCTAPLAPGELIRVVKGPLKGLEGELVEVDGKAKVVVRLDLLGCAGVDMPVGFVEKMK", "LSFLQELEKIMAGYRLSGEGYTDEEMRVLKRMCKSMLADIDEFLRKKEEKKKKERKEKKKRKERK", "MVYTDLCSFYFSVFDEHAVDMTLEEFVKLLRGERWKVQVEEYQRLKASGRETEAKKLKRKLAALVIAGRCDGSHAETNLKQWSGDAMLDVDKCNGRVSEFLQVLKDTPWVKAAWRSVSYDGLKLVVRVEAESVDEYRLAYALVAWHVAQLLAFPCDMSCKNPTRPCFASYDPEAFFRPDTEVFPWRRFVTEHPDRVGEILAELKVKTPAGASGPPVAASGMLHTFFNEFLEQNPFVDGKKNEFLLKLGRIARYKGVGEEELLRLKTLAVERLAGMGCAAGDIPPRIDSGYRYADMNKGPETPASRAHKAQGSPMRYSEPNEGEEEAELEKLEADKLRREVPCLPDELFDRLPDFLKRGLTHVRNKRERDILLLSMITNISGCLPGVRMNYGGMVYSADLYLVALAGSGRGKGVMQLAAILPAAIQEYYDELNRKDEREYRQKLLKWNLEERLAAQEKRVPDLDQCPEMPVERILKVAPNISKSQLILALEAGGAVGLVMNASELDMISSAMHQEYGKHDDVMRAASQHEEVSSYFKTDHRLVVVSDPHLALCASGTPAQLHKFISSLENGMYSRVAFYVGQAHWEYKSANPGKARLDMRAYFKGMGEELLRMFIFLSGSPTEVVFTEEQWKEHTERFRTYLREVVAEDDDSPGAIVLRHGLMMSRIAMVLTALRKCEPQWNTSEWECSDEDFHTAMQIVDVLLEHSLLLSTSMDDTAGRIRPVKAFFKLRPVLKKMPREFTYSELMAAANEAGLPTASVKRYLLRLVYYQIVEKEDGKYRKTGKSWLRKPPK", "MNQEEKAFIIRAYDKAELAELYSPGRTAAAALQTLYRWMRRNMLLQEELNEAGYNKFRHSFLKHEVAIIVRHLGEP", "MGRTVSYSVVKRNNPLDQDALPKFYAQAQANGHVEIREMADRIQKTCTVTRADVMAVLVALEDVIMEALEGGEIVRLADLGTFQIGLSGRGAETEDTYDVSMIRKARINFRPGIALSGILAALKYTKVDKLPVKTKEEQKPA", "MKGKSVWSTILKVVIAVATAIAGVVGISSCIGG", "MRVINLIVVHCSATKADRDFTEQDLEVCHRRRGMNGPGYHFYIRKNGDIKTTRPIEKIGAHARGHNAQSIGICYEGGISERGRPADTRTVWQKHSLRVLVRALLVDYPGCKICGHRDLSPDLNGNGEIEPEEWVKQCPCFDVSKEKYSK", "MKEDLYDDLYLEEKEEKTDFKAILFKYAIHWPWFVACTLLCIAGAWLYLRYTPPVYNISASVIIKDNDKNSKASSGMGDLEDLGFYSSINNFDNEVEILQSRTLIKKVVEELDLYISYATKSSFHDIELYKSSPVKVWITPEEAQKLPAPARLHLTLQPGNKLNVKLRIGEEEYNKQFDKLPALLTTPSGTFSFTPKDSTTVQSTQEIMATVSSPRSVANTYRGALSIEPTSKSTTIAQISVKSTHTQRGMDFINKLVEVYNRDANDDKNEVATKTAEFIDERIKIINGELGTTEQELETFKRDAGLTDLKSDAQLALSENSEYEKKRAENSTQLRLVQFLAGYANNPDHAYEVLPVNVGLTDTGLTELINRYNEMLLERKRLLRSSQENNPVVVNLDASIRAMRSNVLTTINSVQRGLAITQADLERQAGKYAGRITNAPGQERQLVSISRQQEIKAGLYLMLLQKREENAITLASTANNARMVDEALADAIPVSPKGKMIYLVALILGIALPVVVIYIIELLKYKIEGRADVEKITSLPIVGDVPLSEDKGKEESIVVHENQNDLMAETFRNVRTNVLYMMRSDEKVILVTSTTTGEGKTFIASNLAVSLALLGKKIVIVGLDIRKPGLNKAFQLSHKEQGISQFLANPEHTDLMSLVQVSNINSNLSILPGGPIPPNPTELVARESLSQAIDILKKHFDYIILDTAPIGMVTDTQLISRVANASIYVCRADYTHKADYTLINELSEQKKLPNLCTLINGLDMKKKKYGYYYGYGKYGKYYGYGKKYGYGYGYGNENVNKK", "MRRLKFTLFALASLLLCSCSAYKKVPYLQGSEYLNMANIQTPLYDAHIMPKDLLTITVNTSDPDAAIPFNLTVATPITANSKSLTSQPSLQQYLVDNNGNIDFPVLGTLHIGGLTKSQAENMIKEKLKTYIKENPIVNVRMANYKISVMGEVAHPGTFTITNEKVNIMEALAMAGDMTVYGQRDKVKLIREDAQGNRQVIPLNLNDADIIVSPYYYLQQNDVVYVTPNKTKAKNASISNSTTIWFSVVGTLVALASLIVTIAK", "MIQVQRFNKALKLAVILGDLVILNVLFISFNSIWNELFGERAYSGTLPQILTLFSVCYFACTISGGVILHRRGARADQIVFRALRNVFYFSLLSTSLIVLSELEIYSNRFFVYYFILLFLCITFYRLLFRFCIQLYRSRGGNFRTVLYLGSTENIAELYHEMTSDATTGYRVLGYFDTTPNAKFPASCTYLGKPEQAIDYLTKNKVNQLYCCLPSTLSECIVPVINYCENNLIHFYSVPNVRNYLRHRMYFEIIGSVPILSIRKEPLGKIENRLIKRIFDVAFSSLFLCTLFPIIFLIVGVTIKITSPGPIFFRQKRNGLNNKEFWCYKFRSMKVNKESDTLQATLNDPRKTKFGDFMRRTNIDELPQFINVLLGDMSIVGPRPHMLKHTEEYSKIINKYMVRHFIKPGITGWAQVTGFRGETRELEDMEGRVKADIWYMEHWSFLLDLYIMYKTVANVLHKDKQAY", "MRNKKKFNEFMNKTIEELKDEKRYGTAHVYQSTLNAFSEFCGCEVIYFHQLNRANLKEFETYLRDKQLSWNTVSTYMRTLRATYNKAVDKKIITENSRLFHHVYTGVKNDIKRALEVEEINKLLNEVPLKKLPEDLIRCRVWANLMFQLRGMPFVDLAHLHKSDLKGNTLSYRRYKTGGQMIVDIPITAMKLINKYQNTNQDSPYLFPILSGTKTGEDLYTEYQQALRTMNYNLGRLAKKCGVATKVSSYTTRHTWATLAKYCNFSEQLICEAFGHSSVKVTETYLKNFKNEEIKKANDAIILYVSNNGKKRV", "MSKTATILFALCTLFCSACSWVDDDRSDCPTGCWLKLSYTYNMLNVDAVTTQVKDVTLFILDQEGNYIVREEVDSLTFHQNECTIQVPSLPQGDYTFLVWAGLADSLYRHTPTSLTLLRNEAGEQSEKLSSLFHGRLDNVHISGEYQVLALSLTKNTNILSCILQSQSAAPLDTDDFRLELTARNGCMDHRNTPTDSVFTCYLPFMQESANLEDIQVVHAGMNTLRLMENDDTRLRLIYQPSGKEIFDIPLTPYLLLSRNVETTYMPPQEYLDRQDRYNLIFFLSPTEDPQKPYICLQMQVNGWIIRINDAELDK", "MNKKLLLSFTLAAAMTGCINDSDVPSGNGDNPAPGARGGNMEISFLVPNSSNGSRAASAEDSGVYEDGTAEEYKVSNVTLYLFDSSSKNLVTTINVAQSDLGAGTSSGESSKEGQTIVYPCNKEITVKPGNYDILAVANGSQTFEIGQESTLLGQIDASTYGNGMITSVPGSGFIMSNRGSANMNITVESPEESDTKAHVRINLERAVAKLMVRNDSKEIYTLKNSAGVTYATVRLNNYKFINLANKFYTFRHVATLDNAPETPSAPSSYSVEAGNFGNIADNNGYLIDPYFFDKTVAGATTNFTGGSFYTNHLSKQTDSNWSGLADAGKYVSMYCLENCMFRPAQNTVYTTGIMLKGTFTPEASQTIGNNGNPVEDPLVFNTLYYFNYKFYTTLAAVGKYGDANIDGLTEESSDAELAAKQITRFTKNGGNFSTFYNYWIKHLDNNNPTVMGVMEFGIVRNNIYSVNITSIKNLGPGTPDTKPDPDENKAFLDVEFGVYPWIVRDQDADLE", "MKYLFYFISFIFPALCSSAQPAERDSSIYIASSRLYRMGESLVVSMQVDITRQIPSNESVILVPRLSDSLDNFIQLPAIYVNGRKQHIFFQRETGRQEKDYEELRRRNDRKQSVHYLRSIPFTHWMKHASLHLIEKECGCGVPRHTDSTYLTRLNILPDIHPHVAFITPQMEERKVREESGRAYLDFPLNETTIYPEYRNNPAELAKIKRSIDLIKNDTNVVISHIDIHGYASPEGPYSNNERLARERTRTLKDYVCSQYAFNDTLFTTHYTPEDWDGFVKLLTDTVITHREELLHIAESKSSPDGKERKIRKRYPEEFRFILQHWFPGLRHSDYTIHYVVRPFTVEQAKQVFQSNPKNLSIEEMFRIARTYPAGSPEYNKIFMTAVLLNPEHPVANLNAACILLSQGDTKGASLYLDKAGETPEKTLLQGIMQMLNGNYTEAENLLRKAEEAGLPQASENLKILHEIY", "MKTRYILSCLLLGGALSVSAQKTAVKSNLLSAANGALNAGVEYAFGLKSTFDLSGSIRPWKRTEGYVNKYWLIQPEYRYWTCQKFNGSFWGAYLNGAQFNVGGKKMPFGLFPWLKEHRYAGWLAGGGISYGYHIMLNRHWNIEVSVGVGYEYIDYKKYKCPDVCAELLEKGHYHYFGPSKASLSIVYIFN", "MKLKTNILFSLLLCGTFATAQNLKLDILPPSVTLGQDSATVFMKMTLNVPQMDTKSHIRLTPVLTDGTRTAELPQILLNGERAHRLYRRTLALNKRKGKADVTPVFTAIPLTDSDQTIHYRASLPAADWIQFATLNLKKEVINGSGEKVQSENIPIPDTAPHIATATDHFVPQQDRNREAPMAAASPSAPVVPEHRPVSPAPSAPSAKPYFKGSYVSPESDATDERNQKELNFSLDEARVIAEINPQMLSLRELYTVAISYKNTPEQFYKIIDISVKIYPASPVANLNAAAAAIERGNTQAAGRYLQMASHETLAYKNCRGAYELLCNNTYEGIRLLKAAKAEGSEEATYNLKIFFESNQTNIQ", "MRHSYLFIIAIMLAVMTPRIAPAQSIAVKSNVLYDLTGTLNLGGEIRCDDTHSFNLSVNYNPWNLGENKKMKHILIQPEYRWWLNETFIGSFFGVQAHFAQYNFGGTTPFTTVKNNRYQGNLIGCGITYGHQWLLSTFWSLEASISVGYAHLTYDKYGPAKGDALIEKSHSNYVGPTQLGISFIYFIQ", "MKKKSNLTLFMKQLIRSLKEEERFSTAHIYQSTLNAFMLFCKTDAIRFNQMERSRLKQFENHLRNKGCTWNTVSTYMRTLRSIYNKAVDDGLAEEKPRLFRHVYTGVKANTKRALDAKDMSKLLSASLPRPLPQSMKESRAWITLMFLLRGMPFVDLAHLRKTDLQDSVISYRRHKTGALLTVEIPPAAMNLIKRYQNTDSASPYLLPILSGNRKSEEEYAEYQHALRKLNYDLKQLAVYCGIKLNVSSYTSRHTWATLAKYCHFSEQLICDALGHSSTKVTETYLKSFKNDELDRANKVIINHISISI", "MKRMKNIRLGTLVACMCVLWGCEKPNVNIVMPQEASNRVLFAGEHLKKALEDAGYSSVMLSDTAGMDKDEVCIRLEQAADTAGLKKEGFTISTRGNMTTVTGNDGSGVIYGCRELIDHVGQYKDLKFPAQLTDAPEMVLRGGCVGIQKMEYLPGRGVYEYPYTPESFPWFYDKEQWIKYLDMLVENRMNSLYLWNGHPFASLVKLEEYPFAVEVDEETFKKNEEMFSFLTAEADKRGIFVIQMFYNILLSKPFAEHYGLKTQDRNRPITPLVSDYTRKSVAAFIEKYPNVGLLVCLGEAMDTYEDDVEWFTKTIIPGVKDGVKALGRTDEPPILLRAHDTDCKMVMDAALPLYKNLYTMHKYNGESLTTYEPRGPWSKIHSDLSALGSIHISNVHILANLEPWRWGSPDFVQKAVNAMHNVHGANALHLYPQASYWDWPYTADKLADGKREYQLDRDWIWYKTWGRYAWNCHRDRSSEVEYWNKQLGDFYGTTPAEAGDILEAYEQSGEIAPKLLRRFGITEGNRQTLLLGMFMSQLVNPYKYTIYPGFYESCGPEGEKLIEYVEKEWKKQPHVGELPLDIVAQVVEHGDKAVAAIDKAAAAVTRNKEEFGRLRNDMHCYREFAYAFNLKVKAAQRVLNYQWGKDLNELDAAIPLMEQSLEHYRKLVALTDSTYYYANSMQTAQRRIPIGGDGGKNKIWKEMLVHYENELANFKANLQLLKDRAAGKVTESAAEIKPLSAANVKILNGLAPVKLATGANLFSNVPGKVDALAAELEGLTAYRMNGEVQRKEGTTIEFEAAAPVSLLVGYFRDDQKKYAKAPKLETDASANDYGQAEPKLTNAIRIAGMPLANVHAYHFETGKHTLLLPKGYTMVLGFTDAQVTPRNAGLAGAEGTMDWMFY", "MSRKIIAYENYYKDFFDTLDKGTQEKVLYGLLLLKTQDRLPAKYVKFLKEGLYELRIEWQGNIYRIFFCYDEGHIVILFNGFQKKTQKTPDREIDKALKLKKEYYERKRTKDV", "MSEKELKMFDVDAQLDAVFGKEGTPERKAAEDRANAFFTGQIIEEARKKANMTQAELAEKIGTNKSYISRVETGKTEPKVSTFYRIASALGLNVELTPAM", "MKKILILAFLLLSLGTYAQREVPQSRMEQIYEEAKTPYKYGLAVAPADNKHKIDCPTVFREGDKWYMTYVVYNGKSGLDGRGYETWIAESDNLLEWRTLGRVLSYRDGFWDCNQRGGFPALPDMEWGGSYALQTYKGKHWMTYLGGEGTGYESVNKPLYIGLAWTDRPLGSAHEWQG" ]
[ "TGGAATAGATCAAAAAAAAGGTGATACCTACCTTCCCAGGCGGGTATCACCAACACTGTTTCGTAAGTTCCCGTTATCCGCTGATAACAGTACTTACCTCTGATCTAACATTAGTTTTCTTATGGGCTTCCGCCTGTATCACTACATTAGGAAGCGGAGATT", "TCC", "AACACGTATGCTTT", "TGAAATTCTTGACTAATGAT", "ATTTTGTATTATTATTAAAAAAATGTTTTATATCATGACCTGTCTTCATGCATACTTGCATTTGGACCGGTATAAATAATTC", "AATGTTGATGCTTTTATAATGTAAGTGG", "GT", "AATATTTGTTTCTTTTAATATAGTTATTGTACTATAATTAGACGTTTTATCAATTACGTAATTAATAAAATTGATTTGAATCAATTTTTATATTATCATATGATATAGTTTTAAAAGCAGTAACGAAATAATGAATAGATGAAACTGTAAAATATATTAAATATGGAAGGCTAGAGAACAGGCATAAAAATGTCAATGTTTATATATGTTAAATACATTGTTATATTCTACAT", "TA", "TTGA", "AGATGATATTTTTCATTAAAATAAATAGATTA", "ACTATAATTACAATTTTCTAAGTATTTGTAATTAAATCTATTATTGATGGAAATAAGCAATAATTGTTTTAATTGGTAACCGAATACTCTTATTTTATTTCATAAAAGTACAA", "TGTTACTTTTTTTATGTTCAATCAAAATGTATGACGTGTAAATTAGATTATACCAGCATTTGAGATAAAGTATTTTTCTTAATATTGGGAGTTTGCAAAGGTCTTGGTGTTGAGTTGGGCTATCAAAATAAAGCCTTTTATTGACTTTAGCATATAATCAGTTTTTGAAAATGGTTAAATATCTAGTAGTTGTTACTATATTGGCAAAAGTTGTCCAAAAATGTAAGTTCTTGCAGAAAAGTTTCTTCTATTTTTTCGAGGTTGTATTCTTCTTCCGAAGCGATTTATCCTACGTTTGCAAATCGGCTGTTATATTCTTTAGCTCTTTATTCTGCTTGATTTGTTTTTGGGAACTTTTATTTAGAGTCAGAAGTAGGTCGATTTTCTGTGTCAAGTTTTTAAGAAGTTTCTATCTCTTTTCTCTGTCGGTTTTGTACAATGCGAAGAGCTCGTCAAACAACATTAAGCTTTTCATGAAACTTATATCGGAATGATTTCGTCCATACCTTTTTCAGATAAAAAGAAAAATTAAATTTTAAAATAAATATAGCTGTTCTGCTTAT", "TTTTGCTTCAATTTATAAATAAGTGTTGGCTTATTAATTGTTCCTCTTTTTCTTTCTCTTTTATAGAGAAATTACTATCTTTAATAACTATAACAAACTAACAAACAATTATATGAAACCAGAAAATTTCTTCTAATTAAACCTTCTTTACGGCATGGAACAAGCTCCCATTTACCAACGAATGGATCTTGTTTAACACTAACCATTTATAGAATTCTATACTCCGATCAAAGATTGGATATAAACTTTATTCTCTTATCACTATTACGGATTAAAAGATTGCTTTCTTTATAAAATTGGTAGACGACAGAGAAAATCTCATTCAGCATTTAGCATGAATTTCCTGAGCGTTTGTTTCTTCTCGATAATTTCGTTTCGAAGTTTGTGTACCAAAAAAATATCGGGGAAGAAAAGAATAGCCTGTATCACGGT", "GCCTTTATTCTCCTTTCTTACCGCAAAGCTACAAATTCCCCTCCACATTTCCTAGCGTCCGCCATCC", "ATTCGGAGGAAGGAAAAGTAATGTTAGATGTTCTAAAAAATGAAAGAAATGATGCCTCTTGAGCACGCTACGCTGTTACCTGTGTCATTCCCAGGTAAGCTTGTCGTTAAATTCTGATGCAAATATAGACATTTTTTTACGATAACAAGCTATTTGTTCGCAAAAAATGAAAAAATTAACAAGAATGACGTATTTATTTATACTTTTGTGCTTTTTATATTTTAAATGATATTAACATTGTGGAAAAATCAAGATTTATAAGAAAAATAGTGGCAGA", "GGAGCAAAATGTGAAAAGACATTAAAAGGAAGTCTCCCGGTTATTTGTACACTTGTATCATAAATGGGTGTTGTAAATCAGTGCTTTACATTGGACAAGTGTACAATTTTAACTTTTGATTGTATGGGTTTGCAATATACTTTTGCTTTCATGGTGGAGGGAAATGCCCTCCTTTTGATAATTTAAATTCATACATGA", "GCCCTGAGCCTTGTGAGCCTTAAATGCAGGTGAAAAAGGTCCTGTTGTTTTTTGAAAACAACAGGACCTTTCCGGTAAAACAACAGGGAATTTTAAAAAAACAACAAGGTCTTTCGAGATAAACAACAGGTTCTTTGGGAAGCGTATGTC", "GTTCTTTTATCGTTTTGATTATAGGGTAAAGATACGCAAAATGTCCTTGTTTTCCTACTCTATCTGTAAGTTATTCTCGACATTTCCCGACAATCTCCTACGCTTCTCTACAAATGTCTACACGGGGCTTCCGGTTCATCGTATCTTTGTAGTGTAATCAAAAGTCAATCATAGCCGGCTTAGTGGTTAACGCTTGATTGCCAGTGTGTTTCTTAATTTGCAAGTTTTAATTTTTAATTTGAAAAAGT", "GTAGATGGCGGACAAGTGTTTAATTTTTTAAAAAAACTGTAGG", "TATTTATATATTAAAACGTAATAAGACTAATTCTCTTCTGAATTTGTTAAAATGTTAACATTTCAGAAAGAGGATTAGTCTTATGTTATTATGTAATTATTTTTGAAA", "AATTCTGT", "TTTTTGGCTCTCTGTTATTTAAAAAATTTCAGTTTTCAGGTCTTGTT", "AGGTTAAATTTTTTAGATGTCAGATCTATATTATGTTTTTAAAAGGTGTGTTTTAAATATGTCACTTTTTTTCTTTTTAATATCCTTCTGTTACTTCGTAAGTAACAGAACATGAATTAATGGATTAGTTGTATAATTAATATTCTGTCGTTTTATAAATATATATAAGAGATAACTATGTGAAATATAGTGAATTTATTGCATTGCGTTAATAGTAAAAAGCGCAATGTGTGTTGTTGTATTTCTTTGTTCTCCTTCGATTTGATAATTGTTCATATTATTATTACTTTTTATCTATTATATAACCTTTTTAATTAAAATCTAGAAAAAAGCTGTGCCTTTATTTGTTTGCTATCAGTTAATTGTCTATTTTTGCCCTTCAAATAAGATCTGTTACTTACGAAGTAACACAATATAAATCTATTGATAATTAGAATTTTATATAT", "AAGGTTCCGTATTATAGATTTTACATAATAAGTAATTTTCATAACGGAATCGAATATAGATTGAAATGTTCAAAACAGAAAGA", "TGTTTTTCTATTTTGAGACTGTT", "AATGTAAAAATGTTTTAGTTAAAAATGTGA", "GGCTCT", "GTT", "GACTGATAGGGTATATTTGCTTTTATAT", "TGTCAATATTTTTGTTTTGTTTTTTTTCTGTTACTTTAGAAGTAACGGAAGGGGAGGAGGGAGGCGAAAAAACATGAAAACAGCAGTAAGATAAAGATTTAGTGGCTGTTAGAGAAGATAAAAGACCGGAAATGTGGCTTCACTCATATTGACAATCGGAGTATGGGTAGGGAGAGGTATATGCGGAATAAAAAAAATTTTTAAAATAAAAACGAAAAAAAAGTGTAGAAATCTTGCACTGTATTTAAAGTTTGTATACTTTTGCTTCCAAAATCTGTTCCGTTACTTCTAAAGTAACAGTCTTTTAATTTGTTGTTTTATAATGTAATGTGAAGTTTTAAAATTGA", "TGTTTTTTCCGTTTTTAATGTTAGGTAATTCTGTTCCACAATACATTTCCTTTTAAAAGTTGAGTTTGCCCCCGTTTGTTCATCAGCTTTTTTCCTTTTTGTGATAGCTTGTGCTTTTTCTTCGCTTTTTGACGATTGGCGGAGGTCTTGTGAGTACGTCTTGTTGTTTTTTTCGTCTAACTGTAAATAATTTAT", "TAGCCTTAATTCCAGAGGCCGGAATCGCAGTATGGCGTAAAATTTTCAAGTATATCTTGAGAATTTTACGCCATTTTTGTACATAGTTCTAAGATTTTTATAAGGAAAAGTTGCTTAACTGATAAACTTTTTCTATTTTTGTCGT", "TGGTAAGAATAGAGAATGAGATAAAAGTTCTCTGAATGGGTACATTTTCTTCTTTTATCCGTCTTGCCAATAGATAAAAAAGATAATT" ]
[ true, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, false, true, true, false, true, true, true, false, false, false, false, false, false, false, false, false, false, false, true, true, true, true ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 43, 45, 47, 49, 51, 53, 54, 56, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83, 85, 87, 89, 91, 93, 95, 97, 99, 101, 103, 104, 106, 108, 109, 111, 113, 115, 117, 119, 121, 123, 125, 127, 129, 131, 133, 135, 137, 139, 140, 142, 144, 146, 148, 150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170, 172, 174, 176, 177, 178, 180, 182, 184, 186, 188, 190, 192, 194, 196, 198, 200, 202, 204, 206, 208, 210, 212, 214, 216, 218, 220, 221, 223, 225, 226, 228, 229, 231, 233 ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 44, 46, 48, 50, 52, 55, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86, 88, 90, 92, 94, 96, 98, 100, 102, 105, 107, 110, 112, 114, 116, 118, 120, 122, 124, 126, 128, 130, 132, 134, 136, 138, 141, 143, 145, 147, 149, 151, 153, 155, 157, 159, 161, 163, 165, 167, 169, 171, 173, 175, 179, 181, 183, 185, 187, 189, 191, 193, 195, 197, 199, 201, 203, 205, 207, 209, 211, 213, 215, 217, 219, 222, 224, 227, 230, 232, 234 ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125057|+|163357:167070", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125058|+|167161:168759", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125059|+|169027:172146", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125060|+|172168:173613", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125061|+|173631:173744", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125062|+|173825:176899", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125063|+|176925:178424", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125064|+|178554:181724", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125065|+|181815:184655", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125066|+|184803:188033", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125067|+|188051:189475", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125068|+|189488:191719", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125069|+|191933:193012", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125071|+|193587:193712", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125072|+|193717:194757", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125073|-|194782:195279", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125074|+|195432:195857", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125075|+|195990:196634", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125076|+|196674:197321", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125077|+|197338:198351", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125078|+|198459:198635", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125079|+|198901:201060", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125080|+|201057:201545", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125081|+|201813:203099", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125082|+|203361:204860", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125083|+|204961:205203", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125084|+|205352:209749", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125085|+|209770:210507", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125086|+|210497:211213", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125088|+|211564:211767", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125089|+|211761:212075", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125090|+|212252:213601", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125091|-|214134:215789", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125092|-|215793:216368", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125093|+|216573:217175", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125094|-|217308:218636", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125096|-|219309:219596", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125097|-|219612:220583", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125098|+|220630:222639", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125099|+|222644:224386", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125100|+|224391:224729", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125101|+|224861:227194", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125102|-|227283:229394", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125103|+|229714:233025", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125104|+|233077:235833", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125105|+|235891:237231", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125106|+|237240:238580", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125107|-|239043:242180", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125108|+|242518:243354", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125109|+|243450:244037", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125110|+|244359:245882", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125111|+|246122:246982", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125112|+|247204:247599", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125113|+|247610:248872", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125114|-|248856:249254", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125115|+|249357:250610", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125116|-|250687:252135", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125117|-|252132:253484", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125118|+|253574:254224", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125119|+|254277:255098", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125120|+|255113:256279", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125121|+|256317:258005", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125122|+|258375:261716", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125123|+|262191:264938", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125124|+|264965:266272", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125125|+|266357:267709", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125126|+|267984:268841", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125127|-|268915:269466", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125128|+|269504:269623", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125129|+|269673:270155", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125130|-|270601:271446", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125131|-|271480:273471", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125132|-|273557:274318", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125133|-|274284:275090", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125134|-|275299:275712", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125135|-|275807:276160", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125136|-|276182:278395", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125137|+|278539:280056", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125138|+|280176:281426", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125139|-|281531:282247", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125140|-|282268:282765", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125141|+|282942:283268", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125142|+|283281:284327", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125143|+|284425:285594", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125144|-|286077:286742", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125145|+|286923:288863", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125146|+|288878:290143", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125147|+|290162:291574", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125148|+|291727:292092", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125149|+|292105:293064", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125150|+|293103:294374", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125151|+|294384:295553", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125152|+|295550:296203", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125153|+|296185:296970", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125154|+|296985:297629", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125155|-|298073:298798", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125156|+|299523:300971", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125157|+|301134:302066", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125158|+|302080:303513", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125159|-|303722:304672", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125160|+|304914:306212", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125161|+|306381:307439", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125162|+|307527:308696", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125163|-|308901:310079", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125164|+|310164:311600", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125165|+|311649:313157", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125166|+|313219:313923", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125167|-|314219:315364", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125168|-|315519:317021", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125169|-|317045:317263", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125170|+|317417:318760", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125171|+|318800:320008", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125172|+|320050:320793", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125173|+|320812:322032", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125174|+|322226:323281", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125175|+|323278:324003", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125176|+|324288:324752", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125177|+|324878:325573", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125178|+|325570:326181", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125179|+|326493:327233", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125180|+|327230:328597", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125181|+|328601:329188", "2510065017|RJ2H1_RJ2H1-contig-064.64|CDS|2510125182|-|329290:330018" ]
[ "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000110|+|167071:167160", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000111|+|168760:169026", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000112|+|172147:172167", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000113|+|173614:173630", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000114|+|173745:173824", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000115|+|176900:176924", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000116|+|178425:178553", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000117|+|181725:181814", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000118|+|184656:184802", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000119|+|188034:188050", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000120|+|189476:189487", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000121|+|191720:191932", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000122|+|193013:193586", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000123|+|193713:193716", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000124|+|194758:194781", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000125|+|195280:195431", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000126|+|195858:195989", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000127|+|196635:196673", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000128|+|197322:197337", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000129|+|198352:198458", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000130|+|198636:198900", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000131|+|201546:201812", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000132|+|203100:203360", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000133|+|204861:204960", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000134|+|205204:205351", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000135|+|209750:209769", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000136|+|211214:211563", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000137|+|212076:212251", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000138|+|213602:214133", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000139|+|215790:215792", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000140|+|216369:216572", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000141|+|217176:217307", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000142|+|218637:219308", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000143|+|219597:219611", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000144|+|220584:220629", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000145|+|222640:222643", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000146|+|224387:224390", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000147|+|224730:224860", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000148|+|227195:227282", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000149|+|229395:229713", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000150|+|233026:233076", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000151|+|235834:235890", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000152|+|237232:237239", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000153|+|238581:239042", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000154|+|242181:242517", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000155|+|243355:243449", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000156|+|244038:244358", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000157|+|245883:246121", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000158|+|246983:247203", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000159|+|247600:247609", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000160|+|249255:249356", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000161|+|250611:250686", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000162|+|253485:253573", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000163|+|254225:254276", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000164|+|255099:255112", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000165|+|256280:256316", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000166|+|258006:258374", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000167|+|261717:262190", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000168|+|264939:264964", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000169|+|266273:266356", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000170|+|267710:267983", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000171|+|268842:268914", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000172|+|269467:269503", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000173|+|269624:269672", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000174|+|270156:270600", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000175|+|271447:271479", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000176|+|273472:273556", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000177|+|275091:275298", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000178|+|275713:275806", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000179|+|276161:276181", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000180|+|278396:278538", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000181|+|280057:280175", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000182|+|281427:281530", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000183|+|282248:282267", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000184|+|282766:282941", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000185|+|283269:283280", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000186|+|284328:284424", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000187|+|285595:286076", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000188|+|286743:286922", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000189|+|288864:288877", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000190|+|290144:290161", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000191|+|291575:291726", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000192|+|292093:292104", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000193|+|293065:293102", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000194|+|294375:294383", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000195|+|296971:296984", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000196|+|297630:298072", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000197|+|298799:299522", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000198|+|300972:301133", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000199|+|302067:302079", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000200|+|303514:303721", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000201|+|304673:304913", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000202|+|306213:306380", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000203|+|307440:307526", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000204|+|308697:308900", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000205|+|310080:310163", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000206|+|311601:311648", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000207|+|313158:313218", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000208|+|313924:314218", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000209|+|315365:315518", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000210|+|317022:317044", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000211|+|317264:317416", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000212|+|318761:318799", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000213|+|320009:320049", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000214|+|320794:320811", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000215|+|322033:322225", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000216|+|324004:324287", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000217|+|324753:324877", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000218|+|326182:326492", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000219|+|328598:328600", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000220|+|329189:329289", "2510065017|RJ2H1_RJ2H1-contig-064.64|IG|IG_000221|+|330019:330086" ]
[ "QYKSVVYWDKEKTLGAPFVMFYNAAGRHPETDLKAERVGIALSKDMKKWKRYPGNPVFAHEADGTITGDAHIQKMGDVYVMFYFSAFEPSRKYKAFNTFAASYDLVHWTDWKGADLIIPSKDYDELFAHKSYVVKHNGVVYHFYCAVNDAEQRGIAIATSKPMGRSQVHFPEREVKNRRMVMELDKGWKTWLCDKSAYGQADNAPTVVDIPHNWDDYYGYRQLTHGNLHGTAMYEKIFMLDNSQFPISDSSFGKRYFLRFEGVGTYATVTLNGKDFGRHPVGRTTLTLDVTEALKPGENRLVVKAEHPEMIADMPWVCGGCSSEWGFSEGSQPLGIFRPVVLEATDAIRIEPFGVHIWNDDKAGTVFVETEVKNYGKTAETVEVVNKFSNADGKQVFRLTEKVTLQPGERKVVKQQSPVQNPVLWSTENPYLYKLASMIKRGKSTTDEISTPFGIRTVSWPVKQKDGDGRFYLNGQPVFINGVCEYEHQFGQSHAFSREQVAARVKQIRAAGFNAFRDAHQPHHLDYQKYWDKEGVLWWTQFSAHVWYDTPEFRENFKKLLRQWVKERRNSPSVVMWGLQNESTLPKEFAEECSEIIREMDPTARTMRVITTCNGGDGTDWNVIQNWSGTYGGDVNKYGRELSQKNQLLNGEYGAWRSIGLHTEPAAFDANGVWSEERMCRLMETKIRLAEQAKDSVCGQFQWIFSSHDNPGRRQPDEAYRRIDKVGPFNYKGLVTPWEEPLDVYYMYRANYVPASEDPMVYLASHTWEDRFATGRRRATIEAYSNCDSVLLYNDAVDAEYLGRKLNHGVGTHFMWENRDIRYNVLRAVGYFKGKPAAEDVLVLDGLEKAPHFEALYRGSVIVPVAADRLNGTDLLKGAEGYTYLYRLNCGGDAYTDTYGQVWAQDNSRYSHSWAESFIYPSDSVQLLSPYQASQRTTNDPIHGTRDWELFQTFRFGRHKLNFRFPVPDGEYRVELYFTEPWHGTGGGVQTDCEGLRIFDVAVNDKVLLDDLDVWAEAGHDGACKKVVNAVVKGGVLKIDFPEVKAGQALICGIAIACKGGLDSAHSSSAIQNRVKNVNASAHRFSWAAQDKDVMEKTPKELLPEDKNARANVTYQAEDAMLKGKFIKKEVKKQTGVFFGKGEKSSITWNISTGLAQVYALRFKYMNATGKPMKVRMQFIDSKGVVLKEDHLTFAETPGKWRMLSTTTGTYINAGYYKVVLSAPDMEGLALDALDVQ", "MLIDLLHSSYFSLFLIVALGFMLGRIKIKGLSLDVSAVIFIALLFGHFGVIIPKELGNFGLVLFIFTIGIQAGPGFFDSFRSKGKTLILITMLIICSACLTAVGLKYAFDIDTPSVVGLIAGALTSTPGLAVAIDSTNSPLASIAYGIAYPFGVIGVILFVKLLPKIMRVDLDKEARRLELERRSGFPELTTCIFRVTNQAVFGRTLAQINARAMTGAVISRVKHEDSILMPKANTMLLEGDYVQAVGSEEALNQFAVLVGEREEGELPLDQTQEIESLLLTKKDMINKQLGDLNLQRNFGCTVTRIRRSGIDLSPSPDLALKFGDKLMVVGEREGLRGVARLLGNNAKQLSDTDFFPIAMGIVLGVLFGKINISFSDSVSFSPGLTGGVLMVALLLSAVGKTGPILWSMSGPANQLLRQLGLLLFLAEVGTSAGKNLVATFQESGLLLFGVGAAITVVPMLVAVVVGRLVFKISLLDLLGTITGGMTSTPGLAAADSMVDSNIPSVAYATVYPIAMVFLILFIQVIASAVY", "MRNKDTHSRITDKCRCLFVVAYSAMSITGLSSVLAVENHALSSPVSDRVESVQQKKHVSGNVQDANGMSLIGASVIIKGTNTGMITDIEGNFALDAKQGDIIVISYIGMKSAEVKVPANGQIRVMLKEDSQLMDEVVVTGYGDFKKATYTGSASVLNTDKLESLPVVSVAQMMEANIPGLSSVASSSQPGSKATVRVRGIASMNASTEPLYVLDGVPVASRDMSGLSANASAGGLGLIETLNPADIESITVLKDAASASLYGAKGSNGVILITTKKGKEGKMRVSMQATYGITDIAYNYRPIMGGEERRELIYEGFVNYRLNQGDSEAEAKAYADGQIDNYAARPANGYADWEDALLKKGHQQDYSLSVSGGNEKSNFIGTLGYTNQTGISINSGMERFTGRIDANSKWNKFEYGMNATFSWTRNKHTPEGQYYASALYSSKYQLTPSIPIYNEDGSYHTGFQNNGGINPLYENSVDSNYARLARTMASAKAAYHILDGLKLSTIFTVDYSLNKDFFFYSPDGKDGEATQGSGQMMMIERMSYTSQTNLAYNKTFGKHNINAVLAYEVMKYDYEDMYGEKQVYGQTLNPSLDNGAKPADLTNTRQEDAMVSYVGSLNYGYNDKYYAGFSFRRDGSSRLAPDTRWGNFWAVSASWRLSQENFMKPWENVVSDMKLRASYGVNGNLPTGYYGYHGTYTTGAFYNGKPAPWEDAIANPDLTWEKNYALNLGVDLSLFRRVNLTFDWYTRTTKDLLMSKQLNSISGFGSILTNVGEMKNTGFEIEVRSSNIQTKDFSWTTSLNLSHNKNKIVKLADLPEFIDGNYIRKEGCSYATIYLREYAGVDPNDGRPMYYDNKEDENGNRSRNIVYDPNDADRVELKDIYPKLTGGLMNTFNYKFIDLSFNLSFHLGGYSYDGAMYALQDDGYTAQYNKSTELRRRWKQPGDITDVPRYVYGQEYGGWWNSSRGVHSTDHLRLKSLIVGVSAPQSWLRTIGINSARIYFSGTNLLTWAAYDQYDPELNGIVSYFTPPLKTFAFGLELKF", "MKKNIIILGLISLLAVSCGDDFLTTHPGDSVSTDLALSTEQDIDNAVNGLYDLMSSYGYYGGTMFFYGDMKGDDMQSSYNSGRTCNRCYLYDHRSTSLNAGYLWGRPFYIIRNAWNVINAIDDGKVQGSEQRLKELKGEAMTIMALCQFDLTRCFGYPYTKDKGAGWGAPIVDHAITLDENPPRSTVAKDYEFIISTLEEAIPLMSTSKNNSRMNAYAARALLSRIYLYHDDNEKAFQMASNLIEEVEGNGMYRLYTRDEYVPAWDLKNTFGTESLFEIANSTDDNGGRSSLAYLMHWNGYREIFATQKFVDELLSDPEDIRCLLLEKNVYNKNDVWWLKKWPGTDATTPSFENNYVIFRLSEVYLNAAEAGVKIGGASAVKGLNYLNAIVQRANPAKEVTAAEYTLDRVLEERSKELIGEGHRFFDMLRNGKTIVRKGGYHLPGIVEEIDWDYYKCVLPIPTDQFTFSPDMEQNPGYTKN", "MRGCGITPFFCVDKFYIHVDLFYNFTDVLFSYLVNIQ", "MNKSRYVFFILACLFGLYVQAQNRTVKGRVLSAEDKEPLIGATVKIPGTSIGVVTDIDGNFSLEVPDKDKTLVIEFLGMSTLTAKIPANGVLNVSLHPDTQRLDEVVVTGYGNFSKSSFTGSANTLRGDLLKNVPVVSVEQKLQGMTPGVSISGNSGQPGANQSIRIRGMGSFNASKEPLFVIDGVPVTSGSLSGGSADAAYMNNSKTNIMSTLNPSDIENITVIKDAAAASLYGSRAANGVILITTKKGTKGRAKATLKMDGGFSNAAVEFRPTLNGEQRRELIYEGLMNFQQDEIAKNPEAGLASPTEYADLHINDYASIPELGYTDWRKELMRTAHHQSYEASVSGGNDKTTFYSSLGFNRQEGLVENSNLDRYAARLNVTQKVGSRGEVGANVMFSQLNQEMNEERGSSINPFLCVALNTTPSFPVRDAEGNYVGSYPSSNVNPLRDIRTDYNRTRMTRMFSTGYASIDIIKGLKLKETLSYDYNIQKDSRYWNPLSGAGAKSGSDAQTAKGFIEYSKLISSTSLGYNTTIAQLHHVDALVAYEIENYQTDKAMGDKSKLPSDYLVEPDNAASLNSFVSSTQDSRMISYVSRINYDYDDRYYIAGSFRRDGSSRLSPENRWGNFWSVSGMWNVGAEKFMQSIKSVLSDLKIRASYGVNGNQPGALYGYMGLYSYGQNYMGGGGSYESALPNPNLKWEKNYNLNLGLDLAFINRIFVSLEYYNRDTKDLLYNRPISSTTGFQNYLGNLGQLNNRGWELELRTINFAGNNFNWTSVLNMTHNKNKIVSLDGKLDQSIEGSWFIHKVGLPYSTFYVKEYAGVDPQTGKALYYMNTQDANGNYDRTVTTDASAAQAIPYKSVDPKISGGFTNIVNYKWFDLALTLTYSLGGYSFDKTGTYNETDGAKEQNYNLPIYELDRWQKPGDVTDVPRFVLGQAAGPQNSSRYVHSTDHLRVKNLTLGFTLPDQWLTKLGVSKARLYFSGSNLLTWAKWNQYDPEVPVSGEVFCETPPMRTYSFGIEVSF", "MKKIYLSIVAAVSLMFVSCTADWLNTDPSTAVPSDDAIKNIDDAQVALNGIYRLASAHSYYGDNYLYYADCRGEDVQARINKGAGRRVSPYYFFDVTADDNFNITLVWNQPYKVIHQANSLIEKLDAGNAGNARPEEVARIKSEALAMRGLALFDLTRLFGMPYTLDNGASLGVPIEIQTTLEDHAPERNTVAQCYEQVLKDLNDALGGLTKEKHDAYMNYWSVKALLSRVYLYMNDNENALKCAEEVINDNGGIYRLFTHDEYPSVWGKDFNSESLFEFYFTMSEPSGGSGGEGAPMVYADNVKDWNNLILTKAYLDLLNEDPEDVRHVFPHLPENAVADTLPVAAKGQPKYLIKYPGKSGSVTDAVSTVNPQDNDLCILRLSEVYLNAAEAAFKIGNTEKALTYLNAIVTRANPAKSVTSADLSLERILKERRKELVGEGHAFFDYMRNGKSVDRSGGWHLTMPEDARVIAPSDPRVALPIPQTEIDANPNIVQNPR", "MRLYGLLLCGWMTVSLQAQQIHDWENHHVLQINREPARAAFVPFAKQKGDCSMSLDGMWKFRWTPVPSERITDFYRTDFNDKDWKDFPVPANWEINGYGTPIYVSAGYPFKIDPPRVMGEPKASYTTYKERNPVGQYRRTFTLPAGWKANGQTFLRFEGVMSAFYVWINGERIGYSQGSMEPSEFNITRWLKPGENQIALEVYRYSDGSYLEDQDFWRFGGVHRSIHLVHTPDIRIRDYVVRTLPAVQGNYQDFRLLIDPQLSVYRGMDGKGYTLQAVLKDADGREVVNMVGNVEDILDLEHKAARMNEWYPQRGPRKMGRISAVIKSPQRWTAETPYLYKLELRLQNANGQTIEQVEQPVGFRCIEIKDGQMLVNGNSVRFRGVNRHEHDPYTARVMSEERMLQDILLMKQANVNAVRTSHYPNVSRWYELCDSLGLYVMDEADIEEHGLRGTLASTPDWHAAFLDRAVRMAERDKNHPSVVMWSMGNESGYGPNFAAISAWLHDFDPTRPVHYEGAQGVNGEPDPKTVDVISRFYTRVKQEYLNPGIPEGEDKERAENARWERLLEIAERTNDNRPVMTSEYAHCMGNALGNFKEYWDEIYSNPRMLGGFIWDWVDQGIYKILPDGRRMVAYGGDFGDRPNLKAFCFNGVVMSDRETTPKYWEVKKVYAPVKLEMEKDLQVFPKEQDVLPKGLRVTNRNHHIGLEGYRCLWTLIENGKKMKQGELALPSVAPGETGTMALPDVKINKQADVRLNVSIVLKEDALWAKAGHEILKEQFALNDHLMAVADGVQPGKRKSKFSVLDLWEDSYFQAFRAPTDNDKSFGNWLAKDWKNQGLDAPQVEVITPETKTQETDGTVSKKSVVEYRYAKGSIRVSSHYKIYVDGTVDLEQTYLPQGELPELPRLGSAFVLGEEYENLSWYGRGPWENYPDRKTSCLIGRWNSKVSEQYTHYPRPQDSGNHEDVTEVILTNKQGKGVRVTAIDRPFSFSALHYTVDDIYKTTHDCDLKPRKEVVLSLDAAVLGLGNSSCGPGVLKKYAIDKQKSHTLRVRFSLIK", "MKRATTFLSLLALSAGLLAQSSVKTERQYLSGRGCDDMVQWDFMCTGGNNSGKWTKIGVPSCWELQGFGTYQYGMKFYGKAFPEGVADEQGLYKYEFELPAEWNGKQIELVFEGSMTDTQVKINGRKAGSMHQGAFYRFIYNVSDRVFFGSKKKNVLEVTVSKESANAGVNLAERRADYWNFGGIFRPVFIVAKPAQNIDRLAIDAKADGNFYADCFLNMAVEGARVHTVITDAKGKKVAENTSDVRTGSDHASINFAVKSPELWTAETPAMYQATFTLLDKAGKTLHVENQKFGFRTIETRESDGLYINGRRVMIKGVNRHSFRPESGRTLSKAKNIEDVLLIKSMNMNAVRLSHYPADPEFFEACDSLGLYVMDELSGWHGKHETINGQKLVKEMITRDVNHPCIIWWSNGNEKGWNTELDGEFHKYDPQKRPVLHPQGNFSGYETMHYRSYGESQNYMRLPEIFMPTEFLHGLYDGGHGAGLYDYWEMMRKHPRCAGGFLWVLADEGVKRVDMNGFIDNCGNYGADGIVGPHHEKEGSYFTIKQVWCPIQIMTDSLDSQFDGKLKIENRYDFLNANTCRFTYKYVQLPSVTDKGGMKVMKQGEVNCPDIPAHGAGTLTIPAAVKGAHALMLTVTDKQGNDLFTWSYKLDDVAGLQQASAGNKPSYKETADALTVDAGGRTFTFSQKDGQLKGVKVGSRIISLANGPRFIAAKRSDRSMDQFYNHDDKEAEKKKTQYTTFDDAGRFTGFTVREEGNNVVVTANYKLGCFDQAVWTIAPDGTAAIDFTYNFSGVVDLMGVMFDYPEDKVLSKRWVGDGPYRVWQNRLHGPQLGVWENEYNDPIPAESFTYPEFKGYFANVQWMTLKTQEGTISICNRTPQNYVGVYQPRDGRDGLLYTFPATGISLMKVIPPVRNKVNTTDLIGPSSQAFWADGAYGGSITLKFE", "MKIKHLFVSILLATGFQPMIAQSALRQQFVNSSVQEARPWTFWYWMFGAVTPEGITADLEAMHRVGLGGAYLMPIKGVEQGPQYEGKAQQLTPEWWRMVTHSMKEADRLGMQLGMHICDGFALAGGPWMTPEESMQKVVWSDTIVNGGNIRNLTLPMPEALDGYYEDIVTYAIPLERQPEDTSLKPKVTFGNLKQAVIKDESKAVNRDEKGVFRSSYPCWIQYEYAEPVTCSNVEIILGGNNYQAHRLKVLASEDGRTFKTVKQLVPARQGWQNTDFQSTHAIPPVTARYFRFEWTPVGSEPGSEDLDAAKWKPNLKINDIVLHTAPRIHQWEGKAGLVWRVATATTSTEIPDAACVQPDELINLPLYQGRLTARLPEGKWRILRMGHTATGHVNATAGGGKGLECDKFSTKAVQKQFSNWFAEMFKKTDEAVARRVLKYMHVDSWECGSQNWSDNFAAEFKKRRGYDLMPYLPLLAGIPMESAARSEQILRDVRTTIGELVTDVFYTVLADCARQYDCRFSAECVAPTMVSDGLMHYQKVDLPMGEFWLNSPTHDKPNDMLDAISGAHIYGKNIIQAEGFTEIRGVWDEDPAMLKPLLDRNYALGINKLFFHVYTHNPWMNRRPGMTLDGIGLFFQRDQTWWEEGKSFVDYITRCQTLLQYGHPVVDIAVFTGEEMPRRSILPERLVSMLPGIYGAERVESERIRLANEGQPTRVRPVGVTHSANMADPEDWVNPMRGYAYDSFNKDALLRLAKAENGRMVLPGGASYKVLVLPTARPMNPDNLPLSPEAQAKVEELRAAGVIIPQLPYREDDFSSFGVERDVLLPADVAYTHRSGEEYEIYFVANQVDSLRTFNASFRIAGRTPELWNAVTGTITRPAQWKEADGRTEVALSLPANGSVFVVFPKESSEVSPERIEREPVSISIKEWTVTFPSVRKTVTRPVLFDWSKEEDEKIKYYSGHATYRGLFRWKNEQDGRIILRLGKVANVATVRVNSIACGTAWTAPYEVDITDALRNGTNVLEVEVVNTWANALRGADQDKAPFEGIWTNAKFRLPGDGLLPAGWMGPCEFFRTKE", "MKNKKLLLGIAWAWLTCLSANAEVKLPAFFSDGMVMQQQTRANLWGTATPDAPVKITTSWDKQTYKTTADAKGAWKLALSTPSAGGPYTITFDDGKLTEIRDILMGELWLCSGQSNMEMPMKGFKNQPVENSNTDVMNSRNPQLRLFTVKRSSSFTPKTDVVGTWQEAVPATVREFSATAYYFGRMIQQQLNIPVGLIVASWGGSACEAWMHPDWLKAFPEAKIPQSEADIKSKNRTPTVLYNGMLHPLIGLAMRGVIWYQGEDNYNRASTYADMFSALIRGWREEWQQGEFPFYYCQIAPYDYGIITEPGKNVINSAYLREQQAMVEHRVGNSGMAVLLDAGMKTGIHPGKKKVAGERLARLALVKTYGMKGVTAESPYYTGMEVKNDTVIVSFDRAPMWINCKDRFESYNFQVAGKDRVFYPAKAWIQRSKMLVKSERVPHPVAVRYGFENYVEGDLFGEDLPVSSFRSDDW", "MKNIFTFLLLVFIGGQFLWGQPANLVWNTQSRNASESMPCGGGDIGMNVWVENDDVLFYLSRSGSFDENNCLLKQGRFRVRLTPNPFAGTASFRQTLHLNDGYVSVSSDNATLIIWVDVFHPVVHVEVKTKELTSMRVNFESWRYEDRPVRKGEGQQCSYKWAIPDGLMTRRDSVCVEEDNFTFFHRNPERTIFDVVVDQQGMNEVKEQLYNPLKNLIFGGRLSGDNLVYNGTRRGHYAGTEYLAWMYKSKKPTYKQSARIVLNTEQSTVPAWEASLARTEKEINVSKDKQATRRWWNDFWKRSFIEGEGEAGDAIRNYTLFRYMLGCNAYSQWPTKFNGGLFTFDPMYVDQKMEFTPDFRKWGGGTMTAQNQRLVYWPMLKSGDFDLMKSQFDFYLRLLPTAEARTRTYWGHAGACFTEQMENFGLPNPAEYGFKRPESYDRGLEYNAWLEYEWDTVLEFCQMILETARYNEADISRYIPLIESSLNFFDEHYRQLALQRGRKDLDGNGKLVIYPGSACETYKMAYNPSSTIAALRSVLQTYGRKPDMLARIPEIPLRIVDGKEMIAPAQAWERVNNIETPQLYAVFPWRMYGVGKEGLEIARNTYLYDPDAQKFRSHIGWKQDNIWAACLGMTEEAAQLTLEKMANGPHRFPAFWGPGYDWTPDHNWGGSGMIGMQEMLLQEADGKILLFPAWPKDWDVHFKLHATGQTTVEAVLKGGTVVSLTVLPKEREKDVVNCLLNK", "MVMKKILIALALLLTGIASGSACTGISFLAEDGGYVQARTIEWGDSYLPSEYVIVPRGQDLVSYTPTGVNGLRFRAKYGLVGLAIIQKEFVAEGLNEVGLSAGLFYFPHYGKYEEYDEAQNAITLSDLQVVNWMLSQFATIEEVREAIEGVKVVSLDKPGKSSTVHWRIGDAKGNQMVLEFVGGVPYFYENKVGVLTNSPDFPWQVTNLNNYVNLYPGAVTPQQWGGVTIFPFGAGAGFHGIPGDVTPPSRFVRVAFYKATAPVCPTAYDAILQSFHILNNFDIPIGIEHALGKAPDIPSATQWTSAIDLTNRKVYYKTAYNNNIRCISMKKIDFDKVKYQSYPLDKELKQPIEEIIVK", "MMVVLWFEKVWISLKTWGNLFFFVENTVELLKKNYICTIHY", "MAKNNLLSEQLAYIGVSCTPTHLHLCSYNAESICMKDGKDIDSLIPYLNKNAINWIQIHGFQNTEVIQHVCQNFNVDFLTIQDILNSDHQTKIEQHDTYNVVILKLLFSNDDGYVPQQIAIVQGGNYLLTFMEKETDFLNDINTALEKNVLKIRSRQSDFLLSVILNSVMASFISIISELEDGLEDLEEQLLSPEQADMLGIENIQQYRRNFRVIKKCILPLKEEISKLLHPIDNDLLHKASRPFFNDVNDHLQFVLQTLDGCRDMISALVDIYLSNNDRRMNSIMKQLTVVSTIFIPLTFLAGIWGMNFQWMPELGWRYGYVFAWTLMLILVAVIYFYFKRKKWY", "MNLLKKYYSMSTLEKLDKVDLQILRTLQENARLTTKELAARVSLSSTPVFERLKRLENGGYIKKYIAVLDAEKLNQGFVVFCSVKLRRLNRDIAAEFTRIIQDIPEVTECYNISGSYDYLLKIHSPNMKYYQEFILNVLGTIDSLGSLESTFVMNEVKHEYGIHI", "MTKYIYLFYILLGVCLLAGFGSAFDSGQEHDVVRTVGLENSAVALCNISESNNGQEKKEINNESYHFYKVGIGGTATSFSFRTLCSSKILRTHSSASVIAKLARFLKVLLPEEKQISFSPILYFTQYSCEYYIYQLRRILI", "MEFLLDFILHIDQYMIDIVQEYHTWAYVILFIIIFCETGLVVTPFLPGDSLLFVAGAISALPDMPLEVNILALVLFLSAVLGDSCNYMIGHFFGNKLFNNPDSRIFKQSHLEKTHEFYKKYGGKTIIIARFVPIVRTFAPFVAGMGKMHYYYFMVYNLIGGALWVGIFCYAGYFFGDLPFVQKNLKLLIVAIIVVSILPAVIEVGRNKWKTRHY", "MKNSFFSRFTPQEPKFFPMLKRLSEILTSSSDLLVESMQHDKPEEREDYYKRIKDMEREGDKQTHLIFDELGKTFITPFDREDIHDLASTMDDVIDGINSCAKRITIYNPHPIANSGKELSLLIQQAAVYISKAMDELEIFRKKPTELRVCCTKLHDIENQADDVYELFVKKLFEEEKDCIELIKIKEIMHELEKTTDAAERVGKILRNLIVKYA", "MELLVIIITLALIFDYINGFHDAANSIATIVSTKVLTPFQAVIWAAFFNFVAFFIAKCIIGGFGIANTVSKTVVEQYISLPIILSGVIAAIVWNLFTWWKGIPSSSSHTLIGGFAGAAIMAHGFDAIQLNIILKIAVFIFLAPFIGMVVAFGFTLLVLHICRRAHPNTAEMWFKKLQLVSSALFSIGHGLNDSQKVMGIIAAALIAAHSEGLRMGINSINDLPDWVAFSCFTAISLGTMSGGWKIVKTMGTKITKVTPLEGVVAETAGAFTLYLTEYLKIPVSTTHTITGAIIGVGATKRLSAVRWGITRSLMTAWVLTIPVSGILAAVIYGVVTLF", "MKLIINIKCYCEFVICFYFCSGLTEHKNERKRKRQDFYSMAVVNDAYAIFHGEDFSPP", "MRIRYVSGTLGMFFTLFSNAQVVSDTIKSVDLSEVVVTGSYRHAQEKKTTLTLELFQKDYLNRHFTGNLVQTLKNVPGVHSMDIGAGFSKPMIRGLGFNRIAVSENGIKQEGQQWGADHGLEIDAFNVDEVRILKGPSSLLYGSDAMGGVIEILPLLPQKENRFFGEAALLGKSVNGTVGGSLMLGIEKNAWLVRVRFSEQHYGDYHVPVDTIVYLTQLVPVYGRKLKNTAGFERNVSVMGDYRKKFYQMNIAVSNVYQKMGFFLGAHGIPDISRLEDDENSRNIELPYSKVNHLKVTTHQQYLWNGIQLSGDFGYQFNHREEWSAFHTHYDTQMMPAKDPDRELVFKLHTFSSSLKLRLSSSSSWEHMAGWNMQIQKNAIGGYSFLLPEYKRFTTGAFWLTTFRLDNQLSVTGGIRYDRGRIDIAAFEDPYLVEYLHRQGYEEEVIQAYRWRSYPVDRAYGNYSCSAGVVWTPAAGHLLKMNVGRSFRLPGVNELASNGVHHGTFRHEKGDATLSSEQGWQIDASYTLAYKKMELVVSSFASWFDNYIYLRPMGEWSVLPHAGQIYQYSGARALFMGGEINFNMDFLRHFNYRLVGEYVYTYNRDEHTALSFSPPVSMRNILTWNKKDFQLYAELQSIASQNRIARNEDRTPGACLIHLGGSIHIPMAKADIEISLGIRNLSDVKYYNHLSFYRKVEIPEPGRSFQISIKVPFKQLLK", "MKTKFYFLVISFLAICSVCLPACDNDEKGDVTKPVIDLIEPEEGAVLKIGNGKGVHFEMNLSDDVMLRSYKINIHNNFDHHGHDSRAAGEKNTAFTFDKVYDVSGLKNTKVHHHDIVIPADAAPGDYHLMVWCTDAAGNQTEVARNIVLSADGGTETEHDHE", "MATKKLHFETLQLHVGQEQPDPATDARAVPIYQTTSYVFHNSAHAAARFGLQDPGNIYGRLTNSTQGVFEQRVAALEGGVAGLAVASGAAAITYAFQNITRAGDHVVAAKTIYGGTYNLLAHTLPTYGVTATFVDPGDLSNFEKAIQENTKAVFIETLGNPNSNIIDIEAVAEIAHRHHIPLIIDNTFGTPYLIRPIEHGADIVVHSATKFIGGHGTSLGGVIVDSGKFDWVASGKFPQLTEPDPCYHGVRFVEAAGPAAYAVRIRAILLRDTGATISPFNAFILLQGLETLSLRVERHVENALKVVEHLKNHPKIKKVNHPSLPEHPDHALYQRYFPNGASSIFTIEVKGGQEEAHRFIDSLEIFSLLANVADVKSLVIHPASTTHSQLNAEELAEQGIYPGTVRLSIGTEHIDDLLADLDQALAGI", "MNLVLAIIPVLLLIILMAFFKMSGDKSSVISLVVTMLIAFFGFHFPVNDLLSSFLYGALKAVSPILIIILMAIFSYNVLLKTEKMEIIKQQFSSISTDKSIQVLLLTWGFGGLLEAMAGFGTAVAIPAAILVSLGFKPVFSATVSLIANSVATAFGAIGTPVLVLAKETNLDVLVLSANVVLQLSVLMFLIPFVLLFLTDSKLKSLPKNIFLSLLVGGVSLGSQYIAARYMGAESPAIIGSILSIIVIVAYGKLTASKEEKARKSTLKGLEVLNAWSIYLLILFLIVLTSPLFPGLRTTLENNWVTRISLPINDSTMNYTVSWLTHAGVLLFVGTFVGGLIQGAKIWELFAVLWNTVKQLKKTFITVICLVSLSTIMDTAGMISVIATALAVATGSLYPLFAPVIGCLGTFITGSDTSSNILFGKLQANVAGHIQVSPDWLSAANTVGATGGKIISPQSIAIATSAGNQQGKEGEILKSAIPYALAYVLITGVIVYIFS", "MDRRFIAKKEFNLNRFIIYKKKNMNELIAKIKELNEAFMSDAALQIEKGNKAAGTRARKASLELEKLMKEFRKASLEASK", "MKNMCLLPVWAVLLIIAGTFFSCEKKKDMAIYRQADSLNLLSYRMRYKNLDTACKAAHDAYKLADGFPSLRAGALNNQGFCAFIHMDFEKAEDLFLRVYEESNNELECLIADIGMMKICQRTAMNKEFYDYRNSALRRMKRISDDRSAITDPGELERLNYARSEFFIASAIYYYYLQQEQQSLEAINEIKVDEALESDTAQLLYYYYMKGSGGMYEADTPQDVVLGEFNYLIECLGISREYGYVYFEANASQAMAELLKERKNFDLIMERRPNVMRAINSEDLSWEELTMRFAWQALDLFKKYGDLYQISGTYRTLASCSNEQGRYEDALHYLSEALGYVNRHHEKYYHCTDTMDRLRPYVPMATTSIELEWINDDGIKSVPEWIARFREQLSVTYAALGMKPQSDYNRNIYLDILDYTRQDKELESRYNALEKESEALNGLLVVVVIGIVVLIILFWILNKRWRVRNALYIDKLKRTLEICRKITASVPIDAGEIEDVTKAVVASVKEDILSLVGATDFRIVAENGEGNEVPGQGICTSFILNIPGREQPLGEVHLYSEHKMKKDDKALMRVITPYISWTLENGLAFISLGDERKRLEKEQYVHEQHLAENKRQNLVKKACLFIVTGIMPYIDRIMNEVHKLTAHNYIQNEEIKESKYRYIDELITRINEYNDILALWIKMRQGTLSLNIENFELNSLFDVLVKGRKTFEMKQQTLTIEPTAAIVKADKALTLFMINTLTENARKYTQPGGNVSVYAQETESYVEISVKDDGPGLSQEDVERILSEKVYDSGKIGLQTSENVSELQKNKGHGFGLMNCKGIIDKYKKTNEIFRVCLFRIESELGKGSRFYFRLPKGVRKTLMLVLVAFLPVLAGCNEGREKDGKAEQLTVNDSIQRYDKLLAVANEYAYDVYNCNIDGLYQQALCYADSALYCLNKHYIMYSGSKGPLLELEGEGVAADLDWFNRHFDTDYYALLDVRNEAAVAFLALGNLEAYRYNNNAYTALYKQISEDTSLEQYCRQMQLSANNKTVAIILCVVILLVLLVGYYILYFRHRLIYRYNLEQVLEINKQVFSASLLDGRADRDIAASLVKDMFETVNELLPVDVLGVAVYSEDNHSLNYAFSPVEDENEEMREMMARSFDAKTPYWREKDRVKCLPLWVEAGNENRCTGVLALKIALPVEREDDRLMLELVAGYVAIIVYNAVVLMAQKYRDIESAQDDARRAIREENQLHVQNLVLDNCLSTIKHETIYYPNRIKQIIDRLNTRQAGENEAVQVETIGELISYYKDIFTLLSSCAARQLEEITFRRGVVKAGELADYAVRYIKRAGKRMPHRVELRTEVEHVSVLGDVIQLKFMLENLIDEALSYEVDGILELCIYKDKDFVRFDFRDTRREKSQEELNLLFYPHLSRMKQGQEGVLTGTEYLICKQVIRDHDEFAGRRGCRINAQPAAEGGFTVWFTLPAR", "MHIMENNRFKVIIVEDVKLELKGTEEIFRHEIPNAEVIGTAMTENEFWELLKVQLPDMVLLDLGLGGSTTIGVEICSSLRKNYPDMKVLIFTGEVLNEKLWVDALNAGADGIILKTGELLTATDVQAVMDGKKLVFNYPILEKIVARFKQSVAQEQRRQEAVINYDIDEYDERLLRHLALGYTKEMITNLKGMPFGVKSIEKRQNELINRLFTLDERSGVNACRLVTRAFELRILDIDNLEPDEE", "MKSKYYFPHTATVFFLLTVAVALFSWIGSIYGLGKVQSLLSPEGIRWELRHAMGNFVQTPALGIVMMLFLGFGITVHSGVWGTLGRIVKRGKPISRKEKRALILAGCILLVYIIMIICTTFAPWTMLRSVTGSLTNSPFQKGIYYLISFGVGLSGMAFGYASGRFRDDKDIIKGMSCLFSRFADYFVALFFIVQFFSSLMYTNLVEWVGIESYIVSYAFHICCYLPFAWMLNRKKIDC", "MEITPKAMEIEYIRIKRFNLEREKAYATGTDAIHCCLIPLAGYYFFSVSPPFGLHGSGKIEPVKKSC", "MLTLFVRVTSMYAGEGMDNHHFTEVHDIYVKDLKCKKVNVAALVLQGTEEKPIYNVTFDNVDVDKAGIGLGFSNTKTIGVSNCNLGGYVGVPSTASAKDGIFDK", "MSTNEFARILLTWIKSFLSWIGIPRDRLNELDEIIFLILIVVIAFAVGAVFHYLSVRFTRKVLKYKNISFLSSLIEYNALRKMSAVIPPLIISALLPFAFDYRSTWFTVSEKITWIYFFIALLFSVNAVLNSVGNVLMNKEQLQNRPMKGFIQIFQVIFSCVAIIVIISILINKSPLNLITGLGAFAAVLMLIFKDTILGFVAGVLLSENDMVHIGDWIEMPQNNVNGVVMDITLNIVKVQNFDNTIVTIPPYSLVSGSFINWRGMTESGGRRIMREYALKLDYIQPCTPEFLEKMKKFDADLADFITEKQKQAAEGKVANTDNPAGLVNGTIDTNVGLLRAYMTLYLKRHPFISKDLLLMVRTLAPTENGLPVQIYCFSSNKNWPSYESIQAEIMEHFVSVLPEFGLYPFQNPTARDYVISGLIESGKDLSTVDGIPWHSVLPKEEKV", "MMELSTRTLGDWLEHWALTTPDKEYIVYSDRNLRFTWKQFNERVDHMAKGLLAIGVKRGTHVGIWAGNVPDWLTFLYACAKIGAVAVTVNTNYKQAELEYLCQNSDMHTLCIVNGDRGNDDYVNMVYAMLPELKTSQRGYLKSKRFPCMKNVIYIGPEKYRGMYNTAEILLLGCNIDDDELLEAKAKVNCHDTVNMQYTSGTTGFPKGVMLTHYNISNNGFLTGEHMKFTSDDKLCVCVPLFHCFGVVLATMNCLTHGCTQVMVERFDPLLVLASVHKERCTALYGVPTMFIAELNHPMFDMFDMSSLRTGIMAGSLCPVELMKRVEEKMFMKVTSVYGLTEASPGMTASRIDDSFDVRCNTVGRDFEFTEVKVIDPETGEECPIGVQGEMCNKGYNTMKGYYKNPEATAEVIDRNGFLHSGDLGVKDEEGNYRITGRIKDMIIRGGENIYPREIEEFLYQMEGIKDVQVAGIPSKKYGEAVGAFIILHEGVEMNEFDIRDFCDGKIARYKIPKYIFFVDEFPMTGSGKIQKFKLKDVGLELCRKQGIEII", "MDSTKIVGEKIKSLRETKEISVAELAVRSGLAEEQIERIENNVDLPSLAPLIKIARALGVRLGTFLDDQEEQGAVICRKQESEDTISFSNNAMDLRTHMRYHSLSKSKADRHMEPFIVDVEPTEESHFSLSSHEGEEFIYVMEGAVEVCHGKKCHLIKAGDSIYYDSIVPHHVHGYQGQAAKILAVIYTPI", "MFSGIVEEYAEVVRIVKEQENLHLTLKCSFVDELKIDQSISHNGVCLTVVSLQDGTYTVTAMKETIERSNIGLLKVGDKVNVERSMMMNGRLDGHIVQGHVDQTAECMDIKDAEGSWYFTFRYAFDKEMAKRGYITVDKGSVTVNGVSLTVCNPTEDTFQVAIIPYTYEHTNFHTFKVGSIVNIEFDIIGKYLSRMMQFS", "MSTMNKEKLKGLQSFLKDDIWRVTEDEVSKSRGILYNAIKIATLSVREFTQGRILNKASALTYSTLLSIIPILAILFAIARGFGFSNLLETQFRSGLEGQSAAAETILGLIDSYLVHAKSGIFIGVGLVMLFWTILSLTYNIERTFNYIWQVKKPRTLYRKMTDYFSILLLLPLLIVLSSGLTIFMSTMVKNMEDFVLLAPLMKFLVRLIPFILTWAMFTGLFVFMPNTKVKLKYAIIPGIIAGTAFQAFQYLYIGSQIWVSRYNAIYGSFAAIPMFLLWAQISWGICLYGAELCYVAQNLRNYSFSKETANISRRYHDFLCILIMSLICKRFETEEAPYTAETLSDEHKIPIRLTKKILYELQDMHMIYETAMDGDDESIGYLPSVDINRMNVAMLLNRLDIAGTEAFKIDKKRYSSSWEALANAREEYYKSTSKILLKDL", "MQSDLIIINDFCDRCHVDPSFITELEEDGLIEVRVIDEERYLPASQLAELERYTHLYYDLSINIAGIDAIHHMLERIELLQQEVRSLRNELGFYR", "MAYIDYYKVLGVDKSATQDDIKKAFRKLARKYHPDLNPNDPGAKDKFQEINEANEVLSDPEKRKKYDEYGEHWKHADEFEKQKQQYGGAGGFGGFGGAGSGFGTDGNGSYWYSGNGGEEFTGAHFGGSAGGFSDFFEELFGHRGGANGGRSAGFRGQDYNAELQLSLREAAETHKQVLNVNGKNIRITVPAGIANGQTIKLRGHGAPGVNGGPAGDLYITFVIPDDPVFKRLGNDLYIHAPLSLYTAVLGGEETVDTLNGKVKLKVKPETQNGTKVRLKGKGFPVYKEEGKFGDLIVTYNVTIPSNLTEHQKELFRQLKDSSN", "MPIGCVLFLFYYLCSEFLEIILKEMRGLAIIFRFFMLLVLLLPVVALCPVKAETTSEGPILIVTSYNPETRSISDNLSAFMDEYRQRGGKYTPIIESMNCKNLSEAYLWKSRMASILGKYKGKNRPSLVILLGQEAWSAYISQDTEIAKKTPSICGMVSVNGLVLPDDSIDTRVWEPESKNIYTDFSDYNIVAGYVYEYDVDKNIKLMRRFYPDMRRVAFISDNTYGGLSMQALVKKEMKKYPDLETIWLDGRTETFMEVSERMRRLPQNTCVLLGTWRVDCTESYVIGNTTYMLRDANPTLPVFTIASVGLGHWALGGYTPEYHAVGKNIGAVTYDFLDKGDREGVDLLTIPGKYTFDIKRLHEFKLDSLNLPQGAVLVNKTPSFYEQYKYWVIGVVSAFMFLIACFLIAIYYIIRINHLKHNLEVSGEELLVAKEKAEESNRLKTAFLANMSHEIRTPLNAIVGFSSVLVSDDSSPAEKEQYCDIIQKNSDLLLHLINDILDISRMESGRIKFVWEECDVVELCQTALSTVEYGRKTSALFLFETPVPSLVVKTDAQRLKQVLINLLSNAAKFTPSGSIKLTVAIDKQHQQLELSVSDTGCGIPPDKSEKVFERFEKLNEYSQGTGLGLAISRLIIENLGGKIWVDKDYTEGARFVFTHPLTKKEKE", "MKYRICISALLLWAGMQLSASNNQKEVVIKIIETSDVHGNFFPYNFIERKEWSGSLARVHSFVKEQREKYGDNCLLMDNGDILQGQPTAYYYNFMDTVSTHVAADMMNYMGYVVGNMGNHDVETGHAVYDRWIKQCDFPVLGANIIDNATGKPYLKPYEVLERDGVRIAVLGMITPAIPSWLPEKLWSGLHFEEMEPCARKWVKIIKEKENPDVIVGLFHAGKSGNVLGQVVEDASMDVAKRVPGFDVVLMGHDHTRECVKVQNVAGDSVLVIDPANNANVVSDVTLTVTKKDGKVVEKSVEGRLADMNKYPVSREFMDKFAPQYKAVNDFVSRKIGTISRTITAKDAYFGSSPFIDLIHQLQLEISGAEVSFCAPLSFRAEIKEGDIYVSDMFNLYKYENMLYVMELSGKEIKGFLEMAYAIWTNQMKSSEDHLMLFKEPVEKGKRANFKNFSFNFDSAAGINYTVDVTKPAGEKITIQSMSDGTPFSMDKVYKVALNSYRGNGGGDLLTLGAGISKEDLAKRIIFATDKDLRYYLMQYIEQEKVLHPHAMHQWKFIPEEWTVPAAKKDYQLLFGEEKK", "LSKRRYIVAPLLLLALFLTYQVSITLFTHVHIVNGVMIVHSHPSSEKHHTHTTGQVILIAHLSTIQTLEPGGQTEMTVFRPVLYVLEYKTNTFRAKALCAQCIHLRAPPFYC", "MKQYILLLVLMVMGAGIHAYAEDVNPVKEGNVISGHVVEKGTENSLPYATILIVETGQGTVSNEDGEFRFKKVPAGKYTLRVQLLGYETQEKKVTVSNDFTVDVHFLMSDESIMTDEVVVSANRNETSRKVAPVVVNVMNAKLFESVNSTDLAKSLNYQSGLRVENNCQNCGFPQVRINGLEGPYSQILINSRPVVSALSGVYGLEQIPVNMIERVEVVRGGGSALFGANAVGGTINIITKDPINNSFQVSSTMSNMNGKVWEQYMGANASLVSKDNTYGIALYQSYRNRNPYDADGDGFSELGKLNMNTFGLRTYYRPTQFSRISLEYHTTNEFRRGGNKFDLQPHETDITEQTKHVINSGGLSYDLFWKEYKHKLSFYSSIQHTDRNSYYGAQQDANAYGKTKDLTWVAGGMYVGNFEKVLFSPATFTAGLEYQNNSLHDVMTGYHRDMKQDVRIASAFVQNEWKMNQFVFLAGFRLDDHNLIDNPIFSPRLNLLYKPSDKLQARITWSTGFRAPQAYDEDLHVTAVGGEGVLIKLAEGLKPEHSNSISGSIDWTANIGHFQTNLLLEGFYTGLDDVFVLEDMGHDQNGNKVKERRNGNGARVYGVNLDGKIAHGRDAALQVGFTVQRSEYTELEAWSENPEVAPVKRMPRTPDYYGYFTLTSAPFKNFDCSLSGVYTGRMHVPHFAPTELPEEYIGQYIAKDEMVHTPDFFDLNVKLNYTFVLNDHIKLQLNGGVQNIFNAFQKDLDKGGYRDSGYFYGPTQPRTYFVGIKITN", "MKQKNKMLSTHGIKTLFETRLTQLTSLASESQDETAFKNKLNDYLLSGPIYNPAAARQIKRLIDNDGKTIYEASTEQEIKIETISLLWKFLTNRIINEEISVDLWIDLYHQFDRLYHEEEELPDEKQVQQWMKRWPSGLNEDVRAIRRQNKERIISLLIQKIENRHAPSSRYLFPEGSTEEDKRRLVCQWWNEARFHLAMAVKNPTELNRMLGNSLSEETLQLYHKARKKGMPVFITPYYLSLLNPTGKGYDDEAIRSYILYSSQLVETYGNIHAWEKEDAVEDGKPNAAGWLLPDGHNIHRRYPDVAILIPDSMGRACGGLCASCQRMYDFQSERLNFNFEELKPKESWDKRLRKLMEYFENDTQLRDILITGGDALMSQNKTLRNILKAVYKMAVRKRNANLHRAEGEKYAELQRVRLGSRLPVYLPMRINDELLEILREFKEKASAVGVSQFLIQTHFQTPLEVTPEAREAIRKILAAGWTITNQLVYNVAASRRGHTAKLRKVLNGLGVLCYYTFSVKGFEENYAVFTPNSRSLQEKEEEKVWGKLSAEQEKEFLNLLRNSKDRAAAVQRFCTFHQIPFVATDRNVLNLPGIGKSMTFVTIGMTKEGKRILEFDHDPTRQHSPIIHQMKKIYIKENKSIWQYMLQLQEMGEKKEEYASLWKYMEGETEHRFPLYNYPDPGFRITEKYSHLSVVDNKSIC", "MELKQLFTFAAACSLALSVSAQDRVHYTGTELSNPTCHDGQLSPVVGVHNIQVMRANREHPAPDNGNGWTYNHQSMLAYWNGQFYMHYLSDPSDEHIPPSQTFLMTSKDGYHWTNPVTLFPIYRVPDGYTKPGRTDKAKDLDAIMHQRVGFYVSKSGRLIAMGNYGVALDKKDDPNDGNGIGRVVREIKKDGSFGPIYFIYYNHAFNEKNTSYPYFKRSKDKEFVKACQEILDNPRYRMQWVEEADRNDPLIPLHKEYKAYCDYTLPDGRLVSLWKHALTSISEDGGNTWAQPVERAKGFVNSNAKIWGQRLSDGTYATVYNPSEFRWPLAISLSKDGLEYTTLNLVHGEITPMRYGGNYKSFGPQYVRGIQEGNGTPPDGDLWVTYSMNKEDMWVSHIPVPVRAHASEHADDDFAGYKDLSELTDWNLYSLQWAPVSLDGKWLVLQDKDLFDYARVERKIPATKELKVSFELMAEQNDKGLLQIEFLDENGIACSRLELTPDGLFRAKGGARFGNLLKYEPGKTYKVEVELSVANRMVIVYVDGKKVGQRMFFAPVPAIERVMFRTGAQRTYPTVDTPADWYGILPDAGEQEPLCTYRIANFKTASADKDAGAAFLKYKDFKPYVDYFNSMEDENIAQAIPNARASQWMEENIPLFECSQKNFEEMYYYRWWTLRKHIKETPVGYGMTEFLVNRSYADKYNLIACAIGHHIYESRWLRNPEYLNQIIHTWYRGNEGGPMAKMTKFSSWNADAVLGRYMVDGNKEFLLDMVKDLEAEYARWEKTNRLPNGLYWQGDVQDGMEESISGGRRKQYARPTINSYMYGNAKALSLIGIMTGDEGMAMKYGLKADSIKTLVQDKLWNTDHHFFETMRGDASAEVREAIGYIPWYFNLPDASSKYTVAWKEVMDEKGFSAPYGLTTAERRHPEFRTHGVGKCEWDGAIWPFASAQTLTAMANFMNNYPQTVLTDSVYFHHMERYVESQHHRGRPYIGEYLDEVTGYWLKGDQERSRYYNHSTFNDLMITGLIGLRPRMDNTVEVNPLIPEGKWDYFCLDNVLYHGRNLTILWDKDGSRYQRGKGLHIYVDGKEVGHADTLTRVLCENVL", "MNYRKFLIAALLVMSFAVQAKDITVTRLTCEMRDGRVTTSDTPRLGWQMSSPENGTRQTAYEIEIRDVWAGKVVWNSGKVKSAQSQLVSCADAVLEKDRHYTWRVRVWDEADTPSAWSAPSDFSILTSEAAFAGSEWIGAITRKDARIPEGRKYHGSELKKPEAKAAWDAVDTLAKKSIYLRREFHVAKKVKDATAYVCGLGFYEFSLNGEKVGDSEFAPLWSDYDKSVYYNTYDVTSQVKKGGNAIGVLLGNGFYNVQGGRYRKLQISFGAPTLRFRMVVNYEDGTSETIVSGKDWKYDFSPVLFNCIYGGEDYDARREQKGWNMFGFKEQDWHPVVIQEAPKGVLRPQIAQPVKIMERYDIRKVTKLTAEQITAACKSTKRTVDPSAFVLDMGQNLAGFPEITVRGKKGQKITLLVSESLTDEGACNQRQTGRQHYYEYTLKGEGVETWHPRFSYYGFRYIQVEGAVLKGQKNPFRLPVIQKIQSCFVYNSAPKISTFECSNRIFNDAHRLIEKAVRSNMQSVFTDCPHREKLGWLEQDHLCGPGLLYNYDLTGFVPQTLQNIADAQHANGAVPTTAPEYVVFEGPGMDAFAESPEWGCTFVVLPFMYYETYGDDSLIRKYYNGMRRYIDYMTTRANDGIVSFGLGDWYDYGDFRAGFSRNTPVPLVATAHYYMVVRYLVEAARMLDNRYDVAYYTHLGEEINKAFHREFYHKDTRQYGTGSQCSNALPLFLGMVPADDRQAVLDNLVADIKRHGNRLTTGDVGNRYLFQTLARNGLNELMYTMHNHEEAPGYGFQLKFGATTLTEQWDPRQGSSWNHFMMGQIDEWFFNSLAGIRTVEGKPGMKEIEIRPRPVGDLTYVRASTQTLYGKVAVDWTRENGVFTLKVTIPVGCTARVFLPDEKEPKIVESGTYSFKK", "MNNMNKRTFLSLLLCVCCLSFLHAERVDMQQAGADVQGRKLNTALINSTIDRLNAHGGGTLFFPAGTYLTGSIHMKSNITLELEAGATLKFSENFDDFLPYVEVRHEGIMMKSFQPLIYAVDAENITIKGEGTLDGQGKAWWTEFFRVLVDLRDNGKRNINKYQPMFEKENDLKALYAETNEDWHGTLDRRFFRPPFIHPIRCKNVRVEGVKIINSPFWTVNPEFCDNVTIKGITIHNVPSPNTDGINPESCKNVHISDCHISVGDDCITIKSGRDLQARKLGVPCENITITNCTMLSGHGGVVIGSEMSGGVKKVTISNCVFDGTDRGIRLKSTRGRGGIVEDIRVSNIVMSNIKKEAIVLNLKYSKMPAEPKSDRTPEFRNIYVSGVTVRDVNTPIMVVGLPEAPITGIVMRDVYIQNAKQRCVFEDCKDLVLDDVYVDGKEIK", "MKKQLLWCMAALSFSAASAQTYETRFARPLSDVLNDVAARFQVRLKYDVDTVGRVLPYADFRIRPYSLEETLTNVLSPFDYKFVKQSDKVYKLKPYEYARRTDADGEKMLAYLSGLYSDKSQWEQRREILRKEVRQRLGLDDMLGKTVKDAKPVLSKIRKFDGYTVQNFALETLPGLYVCGSVYAPRTKGKHALIICPNGHFGQGRYRKDQQQRMATLARMGAVCVDYDLYGWGESALQVGGKAHHTADAHTIQAMNGIWILDYMLANRKDIDPACIGVNGGSGGGTQTVLLTVLDDRFTAAAPVVSLASHFDGGCPCESGKPIQLAGGGTCNAELAALFAPRPMLVVSDGGDWTATVPRLEYPYLQRIYGFYGATDKVSNVHLPQERHDFGPNKRNAVYDFFIDVFGLDRNMLDESKVTIEPENALKSFGEKGEKLPAGALRYKE", "MNRNLKRTCVAHIQRLLICTCIFTLFATIGKASHVQENTETGNYILILNSYNESSPWSNSITTPIVHKIAGIENMDAYIEHLNLFMVGDSTKIERFPEILSSKYGSTPPRLLVFIGSMSLIFREEIQSLWGKVPAIVCGADPYVYHEKFYRQRDTVTPEEKTHMSELAEEFNFTYMHTPIYLEENVQLMCRMIPGMKKLIFLGDGIYPNPEYDKQLRELIKDKYPQMDYEYISSRTNSLHQLYNAVRKTDKTTGILVSTWFTESFTSSNFLINAYRSIASISAPLFTIRYAGMDDGGMVGGYMYNDQIFTRQLLKTIDEILHGKKASDIPFYEPNEAHPAFNYTRLVNKGLDPDLCPGDTVFYDKPANFLNKYKWIILSVLIAFILMAVTQQKRIQMLKALRRAQQNEIDTNTQYTNLIDNMPILYMKEQVIWDKEGNIIDSIYRDVNRYFERCFLPKSDIIGKRASEIFPESLPEFTHFMSITLKEKKSITFPYYFKTVNTFYDVVLNCSTEPDTVDVFCMDSTELHNAQQMLSATNHKLSMALEVANIVPWKWNLKDHTILCDLNRPIAMAAMPGSISEDQLSVSDEQYFAKIIKEDRPRVIQAYRDLADGKIEKVKEEYRVLANDGTQWKIDWIEAQAAVESKDENGMPLTLVGSSLVITERKKMEDELLSAKDRAEESNRLKSAFLANMSHEIRTPLNAIIGFSNILAAAEEEQEKQEYINIIESNNTLLLQLISDILDLSKIEAGTLEFCYSNVELNDIISEIENVTRYRTESNGIQLIVQKGLPSCLIRTEKNRLMQVLNNLLNNASKFTSQGSITFGYKLCDKELYFYVKDTGCGIPADKVNSIFGRFVKLNSFVQGTGLGLSICQTIVEHMGGRIGVESEEGKGSTFWFTIPYQPAAAENKKEEEHQLISVQKDKLTILIAEDNESNYRLFQSILKREYNLVHAWDGKEAVNLYKLHTPQIILMDINMPVMDGYEATREIRKLSLDVPIIAVTAFAYASDEQRAMENGFDGYMAKPISAPQLRQQIAAILQKRIILL", "MEYHLRTKLNGNIAMTSCFHENSFLQRDRSLYKFIWVRHGTLDVEVDHVVMHLEKEEMISLTPLHHVQIRGVGGEYLTFLFNSNFYCIYRHDSEVSCNGFLFNGSSRVMRLKLSPSQSACLDSIVDIFRGECGIQDNLQEEMLRIILKRFIITCTRIAREKFDVDPGRENMFDVIRQFYVLVDSHFKEKKQVQDYADMLCRSPKTISNLFSLYGLSSPLRVIHERVDAEAKRLLLYTGKSAKEISEILGFEDLATFSRFFKKMNKESLSEYRKREKRE", "MAKLKSLFVAFLTIAASAQKLGINLIRVAILVIFVWIGGLKFWNYEAEGIVPFVANSPFMSFFYTKDAPEYKEYKLKEGELDETKHLWHEENNTYGFSHGLGILIMGIGILTFLGIFSPKTGLVGAGLAIVMTLGTLSFLVTTPEVWVPDLGSGEQGFPLLTGAGRLVIKDIAILAGAVVVLSDSAGRVLNQLKK", "MKQATKMVLGAAAIVAVSAGVAGVTAYSMLKPEPNKSVAFNDVFQQNPNTRLAALDATQMQPVDLTQAAENSVHAVVHIKSTQESKTQTVTVRDPFYDFFGDIFGNGRGGGQQRQVQTPERVGFGSGVIISKDGYIVTNNHVIDNADVISVKLNDGREYKGRVIGTDPSTDLALVKIEADELPTIPVGNSEALKVGEWVLAVGNPFNMTSTVTAGIVSAKARSLGVYNNGVESFIQTDAAINQGNSGGALVNARGELVGINSVLYSPTGAYSGYGFAIPTSIMTKVIADLKEYGTVQRAVLGIKGTPINDDQQMMPEEIKKKVKELGATDGVLIAEIIEGGSAAGNLEVDDVIIGIDGKRVKNFAELQEGLAKHRPGDKVTVKVLRDKKEKDIEMTLKNAQGTTKVVKSAGMDILGAAFREVPQELKRQLNLGYGVEVTGVTDGKMKAAGIRKGFIILKANGQPVKSVNDLEDVLKAATQSPDQVLFLSGMFPSGKRANYAVDLTQE", "MRQLKITKSITNRESASLDKYLQEIGREDLITVEEEVELAQRIRKGDRVALEKLTRANLRFVVSVAKQYQNQGLSLPDLINEGNLGLIKAAEKFDETRGFKFISYAVWWIRQSILQALAEQSRIVRLPLNQVGSLNKISKAFSKFEQENERRPSPEELADELEIPVDKISDTLKVSGRHISVDAPFVEGEDNSLLDVLVNDDSPMADRSLVNESLAREIDRALSTLTEREKDIIQMFFGINTQEMTLEEIGDKFGLTRERVRQIKEKAIRRLRQNSRSKLLKSYLG", "MKSIKILCSLLLVLTVCSAFSLKGSKSVYIVGVSASFTDSLVYFTEIQLLDSVSLDKNKMLPERSQYSYQLKNYLENEEGLTNRTCFVYFSNSRKKLQKTINKMKTKYQKGKTLLIREVNPNAFKFKKPEE", "MKKILFLFLAACFFFSCEKETIVIPQQHAGRTVLAFFWADNSLNSSLRNNIQTMMQGLQAMKDSAALLVYWDGEASDISWPEPCIVEYVTNGQGGINSLSKGTIDAMMADKNTSIYDLIGIGNIRKKYPPQTSTEKTVMQTVIGDMMSAYPSESYGIIFGSHGSGWLPTITGTRSIGQDGGRYSSDTALIPELAEVLRTVNPQKFDFVLFDACMMGCAEVYYELKDAARYCIASVLDIPAAGFPYASVMPYLYENAIKDYLGPICKDYIDYYNYNGWGTISAVDCNQMEGLAEAVRSVILSNQDSLKNVDTADLQQYGKGSSNFKGYAYDMLQFIEKLCGGMAPDDFTQQLKKTVVYTGYTHDPTSSLYRIDGDNYSGMGMYIPNSFTTPKYLLWNNYFKSSIAWYHASGWAETESIWGN", "MKRETVIIAALGILTLAGCNNNHRSQVRKFKQTAEKTNRSCPTRMNETITLDSTCYNEKDNSVSYFYSVTGELDNATYMNTHYAAFKQALQDAVDNSVEMEEYRKFGTSIRYIYYSGSSKRQLAAFSFNSPK", "MSRIIDELKRTDHKRYLGGLDFFKYIGPGLLVTVGFIDPGNWASNFAAGSEFGYALLWVVTLSTIMLIVLQHNVAHLGIVTGLCLSEAATQYCPKWISRPILGSAVLASISTSLAEILGGAIALQMLLEIPIAWGSVLTTMFVVIMLFSNSYKKIERAIIAFVSVIGLSFLYELFLVDIDWPVAIRSWVVPEIPQGSMLIIMSVLGAVVMPHNLFLHSEVIQSHEYNKQDESSIRKVLKYEFYDTLFSMIVGWAINSAMILLAAATFFRTRTPVEELQQAKSLLDPLLGSHAGIIFALALLMAGISSTITSGMAAGSIFAGIFGESYHIKDIHSKVGILISLGIALVLIFFIENPFYGLIISQMILSIQLPFTVFLQVGLTSSKRVMGKYANSRWSSFVLYTIAVIVSALNIMLLLS", "MIFNAENILLIGSILLFVSIVVSKTGYRFGIPTLLVFLLVGMLFGSDGLGLQFHDAGEAQFIGMMALSIILFSGGMDTKYSDIKPVLPQGILLSTFGVLLTTIFTGFFIYWISGFSNVSITMSLMTAMLLAATMSSTDSASVFNILRSQSMNLKHNLRPMLELESGSNDPMAYMLTIVLIQFITSAGMGADDILISFLIQFAVGGTSGFLLGKLAVAIINKIDLKNQSLYPILLLSFIFFTFTMTDLCKGNGYLAVYIAGMMVGNARIVNRKEIATFMSGMTWLFQIIMFLSLGLLVNPHEMLSIAIPATLIGIFMIVLARPLSVLLCLLPFKKMNINSRLFISWVGLRGAVPIIFATYPVVADVPGSTQIFNIVFFITILSLVVQGTTISWMAKLLHLDTPLEKTGNDFGVEIPEEINTDLRDIVLTEEMLAKGNRLMDMNLPKGMLVMLIKRGNEFMIPNGSLQLHAGDKLLIISESKTK", "MKENNPHILGTEKVGKLLLEYSIPAIIGMTLTSLYNIIDSVFIGHGVGAMAISGLAISFPLMNLLVAFCTLVGVGGATISSIRLGQKDTDGAEKVLGNVTVLCVINSIFYGGITLLFLDRILFFFGASHDTLPYARDFMQIILIGSPVTYVMIGLNNIMRATGYPRKAMLSSMLTVGCNIILAPVFIFWLGWGIRGAATATILSQFAGMIWVLSHFMQEKSYIRFKKGIFKLRKRIVENIFSIGMSPFLMNVCASAIVIIFNKSLLLYGGDLAIGAYGILNRLLMLFVMVIMGLTMGMQPIVGYNHGAHKFGRVKQTLKYCMIAGGCITSLGFAFSELFPNLVVNMFTDDKELTALARTGLQIGVLMFPFVGIQIVISSFFQSIGKAKISIFLSLSRQLLYLLPCLLLLPHWWGLNGIWLSMPVSDLLAFITACLMLAHHIKKLNKITEV", "MNSTKSIAALFDFDGVVMDTETQYSIFWNEQGRKYHPELPEFGRLIKGQTLTQIYANYFAGMEEVQHEITEDLNKFEKNMLYNYIPGVEAFLKELRENGVKIAIVTSSNEMKMSNVYKAHPELKQSVDRILTAEMFTHSKPDPECFLLGATVFDTVPENCVVFEDSFHGLEAGNRAGMTVIGLATTNSEEQIRDKANAVIQDFNGFSFEKMKNMMR", "MAGYISGDTRKVTTHRLVEMKQRGEKISMLTSYDYTTAQIVDGAGIDVILVGDSASNVMAGNVTTLPITLDQMIYHGKSVVRGVKRALVVVDLPFGTYQTSEYEAVTNAIKVMKITHADALKLEGGVEIIDAVKKIIAAGIPIMGHLGLMPQSINKYGTYTVRAKDEEEAEKLLSDAHLLEETGCFALVLEKIPASLAERVARELTIPVIGIGAGGAVDGQVLVVSDMLGMTNGFSPRFLRRYADLHTVMTGAIQQYVDDVKKGDFPNEDEQY", "MYDDFFFPYEKAKLWTGNMFLLSLSNFLLYASLYMMLPVLPLWMVRHWYCSYAEAGAAIAVFGLAMFLPGTFNSYLIDTFKRKSVCFIAIFLFVASSLLYPYVATVGFVALVRAVQGGLFSVITMTTGSTLVIDVTASRRRTDANIAFAWAGRFGMVVGLALGIYIYPYWNFHHIIYTSMALGALALVLIPAVKVPFRAPLSTSWFSLDRFLLPRTLWPGMNMMMVAIIFGILVAHIYNELFFVCILIGFVLSLLLLRYVLSHASGRSEVELGQAAMIGGLLLLAFSNSLMNSYIAGILLGMGTGTTVSRFFIKMISLPRHCERGTGNNTYQLMWEAGVLIGFLFENMWTEGHPDTIYWICIGICVVLLLMYEFFTHPWYYRKMEEKQ", "MADDKKIIFSMVGVSKAFQANKQVLKDIYLSFFYGAKIGIIGLNGSGKSTLMKIIAGLEKSYQGEVVFSPGYSVGYLAQEPHLDDEKTVKEVVMEGVQSIVDTLAEYEEINNKFGLPEYYEDSEKMDALFARQAELQDIIDATDAWNLDSKLERAMDALRCPPEDQPVKNLSGGERRRVALCRLLLQKPDILLLDEPTNHLDAESIDWLEQHLQQYEGTVIAVTHDRYFLDHVAGWILELDRGEGIPWKGNYSSWLEQKTKRMEMEEKVASKRRKTLERELDWVRMAPKARQAKGKARLNSYDKLLNEDVKEKEEKLEIFIPNGPRLGNKVIEAKGVAKAYGDKLLFDNLNFMLPPNGIVGVIGPNGAGKTTLFRLIMGLETVDKGTFEVGETVKLAYVDQQHKDIDPAKSVYQVISGGNELIRLGNRDINARAYLSRFNFSGADQEKLCGMLSGGERNRLHLAMALKEEGNVLLLDEPTNDIDVNTLRALEEGLDDFAGCAVVISHDRWFLDRICTHILAFEGNSEVFFFEGSYSEYEENKMKRLGNEEPKRVRYRKLMED", "MVKRMRSFFAVVMLVIAATVNAQVTTSSMSGKVVDQSNEAIIGATIQAIHEPSGTHYGAITNVDGRYSIQGMRAGGPYKVEVSYVGYQSVVYKSINLQLGENYVLDANLKESTELLDEVVITASKSSNMKSDRAGAVTNVDAARMSEVPTVSRSMNDIMRLTPQGANIGSGFSVGGGNYRQSYVTVDGAAFNNAFGIGSNLPAGGSPISLDALEQISVSTTPFDVRQSGFTGGAINAVTKSGTNEFKGTAYMYTSNTHLTGNKVEDYELTRNRDHSTTYGASLGGAIIKNKLFFFVNGEYQDNVQAGPSGIARSGANDEWSTNGIVHRPFENTTTVGGRTFVGMNNISQYLSEKYNYNPGRYQGYSLETPSYKIMGRLDWNINNNNKINFRFTHTHSKYSSNPSSSTTPFKDSIIYPGGVDGSAGKSSSGRTANTGLYFESSRYMQEQNFTSIASEWNSKWGAINNALRFTYSYQNEPRTYEGGTFPTVDILDQGSLYASFGPDPFTEGNLRQVKTFVITDEFNFSSGIHNFMGGIQFESNKAVNGFMQAGSGYYVYSSWDDFVNNRAPAAFGVTYSNTGDGSQFLANMKYQQLSFYLQDQMNITDNFRLTAGVRFELPIYPELKNNYNKNFAQIDFDGYHYATDQLPSSYQLTASPRIGFNWDLTGERKYVLRGGSGYFIGRLPFVWLVSAVGNANCGQSTYYYNEQKDAKYGQPSFHTSVADMLKDPNLNLPAATDPAAPSGATIIDRDLKMNATWKSSLAFDAKLPGDIDFTLEGIFSKEFNPATVTNLGRKFKGEQEIAPGDVRRMFEYSNANKTDAYYITNAGNSAYYYSLTASLAKTFDFGLHLSASYTRSYAKSYGDGIGDQVNSAYYNNRYSVNGNNDTETGYGTYVSPNRVLASAAYRIKYAKNFASSLSLIYEGMNMGYAGGYSAARYSYTFTGNIVGDYGSNNLLYIPASREALDKWNFADYTDSKTGEVTYSAKEQRDDFWAYINEDSYLKGRKGKYAERGGAIMPWHHQLDLKFNQDFFLNVGGKRNTLQFGVDIKNFLNLLNSDWGIYKTVNNTSLLSYKGGAYQFQKNGGKKLTDTYSNLNSFNSTYSIQFSVRYIFN", "MRNHLKHFLLLAVLTICFTATAVAQGTVKGKVVDAENNEPLIGATVSVSGTTLGTVTDIDGNFVLKLTSSKATLEFKYLGYQDKTMKVTQKGNVDLGTIALSLDAKTLGDVVITSSIAVARKTPVAVTTLAPEFIEEKLGTQEFPEILKSTPGVYATKQGGAYGDSKINMRGFKSENIAVMVNGIPMNDMEWGGLYWSNWAGLSDVTRSMQTQRGLGASKVSAPSVGGSINIVTRTIDQKKGGSISYAMGNDGYNKLLFHVSTGMSKDGWALTLLGGKTWGDGYIQGTEFSAYNYFVNIAKRINDAHQISFTAFGSPQWHNQRSNKDGLSIKGWQAVKNYMGDKSPYRYNPTYGFGPNGERMSASHNEYHKPQLSLNHQWQINEKSSLSTAAYVSIGRGYGNAGQGYKKDANGTTYRNMWYGSYKGNLNTYFRNSDGTFAYDQIYDMNEASDNGSMMAMSKSINEHNWYGLLSTYTTKFGDYIDFYGGIDFRYYKGTHTNELSDLYGGKYFLDESREKVKAVNNALAGTPEYVKKKLQVGDVVYRDYDGYAMSEGVFAQAEYNKDKLSAFLAGSISNTGYWRYDRFYYDKQHAESETVNFIGYTVKGGANYNLNEYHNVFANVGYISRAPFFSGGAFLQSATSNATNPDAVNEKIFSFELGYGFRSPYFTANLNVYHTQWFDKTNAASVNIEDEKGNQVDRATINMQGVDATHQGIELDFVARPFRWLDINGMFSIGNWRWSSTPKGYFYNSLGQPLAYENGKFVEATGIMAPDHASVALDLDGVRVGGSAQTTASLGATAKISKALRVGFDCVFYGRNYSDWAIESSDLTYNINKTLVYDSPWRIPSAYVCDLNASYRFKIGGVNAILSGVVNNLFDQEYISDATDGSNHDWQTAYGIFYGFGRTYNLKLKLNF", "MKKNIWMVLAAASLMTACDYNEKYFEGFDETDQSNVQKYTVEYTEKTFKETESAKDVIIPWLTQKYYTCDNGSFASVSYMQETTEIKEVPVLEQDFERNVVDKEATDVAGWLNYSVKGTAPWYDKAYSNNVYTECSAYKADGEVQSWIISPKFKAEVGDVFSFDVCIGNYKGDALKVYVSSTFQGNSGSITNKYTEWEDVTDNFSIPQEPVKGYGSMARAGSMKLDEFAGKNIYIAFVYEGAPDGVTTSVQIDNVLVMRNESETIVNKEVDEYDYKENEWVFKRTVPSGLLFETITMQKEDFQLVVDYVAANFDEGYLDTQKVGQGEYYYGASSAYGNWNAKGYTRKKYYDVTGAMANLTTDEEMDAYCIEQVKLGLVKFIELVHPEPVFVDGQVTNYKVISSIYTSTGTASYVAKIVWSEEESRFVLESLDEAE", "MKKSEVCFLFLLSLFCFACSDSADKEEMEFPEKDNLKVTFPSDFSPEWAASVAGKEVTIVNPLFVTQTYSGSKPQGTIVVSSKVKRAFADVNLPSVVEYSKWVEKQEVDKLLITSEFPLIDPCNTLRIGSEMAGVKGKVTYSTSGYHFTLTEKPSVSYNARSVAPTVNDYNLKVMSFNAENFYMYGNTGNAETLRQHAKILAALKEAKADIYAICEVEQGDFTVDYLCRSLNNALGEERYAWLNTPGQKSSKVQTNVFIYDKVKVLPYKEFKSYNFDNLKMRYIVQCFELKDDKAKVILAMNHFKAKTSGIDKNDGQGGSADRRVMEARECLKVYNELVAYYEDTDVLVLGDLNSYGMEDAIKVFTDAGYINLLKKYSPAAWSYCYRGEVGYLDHSLSSPTLTSQIVGAAPWDINASEPAYWGFKYTSYYREDPYRSSDHNPVITWVNLE", "MCSEGLRERYVNPYTNFAFKLLFGTDLNKEILIGFLNALFDGKQVIEDVTYLNTEHLGSKEIDRRAVFDVYCENEKGEKILIEMQRGEQQFFKDRSIYYATYPIREQAIKGEIWDYELKAVYVIGILNFALDDVSSSGFRHEVKLMDTTTHEVFFDKLTFVYLEMPKFHKTEQELDTLFDKWMFVLKNLARLMERPTALQERVFNRLFEAAEIAQFSKENLYAYEESLKVYRDWNNVINTAIQKGIAEGEWMKAKAIAGNLKNAGLSIAEIAKVTGLSEDEINSL", "MATKIRLQRNGRKSYAFYSIVIADVRAPRDGKFTEKIGTYNPNTNPATVDLNFERALHWVMCGAQPTDTVRNILSKEGVYMKKHLLGGVAKGAFTEAEAEAKFEAWKNNKQSGLATLKAKLDEAKKAEAKARLEAEKKVNEEIAKKVAEKKAAEAAAKAEAEAANAPAEEAPAAEATEAPAEA", "MLITRISGCKGKTFLLNRKDLDEIKYNFYKKVNGHSVNR", "MISQKLQDAINAQIVAEMWSANLYLSMSYFFAAKGYEGFASWMKAQAHEESDHADVLAQYVMKRGGQAKVGAVDAVPQEWASPLDVFEHVYKHECHVSELIDKLVDVASAEKDKASQDFLWGFVREQVEEEATVQGILDKIKLGGDVALYHLDIQLGARK", "MKRILLMSLLAISTALSAQKPVELELWPDGAPNSNGITTPEQKLENNRISNVSEPTLTIYPAAKPNGLAVVACPGGGYIRLAMNHEGHDMADWFNAQGITYAVLKYRMPNGHHDVPLSDAHQAIRLMREHANEWHIQKVGIMGASAGGHLASTAATHYTAGTRPDFQILFYPVISMDLSNCHKGSRDNLLGKSPSEELVRLYSNELQVTGDTPPAFIMHSSDDGAVPVSNSVSYYLALVKNKVPASLHTYPIGGHGWGFRDSFTYKRQWTGELEKWLREIL", "MKQEEEKSVGLPDNAFRPLKPGEQYHPIMSPNKKYPEVNLWSVLWGIAMAVLFSAAAAYLGLKVGQVFEAAIPIAIIAVGVSGAAKRKNALGENVIIQSIGACSGVIVAGAIFTLPALYILQDKYPEMTVNFFQMFVSSLLGGILGILFLIPFRKYFVSDKHGEYPFPEATASTQVLVSGEKGGSQAKPLLFAGLIGGLYDFIVATFGWWNENFTTRVCGWGEMVAEKAKLVMKINTGAAVLGLGYIVGLKYAAIICAGSLVVWLVIVPGMALLFGDQVLNAWNPALTQTISEMSPEVIFKEYAKSIGIGGIAMAGVIGIVRSWGIIKSAVGLAAKEMGGKKVEANVIRTQKDLSMKIIAFGSIFTILLILLFFFFDVMHGNVLHSIVAILLVAGIAFLFTTVAANAIAIVGTNPVSGMTLMTLILASVVMVAVGLKGATGMVAALVMGGVVCTALSMAGGFITDLKIGYWLGSTPAKQETWKFLGTLVSAATVGGVIMILNKTYGFSTGALAAPQANAMAAVIDPLMNGVGAPWLLYGIGAVLALVLTYFKVPALAFALGMFIPLELNLPLLVGGAVNWYVTTRSKDEAVNAERGEKGTLLASGFIAGGALMGVVSAAMRFGGINLINEEWLSNPLSEVLSMAAYILLIIWLVKASMHIKKK", "MEPTIMKQLNFKKIFLNLLWPLLPFTAQAQENNTLYSILSKDSILVSSPSVQNQEEDIVTQMPNRHHKYDKRVHRYRRAWEALIPTHTKLQYAGGMGLLSWGIGWDYGKRGQWETDLLLGFIPRYSSKHFKMTMTLKQNYIPWSIWLGKDFSLEPLTTGIYFNTVFSDDFWTSEPERYPRGYYGFSTRIRTHIFLGQRVRFDVPEKYRKFSKSITAFYEISTCDLYVVSAFNNSYLKPDDYLRLSFGLKFQIF", "MTNKLLFFVLLALCFSGCDMLETHPYDVHITGERELTNKNIQLIENKMQSKKTIRFAMISDTQRWYNSTEDVVKALNARGDIDFVIHGGDQSDFGVTKEFIWMRDIFNKFQMPYVCLLGNHDCLGTGEDAYRAIYGDPNFAFTAGNVRFICLNTNAMEYDYSEPVPDFNFIENELNNLSPEIEKTVFAMHVKPFEFVFNNNVAKIFQLYVNQFPKVQFCLYGHEHKFAVDDLFNDGVLYFQCPCIDKRIYLLFTIKEDGTYDYETVEF", "MKKILLSTLLLIIGLTTYAAVWQSQGEAEITFEKTTHNFGSFPESSPKVTCVFKFKNSGDGPLVIHQAIASCGCTVPQYPKEPIKPGESGQITVTYNGAGKFPGHFKKSITIRTNGKNEMTRLYIEGDMTPKGTVAE", "MNELHKRLKSFTYAWKGVCSFLSKEHNAWIHCAAIIAVTIAGIRFEITRTEWLVILLCFAMVLAAEAFNTAIERLVNLVSPDYHPIAGDVKDIAAGAVLICAIFAALIGLIIFVPYF", "MEEKAFFTAKEREQLFALYKRLLQLSGDTLQKGDCHKLKIHLIKAVAEGNLPRNCFGMNPIIKDMQTAVIVAEEIGMKRASILGIMLHESVKNHLCTLASVQQEYGEDVAGIIRGLVKINELYSKSPTIESENFRNLLLSFAEDMRVILIIIADRVNLMRQIKETPNIEARTQVANEAAYLYAPLAHKLGLYKLKSELEDLSLKYTEHDVYYHIKDKLNETKASRDKYIAAFIEPIQHKLEEAGLKFHMKGRTKSIHSIYQKMKKQKCPFEGVYDLFAIRIILDSPVDKEKQECWQVYSIVTDMYMPNPKRLRDWLSVPKSNGYESLHTTVMGPEGKWVEVQIRTERMDEIAERGLAAHWRYKGVKGESGLDEWLTSIRETLENADSDLEVMDQFKLELYEDEVFVFTPKGDLYKMPKGATVLDFAFAIHSKLGSKCIGAKVNGKNAQLKQTLNSGDQVEVMTSNTQTPKRDWLNIVTTSKARTKIRQAIKEIEARQTEFAKETIERKFKNRKLEYDEAVMMRLIKKLGYKTVTEFYQDIANETRDANDIIEKYLELKKKETENREDIVYRSAENFSMQTAQDDKTFKEDVLVIDQNLKGLDFKLAKCCNPIYGDDVFGFVTISGGIKIHRTDCPNARELQSRFAYRIVKARWAGKSHGKQYPITLRIVGHDDIGIVTNITSIINKENDILLRSISIDSHDGLFSGMMTVMVDDTSKLESLVKKIKTVKGVKQVNRG", "MLTPEDKDLLVKKGISEQQIAEQLACFEKGFPFLKLDAAASVEKGIMAPAENEMKNYLEAWDTYKEGEKTIVKFVPASGAASRMFKNLFEFLGADYNIPKTDFEKKFFDHIHSFAFYNDLNAACMDNTGKDIDALMAGKEYKPIVANLLEAAGLNYGALPKGLLKFHRYADGVRTPLEEHLVEGALYAAGKTGKVNVHFTVSTEHRELFTKLVEEKVAVYAKKYGVEYDVSFSEQKPSTDTVAADMENKPFRDKGKLLFRPGGHGALIENLNDLDADVIFIKNIDNVVPDRLKEDTVTYKKLIAGVLVTLQKQVFEYLELLDGGKYTHAQLEEIIRFLQQTLCCRKLDIKDLEDADLVIYLRKKLNRPMRVCGMVKNVGEPGGGPFLAYNADGTVSLQILESSQIDMNDPVKKEMFEKGTHFNPVDLVCAVRDYKGNKFDLVKYVDKATGFISYKSKNGRELKALELPGLWNGAMSDWNTVFVEVPLSTFNPVKTVNDLLREQHQ", "MNLLTFIAPLAVAATFMMPADAANHKELPALGNTHIQVFDKTPVCFRPDSFPNYTPANADGVIRLVNGRIILKKITLPDYKRDVDVTLKVTVASNGDRWDKSGSCFVLPKESVINLMNIAEGKRAFPAVDSTKYEKMIGIVPGQDYVPTLELMRFMTPFGVGYYSSDNDSLSSKRRPVYIPKWEKSVTWVQDITDLYPALEREAYVGIYIDTWTAEGYVASMELDVKESKITCDVMPERRVKPLMNTVYYIGQTYPDIFSRKDVVMDFDMPKAAKNVRLKYIVTGHGGHSGGDEFVEKRNIVSVDGKEVLNFIPWRDDCASFRRFNPATGVWLIPRVAAYIGDKGYTTKEIEEPLASSDLSRSNWCPGSDVMPEEAVIGDLSAGKHSFKVSIPEAQQVDGNKLNHWLVSAYLVWEE", "MDEYRINGLTIKENLLQLAENGNKKFTESLHPGIENVLGIRIPALRRLGAQIAKDDWESYLQTADTYYMEERMLQGMVISNLKMKDTQAYLSLVARFIAIINSWSVCDTFDFYGKQRFVDKNKKRVWLFLEDRMKSDKEYEIRFGVVMAMAHYIDEEYIDNVLQWMDRISHEGYYVKMAVAWALSVCYVKFPQKTMNYLKENHLDDFTYNKALQKIIESYRVSTEDKEIIRSMKRKNK", "MFTIKKATTNDIQLINEMAQIVFPATYREILSKEQLDYMMDWMYSPKNLRKQMEEEGHIYYIAYKDGEAAGYVSIQPEGEHLFHLQKIYVLPLFQGCRLGKALFEQAVKAIKEIHPGPCEMHLNVNRNNKALQFYQHLGMEKVAEGDFHIGNGYYMNDYIMGLKI", "MNVDNVRSQMRKGMLEYCILLLLHKEPSYASDIIQKLKEARLIVVEGTLYPLLTRLKNDDLLSYEWVESTQGPPRKYYSLTPQGETFLSGLEAAWEELANTVNHLKNN", "MKKTLTINLGGTVYHIDEDAYHLLDNYLTNLRIHFCREEGAEEIVHDIELRISELFTDRLNEGKQVITIEDVEEIIARMGKPEDLSDEESGEASGSEKQKGTTMRRLFRDPDNKVLGGVASGLAAYMGWDVTWVRIILLVLGFFVHGVILAYIIAWIIIPMARTAPEKLAMKGAAINMENIGKTVTDGFEKVNDYVRSDRPRSILQKIGEGIVSVAGFLIKFLLVFIAICCAPVLFVLLIVFFALLMAATGLIAALPAVLYEVLPAVNWATVGSSPGLTVAMSVAGILVIGIPIIGLIHMLMRHFGGWQPMSIVTKIIFIVLWLIALGVGVFFVLNDPNIASMISYSL", "MTKKILLLGSGELGKEFVIAAKRKGQYVIACDSYAGAPAMQVADEFEVFSMLDGDALDAVVAKHKPDIIVPEIEAIRTERLYHLEQEGIQVVPSARAVNFTMNRKAIRDLAAKELGLKTAKYFYAKSLEELQEAAKEIGFPCVVKPLMSSSGKGQSLVKSADELEQAWHYGCEGSRGDIKELIIEEFIQFDSEITLLTVTQKNGPTLFCPPIGHVQKGGDYRESFQPAHIDPEHLKEAQRMADKVTAALTGAGIWGVEFFLSHENGVYFSELSPRPHDTGMVTLAGTQNLNEFELHLRAVLGLPIPEITQERIGASAVILSPIASKEAPRYRGEEEVCKETNTYLRIFGKPYTKLNRRMGVVVCYAPNGSDLDALRDKCKAAAAKVEVY", "MKLEIQKILLAFALPLLLLFILYTLRTMESVMNWDFITWGIYPKETKGIMGILTSPLIHADWGHLFANTFPLLFLLWCLLYFYRDLGIGILFFIWIVSGILTFIIGKPGWHIGASSIIYSLAFFLFFSGILRKHVPLVALSLLVTFLYGSLVWNMFPQFASSTTSWEGHLGGAAAGIAAAILFRHKGPQQPELFIDEEEEDNRSYPEDEEVITNPDQEKKE", "MSYLRFDKTLMTNLGESLPKEILRTNRSGAYHCTTIVDCNTRKYHGLLVIPVPELDDENHVLLSSLDETVIQHGAEFNLGLHKYQGDNYSPRGHKYIREFECEKVPTTIYRVGGVILKKEKLFVHHENRILIRYTLLEAHSATTLRLRPFLAFRSVRQYTHENAQASRDYQEVDNGIKTCMYPGYPELYMQLNKKNEFHYQPDWYRGIEYPKEQERGYDFNEDLYVPGYFEVDIKKGESIVFSGGVSEAGTRTLKRTFEEEVEERTPRDNFQHCLINAAHQFLNKQGDEFYILAGYPWFKCRARDMFISLPGLTLAIDEVAKFEMVMETARKAIHDFINDEPDDVKVYEMEHPDVLLWAVWCIQQYAKMVSRDQCREKYGTLLQDIMEYLRRENHPNLFLHSNGLLYANGTEKAITWMNSTANGRPVIPRTGYIVEINALWYNALRFTNELLGEGGNNNLAETLNVLAEKTGKAFVDTFLNEYGYLLDYVDGNMMDWSVRPNMIFTVAFDYSPLDARQKKGVLDIVTKELLTPKGLRSLSPKSGGYNPNYVGPQMQRDYAYHQGTAWPWLAGFYFEAYLRIYKMSGIGFVERQLIGYEDEMTSHCIGSIPELFDGNPPFKGRGAVSFAMNVAEILRVLYLLSKYNY", "MKVLMFGWEFPPKIYGGLAVASYGITKGLSLQGDMETTFCLPKPCGDEEKFLNIIGMNQVPIVWRDVDYDYLKSRLSTSTPEQYYAFRDHIYSDFSYMHVNDLGCMEFAGGYPGNLHDEINNFSIIAGVVARQQEFDIIHAHDWLTYPAGVHAKLVSGKPLCIHVHATDFDRSRGKVNPTVYAMEKNGMDHADCIMCVSELTRQTVIHQYHQDPRKCFAMHNAVYPLSQDLLDIPRPDHSKEKVVTFLGRITMQKGPEYFVEAAALVLKRTRNIRFVMAGSGDMLDAMINLAAERGIADRFHFPGFQRGRQVYEAYKNSDVFVMPSVSEPFGIAPLEAMQCGTPSIISKQSGCGEILDKVIKTDYWDINAMADAIYSICTNPSLFQYLQEEGKKEVDGITWEKVGLRIRALYEQVLKNYGK", "MKTICLYFEIHQIIHLKRYRCFDIGRDHYYYDDYENERGISDIAERSYIPALSALIEMAKNHGDTFKVALSISGVALEQLEVHAPGVIELLHQLNETGCCEFLCEPYSHGLSSLANEDCFREEVERMRTKIKQIFGKEPKVFRNSSLIYSNDIGATIADMGFKGMLTEGAKHILGWKSPHYLYHCAMNPNLKLLLRDFKLSDDISLRFSNSEWNEYPLFADKYIDWIAALPEEEQVINIFMELSALGIAQPLSSNILEFMKALPVCAKERGVTFSTPTDIITKLKSVDQVDVPYPMSWIDEERDISPWLGNVMQREAFNKLYSVAERVHLCDDRRIKQDWDYLQASNNFRFMTTKNTGIWLNRGIYDSPYDAFTNYMNILGDFISRVNSLYPVDMDNEELNSLLTTIKNQGEELVALNKEVERLQAKLEKAEGKKAPAVKKEPAAKKPAAAKKATAKKPVAKKAASKKEE", "MKQLCQNKHTIRFRYWSRKKYAMFYSLGKYVTIGNLKKEIADVSLGKQANVCTAFSVCSSARKEDAGEEYEEGMAPVESMLQMLRIQLPQPQVADVICLFYLNKYLFAGKMCHASFRLFLS", "MMLNEIRNKVLGGEKITVEEARWLSCQAEKQDLYDAAHEITRVLASRKFDMCSIINAKSGKCPENCKWCAQSAHYKTKADVYDLVGKEECLRHALYNEAQGVSRFSLVTSGRKPGSRNMDRLCETTEYMRAHSHIQLCASLGLLDEAELERLFKAGITRYHCNLETAPSYFSSLCSTHTQEQKIETLQAARRVGMDVCSGGIIGMGETMEQRIEFAFTLRELEVQSIPINLLQPIPGTPLEKMDRLAEAEILTTIALFRFINPTAFLRFAGGRSQMSVEAVKKALYIGINSAIVGDLLTTLGSKVSEDKILIEEAGYSL", "MENFDREHLWHPYTSTTHPLPTYKVRRADGVYIELEDGRCLIEGMSSWWCMVHGYNHPVLNQALKEQIDRMSHVMFGGLTHDPAIELGRLLLKIVPPRMQKIFYADSGSVAVEVALKMAVQYWYAQGKEKKNNFVTIQSGYHGDTWNAMSVCDPVTGMHHIFGPTLPVRYFVPAPRSRFGGIWYEEDIEPLKEILEQHHEEMAALILEPIVQGAGGMRFYHPEFLRQVEKLCRQYRLLLIFDEIATGFGRTGKLFAWEHAGVQPDIMCIGKALTGGYMTFSAVLASDEVADTISGGYPGVFMHGPTFMGNPLACAVAKASVELLLSYSWEEKVKAIESQLKRELAPAALLPQVADVRVLGAIGVIEVKKPVDMAFMQRRFVEEGVWVRPFGKLVYIMPPFIIQPEELSKLTHSLVKIVGELKE", "LGKIEKMNSLTDWERELALLENKSNLRKLPVIRHLGREVEVNGKVMLNLSSNDYLGLAAYLPLRTEFLQSLTPDTFLPSSSSSRLLTGNFNIYVQIEDLLARLFRKESALVFNSGYHMNAGILPAVSDARTLILADKLVHASIIDGIRLSAAKCIRYRHNRYDQLEQLLVSNHAGYDRIIIVTESIFSMDGDEADLRRLVTLKQQYDNVLLYVDEAHAVGVRGMHGLGCAEEQDCVADIDFLCGTFGKALASVGGYIVCSKTIRDYLINKMRTFIFTTALPPVNLLWTFFILEHLDSFSFRRERLKRISSLLKDALVKKGYACPSTSHILPMTIGDSGDTVLKADFLQRKGFYALPVRPPTVPEGTSRIRFSLTADITEEEIKSLIELI", "MKHYFIQQKHLPRLTLFFAGWGMDECPFMDYCPENSDLLVCYDYRSLDFDFTLLQGYQEIRLIAWSMGVWAASMVLQDMDLPICERVALNGTVTPVDDLKGIPQQVFEGTLEGLNEVALEKFIRRMCLKKENLETFLSKRPQRAVEELQEELRRIGEQVKSCAVPSFAWERAVIGKNDLIFTAGNQRNAWTGTEVAEDDIPHYSEEIMRDLLCPSVI", "MPVCYLKQKRMINKQLITRRFSRAVESYNREAVAQKQIAYRMSDMLNHYLPRPCGRILEIGSGTGFLTRRLMETLHPEKLVLNDICQEMSSCFTDLLGSGQATFLAGDAESLPFPKGQDLIVSCSALQWFVSPELFFERCNTLLKQKGYFAFTTFGRDNLKEVASVTGSGLHYRSLEELEEALRIHYEIVKAHEERICLTFGTPLEVLYHLKHTGVAAVRQQAWTKRDLQDFCDKYARLFSDGRSVTLTYHPIYIIAKKRQ", "MKQNVFFVSGIDTNIGKSYATAYLAHLWNKQGCRTITQKFIQTGNPEGYSEDIELHRRLMGMEYLPEDEQGLTKPEIFSYPASPHLASRIDNRAIDFDKIKHATEVLSERYDAVLVEGAGGLMVPLTEDNLTIDYVQESGYPLVFVTSGRLGSINHTLLSFEAIERRGIKLHTVMYNLFPEGEDKIIQADTETYICRYIEKHFPDTAFVKVPCL", "MKKSFRKTTFLSALAIAVPAMGMAQDKVEASAGADLVSGYIWRGQDLGGVSIQPTLSVSYKGFSLSAWGTAGIEKEDTKEIDLTLGYATGGFSISVTDYWFNGGPGYFHYGSHNTNHTFEAQIGYDFGPLALNWYTNFAGTDGVNNGGNRAYSSYISATVPFTLAGLEWTAEIGATPWGTDFYNYSEAPVCNGSNGFTVCDISMGAAKEIKITDSFSVPAFAKVTVNPRTEGAYFVFGLSF", "MQNIIAIENGVTRHPLYRMKEPVNMTLAAGEHIAVVGRNGAGKSILVDTITGRWPLLMNEVKYDFSPSPSKMAYENIKYIAFRDSYGDSDGNYYYQQRWNAHDLDETPLVRDLLPEATDSGLKKALYELFGIERMLDKHIILLSSGELRKFQLTKTLLSNPRVLIMDNPFIGLDAKTRDLLHTLLGELTKVTHLQVILILSKSDDIPAFITHVIPVEDRVCGKKITLQEYLAVRKPIPERILSEEKEARILNLPYGGDLYHTEHVVDLNKVSIRYGERTILKDLDWTVKCGEKWALSGENGSGKSTLLSLVCADNPQSYACDITLFGRKRGSGESIWEIKKHIGYVSPEMHRAYLKNLPAIDIVASGLHDSVGLYKRPRPEQMAACEWWMDIFGIADLKDRNFLQLSSGEQRLVLLARAFVKDPELLILDEPLHGLDLYNRRLVKDVIETFCRRKDKTMIMVTHYQEELPACITNFLFLKRN", "MENTLKKPMTGIIPPLVTPLLDNDKLDIDGLERLIERLIKGGVHGLFILGTTGEAQSISYRLRHEMIKETCRINAGRLPVLVCISDTSIVESIHLAHVGAECGASAVVSAPPYYFAPGQPELAEFYEDLIPQLPLPIFLYNMPSHTKVNFAPATIQRIARNPQVVGFKDSSANAVYFQSVMYAMKDRQDFAMLVGPEEITAECVLLGGHGGINGGANMFPELYVDLYHAAVARDMETVSRLQPLVMQISSSIYTVGQHGSSYLKGLKCALSLLGVCDDFVAAPFHRFNVPEREKIRKALEALPFCPELKP", "MHIIDIIVFLLFTGGVVAFGCSFFKKKGTSEEFTSAGRSLPGWVVGMSIFATYVSSISYLGYPGKAFSGDWNAFVFSLSIPIASYFAARYFVPFYRSQDSISAYSFLENRFGPWARIYASSCYLLTQIARTGSILYLLALPMNVLLGWHIQTIIVVTSVAIVLYSMLGGMKAVIWTEAIQGIILIGGALVCMFILLFDMPGGPVQTFSIAMEDGKFSLGSFGSSLSESTFWVCLIYGIFTNLQNYGIDQSYVQRYHTAKNEKEAKFSALFGGYLFIPVSAVFFMIGTGLYAFYKVHPGILPDGVGADYVFPFFIVNELPVGLTGLLIASIFAAGMSTIATSVTSSSTIILTDYYQRFRKHAGNRERMLVLKLSSVGVGVAGILVAFAFMSVQSALDAWWALASIFSGGMLGLFLLGYISRKARNFDAVLGVVCGVILVCWIVISPFVHANLAIVFGTLLIFLVGFLSANLLNKRRCK", "MNRICNLFGIKYPIIQGGMVWCSGWRLASAVSNAGGLGLIGAGSMHPETLREHIQKCKAATDKPFGVNIPLMYPEIDILINMVIEEGVKIVFTSAGNPKTWTEHLHEHGIIVAHVVASTKFAAKCEEAGVDAIVAEGFEAGGHNGREETTTLCLIPAVRQITTVPLIAAGGIGSGESILAAMALGADGVQIGTRFALTEESSAHFTFKDRCLRLNEGDTQLTLKQLSPVRLVKNDFFKQVEEAESRGATTDELRTLLGKGRAKKGIFEGDLFEGELEIGQVASCIHKLQTVDEVMKELVEDFNAALNRVNELKRFI", "MLLQLLFVLVAIIVGARLGGIGLGVMGGVGLAILTFVFGLQPTAPPIDVMLMIVAVISAASCMQAAGGLDYMVKLAERLLRRNPSQVTILSPLVTYLFTFVAGTGHVAYSVLPVIAEVATETKIRPERPLGIAVIASQQAITASPISAATVALLGLLTGFDITLFDILKITIPATLIGVLVGAFLSKKVGKELLEDPEYLRRLEAGMIDTKHVELNDVKNMFHARISVIIFIAATLLIVLFGSIPAMRPMFNGTALDMPAIIEILMLCAAAVILFISRTDGIKATQGSVFPAGMQAVIAIFGIAWMGDTFINGNITELTGSIEGIVRQMPWLFGLALFVMSILLYSQAATVRAIVPLGIALGISPMMLIALFPAVNGYFFIPNYPTVVAAINFDRTGTTGIGKWILNHSFMMPGMVATIVSIVVGLLLVQVF", "MNGLRKLSLMAAMLVCTTLAAVAQKPNIHILATGGTIAGTGASATKTNYTAGQVAISTLLEAVPEVNKIANVTGEQIVKIGSQDMNDAVWLTLAKRINELFSRGDVDGIVITHGTDTMEETAFFLNLTVKSDKPVVLVGAMRPSTAMSADGPLNLYNAVVTAAARESRGKGVVIAMNGLILGAHGAMKTNTVDVQTFQSPNSGALGYVLNGKVFYNMESLKRHTTGSDFDVAHLDKLPKVGIVYSYSNVEADVMIPFLNNGYQGIIHAGVGNGNIHQNLFPMLEKARQQGILVVRSSRVPTGPTTLDAEVDDNKYQFVASQELNPQKARVLLMLALTKTKDWKKIQEYFNVY", "MKRIMLVCLTALAVSGTYPLYAQSSGSDFMAGDKSLFEEVTGIKKKTDKFNLYLNMHGDFNMKWNDSGFDQGAFEMKQLRIEMKGDINDWLSYRYRQRLNRGNDGSGNIDNVPTSIDWAGVGIKLNKFSFFAGKQCVSYGGIEFDLNPIDIYEYSDMIEHMSNFMTGLNVAYNYNPYQQIQFQVLNSRNGPSEEMYGDLERTKLPLVYTLNWNGNFLDVFKTRWSASVMNETKGEKMYYYALGNEFNFSPKWHAYFDWMYSREGVDRKGIITNIVGTDNQAHNAFNAEYMSYVLHVNYRFAPKWNLFAKGMYETASVYKASDEVEKGKYRTAWGYAGGIEFYPMESNLHFFLAYVGRSYKYTDRAKALGEDNFSTHRVSVGFIWQMPVF", "MKRLKIGLLARIIIAITLGIGFGNVLPGELVRVFVTFNGIFSEFLGFIIPLIILGLVTPAIADIGKEAGKMLVITTLVAYSATLFSGFLSYFTGVTFFPSMITPGAPIEQISEAHDISPFFTVAIPPVMNVMTSLILAFTLGLGIAHLDSTALKNVCNDFKEIIVKTIQTVILPLLPIYIFGIFLNMTHSGQVYNILMVFIKIIGVIFLLHIFLLVFQYTIAALFVHRNPFKLLGKMMPAYFTALGTQSSAATIPVTLRQTVKNGVTEDIAGFVIPLCATIHLSGSTLKIVACALALMIMQGMPFDFPMFAGFIFMLGITMVAAPGVPGGAIMAALGILSSMLGFGESEQALMIALYIAMDSFGTACNVTGDGAIALIIDKFFGKKNLRSIQ", "MEKADIGLIGLGVMGQNLALNLANKGWKVVVWNRTVPGKEENVVEHFIANRAKGKGIIGSNELTDFVEALKTPRVILLMVQAGPAVDELTGKLFPLMEKGDILIDGGNSYYEDTERRVKELYDKGMYFVGCGISGGEEGALHGASIMPGGAQEAWPVIQPMLKSIAAKAEDGTPCCEWVGPGGAGHYVKMVHNGIEYGDMQLIAETYFAMKHLLALKNEQMADIFEQWDKGRLHSYLIEITSAILRHKEEGGDYLLDNILDAAGQKGTGRWSVINSLQLNTPLDVIAEAVFARNLSAEKNLRVLMSKHYMHVENHPVYNYQDTVIGLESTLYVARLIGYAQGFALMCTASEQYGWKLNLSTIALLWRAGCIIRSAFLNDIAEAYHRAPGLSHLLLDEHFGREVMEALPAWKKYIGVMLREGLPVPVLSAALNYFLGLTTNHSPANMIQAMRDYFGAHTFERVDSPRGEFFHEHWEDNY", "MSLPHSLFLVIFGASGDLTRRKLMPALIKIHNGKRFPEHFAIIGCARTAYTDETYRAYLKEELIKFGFLTKEEMETLDDFLSTVHYQSMDPADETTYFLLNDRLKELSPQYENNGNYLFYLATPPLLYELIPKCLHDAGLLKKPGLKRIIVEKPFGYDLASAQKLNKIYAAYFKEEDIYRIDHFLGKETVQNIMVTRFGSTIYEPIWNRNYIDYVEITAVENMGIGTRGGYYDGAGALRDMVQNHLMQLLAITAMEPPAKFDKNGFRNEVIKVYQSLRPLTDKYIRDNVIRGQYIAGDDRIGYREEKNVRPDSRTDTYVAMCLYVDNWRWQGVPFYIRTGKQMPTKVTEIVVHFKPAPMQMFQMKEGFYKGEELIIRIQPDEGILQRIAMKEPGAGFYMGTMEMDFSYDQHDQETGDAYVRLLEDSLVGDPTLFTRSDAVDESWTYFDKILDYWKKHPETPLYGYPAGTWGPKEADVLINRSHSEWTNPCKNLTHSNLYCKL", "MIDHNFYASPVQASQTLITHILEAMDKELERPFTIALSGGTTPATLFEVWEREYAAYTPWSRIYFYWVDERCVPPGDDQSNFGLAYRLLFSKVGIPASHCYRIVGEDAPEEEAKQYSSIVKTTVPTVDGVPVFDFVLLGIGEDGHTSSIFPDHQELLTAGEPYEVSVNPYNKTVRICMTGRPLIEARHTCFLVTGENKCSILKEILDKNKEGVYPASYIWHHARNPQLYASLVS", "MDYIFLQNYWWFLVSLLGALLVFLLFVQGGNSLLFTLGRNDEERALLVNSTGRKWEFTFTTLVTFGGAFFASFPLFYSTSFGGAYWLWMIILFSFVLQAVSYEFQSKLGNLLGKHTYQWFLVINGIVGPLLLGGAVATFFTGSNFLVNKGNMGNELMPVISSWANGWHGLDALTNPWNLVLGFAVFFLARLLGNLYFINNIRDKDLIPRCRRQLITDAIPFLILFLAFVIRTLLADGFAVNPETKEVYMEPYKYFINLMDMPLLLVLFLSGVVGVLWGIGRAVFSKTATNGIWFTGIGVVLTVLALLLCAGYNNTAYYPSTADLQSSLTLANSCSSEFTLRTMAYVSILVPFVLAYIIYAWRAIDRKPITAKELEQDGHAY", "MFENIDTSLIDWSRAQFALTAMYHWLFVPLTLGLAVIMGLMETFYVTTGKEFWKNTAKFWMKLFGINFAVGVATGLILEFEFGTNWSNYSWFVGDIFGAPLAIEGILAFFMEATFIAVMFFGWNKVSKRFHLASTWLTGLGATISAWWILVANAWMQYPVGMAFNPETVRNEMVDFAAVALSPMAIAKFFHTVLSSWILGAVFVVGISCWYLLRNRQKEFALSSIKVAAAVGLFASLVTAWTGDISGVQVAKVQPMKMAAAEGLHDGGNGVPFTIAGDLKIPKMLSILATHDIDGYVPGINNLLEGGYQMPDGTTALSAEEKIKRGQIAIAALDAFRKAHKAGDEASAAAARKTLDENVKYFGYGYIKDPAHLVPNVGLTFWSFRIMVGLGGYFILFFIIVLIVSKKEKLADMRWLQRVALWTIPLAYIGSQAGWVVAEVGRQPWAIQDMLPIGAAISKLQTGSVQLTFFIFLLLFTVLLFAEIGIMLKAIKKGPEGIKN", "MNDSLLTRIWIFYRDGFREMTLGRTLWTIILIKLFIMFFILKLFFFPSFLGGKTTEEKQQYVGEELIERANP", "MRQRVVYFVMIWVAVTSVSAQEAKKWTLDDCIDYALEKNIQLQQDKISLEESSVDVKTAKAALFPSLSFSTGQNVTNRPYQETSNTVSGTEIISSDSKTTYNGNYGLNAQWTLWNGNKRLNAIKQKKTSQQIAGLTVAETENSLQEQIAQIFIQILYADESVKINQNTLQVSQATYDRGKELFHKGSISKADLAQLESQVGSDKYQLVISESSLRDYKLQLKQLLELDGTEEMDLVLPEFADEHVLQPLPAQEDVYQQALASRPEIQSSKLSIENSKLDISVAKAGYLPTISLSASTGSMTNSASDNSWSKQMKYGWNNMIGLNINIPIFDNRQNKSAVQKARLQYDSSLLDLINKQKELYKNIESLWLDATNAQEQYAAAESKLKSSQASYEMVSEQFNLGMKNTVELLTEKNNLLSAQQQRIQAKYMAILDRTLLNFYAGQDIKL", "MILTTAVAVVVVAGGYWMLGGPEGKSTVDFATETVTKGNVSNFITATGTIEPVTEVEVGTQVSGIIDKIYVDYNSVVKKGELIAEMDKVTLQSELQSAKATYDGNEAEYDYQKKLYDRNRKLHEKQLISDMDYEETVYNFQRAQSALEQSKAALAKAERNLSYATITSPIDGVVTSRDVEEGQTVASGFETPTLFTIAADLTKMQVVADVDEADIAGVEEGARVTFTVDAYPDDVFEGVVRQVRLGSTNSTSSSSSTTTSTIVVTYEVVITADNPYLKLKPRLTANATIYTLTKDNVLTVPNKALRFTPNKDIVGGRKINDCQSSHKVWTLDNNTFTAHPVKIGITDGSKTEIVSGITENTPVVTETVVKGAMPGMEEPSAGEGERSPFMPGPPGSNKKKNK", "MKKIIELQNIKRNFQVGDETVHALRGISFTIYEGEFVTIMGTSGSGKSTLLNTLGCLDTPTSGEYLLDGVSVRTMSKPQRAVLRNRKIGFVFQNYNLLPKTTAVENVELPLMYNSSVSASERRKRAIESLIAVGLGDRLEHKSNQMSGGQMQRVAIARALVNNPAVILADEATGNLDTRTSFEILVLFQKLHAEGRTLIFVTHNPEIAQYSSRNIRLRDGHVVEDTINPQILSAAAALAALPKNDED", "MNGTNLFKIALRALANNKLRAFLTMLGIIIGVASVIAMLAIGQGSKKSIQQQISEMGSNMIMIHPGAEMRGGVRQDPSAMQTLKLENYEKLSEECTNLSGISPNVSSSGQLVAGANNYPSSVSGVSMDYLTIRQLTVEQGEMFTENDIRTAAKVCVIGKTIVDNLFPDGSDPIGKVIRCNQIPFRVIGVLKSKGYNSMGMDQDDVVLAPYSTVMKRLLAQTYLSGIFASALTEDMTDEAVDEITTILRREHKLKETDDDDFTIRTQQELSSMLNTTTDLMTTLLACIAGISLVVGGIGIMNIMYVSVTERTREIGLRMSVGARGVDILSQFLIEAILISITGGLIGVIIGCGASFMIKTIAHWPVFIQPWSVLLSFLVCTVTGVFFGWYPAKKAADLDPIDALRYE", "MKQLFSQRRFLEMSIHIVSWLLVFGFPLVFMDRGSGFNLAQFLRHSCVPLCYFIIFYVNYLCLVPRYMFTDEMRKYILSNAVLILCLSVLLHVFLESISAPPPPEFARHIPPRWIFYARDMGMMIFVAGLGAAIRTSLRWRQAEERLIEAERQKTEAELKNLKNQLNPHFLLNTLNNIYALIAFNSDKAQEAVQELSKLLRHVLYDNQQTFVPLEKELDFIRNYVALMRIRLPQQVEVSVNLEADSGGALQIAPLIFISLIENAFKHGISPTVDSFISISIFGHTDGTVRCEILNSNHPKSGQDKSGSGVGLEQVSKRLELIYPGHYEWIKGISENGQVYSSILTIQTKSL", "MILNCAIVDDEPLALELLKSYVEKTSFLRLVGRYSSAVQAMTEIPLHEEVHVLFLDIQMPELNGLEFSRMVSPETRIIFTTAFGQYALDSYKVNALDYLLKPISYVDFLQSVNKAVQWYELKQKADNGVDADEADDCIYVKSDYKLLRIALDRILYIEGLKDYIKIHVEDEPKAILSLISMKAMEEKLPSSRFIRVHRSFIVQKSKIKVLDRGRIVFGKEYIPISDSYKQELQIYLNSHSV", "MKRIIPILIAILICFSVGCTASYFQSESILNWYPALEKPSCTPPDIAFPIAWSFIYLCMGISIGLIWHIWTIRRQMIIRLFGFQLLFNFTWSIFFFYFRSPLLGFANILVLDVLVIYYMIESYPVRKSSAYLFVPYLLWLLLATYLNGYILMYN", "MYKTSKYTLTDKMSYLICDNYTLLQVMSRFDLSLGFGDKTVQEVCRENGVDCRTFLAVVNFMIEDSDRMEDDVKDISMPSLMNYLKQAHHYFLDFCLPTIRRKLIEAIDCSTENEVAFLILKFFDQYAGEVRKHMDYEDMNVFTYVENLMTGRETGGFRISQFARRHDQIDATLTELKNIIIKYYPAKGNNHLLNAVLFDIFSCEQDLASHCRVEDYLFVPAVLRLEKEGK", "MKRTELVHVAVAETSVIVRSGLVAVLKRMPDLIIQPVEITSLEGLQNCMQGHQPDILIINPTFGGWFNVDEFKSNYPQASVKCVSLLCSVTDTNLLKGYDESIALYDDIEVLNKKLVDLMNLGVDETDGEQEALSQREKEIICCVVRGMTNKETAEKLFLSIHTVITHRRNIARKLQIHSPAGLTIYAIVNKLVELSEVKMNL", "MKVGLFIPCYINAVYPQVGIASYKLLKSLGVDVDYPLNQTCCGQPMANAGFENKAVDLARHFDEQFKNYDYIVGPSASCVVFVRDNYSRLLKEDKHRCASEGKIYDICEFIHDIVKPSSLKAKFPHKVSLQNSCHGVRLMKLSSASELNIPYFSKLRDLLSLVEGVEVVEPERKDECCGFGGMFAVEEDAVSVQMGHDKVMRHIATGAEYIVGADSSCLMHQNGIIARDKLPIKTLHIVEILAAGL", "MSTKHSKAAAEFLKNKKQAAWHDETLWLVRAKRDRLSKEVPEWEELRNMACATKLYSNSHLDELLVEFENNARANGAHVYWAKDADEYCNIVYNILNQHGVKHFIKSKSMLAEECELNPFLESKGIEVVESDLGERILQLMHLKPSHIVLPAIHIKREQVGELFEREMGTEKGNFDPTYLTHAARKNLRQKFIHAEAAMTGANFAVASTGEIVVCTNEGNADMGTSQPKLQIAAFGMEKIVPDRESLGVFTRLLARSATGQPITTYTSHYRKPREGGELHIIIVDNGRSKILADPKHIKTLNCIRCGACMNTCPVYRRSGGYSYTYFIPGPIGINLGMLKAPLHYYDNVSACSLCYSCSFVCPAKVDLAEQIYLWRQDLDKLGKADRMKKVMSGGMEFMMNRPSIFNMALKWAPLVNGVPRFLIYNGLNDWGKGREMPKFAKESFNEMWKKGKVK", "MSSREDILQSIRRATHVKYEKPDLKPLEDMALTYPSMVEQFYFVMKQVGGEAIFLEKEENVNDFIKKAYPGAKRIASNLKSITCATFNPDDLEDPAELNGTDLAVIDGKIGVAENGGVWIEQDVKQRAIYFIAEKLVILLDKNKIVNNMHEAYKLIDTGEYGFGTFISGPSKTADIEQALVMGAHGARDVMVVLI", "MIRVHSYESMGTFDGPGLRLVVFLQGCNFRCLYCANPDTIDTKGESTETAIDEIVHMAVSQKAFFGKKGGVTFSGGEPTLQAKALIPLFQRLKEQNIHICIDTNGSIWNEEVEELLKWTDLVLLDIKEFNNVRHRQLTERSNEQTIRTAEWLEKNGKPFWLRYVLVPGYSSFEEDIRALGEHFKNYHMIQRVEILPYHTLGVHKYEAMGKEYKLNGIKENTLEQLETAKTLFGEYFNTVYLN" ]
[ "GAGGAATGGTTTCTGCAAATAGTAAGGGGAAAGTTTCAGCATTTGGCATTTTTACATATCTTTGTGCTCTGAAACTAACCTCTTAAAAAT", "TATATCAAACAGCCGGGTGGGTATGTGCCAAAGGTAGTAAATACTTTTGGCACATTTTTTATTTGGATGAATGTTGTATTTTTATTTATCGATATGAGGAGAAAAAAATATTCATTTTGTTTCTTTTATAGATTTTAATTTATATATTTGTCACAACCTTAATGTAATACGGAGAAAGATTAGGCTTATTCAGTGACAGAATGACGATTGGAATATGGTATGAATAAGCTGAACTCATATATGGTATTTATAAATATACTCAATTTT", "TCAATTAACACCGAACTGTTT", "TTTTAAATAATTATTAA", "TTTGACGGATGCTTCTTGTTGTTGGCATATCTTTTGACCGGAACATGATAAATTATTATATAATTATATAAATATAAATC", "TGAATCTCCTTTAAATTAGAACGAA", "TTGTTTTGTCCATGTTAAGTTTGCCCTTCTTTTCGATGATGATATCGGAGAGAAGGGTTTTCTTTTTACAATTTATATGGTACAAAATCATATTTCGTCCGTCTTCATTTGAAAAGAAAGACCAAAAAT", "TGTATGGCAAAAGGTTTGAATAGGCGACGGCGACATATCTTTCCGGTGGCAGACAGAAATATAGAATTAAATTAAAAATCAAATATCAAA", "CAGTGAAAAAATAGATTGTAAAGGGAAGAGGAACTTTGTTTATTCTTCCCTTTTCTTTTTTTATTTGGCTTGAACTCTCTATATTTGAAAAATTGAGTACAAATTACAACTTTATTCGTTTATCATTAAATATAGAATAAATAGCTT", "TGCTAATCACACATAAC", "AAACAGAAAAAA", "TTTAAGGGTAAGGAGGTGTGTTTTGTAAATTCACCTCCTACTTCTTTGTATATACTCGATTGGGAACTTGTCACTCCTTTTGTTTTTCTATTCTTATCTATTTGTATGGCAATCAGTGCCGGAACATTGTTTGATGGCTTTGGTTATCTTTGTTGCGTAAACAAGAACTAAAATGTCCGATGCTTTGTTCTTACTATCATAAATAGATTTAAA", "TGCAAGGGCTTGGAAACTGTTGGCGGAAGTACATGGAAGTTTTAATTGCAATGAATGAATAATGGATAAAATGCATTTTTTTTAGAAAAAAGTTCTAGAAATGTTTGCAGATACAAAAATAAACTGTACCTTTGCACTCGCAATTCGGAAGAATAGCAATAACAAAGGATTGATTCGCTAGCTCAGCAGGTAGAGCACAACACTTTTAATGTTGGGGTCTTGGGTTCGAGCCCCAAGCGGATCACCAAAGAATCAGAGAGTTACACCAAAGTAACTCTCTTTTTTTATGCCTTAAACGCGCCGGTTTACTTGGTTCAGTTAAACCGAGAGTTAAACCAAGAATGGAAGCGACTGTTAATGTAACGTGTTACAAATCAAAGGTTTTAAAGAATGGAGAATCACCGGGTCAGCGGATTTTCCGGGTTGGCAAGCTTTCTTTTAAGCGTATAGCCTAGCCAGTCTGAACATGAAGAACTTCAGATCAGCCACCCCTCTTAACTGGCTTCGAAAAGCTTTGATTTTAGCATTGAACGACTCCGCTGAAGCATTTGTTAATCGTTCTTCAAAATAATTT", "AACT", "TGAGAGAGGATGGATGGGATTTAC", "ATCTGTTAATACAAATATATTGCATTTATTTTAGATTCCGGAGAATTTTCGGGAAATGTAGTACAGGGTTATATTCTTTTTTTGCCGGAGAATGCAAGAAAAGGGCAGAATGATTTTATCTCTCAAAAATACTTTTTATCTTTGCAGTTGCT", "TTTATTTTTTCTTCTCGGCAAGGTCTGTTTTTTATATCTTACATTTTTTTGTTTTTATATTTTCATAATGGGAACTGGGGTGGATGCTTGTTCCTTTTATGAACGGTGTTATATGTTAATAACTAATATATA", "AATCAAACATATCAAAAACAATTTATTCAAATTACATTT", "AAGCAGAAGGTACAAT", "AATGACCGGATTGTAGGCTGTTCTAGAAAATAAAGATCTGTCGTGACCGAGGTGACTGGGAAGTTACCTCGGTTTTGTATACATACCCATTTGTAGGTAAAAAGTGG", "AGAAGATGCCGTTTGCCATTCTGATAGTGGGAATTCTCATGATTCCTCTCATCAGTGTCCCGTGTGCAATTTCACACTTTCACCTTTTACACAAGTTGAAACATTACAACTTCATATTGTTCTTCCGGTTTTTGATTATCAGCCGAAAATAACAGTGGATAAGGTTTGCCAGGTTAAATCTTATCCTTATTATCTGCGTGCACCTCCCGTTTGCTGATTCACATAGTATCTTTTATTTATTCACAACATCAAATGATTGTAATTT", "TTTTTTGTATTAATCGTATTGTGTGTATTAATTGTCTGGTGGCGGTCGATGTGAATCGGCCGTCACTTTATTTTGTTAGATGTCGCCAAAGAATAATTTTCTTCCAGGAGGTAATAATCCGTTTGTAAATAGAATATTTGTTCTATAAATAGTGATAATTGTAGCTCTATTTTCTTTATTTTTAGTATATCTTGTTTGATATAACTGCCTTTAATACCTTTGCAACAGGTAAAAACAGAAATATATAACTTTAAAAAGATAAAGATT", "TAAGATATATTAATAGAATAAGGAATATTACTACAATATAAAATCAATATATGGATAAGAAGTGTATGCCGGAGGTTTGTGTAAAGATACATTATACATGCTTCTGGCATTACTTTATTTTTACTGATAAAAAAGGATAGATCATTAATCCAAATAGGATTCTTTTTTGTTATTAAAAAAAGAATTTTTGCGGAAGTGGGGTTTCAAAGGCTTAATTTAAGAGTTTATGGATACCGTTTCTTTAACTTAAATACAATGGTT", "TAATCGTTTGTAAATTCAAGAGGGCGTTTTAATATTGCCTGTTATGGATTGAAATACCCTGTAAACGAGGATCAACTGTTGAAAAATCGTAAAAAAAGAG", "TCCTTCATTCGTCTTTTAAAGATTTTTATAAGCCATCCTTCGGGGTGGCTTTCTTATTTTCTACCAGGTTTTGCTTTTATTTCGGGGGATAATTGATATTTTTGTATTTTTATTGGTGGGTGGTTAACCTAAAGGAGAAGGGATGTTT", "ATGTAGAATGTAAAAAAACA", "TGCAAAAAAGTTGCGGATTCTTTTGGTAATTTCAATAAAAACCGTACCTTTGCACTCGCAATTAAGGATGGTTCCGTAGCTCAGCTGGATAGAGCAACGCCCTTCTAAGGCGTGGGTCTTGCGTTCGAATCGCAACGGAATCACATAAAAAAAGCTGTATCTTCTGAGGGTACAGCTTTTTTTATGGAAATATTTAAAAAGGATTTATCTATAAGCGGGGCTATGGAAAAGATCTTGTCCATATCTATAAACCTTTCCCGTTGGATAGTTAAGGGTTTTCTTCCTGTTTGTTCTATCTCCGCAAGCCTTTGGAGTTAACTCCATAGCCTTGGGAGATAACTCCAAAGCTT", "ATTTGTTTGACTTCTTGGTTTACTTCGCCGATTCCTTGAAAGAGTCTTGTTACGCTGGAATGACAGAGTAAAAGGAAAGCAGGAAACCGTTTCCGGACAGGCTGGGGGAGGATTTTTCATCCCTCAGCTAAACAAATGTTTTTATAGATGGTTCTATAAGTAAAAAGACGATAATT", "TTAGGGATTTAAACATTTATTAATGACTTGCGGTTGAACAATTCTTGTTGCCGCCGTGTTATATAAATGTGTTTTTCATAGTATTAGATTAAAGGTTGAAGATTGCAATCGGCTTGAGAGAAGTAGTTGCATCTGAAGTAGAAGCGTCTACTATAAAAAATATAGAAAGGTTGTTCCTTACGGGACAGCCTTTTTGTTTTGTTAAAAGAAACCATTCACTTTAACTTGAAATGTTAAAGGAGTTGTTGCTTTTGTTAAACAATACTATAATTTCTTCTTAACGCTTCTTTAAATTTGCAGATAGCAGGAAAGTGTGTATATTTGCATGTGTGTTTTTCATAGTATTAGATTTAAGGTTAACAAAAGGTTGGAGCAAGGCGTTGCTCCTTTTTTTATGGAGTAACGTGAGGAGTACATCTGCTGTCCGGTTCCGATATCCGATACAGAACCACAGAGAAGAATCCGTGCAATGTATTCCTCTCCGTGACTGTGTAACTTAATTCTGCATTTTTAATGCAAGAGGCAGGCTGAA", "AAA", "GGTTTGTTTTTAATAAGTTTGCAAAGTATAAAGTGCAAATATATAATAAAAAAGAATTGGGCACTCTGTTTTTGTTCTGAATATGTAAAAAAGGCAGGTTAGCGACGAATTGCCGGTCATTTGTCATTAACCGTCGGTAAGTTTCGAATATTTGTGTTTTTTGTTCTATCTTTGTTCTCGAAACTTAATTAAAGACTAAAACTT", "TTCTTTTACCGCAGGTTGCACAGATGATTGTTGAAGATGAAAGAAACTTCTTTGTGGAAATCCGGAATTATCCGCATCGGCTTTTGAGCAAAAAAGAATCTGTGCAATCTGTGGTAAAATCGAATATCAGAA", "AAGCTCTTCATTAGGATATATGAAAAAAAAGCAGCCGGCCTGTAAGCCGGGTTCTGTTCCTCGCCTTGCGGCGAAGTGCCTGCCATTTATCTACGCCTGTTGTCACCAACAGGCTCTAGCGGTCTACCCTCCGACGTGGGGCGAGCAACCCTCATAATGTCGGTTTACATGACCTTACAACTCCTAAGACGTACAGCCCGTCATGTCACCATGCGGCTGGTGGGCTCTTACCCCACCTTCTCACCCTTACCCCGTTTCCCGTAGGAATTGTGGCGGTTGTTTTCTTCTACGTTACTCCACCTTTGCAGATAGCTTTCTGTTAGGAAGTAGGATGCTCTGCGTTGCCCGGACTTTCCTCTTTCGCCTTGCGGCGTCAGCGGCAGACCGGCCGGCTGCTTTTAAGTTTACAAAGATAGCGATTTTAGGTTAAACGTAGGATAATAAAGCGGAAAAAAGTAAGAAAATAAATGAAAAAACAAAGAAAGAGCGGAACAGCCCATTACTTTTTCTTGGTATATATAATAAAGAGGAGAAAATCAGTGATGCTTGGTTCGGCACTCCTGCTTATTGTATAGAAACAACGGTAAAAACAGGAAGAATATTTAAAATAAATGAACTGTGCCGGAAAGAGAAGTGGGTGCTGTTTTCACTTTCCCTCTCCGGACACGGAAT", "AATCCTTCTCTTTTT", "ATTATATATTTGTTAAATTTCATCTGCTTTAAGAGAAACAAAATAC", "AGAA", "ATAG", "AACATAAGGTATATCTGTTGACGGGATACATTCGGATAATCCGTTCAACAGATGCGGATGTGCTTTTGCCGTAGAGCTGCACACATTCTTTAATTGAATAAACCGAAACATTTTAGTAGTAGAAAAAATAG", "ATAATCTGCTGATTGGTCAATGTGCTAATATGTCAATGGGTTACGCTTTGTTCCACATGGCACATTAGCACATTGTCACATTGACAAA", "AAGCAAACGGTTGTTAAGAAAGTGAATACTATAAATAACATATTTCTCGTAGAAAAGTTATCAAACTGTTAACTTCATGCTGCTAATATAGTAAAAAAGCTTCTTTTATGCAAATTCATTAACAAAAAGACTCTTTTTATAAATAGCATTATTTTATAGAGTACATTTGCTTTGTGCTTTCGTCTTTTTTTAAAGCCCGGAGCTTTTATCGGGAAACGAAGAAATTCGTAGTTTTGTAGAGCTTTGCTTGTTTGCAGGTCAATCAAGCTGAAGAGAAACCTCATTTTAATAAATGGAAAAACAACATAGAAGTAAATAA", "ATTTGAATAAAGGGGATGTCTTAAGATAATAAACAGACTGTTGAAAGAAAA", "ACGCGGTTTTCATAGAAAAAGCCCCCTAAGGGTATTTGGTAAAACTAAAATCAACTA", "ACTTCCCG", "TTTTGTCGTTTATTTATTAGGTTAAGAGTTAGTGTAGAATCTCCCTCTTGCATAGCCTGTGAAGGTGAGCAAGGGGGAACTTTTTTTAGATATGGGGGATTAATACTTTTTGTCGGGTATATCAGGCCTTGTCATGCGGACTGTTAAGTCTTTTTGTACGGACTGTTACTATAGTCTTCGGCAGTGGACCGTACAGTCTGCTACAGTGGACTGTACGGTCTGCCGTAGTGAAACATACGGACTACTGTCGTAGTCTGTAAAAGGTAACCGTAAGAAATGCTTTAATGGTACTGAAAAAAAGACTTAATGGAGCTGAAGCGATGAATTAACTCTGCATTTATGTTATAATCATCTTTAATTCTCCATCCTTGTGGCGCTTTCGGTCGTTTTCTTGTTTATAGTTGCGGATTCAAACCGGTTTCTACATCGGATTTCAGCCGGGTATAATGGAAAAAGCGGAGG", "TATTGATGTCCTACTCTTCTTTTATTATATAGCTACGATGCTTGTGATGCAACAAATGTACTATTAAATTAATGAGTGGACGAAGAAATGGAAATGTTTAACAAATATTAAAAGAGACAGGACGGGATGGAAAGTTTTCGTATAGGCAAATGGGAGATGATTGGATGGGAATTCCTGCCGGCCGATATGGCAGTGGGGCGCGAATGCTGATAAAGGGAGTGGGAGGGGAAGTCATTCTTTTGTTCTATTTCTTTTTTATATAAAGGAATAGTCTTATATTTATCCCGTCATCTTATTTTTGAAAAAGTAGGGGAACATCACTTAATCAGAAGTAGTT", "TTGCCAACCTATATGGGGAAATTGCCATTTACCGGAGGGGGAAAACCACCGACCTTTGCACCAGGAAGTTAAATCTTTTAATAATGAAAGCAATG", "AGTTGGAAAGACCGGTCACGGACATGCTCTTTTATAGGGGGGGTATATGGATGCATTCCGGCGAGAGGCAAGTATTTCCTGCAAGACATAACTATCTATGAGGCAAGTTGCATCCTGTGTCATCTTGCTGTCTGTCAGCTATTGATAATGAATGCAAATTTGGCAGATTCTGCGGTTAACCCCTGTTAAGTGCTTATCTGTCTGTGTTAAAAGAGGAAAAAAAACTCTGACAAACGGTACAACCGTACAATATTTGTCATTACATTAGCGTCATAAATGTTAAACCAAACTTGAAATTAACAAATTAATAGATATTAAGTT", "GAAGATTTTTAAGGTAGAAAGTTTCAATAGGTAAAGTTTAGAGGATGCACGGTAAGGGTTACTTCCGTGCATCCTGATTTTATAGTCAAACAGGACTGATAACCTTATTTTCGCAAAATATTTAGGAAAAGAAGGACAAAGATAGTCTTTTTTGATTTATTAGCTAATATTTTTCAAACAAAAATGGATGTATTCCATTTAATGTTGTAAATTTGCATCCCAAATTTGTTTTATAGAGA", "GAAAGATTCGGTTTCCGAAAAGAAAACAAAGCACAAGATGGAAGGTTGCTTCCGTCTTGTGCTTTTGTTCATAATATACCAATAATGCCTATCGGCTGCACTATGTGCCGCATGGTAATGGGCACATCAGCAAATTATTATAGTTAATTAATCTATTACTTTTTCGTATTTGTCCGTAAATAACTACTTTTGTCCTCTAATAAAATTATAAAATTAGAATT", "TCCTTTTCTT", "TATAAATCGGTATTTTGAAGCGAAAGTAAACTATAAGTTTGAACAAAGCAAATGGATTTTTGTTTTTTATTAGTAAGTTTGTGCAAACAAAAAAAGTAAAAC", "ATAATGTGCGAATTTGCCCATGTGCCAATTGCCATGCGGGACCATAGCGCAGCACGTGGGCACATGGGCAAATTTT", "TCGCGTTTTTGTTATTTTTAAGCTTTGCAAAGTTAGGAATATGGAGGATTATTCGGACCTTTGTGGCGTTAAATCAAACTAAAAGTAAT", "TGTCAACTAAAACATCTACCTTTGCAGCCGTTTTTTATAAATTAAAGTAAAT", "AAGTTTTTGCTGAT", "AATATGGCAACTTACATAAATTAATAATATAAAGAAT", "TGACGCCCTGTCTATAAAATCTTACCCAAGTGTACGAACAGTAACGTATTCTTGGGTAAGTTTGCATATACACACAACGGCTCGTCAGAAATGTATGGCAACGTCCTCAGTATAAAGACGTTTTTGAGGTTAAGAGCCTTTTTATTATACACCTATCTTATTATGCGCGCACCGCATGGAATTCCCGTCGGCCCGTGTCCCGGGGTCATCCGGCAGGATGAATGTGGTTCACCATAAAACTATAAATTCTCTTTCTATAGACCTGCCACTGTAAATTGTAACCGATTTGTAGTTTGTATATCGTTTCTATTAGCTATCTTTGCAACGTCTTTTAAGACATTAATTATAATATTAATTAATAAAAAGTTT", "ATGAAATAGCCTGTATCAGACAATACAGGAACAGGATAGAGAGGCGTACCCATGAAGGTGCGCCTCTTTTTGTATGCACATTCTTTCGTTTTCTTTTTGCTCTGTCATTTTGAAAGTGAGAGAGCCTGAATGAAAGTGACCTGTCGTTTTGTCGTAGGGGCGGGCCGGATTTGTCCGGATCAGTGGATACGGCTTTGGGAATAAGGTATGCTGAAAATAGTTCCGGCGGTTTCCATTTGCCCTTCTTTCTACAGTAATATGTGGCAGTTGCTCTGGAATTTCCGGACAGTTTTCCCCTCTTTTGAGGCGGCTTTTTGTTCTTTTCTTAACATAATTGTCATATTCCGCCTAAAAAGTACCCTTTTCGTTTAAATAATCTAATATAAATTCAATTTATATGAATTAAATTTTTATCTTTGTCTTTCCTATTGGGAATGAAATACTAACTAATTAATTTAAATCAAAGACAAAATT", "ACTCAAAGCCTAAAGAATTTTAGAAT", "ATTATTTTCTTAATAATGAGCAAAAGGGAGTTTAGCTGTAAAAGTATGAACTCCCTTTTCTTTTTCTTATTTGAATGATAAGGT", "TTTCTTGGGAGAAAAGAAGCAAGCACCATAGGCCGATAGTTTTTTCTTTTTGGAGATATGAATTTATCGGCTTTTCATCCTTTTAGGGAAGATGGAGATATTCTTTTCATGAAGAGATAAGGATAGAATGTGTTGTCATTGTACATGAATTGTAAGTACAATTGGAACATTAACAATTTATTAGCAGATAACTTTCTTAAAATCCGCTTTTTTTCGTTCCTTTGTATTGAACTGCTTTCAACAGAATTAAAATAACTTTAAATATCAAACGCTT", "TTCCTCGGATAAGAGCATAAAAAACTCCTCCGATATAGATCAGAGGAGCTGTTCTTTTTGAAGTAAAATTGAA", "TTCTAAATAATGTTTTTATAATTAATGATTTGAATTA", "AAAAATTATATATTTGCAACAGTTACAATTTAACTAAAAAATAAAAATT", "GCGAAAGAGAAACGAATGCCTGTTTTTTGTCTTCTGCAGATAAAGATGCAGGTGTTTATCGGAAATAGAAAGTGGGTGTGTCAAAATCCAGTTTTTGGAAATATGAATTTATAATTTGAGCATCCTAAAAGACTAAAGAAAGGGTCGCATCAAACTCTGTATTGGTACAACTTTCCGCGATAGCGTATAAGCTATAAAAAAGATAGCCGTCCGGATTTTGGGGCGGCTATTTTTGTGTCTGCTTCCATTTGCCCGGAAGTAAATCACGATATTTTTCTATAGATATTGGGAAGCCATGCGGCACAACGGTCTATAATGTCGCATAGGTATTCAAATACGTTTATACCACACCTGTGACAGGTAATGGCCAATGAATAAGTGTTATAATTACTATCTGTAACTTTTCGGAGCAGTCATTTTTGTTTTGCCACATCTCCTTGCTTTT", "ATAGCTTTTATTTTCACGGTATTTGAAAAAGGG", "GTTTCTCTATGTTGTTATTTTTGAAATTTATCATAATAAATGCCCAAAAATAGTGAAAAAATAGAGAAACATCCTCATGATTTGA", "TGTTCTCTTAAATTTGCTGCAAAGTACGTTATTTTAATCAGAATAAGAGTGGCTTATTATTGGGGAAAAATGTTCTTTTTTTCTTATTTTTTTGTCTTTACTTGGATACAGGCAGGCGGGGCAGTGTTTTCCGGATATAAAAAGAGGATGTATCAAACTCGAATCTTCTTTTGTTTTGATACATCCTCTCTCTTATGATGTGAGAATT", "TAGTTCCACTTTTTAAAAATTATCGGGGCTAAATTACTGTTTTTTTTATAAAAGGTCTTTAACTGTTAGTGAAATAAATGGTAAATTAACACCT", "TTTTTTAAGTTTGGGGTGAGT", "AACACGTGAAATCTTTTCGAGGGTAAAGGTAGTTTTTTTCTTTATTTATTAGGTAGTGTTCTCGCATTTTTTTGTATTTTTGGGCAATTAATAGTGAAAGAATAAGGTAACAATTTATTTAAACCATATAAAATCATGTACTT", "TTCCTTTTTTTATTATAGACTACATGGATTTATACAGATCGGACGATTTGTGTAGGCCCGTGTAGTTTTTTGTGAAATTAATTTATATACCCTTGATTACTAACCTATAATTAAAAAGA", "CTTTTCCCGATTATTAATAGCTGCTGGAGCGGTTTGAATCTTTCCGAATATGAATGGTGAATTTCTTTCGGAAGGATTCAAAGCCTCTTTGCGGTAAATGGACA", "TTTGTTTTTGTAAGATAGGG", "GATCTTTATATCTTTTTAGTGTTATAACGAGAGGCAAAGATAAACTTTTTGCAAGAAAAAAAGGGGATTCCTTTCTTTTTCTTGTTTAAATATTAATTTATGCAAAATAGTAGAACTATGTAATACAAGGTACTTGTATAATACATATATTTGTGCCAAACAAAGTATTATAGATT", "AAACAGGATACA", "AATACACTATCTTTGCAGATGGAAAGTTGGGAATCAAGAGGTGTCTTGTTCTCAACTCTCCGTTTTTTGTAAGGAATATCAAACATATATAAATACT", "TATCTTGTATTGATGGATATAAAATAAAGGTCCGGGCTTTTCCAAGTCCGGACCTTTTGTTGTTCCAAAGGTGTGCTTCCCTGAAACAAGGTTTTATAGTTTTTCTTTTTAAAAGAAGGGCGTAGGGAGACTTTTTTCTGTAAGGAGTATGTGGCCTTGTAATCAGATTCATCCTTAAAATATTTTATTCGGATTCCTAAACCTTTCCTGACGTATGGTTAAGGGTTTTCTTCCTGCCTGTTTTATCTCCGCAAGCCTTTGGAGTTGTCTCCATAAGCTTTGGAGTTAACTCCATAGCCTTGGGAGATAACTCCAAAGGCTATGGAGATAGAATATATCCGTATAAAAAGGTTTAATCTGAAGATAGAAAAGGCTTATACAATAGGGAGGATATGAGCATTCGTTTAATTCCGTTAGCGGGTTATTGCTTTTTTCATCCCTTCCGGTCCTTTGGACTTCATGAGGCGGAAAGATTGGTTTAG", "TTTGTCATAATTATGCTGATTTCTGTGCAATACTACGGATATTTGAGCGAAAAATGAAAAATATTTTGCTATTTAATTTTGCTTTATCCATTTAATTACTAATTTTGAGCGTTGCATACAAAAATAATATGTGACACAAATTGATATGGAAATTTGATTTATAACCTTAAAATAACAACT", "AAGGAGGGACCTGT", "TAAAACAATAACTAGGAT", "CAGAAATATAATATAAAGGACTTAAGAATTCACCGTTGTGCTTTCGGAAAACTGGTTTTAAGGTTGTAAGAGGCGGAACGGTGTTTTTCTATATAAAAGTTTGCTGCATTGAATATTTTATTTACCTTTGCCAATCTCAGATACGAAAAAAC", "TATGAACTGTAT", "TACATTATAAAGTTATTATAACAATAAGGAAATAATAT", "GTGATAGTA", "AAATAAAAAGAATC", "TTCATCTTTCTTGTTTATGATGTGTTTACCTGATAAAAAGAGGTTGTTCTACATCTGACGACCTCTTTTTATTAGTTGTATCCATAATTTTCCGCTTAAGTTATAGTATGATTTTTACTTTGTGGAGTAGTTTTGGCCGTGTAATGAGTTATTTCTCCTGTTCTGCATTGTACAAGGCGATATATCCGCGTTCTTCTGTACGGATGCGGACGGCATTCAAATGCGTCCTTGACATGTTTTGCCAATGCCTTTTACATTGCAATAGGAAAGCCATTCGATTTTGGCTTCGAGCAATGCTTCTTTTACGTCCTCGAATTGGGTCTTACAGATAATAGCTTCAATTTTTTTATTGTTGTATTTGTATTTTGTATATAATGTAATCTTTTTACCTTCTAAAAAACTAATACTTTGTTGTTATCCTTGTCTGGTTATGCTCTTTATGA", "TGCTTTTTACCTTTTTAATCCATAATAGTGAGTTTGTTATATCTCGTATGTATTTGTTTTTCTTGTAATGGCTTTTGCTTGTTATGTGATAATACTTCAACGAATTCTATATTGGTTCGTTCGGGAAAAAGCGGATGATTCTCCAGTTTCGGACCTATTGCCGGCAAATTTACATCTTTTATATCATCTATGAAAACCACTAAATATGGATTTCACATACAAACAAATGTTCTTTTGTATTCTTTTCCATCTACTGTGATTGTTTTTGCCAACATTTTGCCGTCCGGGGTATTTATTTGTCTGGAGTTGGCAAGCAGGGGAAGATCCATGTCCACTGTTACTTCTTCTACCAGCTCGTTCTCCGTATGCAGTTTTAGGACATAAGGTGCTTTAGGGTGTTTACGTATATATAGTCATTACCTGCTCCCTGCATCTTTGTGAACGTGATTGTTGTTGCCATTTTGTCTATAATTTCTTCTGTTATTATTTCCTTTTGTCTTAATTTAGTGCAAATATATATCTTGTTGATGCTTTATAAATTTGAGCCTATATTCTGTTATGGAACATATAGTTTAGGTAATATTTTGTTGGTTATTCAGTGTCGTATAATTGGTATCATATATAATTAAGGTGGATGATTGATGTAACAAGATGATAATATATTATCTCATTTTTATATTATTTTGTTACCTTTGCATCCTGTTGGATAAAAAAGAGATTTGTA", "AAGTTCTTTTTCTAAAGGTACGTTCCGGGCAGACGGAAGACGACAGGTTAAACGATAGTGCCCTGTCGCTTTCCGGACAAGCTGGGATGTTGGGCTGAGTACAAATAATCCTACTGTTCGTTTCATAAATATAGCATTGAAATTTTTAAAAGAAAACGAATC", "ATGCTCGGTCTGT", "AATAACTTAATTTTATAAAACTCAACTTTATAGAAAAGAATTTTTCATCTGAAACTTGACATTCTTCATTGACAATAAGAAGGCCGTATCACTTTTGAGTAATGATACGGCTTTTCTGTATTTGTCGGTTACAATCTGTAACCTTTAGAATGTTCATTTTGGTTTTGATTCACTCTCCGGTTTATTAATCGTTTCATCGTTCTCCTTA", "ATGTAATAATTTAATAATTTGTCTATTTGCTAATGTACCAATAACTATGTGGGACATAATACAGCCGATTAGCACATTGACGAATTGTTCATTATACAAAACAACTAACTTTTATTGAATGTTTTAGGGAGAAAGTAGTGAAAAAAGGAAAAATTCTTAGAAATTTTAAGGTTTGTAGAACAGAAATGGTCTATCTTTGTTCTCAGAATTAAAATTGTATATTTATAAACGGATAAAAAAC", "AAAGGAAAGGGGGTAGGTGTATTCGGACTTGAAGATGAGTGATCTTCTGCCTGTAACATTTATAATGATTCGATGTATAACATATATATCATCGGCACATCTTCTTCTTTGTGTGAACAAAATTTCCAAATAATTATTATAGTAATAAAACCTAAACTTGAAAAGATT", "TCCATCCGGCACTTTTTCTTTTTATCTCTTTTTCTTTTGCGCGCATAAAAGAAAAAGAGAATACATTATAACCTAAAACTTTGACTT", "CTCATGCTTTCCTTTTTTGTTTGTTATGAAGAATCGGCAGGCAACTTGTTGCCTGCCTGCTATTTATCATGAAAATGTCTTTCTTTTATCAGAATTAAAGCCGTTACCTTTTCTATCATACACGTCATAAATCAGCCTGGCAACTTTTCATGAATGGGTTACAGAGTTTCCTGACTTTATCTGATTCGGGAATATTTTTCCTGT", "GATAATGTGTTATTATTCAAATTGCAAAGATAGAATTATTGAACAAATAACCTATCAAATTGTTAAATATAAAAAGAATAATTT", "TATGTCGCAACACAAAGCATTATACATTCATTTTTAAAAGAAAGAATC", "GTCGTCATCTGTGGATAGTAAATTTAAAACAGCAAACTCTAAGTAATAAATAGTGAATAAG", "TATTTCTTTTAGATGAAGAGTTTAAACGAAAATAACTTTCTAGATGGGAAATGAATCAACTATGGATGCTATGTCTCCTATAGATATAAAAAAAGGAGCAACGCCTTGCTCCAACCTTTGTTAACCTTAAATCTAATACTATGAAAAACACAATGCAAAGGTACGGATATTTCGGAAATTTGCAAGATATTCTCTTCATTTTATAGGTTGAAACAATGTTATTTAACATAGAAAGGCATCCTGTTTACAGCTATTTGCAAAACAGGATGCCTTCACTATAATCCATTAAGACTCT", "AATATTCTAAATTAAAAATTGAGAATTGAATATTGAAAAATAGAATTAGAGAAACTTGAACTAAAAAAAGAATGACTTGATGGCGGAATTAATCTCCTGTATGTAGCCCATTTATTGCGCAGCCTGAATTATTTCCACCTGTTTCATTTATTCC", "AACTTTCTGATTTATTTAAATGT", "AAATTTATCTTAAAGTTGTAATAATTACAAAGAAAAACACTTTATCGGTAAAAAGCAAGTTTTTGTCCATAGAAATATTCCACAAATCTATATTTATTGCTAAAAAAATCACAGTCCTCTACTTTTGTACAAACAAATTAAATAGTAAAAAGG", "TCATAACGCTATACATATTATATTATGGAAAAGAAGAAA", "TAAAAGGTAATGCTTCCGTTTACATTGAAAGAATAAATCAT", "CCATAAAAAAGAATCATT", "GGATGAAAAGGTGTGGGGGTGAAGCAGAATTGATTTATGGGAATGCTAGTTGGGTTCCGGTCTTTTCCCGATTATCTGTTTATAATGTTTTTTGTAAATCAATTTTGCTTCACTGATTCCTGCCAGTCTTATTTTATGGAATAAGAATCATGGAAATCTTATTTCTAATCTAATCTTTCTTATCTTTGCCTGT", "AAAATGTGATATTTGAATGATAAAAGTTGATATTTTGTCGATATCCTTTTGCAAAAAAGAAATAATCTTTTTATATTTGCAGCATAGACAAGGGAAGTTGTGAAACTTCTTAATAGAATAGTTTAGTTAAGTCTAGTTTAGTTTTTGTGTTGTAAGTGCTCCCATCGTAAGCGAACGAATGGGAGCACGTTTTTTAGATACCTGCAGGGGAGAAGTGTTCTGTTTCTGCAACAAATCCGGAGGTTGGTTTGTTAATTATGGCATAAATAGAAATAATTCTCCTT", "AAGACTGGCATTGGCCGGTTGAACGGGAATTATGGGTACAAGAGAAAATACCTATTTATAGTGATTGATAACCCTGGTGATTTTCCGTAACTTTGCGGCCATAAAGAGAAACAAAAAACATAACT", "TACCTACAAATGGTGAGTGGAATAAGATAGAATACCTAAATGAAGTGATTGTGGATGTGGTCCCTAACCTCTATCTTTGCACTATAAGTTAGTCATATAGAAATTACGTAAACCACAAAGTTAGTTATTAGTTAAAAGTGCTCCCTGCGAAGTGATTCGCGGGGAGTACTTTTTTATTAGTAAGGCTTTTGCTCACAATATTATGCTTTTTTACCTATTCCGCTTCATTATTCGTTAGAAATCTCGTTGTTTTCTTAACAAATATCCTCTAACTTTGTTATTCAATATAGGACACATATTAACTAATGACA", "ATT", "ATATATTGTTTCTTATACATAAAAAGGAGATGAGGCGAAAATTATGGGGCTCATCTCCTTAACGGAACTTAAGTACCGGATAGACGGTAAGTTTGTCTTTT", "AATGGTTTGTCTTTAAACTGGTAAGGGCAGGTTTAAAACCCGCCCCTACGATTAATGTATATGTGATG" ]
[ true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, false, true, false, false, false, true, true, true, true, false, true, true, true, true, false, true, true, true, true, true, true, false, true, false, false, true, true, true, true, true, true, true, true, true, false, true, true, false, false, false, false, false, false, false, true, true, false, false, true, true, true, false, true, true, true, true, true, true, true, true, true, true, false, true, true, true, false, true, true, true, false, true, true, true, false, false, false, true, true, true, true, true, true, true, true, true, true, true, true, false ]
[ 1, 3, 5, 7, 8, 10, 11, 13, 15, 16, 18, 20, 21, 23, 25, 26 ]
[ 0, 2, 4, 6, 9, 12, 14, 17, 19, 22, 24 ]
[ "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125185|-|793:2598", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125186|-|2671:3327", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125187|-|3341:3463", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125188|+|3487:3636", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125189|+|3602:3799", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125190|+|3877:4170", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125191|+|4163:4555", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125192|+|4680:6248", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125193|+|6431:6739", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125194|+|6736:7137", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125195|+|7241:9544", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125196|-|9658:10260", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125197|-|10226:11707", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125198|+|11786:12403", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125199|+|12424:12894", "2510065017|RJ2H1_RJ2H1-contig-065.65|CDS|2510125200|+|12891:13427" ]
[ "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000001|+|410:792", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000002|+|2599:2670", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000003|+|3328:3340", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000004|+|3464:3486", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000005|+|3800:3876", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000006|+|4556:4679", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000007|+|6249:6430", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000008|+|7138:7240", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000009|+|9545:9657", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000010|+|11708:11785", "2510065017|RJ2H1_RJ2H1-contig-065.65|IG|IG_000011|+|12404:12423" ]
[ "MNMNNPLENLRQLTEAVRMAGADIAPTYIEYVQLAFAIANDCGEAGRNDFLSLCCLSSKYDEKNAQALFSNALHADKEDIHLGTVFHLAGQCGVKISGSAGSHKAGTMGTAGTAPDFPHTCARYNKVENNISDDTDEEEQLTEGSDPYSPLPTFPQNYVWPELLERIISFGKNPEQRDILLLGAFTVLGASLSHIVRCQYGRKWQAPCMQTFIVAPSASGKSALTWVRLLIEPIHDKIRSEVKEAMKTYRREKAAYDSLGKERKNQEAPVLPPNRMFLISGNNTGTGILQNIMDSNGTGIICESEADTVSTAIGTEYGNWSDTLRKAFDHDRLSYNRRTDREYQEVSRSYLSVLLSGTPAQVKPLIPTAENGLFSRQNFYYMPRVTQWADQFGEDEVDVDEEFRLMGNEWKNTLDELTLRGLFTLKLTHAQHKQFNFLFDKLFHRSGKINGDEMSSSVIRLAVNACRMMSIVAILRSLEDPSLVKPDAHISSDNLKDRIIPRWNLVITDDDFHAVLALVEPLYLHATHVLSFLSSSVIKRRSTADKDMLFAEMEDEFTRRMLLEKAHDRRIPESTALTWLKRLTKQGALVSVDGKGTYHKN", "MNQFKMSLFLPPISPVKDSATGRTVQPPTLTPYKEITLQEVYKLITSSERLKTLTETVRRAAENGDEKIYRMLKQQTLPYVTPCGVFSYRKSDSLTGPSGLIVVDIDHLDSRGEAEKLKRQLFDDRLLRPVLAFTSPGGRGVKAFIPYDLARIPDTRQNTSENIHWAMNYVQAIYDSHPDGEDKKTSRSGKGVDRSGKDLVRACFLSYDEEALIRREI", "MNTHLHVLIQDKNTRISVIISNFVTLKINNLIHKYQGLQI", "MEHLNPVDQARQLAAEVVKRLGQLNLHRRELERKLHVANGFFTSLEEKK", "MAFLPAWKKKNNMTLLLFFEVKAVIEEHYERRIEFYRYVNPPLSREAERELGEWKGKVREIMGML", "MKTTSEYIALLRKYMAENAHKYGIVRMGIFGSVARGEQTENSDVDVCVEGQLHGFFALAGIKQELEELLGCKVDIVRLRDRMDSFLRERIQREGIYV", "MYDTLIAFHILDLIQKSLERISFRFSDISCPDDFLLSESGMMKLDSICMKLTAIGESIKNLDKVTNKELLAQYPEIPWRYVMGVRDIIVHHYFDVDADEIYRICLEDIPQLQQAIKRMLDDLKTDNLIMG", "MEQQQLKKCPIGIQTFEEIINKGYLYIDKTEYVYRMAYGASKYCFLSRPRRFGKSLLTSTLHSYFAGKKDLFRGLAIEKLETEWTEYPVLHFDMSLAKHVDKDTLESMLSFQLSGYEQIYGKPEEAVKLNDRMTSLIMRANEQTGRQVVVLIDEYDAPLLDVMHEETDLPVLRNVMRNFYSPLKACDPYLRFVFLTGITKFSQLSIFSELNNILNISMLKPYAAICGITQEEMQEQMTAYIEWLAVSQEMSKEETLQKLKEKYDGYHFTWPSPDIYNPFSLLNAFANDELNNYWFGSGTPTYLIEMLRKFHVLPSQLGGSMQAMAADFDAPTEKMEGIVPLLYQSGYFTIKDYNKLAELYTLDIPNGEIRIGLMRSLLPGYLAHNTLKGNTTIARMYLAIAGGDMDGALRLLQEFLSTVTYCDNTNYEGHYQQLFYIIFSLFGMYVDVEVRTPKGRVDVVMRVAGKLYVIELKLGRSAEAAMAQINLKQYPERFSLSGLQVVKVGINFDVEKHTLGDWVIEE", "MKRPEIVKSIQNALHKVAPGIKVILYGSEARGDARSDSDIDLLLLIDKDVVTLEDKMLLTAPLYDIELETGVQINPFIESMKEWGKRFTPFYENIMKEGILL", "MTPEQRFDIVLYRLNSAKSLLDEIKDHIERGYYNTAMNRMYYACFYAVSGLLLQSEIDGVKSHEGVRQKFSQHFILTGKFPKEWGRFYSILFNNRSAADYEDFKNFDLAATQEMYPKVCAFIGLIDNWVFDKN", "MNDTLRDYQQEMKLRLFKEWELHRSVMVQMPTGTGKTHLLAAIVREFLRGSGSRVWIVAHRRELVEQIEETVSRHGMSKEDGRVRVMSIQWLSRNRKSMDEEPDLIVIDEAHHALAETYRILWENYPEARKLGMTATPCRLNGKGFTDLFDSLIASWTVAEFIGKGWLSSFDYVSIRANSREQRLIDSLKKRGADGDYQVKEMNEVLNRETSIGRLYESVERYARGKKGIVYAVSIAHARRIAACYSAHGLEAVAIDSRTPASERRELVEDFRRGKVKVLVNVDIFSEGFDCPDVEFVQLARPTLSLAKYLQQVGRGLRRSADKASCMLIDNVGLYRIFGLPARNHDWAAMFEGRMIGNALSRARAETGRLSVSGLLPEEERQREDELEVVITHDRLMDFLTNREDSATEKGGQPVLKSYYDRQSGLWGLRRGERMTVRPRYPEVFDICGNRAAVRFGNNRAGVVNETGQPGIQLDRCRKMKFMKGDLLAVTDCAGNESYIDLKVNRTYREKPVVLSFGCMELPYGGVELLKVGAFFFSRTGKPYVSMRGVDQNGIYFYDFYLKIPDYRVPKDCQLVDPVWTTLFDVFACVLAGDEEEVYWCCGRLADRGIVVMDGNGNYYHVEKGKEKRYIACNTPRPGEEDFHTVMERLKEEAGRHAGIAQRKQLQEEEQKRLKRLEEIRDALPFRMGMKWGLKLGERIIVPPKYRKILPPVGYYCAYEENACQWGIMALDGKVVVEARYQKVDIECNGTVHLTVIPGKVKTIKL", "MEILSAEFVVSNTKVEKCPQDNLPEYAFIGRSNVGKSSLINMLAKRPKLAMTSSTPGKTLLINHFLINKEWYLVDLPGYGYASRGKKQVEKIQQIIEDYILEREQMTNLFVLIDCRLEPQKIDLEFMEWLGENGVPFSIIFTKADKLTNGKVKDNVNKYLKKLTEQWEELPPHFVSSSEKKTGRQEILDYIDSINRSLKA", "MNGIYILLTILLYFGILLLVARLTGRHSDNDAFFRGNRRSPWYIVSFGMIGASLSGVTFVSVPGMVRGIDMTYMQTCFGFFIGYLIIAHVLLPLYYRLNLTSIYTYLGDRIGRHAYKTGASFFLLSKIIGAAARLYLVCLILQHYVFDAFHIPFAATVIGIVLLIWLYTRRSGIRTIVWTDSLQTLCLLLALGLILYEVSGQLDLDFPGLVHAIRENEHSRIFVFDDWHSKQNFFKQFFSGIFITIVMTGLDQDMMQKNLSCKNLREAQKNMYCYGISFVPVNFLFLSLGILLLLFASQLNIPLPAAGDEILPLFAAEGHLGFAVLIFFTIGIIAAAFSSADSALTALTTSFCIDIAGISHLSGKEAERRRKLVHFCISVLFIGFILLFKAVNNKSVIDAIYTIASYTYGPLLGLFAFGLFTPMRPRDRFVPYIAIASPLLCYAIDRLVFTSTGYQFGYEMLMFNGFLTFMGLTCLSIKTKNYGNTQCRICSK", "MNQQYPSMLLEKAVGEFAKLPGVGRKTAMRLVLHLLRQDTAVVEAFGNAMITLKHEVKYCKVCHNISDTETCRICSNPARDASTICVVESIRDVMAVEATQQYRGLYHVLGGVISPMDGIGPSDLQIESLVERVKGGEVKEVILALSSTMEGDTTNFYISRKLDGMDVKLSVIARGISIGDELEYTDEVTLGRSIINRTLFTGTA", "MEKKIRQLLQYLQIEYVAVWVLPLLLVALYETGVMTEGAYAGDVRMDYVLQTVGILLAVGLIPLSLRLFSLSLVKNVKQRSLPEALKSYRRWSEIRTGMLVVPVLVNLSFYYLTLNNTGVLCAMMALIASLFCVPTRKRMLDELDLVKEENEEPAL", "MMSDRHFQGNGIRLRAPEPEDLEVMFRFENATDVWEMSNTTGPYSRFQLKQYIEQTQNDLFADRQLRLMIENGNRQVVGIVDLCSFDPLHNRAEVGIMVDKSCRRQGIGRNALALLEEHCFRYLGIHQLFAYIAVENLPSRRLFAACGYKESAVLKEWAHTFGGGYTDVLVVQKLNLS" ]
[ "GAATGGTTTCTTGTTTAACGTGAGTTTGATAGATGATGATAATGAACCAACGGCCAATCACCTACGGTATAGAGTGCGGCCCGGTTGGCGCATTGGTAACTTGCACATAGCAGCAAATCAATAAATCGGTACATTGACACATGGGCAAATTATTCAAATCCCCTTTCGGCATAAAGCGTGCCCGATTGTTTTTATACTAACCGGCATGGAGTGTCGTATATAAAGTTTATCCGGAGTTTTCCGAACAATCCCAATGAACTGCCACAAACGATTTTTGCTATCCGGGAACAACGGCCGCACGGAGCAGCGACGGGGACACGGGGACAGTGGGGACAGCCCCTTTTTTCCTCACACACACATGCGTGTACATATAGAAAGCCGGG", "GATATTTGTTGTTTTTTGAGTGCCTGTTTAAATTTTGTCCGGCATTCTTTTGAGTATGCTTTTCTATTCTTC", "TCGTTTTTATTTA", "ATAAAAAAATACGATTTAATCCG", "GTAAATTCTTTAATGAGAAATTTTTGTCTGAAGAAAAATAAATTGTATATTTGCGAAAACCTACTTGGAGGAAAAAT", "CTTTTCTTTACCAATATCGTAAAATTCTTTTCTGAAGAAAAGAAGTCATATTTTGCGGTAAACGCAACAGGAAGTGGCGGAGTTTGTTTATCTTCGTAGCGGTAATGCTAAAATGGAAAAAATG", "GCCGTATATGATGTAAGAACTCTTTCATTGCAGGGACGGTAAAAGCGAAAAATAATGGAACAGGAACTTTTCGATAGGATATCAATTAAGATGCTGAATATTTGTTTGTTTGAAGAAAAATTTCGTTCTTTGTATTAAGCTTGATTGCAGTTAACAACAAATTCAATCTAAAGATAAGGAGT", "TCCGTAAAGAATAAGATATTTACTATAGGCTGCATGCAATGATGCATGTGGCCTTCCCTCTTTTTTGTTGCCGGTAGCGACCGGCATCCGCATAATGTATTGC", "GCGTTTGCCGGAACGGAATGCAAATGGCGCAAATGACACAAATAGGAACGGGGATAAATTTGTGTCATTTGCGTCATTTGCGTTCTAAGTAGTCGCCACTAGGTAATTCGACG", "GTTATTTTTTTGAGTGCAAATGTACTCAAAATCGTTGAAATTGCGTAAGTTTGCATCCATTATAATACAAAATAACTG", "ACTGGGAAAAACAGAAAGAA" ]
[ false, false, false, true, true, true, true, true, true, true, true, false, false, true, true, true ]
[ 1, 3, 5, 7, 9, 11, 13, 15, 17, 19, 20, 22, 24, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 49, 51, 53, 54, 56, 58, 60, 62, 64, 65, 67, 69, 70, 72, 74, 76, 78, 80 ]
[ 0, 2, 4, 6, 8, 10, 12, 14, 16, 18, 21, 23, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 48, 50, 52, 55, 57, 59, 61, 63, 66, 68, 71, 73, 75, 77, 79, 81 ]
[ "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125204|-|251:481", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125205|-|714:2486", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125206|-|2698:3093", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125207|+|3113:5572", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125208|-|5652:6383", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125209|-|6395:8053", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125210|+|8168:8758", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125211|-|9043:10569", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125212|+|11069:11806", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125213|+|12027:12704", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125214|+|12705:13991", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125215|+|14095:14532", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125216|+|14709:15512", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125217|+|15509:16636", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125218|+|16772:18838", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125219|+|18840:19754", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125220|+|19897:21444", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125221|+|21640:23175", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125222|+|23184:23438", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125223|+|23448:23861", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125224|+|23863:24954", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125225|+|24986:25240", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125226|+|25251:25751", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125227|+|25757:26314", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125228|+|26436:28028", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125229|+|28031:28900", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125230|-|28988:29722", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125231|+|29973:31595", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125232|+|31567:32880", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125233|+|33024:34175", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125234|-|34243:35331", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125235|-|35338:36126", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125236|-|36169:37527", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125237|+|37774:38652", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125238|+|38649:38786", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125239|-|39032:41089", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125240|-|41407:45060", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125241|-|45044:45625", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125242|-|45631:46854", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125243|-|47155:48330", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125244|+|48419:50086", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125245|-|50118:50345", "2510065017|RJ2H1_RJ2H1-contig-066.66|CDS|2510125246|+|50469:50705" ]
[ "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000001|+|224:250", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000002|+|482:713", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000003|+|2487:2697", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000004|+|3094:3112", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000005|+|5573:5651", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000006|+|6384:6394", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000007|+|8054:8167", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000008|+|8759:9042", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000009|+|10570:11068", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000010|+|11807:12026", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000011|+|13992:14094", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000012|+|14533:14708", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000013|+|16637:16771", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000014|+|18839:18839", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000015|+|19755:19896", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000016|+|21445:21639", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000017|+|23176:23183", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000018|+|23439:23447", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000019|+|23862:23862", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000020|+|24955:24985", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000021|+|25241:25250", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000022|+|25752:25756", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000023|+|26315:26435", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000024|+|28029:28030", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000025|+|28901:28987", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000026|+|29723:29972", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000027|+|32881:33023", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000028|+|34176:34242", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000029|+|35332:35337", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000030|+|36127:36168", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000031|+|37528:37773", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000032|+|38787:39031", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000033|+|41090:41406", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000034|+|45626:45630", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000035|+|46855:47154", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000036|+|48331:48418", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000037|+|50087:50117", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000038|+|50346:50468", "2510065017|RJ2H1_RJ2H1-contig-066.66|IG|IG_000039|+|50706:50731" ]
[ "MKYDVYQGLFIWPQKKTLNLHLTYFVETLYSASPQTNKKALIPLGIRAFPNFAFLRSGAYGTNTFYYYTDNQQNTY", "MKTIFLCFFCLFTIGCTHQSSQENCVEIGSADSICLQKELYTLHKYVDSIIKIDTILQQRFHCFGAGLTKDKVSIDFQDIPEDSFESFQSAFKKEIVDSPLLEFNIMTDITMDIEIIPTTETKQPSHTTSFVLQPTPRNIPTGEAISSALLSMKTEYDYYPLSTTEVKMIVTNYSQQEYTCGNGYSLAYYNDNKQQWETLPTDPVIEDVGWILQPEHPSNEQTIKLYTSEVPNRAGKYRIYKAFNRDTKIAYAEFELISSEQHQKLLDKIMQYHANHAKARVMVNLNSWGFSENDTLYMDWMVNSAELRDEFRQKVLNYSAIIINDGKTDVPTYFNEPMHMDTFGIRMYTEKAMYPVNTESVSVRIVNRSGRRISMGSSYLVLRKEGEKWLSLPGATVWTLELHWVPSNKIYPFPISLYPSLNAITPGVYRVVKKIDIGNNCHNWYFTAEFRIGNGTEEQDILNKEPSSKPLSEVEEETDMDIAYEVVEEMPEYPGGMSALLDFIQNNLQHDKANSPKRVIVQFIIDERGNISKPIILRSINPELDKEALRIVSLMPQWKPGRQNGKAERVRYTLPIIFNPSIKESDMIE", "MYKYNSINHTNEKTEAFYCLKNNYDKEKTKYYTSSLSDNRNHIIVFSQYFRYRRQWKQVRAGRYKRLAADSRAMPGSGIHGRTDKTACLLPARRVQGKTAFTGTPGEVRNGIFNGNSKEESAEERKVPVVH", "MKTYSLLAGILLSFSLSVQAGDLKLWYKQPAGTWVEALPVGNSRMGAMVYGGTAREELQLNDETMWGGSPYRNDKPEALESLPQVRELIFAGKNMEAQDLIQENFYAGKHGMPYQTIGSLIIETPGHEKVTDYYRDLDLERAVATTRYKVDGVTFQREVFASFPDKVVVVRLTADRPGKLNFKVGYVSPLEHKVSRKGKKLVLTGRGRDHEGVKGLIRMETQTQADVDGGKVKIDDQNITVEGADSVTLYVSSGTNFINYHDISGNESKKASGYLSLALGRPYSQVLQEHIALYKEQFDRVRLDLGTSERAKLETVKRIELFNEGKDVSLAVLLFQYGRYLLISSSQPGGQPANLQGIWNNKLAAPWDGKYTININTEMNYWPAEVTNLSETHQPLFEMVKELSVTGRETARAMYGCNGWVAHHNTDIWRATGPVDKAFYGTWPMGGAWLTTHLWQHYLYSGDKLFLSEAYPALKGAADFYLDYLTEHPEYGWMVTAPSMSPEHGPSGEDTKKASTIVAGCTMDNQIIFDVLSNALHASRILKMSASYQDSLRSMLNRLAPMQIGKYNQLQEWLEDLDNPNDKHRHISHVYGLFPSNQISPYTHPLLFQAAKNTLLQRGDEATGWSIGWKVNLWARLLDGNHAFRIINNMLKLLPGDEVKEAYPQGRTYPNLFDAHPPFQIDGNFGYTAGVAEMLLQSHDGAVHLLPALPDAWATGSVQGLVARGGFVVDMNWNGVQLDKAKIHSRLGGNLRLRSYVPLRGVGLKEAKGKNPNEYYMQAEIKEPLVTKEINPQYPALYKVYEYDLMTEQGQDYIVERGL", "MKQKNYHSCTVGQIVAGNFDTTKVFSRYHIDFCCHGNTPFAEACRNRGIDPEAVAHELNELQENTVSNAPDFAGWPIDLLIDYVLKIHHRGIRAKGPQIEALLTKVTEAHSKNHPELLQVQALFRYSLLDLENHLSKEENVLFPYVYEMFQAKEEGLKVAKFHCGTILYPIEVMEDEHNHEGERFEKISSLTNGFTAPEDACASFRLVLQQLKQFEEALHEHIHLENNIIFPRALELEKKEAI", "MENNMFCFQCQETAKGFGCTLKGVCGKNATTARTMDLLLFVVRGISVVADQLRQHSLPVEKEVDNFIVDALFCTITNANFDDESITKRIDKGLAIRDDLKHQASAKDIPLPEADELNWKGSHDEYDAKAATVGVLREQNEDLRSLKELIMYGLKGMAAYLEHAMRLGHNDESIHRFMQNTIAQITTKSLSADELTALALKTGEIGVRTMALLDKANTSRYGNPEITHVNIGTGTRPGILISGHDLHDLEELLEQTKDSGVDVYTHGEMLPAHYYPAFKKYTHFAGNYGNAWWKQREEFTSFNGPILFTTNCIVPPLPNATYKERMFTTNSTGYPGCKHITADEKGHKDYTEIIETAKQCAAPTEIEHGEIVGGFAHNQVLQLADKVVEAVKSGAIRKFIVMAGCDGRMRSRDYYTAFAEMLPKDTVILTAGCAKYRYNKLGLGEINGIPRVLDAGQCNDSYSLAVIALKLKEVFGLHDINELPIIYNIAWYEQKAVIVLLALLSLGIKEIHLGPTLPAFLSPNVTKVLVENFGVSGIGTVESDMRKWGLTNE", "MELTGLLQMAPFQGMKEEELVAFLFGLPNSLKHFEPGDIIARQGDLCKGLYILASGSVRAGMINDEGKELTVEEIGAPNLLASAFIFATENRFPVNVEAIGPCEVFVIGKERFLEFMRLHPLMMQNFLKDISDRSVFLSRKLNEFALLNLKTRLLKYLETHSVIHNQQEVAQKLGVTRPSLARALSELVNEGKIKK", "MLSLALTSCLAVHAENKNQNTDKPNVIFIYADDLGYGDLECYGAKNVQTPNVNRLASEGIRFINAHATAATSTPSRYSMLTGEYAWRKPGTDVAAGNAGMIIRPEQYTMADMFKSSGYATGAFGKWHLGLGDKTAQQDWNAPLSASLGDLGFDYSYIMAATADRVPCVFIENGQVANYDPSAPIEVSYIKNFPGEPTGKDNPELLYNLKPSHGHDMSIVNGISRIGYMKGGGKALWKDENIADSITAHAVDFIKQHKDEPFFMYFATNDVHVPRFPHNRFRGKNKMGLRGDAIAQFDWSVGQLLEALDKMGLTQNTLIILSSDNGPVVDDGYDDKAEELLNGHEPAGNLRGGKYSAFEGGTRVPVIVHWPKAINKPEVSDVLISQIDWLASLASLVDARIPKGSAPDSYNRLANLLGQDKTDRPWVIEQASNHTLSVRTKDWKFIEGSDGPKMIPWGPKIETGYLGIPQLYDMKQAGEKVNLATEHPEKVFELQQILRKVRNKSIQMK", "MKKLNLLLLAFLAVMGVTFQSCDDDDGYSLGDVAVDWATVNVKGAHVYDFTGDRWGQIWPATTDYFWYSPIDGQRVILYFNPLYDNYPEGYDCSVKVLSIKEILTKPIEELTAENEEEFGNDPVDIFEDNMWISGGYLNIIFNQNMPSKVKHLVSLVKNTTITPDQDGYIHLEYRYNTYADTTGYWRNGAVSFNLNSLEITSETKGIKVKINSAKNGEKEVSFDLKETPSPVGLSQMDFSQMEIK", "MKILLVEDDANLREVTQRSLEKERYVVEIAADYRTALQKIEDYDYDCILLDIMLPDGSGLDLLEKLKEMHKRENVIILSAKDSIEDKVLGLELGADDYLPKPFHLVELNARIKSVIRRHQQGGENSIKYGNIEIFPDKYGVTVAGKKLELNRKEYDILVYFMNRPGRLVNKSTLAESVWGDHIDQVDNFDFIYAQIKNLRKRLKEAGATPELKAVYGFGYKMVVE", "MKLIYYIIIRISLVLSVLLTGWAILFYFAVMDEVNDEVDDSLEDYSEIIIIRALAGEELPSKNTASNNQYFLREVTKEYAGSCDDIIYKDSMVYIPEKDETEPARILTTIFKDDGEKFFELTVATPSIEKEDLKDAMAGWIIFLYIALLLTIIVINVWVFYRNMRPLYVLLHWLDKYRIGKVNEPLQNNTRVSEFRKLNEAAVRYAERSEQMFEQQKQFIGNASHEMQTPLAICRNRLEMLMEDENLSESQLEELMKTHQTLEHITKLNKSLLLLSKIENGQFTDTVQVEVNKLLRQYLEDYKEVYQYREIITSIEEEGVFYLTINETLAVVLLTNLLKNAFVHNMDGGHIRIVITPHSVMFCNTGAAQPLDARRIFERFYQGKKKEGSTGLGLAIADTICKMQALRLCYEYKSGEHCFTLYASTHNQ", "MKKVLLLLVCLFTLQTVVWADDDKPIQVNQLPQTAQTFIKTHFPNNKVAMAKMETDWFDKSYDVIFTNGDKLEFDKKGVWTEVNCKYSAVPVAVVPEAIKKYVATNYPDAKMLKIERDKHDYEVKLSNGWEIKFDMQFNVIDIDN", "MHLRTYYPTVVLSDIHLGTSHSKTIEVSNFLKSVSCDRLILNGDIIDGWHLRKAGTKRWQAKHTDFFKVIMKMMENFGTEVIYVRGNHDDFLDGLVPMTFYNVKIVKEYILETHGKRYYVTHGDIFDRVTTQMKWLARLGDAGYTFLLWVNRFYNQYRTKRGKPYYSLSQAVKQKVKSAVSYISDFEKVLVEFARVRKCDGVICGHIHHPANTYYDGIHYLNSGDWVESLSALTEDADGNWNIMEYHHVLADENEENKEFTLIHTAS", "MKFLFIVQGEGRGHLTQAITLEEILRRNGHEVVEVLVGKSSSRRLPGFFNRNIHAPVKRFVSPNFLPTPANKRVSLMRSVAYNLVKLPVYINSINYIRQRIEASGADRVINFYELLTGLTYFLFRPSVPQICIGHQYLFLHKSFEFPKADKVSLALLKFFTVLTSLGAKERLALSFRYMKDDPQNNIRVIPPLLRKEVTLHEPYSGDYIHGYMVNAGFSENVMKWHRQHPRIPLRFFWDKWEEEPVKRVDNTLSFYQLDDVEFLRQMAGCKAYASTAGFESVCEAMYLGKPILMVPAHIEQDCNAYDAKKSGAGIISSDFNLQQLLEFAKDYHPNRDFVYWVRGAEYTLLNLLESDSSNYQQVLFNEMYLVEEFI", "MEKKKKEDKYYLPRDISWMYFNRRILQEAMKERVPILERLSFLGIYSNNLDEFFRVRVATQSRVAECEDKAAHSEREEALKLIRQINKLNNRYSKEYEGAIKQVTAELEKENICLVNHVQLDEEQQLFVYSFYQQRLNGFISPVWLKSVKQLGNEADENIFLAVKMRKEGHKVGEYAIIELPVAQAGRFIRLPDKDGKNYLMYLDDVVRYCLPLIFHGMNYKHFEAYAFKFTKDAEMEIDNDLRNGMMQKISKGVKSRKRGEPLRVIYDASMPKDLLKRVMNKLNLDKLDTVLGGGKYHNHKDLMRFPDCGRKDLKYPEWTPVLKNELSGNVGMLELIRRKDRFIHVPYHSFDSYIRILQEAAINKEVKSIKTTLYRLAKDSKVVKALINAARNGKKVTVVIELLARFDEASNIDWSKKMQDAGIRVIFGVEGLKVHSKITYISMKTGADIACISTGNFHEGNARMYTDYMLMTAAKNVTRDVSLVFDFIERPYSPVRFKELLVSPNEMKQKFSRLINEEIKNKQAGKPAYILIKINHITDPVMVKKLYEASSHGVRIDLLVRGNCSLITGVPGVSDTIRINGIIDRYLEHSRIFIFANGGDEKMFIGSADWMPRNLDNRVEVIAPVYDPEIKADLKRVVEYGLKDTLQGRVVDGTGENRPWISEDKTAFRSQEELYKYYLNENRIKD", "MGKVNYAAIDIGSNAVRLLIKSIDREAVQEKKIKKVMMLRVPLRLGFDVFSIGELSEKKADKLRRLMKAFRQLMKIYDVDDYRACATSAMRDARNGRTIIKKIEKDTGIRIEIIDGQEEARMIYNNHIECMEDRLGNYMYVDVGGGSTEINLLTNGELVWSVSYNIGTVRMLSNAVKEGTWQQMEEELMKVTEGVAAINIIGSGGNINKLFRLADKKDKKLQRLPVSSLQTVYDVLKPLTPEERVEAFSLKQDRADVIVPAAEIFLKIAEVVHAEYIYVPVIGLSDGIIDNLYAKSLEKEMKAE", "MNKMCMAAVIAASLYAGTTFAQPAAVKKIIETGQTDNRVMHQLDILTNRFGGRVIGSDAYENAAEWMMREYKRWGIDVRLEEAGELPVGFNRGPWFGRLIGGDQAMDLHFVTPSYTSGTKGLQRGHVLIEPRTQEELDRMKHQLKGAWVLISGENVGWPVDRSAKGDSLRAAIKAENIEIEKQNAALMEENWSKGTKHAMKPLREMPGLFYKEMCEAGALGFIQSAPVPLRALYDRALLNDPHTTFDNLPEVCDIKLDEHQYKIIKQMVKERRNFWLEFDIRNHFKLGPVKYHNVVASIKGTKYPDEYVIISGHLDSYDVATGGIDCGTGIGPMMEAARMIALSGAKPKRTILFVAFAGEEFGLLGAKAYVKTHAKELGKIANLFNRDGGPTPPVGISVPQAMYDDFVEVCKPVKEIRADYPFEVKVAKPFKRPTQSGGTDASVFAVEGVPTFGFNTQDIKGYNFSYGEIWHTERDLYTKNIPEYQEHAATVTAVVALGIANLSKQLSREGMHKK", "MSQIIGHISQVIGPVVDVYFEGKGIDTDLLLPSIHDALTIKRNDGRILVVEVQQHIGEDTVRTVAMDSTDGLQRGMEVIPTGHPITMPVGNQIKGRLMNVVGEAVDGMRPLSKEGAFPIHREPPKFDELSTVQEVLFTGIKVIDLLEPYSKGGKIGLFGGAGVGKTVLIMELINNIAKKHNGFSVFAGVGERTREGNDLLREMIESGVIRYGEEFKKSMEEGHWDLSKVDYNEVEKSQATLVYGQMNEPPGARSSIALSGLTVAESFRDRKNGDSNGPRDILFFIDNIFRFTQAGSEVSALLGRMPSAVGYQPTLATEMGQMQERITSTKNGSITSVQAVYVPADDLTDPAPATTFTHLDATTVLSRKITELGIYPAVDPLESTSRILDPLIVGQEHYDVAQRVKQILQRNKELQDIISILGMDELSDEDRQTVNRARRVQRFLSQPFAVAEQFTGVPGVMVSIEDTIKGFKMILDGEVDYLPEQAFLNVGTIEEAIEKGKKLLDAASHKK", "MEHHEDLHLIVVSPESTLFDGKVEIVTLPGELGSFSVLYDHAPLISSLIKGEIKYTEDELEKSIGISSGFVEVRDNIVSACVEI", "MFLLAVKRKFIISLTILMVVLSWGGALVFHTLLPRYYFAWYPSIPIFFYLFGLFYIFMFGCCYRVSPQKMVAVYLVAKVTKMMLSILIMSVYAVAVAHQVLSFIGTYMVFYIIFLIFETRFFFHFEIKHKSNRYKYK", "MRSLRYIGTVLMLLIAFLQGANAREESETDYKEQQVDVNEIVFGHIGDSYEWHITDIGDTPIAIPLPVIVKSSTGWHVFLSSRFEEGNGEYEGLYIAKDGEYKGKIVEKDASGNEVKPLDISITKNVTGLFVNSAILVILVLSCARWYKKHPVEKEAPKGMVGMMEACILAINDDVIKGCIGKDYKRYAPYLLTAFFFILINNLMGLIPFFPGGANITGNIAVTFVLAICTFLAVNLWGNKEYWKEILWPDVPWWLKAPFPMMPIIEIFGIFTKPFALMIRLFANMMAGHAAILSLISIIFITANMGPLINGSMGFVAVAFGIFMTALELLVAFIQAYVFTMLSAVFIGLSRTEEMREEKEMK", "MLLAVLLQSAAAAGLGKLGAALGAAIAVIGAGIGIGKIGGSAMEAIARQPEAAGDIRMNMIIIAALVEGVALIAIIVCLLTLFL", "MSLLTPDSGLLFWMVIVFGIVFVILAKYGFPVITRMVDERKQYIDKSLLAAREANEQLANIKADSEMILAKAHEEQARILNEAVATRERILKEAKTQAQVEGQKLLDEAKKQIQAEKDSAISDIRRQVAVLSVDIAEKVLRKNLDDEKEQMEMIDRLLDELTVSKD", "MYIGVISMRYAKALLAYADEKGTEDTVYEEAGILADSFSRIPELRQALDNPVLPAETKLKLICEAAGGGQVSEELKRFVELVLEERREKFLQFMIMSYIDLYRKQKNISVGKITTVCPVAEEVVSRIRALVVEKTHGTVEFKTKIDPKLEGGFIFEIGTYRLDASVANQIKRVKQQFIAKNRRIV", "MSENIKPSEVSEVLLQQLKGIDTHLQFDEVGNVLQVSDGVARIYGLRNAEANELLEFENGIMAIVMNLEEDNVGAVLLGPTDQIKEGMVVKRTKRIASINVGEGMLGRVIDPLGVPLDGRGQIGGELCEMPLERKAPGVIFRQPVNQPLQTGLKSVDAMIPIGRGQRELIIGDRQTGKTSIAIDTILNQKSNYEAGKPVYCIYVAIGQKGSTVASLVNTLRERGAMDYTIVVAATAADPAALQYFAPFAGAAIGEYFRDTGRDALVVYDDLSKQAVAYREVSLILRRPSGREAYPGDIFYLHSRLLERAAKIINQQEVAEQMNDLPPSLKGKVKAGGSLTALPIIETQAGDVSAYIPTNVISITDGQIFLETDLFNQGFRPAINVGISVSRVGGSAQIKSMKKVAGTLKIDQAQYRELEAFSKFSSDMDPVTAMAIDRGRKNNQLLIQPQYSPMPVGEQIAILYCGTHSLLRDVPLHKVQDFQKSFLEMMRADHQKDVLDVLSSGVINDDVTAIIEKVAADTAQPFKVNE", "MASLKEVKGRIASVNNTRKITSAMKMVASAKLHKAQAAIENMLPYERRLNHILTNFLSADSEVESPFIVKREVKRVAIVVFASNTSLCGGFNANIIRHLTVILDEYKSLGMENVLLYPVGRKVAEGVKKLGFKAEGDFQHMADKPSYQEAAALAEDLMRRFLHRDIDKVELLYNHFRSTAVQVLTRETYLPIDLTQEKKEEDKGRIPDYIVEPSVDVVMGELLPKVLRMKMFTVLLDSNASEHAARTMAMQIATDNANDLLQDLTVMYNKSRQQAITNELLDIVGGSMA", "MKTNLLSSDKDPMGTAIADYFHHNKADKLRVFSSQFEEDEIPVNQLFRPYDEMPELEQIALQMAEGNTLDVGAGSGCHALALQEMGKEVCAIDISPRSVEVMEKRGVRNVRQMNLFDPHFLETFDTILMLMNGSGIIGKLENMAAFFQKMKQLLRPGGCILMDSSDLRYLFEDEDGSFLIDLAGDYYGEIDFRMQYKNIKGDSFDWLYVDFQTLSLYAAGNGFEAELIKEGEHYDYLTRLRWKG", "MNTLKPRIASLLQQLNERVFEKEHIVALALLSAVAGESIFLLGPPGVAKSMVGRRLKLAFRNASAFEYLMSRFSTPDEIFGPVSISKLKDEDTYERIVDGYLPSATIAFLDEIWKAGPAIQNALLTIINEKIYRNGQFSIRVPLKGLIAASNELPAQGQGLEALWDRFLLRCLVGGIEDMGEFDRMISSTDETEPVVDEQLQITDEEYIRWEKEMAAIKIHYSIFEVIHALKDRIEQYNLQIQNEGGVSSPLYVSDRRWKKMVKLLKASAFLNGSDTIRLSDCTLLSYCLWSEMDQMEAAEEMVNAAIQKSAEGYLLNIKGLEQDIEELKDRQSSEHSLREVNDPGIQVIDTYYYQVEGVRMKERLLIFAADYQHLDQTGKLFYLHKDKYKANCCILKKYDSILHAKVPRNKIYTLKKGLRSIYINNYEYHLMCYEDCPPPPPEPEPEDFGAKYKSVAEALDRVEKDWSGLLDAETEYYEKHLFLSERQRASMRRMLRHQKNTIDRYKNDLNEMADAYRKENQEYKIERSEDDLFSGTER", "MIYSQELKDRTFKAYEEDVSNGVVRQRELEEKVLMYYRRTTPSLQEYYSRYTPEWEAFYSSEHLPDMAFLQYLKQMRGAFKKRYELAELNIDYYISLLENASLLRGEGARTKEFFLDKWHQLLTRKEYDYQYMHINSLCEGFDLLIRKQGKESDNKLLGSRMEWLLHNYPDLYRRMLPYETVMKRNPAIRQLARLLGKKHRDQQKYDSLSGVDKKRLIRHSPHSDITGVTLGNDLNSLLPVEYCYLADDALRAVFMERYAEKRLQLFDYQSKETEPVKDDKHKVSGQGPYIICVDTSGSMQGNREILSKSAILAIAQLTEKTHRKCYVINFSDEAVSLLIEDLGRDMPRLAEFLNKRFDGGTDIEPALREAAHIINGNDFRESDIVLISDFEMPPLSRDLMEQVKVIKRRKTSFFGLVFGNKPEMEYLNLCERYWEM", "MGRMTYVKALMCLLFPSAALVARAQHPVAGDLKCKLTGRMLMDGGVYLKNDNLFGNGTEFNDLRLGVKATYQNWSMKMEVGYVGNKVSIKDAFAAYTSGKHIIQVGQFYEPFTLDMLCSTYDLRFHQSPGIVLALTNGRRMGTSYTYNGKHYYASGGFFTDSDLGNVKNISQGYAIDGRLVYRPVNEEGKLLHIGAAVVYRTPDSALPGDEDENTFIYKSPGVSTIDNRNLIYAKVDHAKYQLKQGVELMIAHQRFFLQGEYIRTMVKREQNFTNYAGHGGYVQCSWLLTGRQYGYDEALACPGRPVGRALELCGRFNILDMNNEEAGVWGGAQKDFSLGVNYYMNKHIGMKLAYSWVMPGKHIKEISDKNFSVVQLRFQMIL", "MRPYLLLTPGPLTTSESVKTAMMTDWCTWDEDYNVHIVEEIRKGLVQLATRKTDEYTSILMQGSGTYCVEATLGSVITPKHKLLILSNGAYGDRMGNIAEYHGMNYDMLAFDETEQVSVEYVDDYLAHNAEITHVAVVHCETTTGILNPLKEIAHMVKMHGKKLIVDAMSSFGGVPLDVEELGIDFMISSANKCIQGVPGFGFIIARKSELQYCKGVSKSLSLDIYDQWDAMEKGHGKWRFTSPTHVVRAFKQAMDELAAEGGVEARHARYCRNHDVLVEGMRSLGFKTLLKDEVQSPVITSFLYPDKEFDFKEFYHQLKEKGFVIYPGKISQADTFRIGNIGDVFPEDFSRLIEAIKTVAK", "MKKIECVIMDWAGTAVDYGCFAPVAAFLKAFAEKGLTVTMEEARGPMGMTKIDHIRELFKLPSVTEQFKQNYNRNWTEEDVVSIYKEFEKHLFASLEEYTTPIPGVIEVIEKLKRDGIKIGSTTGYTTAMMDIVLPGAAAHGYTTDNCVTSNNLPAGRPQPYMIYQNMIDLAIPSVQSVIKYGDTIADIKEGVNAGVWTVGVILGSNEMGLTQEETGKLPAEELNRRMAAVRKRMYMAGAHYVVNTIAELPEIIEIINHKMN", "MEAKSETNKQISSFLFILWAGGAALLSYSLVYALRKPFTAATFDGMDFFGMDYKVATTIMQIFGYLISKFFAIKIVSELKREDRLKFMVCSVALAELALVFFGLLPQPFNVFALFFNGLALGCMWGVIFSFIEGRKVTDILASLLGVSMAVSSGMAKSMGLFVVNTFGVTEFWMPALIGGLAFPLLILMGWSLNKLPQPTDEDRALRSERVTLNGEQRRQLFKSYMPLLIMLFFANLFITILRDIKEDFLVNIIDVSTISSWLFAQVDGMVTLIILGIFAMMSLINSNYRVLQVLLAMVIGGAGTISYLAFNYDALQLPTLYWLFLQSLSLYIVYLSFQTLFFERFIACFKIKGNVGFFIATIDFIGYTGTVCVLLFKEFCSPDINWMEFYNQFSGWVGIVCSIAFIGSVIYLMQRYKLERQLRKEEKNKKIIVSPMALTNLKETAENICNP", "MNDLIEIYRRIEYIRNNGLKMKEIADYVDMAPSVLSALYSSVLPTYVTSLKQGHSEEDSLDLALAQVNNVSKKRLLGNLASIKELLFSLEPAHGEAKTNPFMEMMTAEMQRSVQEVYNYSGSYLSYSLSSSCQCLKVEPYLIEASEDNTYVKVTHMSAYNTTHRGVGLFNNHQNGYIFFNERESPQMALFSIYLQLPMYDFPPFLKGLYLSLDYNRNPIARRILFVKQGDSTDMEEFLELKGELVPLDKLTELQKKYYDYTCQEGDCIRTCMVPSPQLNENDLEREKKILSL", "MIRTLETACNNNISHPSLLHKPFLFLDQAFLYGCILSPKSLELSP", "MIFNRTEKEEKAYLMDIIALLAANIEQMDKAIEDKSKDVMEHKLYLWENLSELDRAEKSAVRQIVTQQVAASESLAEKKKRYRKMMAIPYFGRIDFQEKGQPEVLPLYIGIHSFFNPPTNENLIHDWRAPISSMFYDYELGEAHFDAPSGEVKGNIRLKRQYRIRDGKMEFMLESSLNIQDDILQKELSGNSDDRMKNIVATIQREQNKIIRNDTSNTLIIQGVAGSGKTSIALHRVAYLLYRHKGEITSNDILIISPNKVFADYISNVLPELGEEKIEECGFEELMLKILDNKYKIQTFFDQVAEILDKEEEDFIERIRFKSTTEFIQQMDKYILYLEQNAFRPTDLKAGRIPIPAEYLKERFAAWHRLPMRSRFQPMAEEIARELTFTYHQEPMGKIQIRQLGNELKKMFNNKDLDLYKGFYDWLGKPEMFKQGKNRKLEYADVAPLLYLKLALEDNKTMYGIKHLLVDEMQDYTPIQYKVLAKLFPCRKTILGDAKQSVNPYSSTTCEQIQRVLVGSEVMKLCKSYRSTYEITEFAQRIAKNEELEAIERHGEEPAVALLPTEKKEIEWIENLITSFLKGADVSMGIICKTVKQADKLYAAINHLSDKICLLTEESVAFVNGVVITTAHMAKGLEFDEVIVPFVTDKNYRTEIDRSMLYVACTRAMHKLYISASGNISTFLS", "MRNLSRIIFINSANIPYSDDIYLDGNVHFIGTQGVGKSTLLRAILFFYNADTQRLGISVEKQNYTDYYFPYSNSYIVYEVATENGAFCILSFKSMNRVCYRFIHSPYRKEFFIDENRVAYSESDRVRAVLDQYGIEYSRIIYTYDEYRNILYGNSTSPEFSRYSLMESKQYQNIPRTIQNVLLNSKLDAEFIKKTIISSLNEDETAIDLNSYKEHLKNFETRLKDIEEFQKRETRKQAREITLLSQQTSKLQGGLVQNCRELVAAFRKAESILPQWKEKQDRTDADKGKLIRRKQELQDESRKRSDKLQEALAVLNNELQKALGKEKDYQNKNIEEIIERSSKKEEWKNRQVGLLEEQRILTSQYMEISTKYKSLIQALDEQWNKIHEAKIKQLDTLNNAYNERLEEARKEYQRFTDSLYQEFESLSQQLHPLKAEKLGELNAIDYQIKLCRKEVFFEEEQQELKTRIQNYANFHTERKNRIAQAQLIIKELTMTWEEEQQKQLKEKDLTLQKLQQEMLQLRPRIDELQAFLNNSKDTLQGWLKENKKGWEENIGKLCDESILWQRGLFPQITIEGGNSFYGISINLDSIHRPIKSIDDYIVEKENGEKRLEEITAAMQRQQTEREELQEQLKRKYQPQIKEQKAIISQIEYELEQLERQYQQDMLDLEEWKKKANEERNAKINRLENEQRKRVAELDGINSKLKNLNKEKTEKLDSLKLEWNKQQQALAAEKKTQAEVIGKEEKEEQRRISSIKTEYEADMQKELHSQGADTERLQDIANQLAQLEKELSFIKENATLVIEYQKDKRDLIDRIPGWQREHDEQKRLLQLERETLRVETSSLQEKIDLLNKEWEEAEENVRELQKNLEAYSKIPAYDWYKPHQDIFRSENTQTMQTTKTCMELIDELTRQANQFTQVQSKLRKEVNLFTGHFDEDNTFKFRVKFNEDWEYVRFADELHDFVEEHRIDEYIRRINNEHWDTFKRISMDTSMLTSSEDDIQDVIREINKGFATCNFVGVIQRIEMKVEESSNRVVNILREIQKYYHDYGYDLSPETNLFSSAKEQLVKEEAITLLRTFIKEIHAYRYDSIRLYDSFELRFRIVENGNDTGFIEKIANVGSEGTDILVKAMINIMLLNVFKEGASRKFKDFKLHCMMDEIGKLHPNNISGILKFANDRNIILINGSPTELNRDAYKHVYLLTKGTQNKTRIARLISDKQL", "MDLNIQIPDNTASIFEYLQKGLFISSNSTSEEVRDMYNEIDENYESLYQYFSQINYTLERGNEYFFFSRIEPKATLEQKIMRAYYWIDVLDFFKTYDETFGPGFRFQPEQILVETNINMLLQNKLDGMRKHFSDKDIRKEVLENMIRQLTKDSFLEQENEKTNTYKVMSAWHYLERLIESINIYDETEDEKPE", "MYIFRSIHEIINTIFVAKGLLVEMFEKRKVLSFRYSDALALLKEDENRLKILIEKEIIHQNGNFVELDARFLDFFELLLEANEEINTATVEENIEYLHELMDYYLKEKIQSRKESYVRNIKITFQKLARVTIRNIINLQHNIDNAFKHEPTYQIKIAKLQNLDKKRINIQRLIDSTEHLILHEERDFFRQATDEELTRILLELRQELQLSAHSLIRAQQDIINYLNQIKNQVILVEKIRKVKYLQDQFELRARSNLSEIMERERSLLLEGNTQASFKLSPSYLASDEVRPIILKVISNQKYREIVRKNEAGAFSEEDLEAQAMYEEVINLDEVVTAFVQSGAEARWKGEPVSDLFSFLLQYHFHREVDEKERTTFFCQIVSLYESQLEIRNEFGLHNQYEYAKIYPL", "MNNFVFYSPTEFVFGKDTDIQTGTLAKKYNARKAMIVYGGGSIIRSGLLQRIEDSLQQAGVDYVKLGGVQPNPTDPKVYEGIELARKEGVDFMLPVGGGSVIDTAKAIAAGVPYEGDFWDFYIGKAKVKKALKVGVVLTIPAAGSEGSGNTVITKLEGLQKLSLRVPELLRPVFAVMNPELTYTLPPYQTACGIADMMVHIMERYFTNTPDVEISDRLCEGTLMAIIKEAYKVKQNPNDYEARANIMWCGTIAHNGTCGVGCEEDWASHFLEHEISAAYNVTHGAGLAVIVPAWMTFMAEHNPKKIARFANRVFGVPENEDLEEMALAGISRLKHFFRYMGLPVNFKELGIEHPDIELLVKKLHENKGEWVGNYVKLNKEYSKEIFELACK", "MKTDIEIARSIELVKIKQVARETGIPVEHISNYGRYIAKVDESQINEEKVQQSNLILVTAITPTKAGIGKTTVSIGLALGLNKIGKKTIVALREPSLGPCFGMKGGAAGGGYAQVLPMDKINLHFTGDFHAITSAHNMISALLDNYLYQNRDNGFGLKEVLWRRVLDVNDRSLRYIVTGLGPKTNGITQESGFDITPASEIMAILCLAKDEKDLRRRIENILLGFTYDNKPFTVKDLGVAGAITVLLKDALSPNLVQTTEHTAAFVHGGPFANIAHGCNSILATKMAMTFSDYTITEAGFGADLGAEKFYDIKCRKAGITPKLTVLVVTARALKMHGGVSQDKIKEPNLEALKQGVANMDKHLRNLRYFGQTVVVAFNRYGDDSEEEVDYIRTHCEKKGVGFAVNNAFTDGGEGAVELAELVVKTIEEQPSEPLQYAYDDEDSVETKISKVACNLYGASIITYSAAARKKLKHIVELGYGNFPICIAKTQYSFSTDPKIYGAVDNFEFHVQDIVMNAGAEMLVVIAGEIMRMPGLPKEPQALHIDIVNGEIEGLS", "MTARNKVLTIMGIIILIGIISIGFFVFPIGISMCAITGLCYGCKYKDKLFIRWSSVGLVTGIGLSIYTWCLISSM", "MAGVLTPGQHRKCMSRIRSRNTKPSVRNEHLNGALFTLNHLFLENFAVRHAVSYGESGRELNLVAAEDKTEYEKDKLL" ]
[ "TATAAATATGATACCATTAATATATTT", "TAAAAATTAGTATTTTTACACCGTTGGAACAAGGCAAATTCCTTACAGAAAAACATAGAAGCTATGTAGATGCAAAATTGGGTGCTTTTTTCGGAGAAACGTTATAATTTACTGAATATCATAAGTATTTTCAAAATCCGTTAGTTCCGTATGTGTTGCGTTAAACATTGATTATCAATGATTTGAATAAAAACACCCACTTTTACATTAAAGGATGTAAAAGTGGGTGTTT", "CTTGGTTTATTTATCAGTGAAACAAAGTATTTACAAAAATACTCAAAGTGCAATTCATTAACAATTAGAATTACATTTTTATTTTCTACCAAAGAATTATATTCTCAACTCTCTTTGGGGCCTGTTTTTCTCTTTTGGAGTGTGGCCTACACCTAGCTTTTCCTTAAGCTCTTTAAATTTCATCTTGAACCATTCAAGTATAGGCATTCCG", "TATACAATATCTATTAACC", "TACATGAAGATGCCCAAAGTGATTTGTGCATTATTTCACTTTGGGCACTTTTGTAAATGGAAGTGTCATGGTGTAGTTA", "AATTATTCCGT", "ATCTTTTTTCATTTTAATGATTTCTATTACTTTTGTGCAAAAGTAGACGGTTTTCGTCTTTTAAGTTGTACCATATGTTACAGCGGATACAAATAACAGTTAAAAGAAAGTAGG", "ATTGAGATTTCTTTTTTATGGGTAAAGTGGAAAAACGTATCTTGTATCTTTAAGAGTTTTTGTGTGTAACCCATTCTTGTGAAAGTGTTCAAGGTGTTTTGTTATAAATACTTTGACTTTAAAGAAAAGGATCTTTGTCTATAAGGATTTGTTCTTTTGAAATGAGGACCATATTGAAATTTTCTTGATTAAAAAAGAGGGTGAATTCAAGACCCTTTATTTGATTTTTTTTGTCTTGATTCACCCTTTTGGAGACAACATTGGGTTGGTGTGTATTCAGCATG", "TCCGTAATGTCTTTTTTTCATAGTAATTATATTGTTTAGTGAGTAATCGATTCTACAAAGATAAAGATATATTTTAAAAACGGGGATTAATTCTACAAAATTGTGGAATGAAATTGTGGTATTTCTACATAATGTGAAAAATGAAATAATGGTTATTTTGTTGATTTTTAGAGTATTGGATGCCGATGTGCCCCATGGCATATTTTTTGTTATGTAAATTAATGTAATACACTAAAAACTAAACTTTAAAATATATAACCATTTGCCTTAACAAAACAAGGCTGAAAAGCCATGTTCCCCCTGAATGGGAAAATCTGTATATAACTCAGTTTTAAGCTTCCCGGTTCGTGACGAATCGGGAAGTTTCTATTATTTCCTTGATGAATCTGGTTTTTCTACAAGACTTTTACTGAAAATAAGTTAATATTAATGGATGATATGCAGTATTCGATCTCTTTCTTACTTTTAAAAGTAGAAAATAGATTAATACATTATAGTT", "TTAGGGTTTGATTAGAGAGAGTTGTGTGTGGCCCCTTGTGTCTTGTTGTGGTAGATGCAGGGGGCTGATTGTATAGTTGATAACGGTTTTTAGTTTGATATTTGTTGTTTATTAGTCGGGTGATGGAAAAAATTGTATGGTTTCTTCGTTTTTTTTCTTATTTCAGTGTTTTTTCAGAATTATCTTGTTTCTTTGTCTTTAAGAACCAAAAGTAGGACTT", "AAAAAAATCGTGTTTTTTTCAGAATTTCAAATTCTTTTCAGAATCTCCCTGCATATTTGCATCAGAAAACAGAATGTAGAACCAAATAAAAAAAATGACGATT", "TTGTTAGTTATAGATTGAAGTATGGTTTATGTATGATAAACTGAAGCTTTGCAGGCCGTTTCTTGTTCCATGAAGAAACGGCCTGTCTTTTCATTGATTTTAATAGCATAGTAATTTTGCCGACACGATCTTGTAACAGATAACATGGATATTTGCATAGTTTTAATAATAAAGAT", "TTTTCTTATAAAAACTCTCTTTAAGTCATGGCTGTATGCGTCGGCTGTGACTTTTTTATTGTTATTGATTTGTAGTTATATTGACATGTTATTGTAATGTGTATGACGTATTTTTGCTAAAAATATTAAAACCGT", "G", "ACTTTCATTTTAATACTGTTTGTATTGTACGACTTCAAAAATATTCGGTTTCTCCTGATAAATTGTTGGAAATTAATAGTTGAAGTTCCAAAATTTGCTATATATTTGTAATCGTTAACAACTAACAAACAGAAATACCATG", "CGGAAATGTTCTTTTTTTCTTCTTTTGGTGGAATAATGTCGTATTGATGTGTAAAAAATGTTAGCTTAAGTTCAATCTACTGAGATAATCTTTACCTTTGCTGCGCATATAAAGCAAAAGGTAGGTAAACAAAAAGCCTGCGATATTTGTTAATATAGAAAAGGTAAATTATCAAATAAAAGTAAATAGAAGCTT", "AAGTAAGT", "TACATAATT", "T", "ATTAAATAATTAATTCAAAAAACAAACTATT", "TTAATTTAGC", "TTGTT", "AATTAAAAATTATAAGTTAGAAAGTAAAAGTATATCAGTTTGTATCTTTTGGTTTTTAATTTAAGGTTAATACCTGGTCGGGCTTTAATTTTTAATTTTCAATTTTTAATGTAAAAAGATT", "TC", "TTTTTTTTCTTGGGGCAAGATACGCAGCATCTTGCCCCAAGAATTTGAATATCATGCGACAAGTTTATCGGAATCTTTCTATAAGTG", "TTGGAATCATTGTTTGTTGTTGAAGTTGCAAAGGTAGGCAATTTAGTGGAGAAAATACTACATGCTTGTGTAAGATATAAACCTTGTCACTGTAACTTATCCAATCTATCATGAAAAAACAGCAATTCTGCAAAAAGAAAAAATACATTCTAATGAGAAATACATCCTTTTTTCAGATATTTGCATAAGAAACAAGGAGTGGTTGGTGGTTAATGCACCACTAGTTGATCATCATTAGTCGATAATTTTT", "GTTACAATTCTGTTACATAAATATGAAATGAATATTACTTGCTTTCTGCGCGTAATAACTTCATCATCAAATTAATGGTTATTCATTTTTAGTATAGGTCATTCCTCTTACATTTGTTATAAAGTAAAAAACAAAAGAATACA", "CGGAAGAAGGGTAGAAACAAATTCGGGCGCAATCACTGCGCCCGAGCCATAAAGCTAGATTTATTTT", "AATCGT", "CTTCTTGTTTGTTGTTTATTTGGTTATACTTTTATTTTTATG", "TATACTAATTGATATTGACTAATTGTTTTGTTTCTTTTCTTTGTAGGAACCTCACTCCTCGTGTCCTTGGATTGCTGTTTCCGGAAGCAAAAGTAGGGGCCTTCCGAGAGAGAAAAAATGAATCTTTATGATTAATATTTTTCATATTCCACCTGTTGTATGATGTAACATTAATGTAATGTATATGTCATTGTATGTTCATATAAAAACTTGTATTTTGCAAGTTCAATAAAGAAGAAGAGAACA", "GCTTTGGAGTTGACTCCCAAGGCTATGGAGATAACTCCAAAGACTTGTGGAGATAAAACAAACAGGAAGAAAACCTTTACCCCTACGGCGGGAAAGGTTTAGAGATATGGGTAAGATCTTTTAAGGATGAATCAGATTACAAGGCTACGCATTCCTTACGGAGGAATACCCTTTTGCAGACTTGCCCATCCACTGTAGGGATGGATTTCATTCCATTCCTGCAATGGATGATGTTTTGTCAATGC", "AGCGCGTATTGGTTTGTAGTTTTATTACCGGGCGAAATTACACAAATATGTTTAATGTTATTCCCTTTGTATGGAGAAAAGTGGATGTAAATTTGTTCTTTGTCCGGTTGTTTGGGTTACTCTGATATGAATATTGCAGGAATGGAAGCCGCTCTTTTCTTTGTGGAAAAAAGGTGGAGGAACTTTCACAAGCTCTCCGTCCCAACCGTAGCAGGTATATGTAAGGAGAATGTTCACGGAAAAAGAATAATGCACAATCTTTTTTTGAGAGATTGTGCATTATAATATAGAAATATACAGATTGTTATTACTGGCTT", "AATTC", "TGTTTTTTCTCCAAAAAAGTCCGGCGAAGTTACTTTAAATGGTTGGAAAAACCTAGCGTTTTATGCTAAAAAGCATATAAATAGTGAAGTAAAAGGTGGAAAATGTTGGAGTATAAATGGGCAAGAGGGAAGTTGTTGCATGAAGTGTCTGTCGCTTAATGGTGCTATATTTCTCAGATGCGGCTGGCACGAATAACGTAGTTCGTGCCGGCGCGTCTGGAAAATAGAATACTTTAGGAACTTTACGGTAAAGACTGATAAACCGGGTGCCTATGTTTTAGAACTGTTTAGTTGTGTGGG", "TTGCTTACTCCTTATATTATTTTGTTGTTTGAAACCAAAACAAAGTTAAGGAAATATATTACCTTTGCCGCCTAAATTTAGTAAAAAG", "CCCCTCTTTTTTTTACTGAACTTATGCTGTT", "GGCTTTTATTGTTTGGTGTTGAATGTATATGGATTATATGCGACAGTAAAAGTACAAAATTATTGTTTAGAATCAGACTTTTATCCGTTTTTTATATATTTGCATTATTTAAAAAGTGGATGG", "AAATCGAACTAATCAGTAACGATAAA" ]
[ false, false, false, true, false, false, true, false, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, true, false, true, true, true, false, false, false, true, true, false, false, false, false, false, true, false, true ]
[ 1, 3, 5, 7, 9, 10 ]
[ 0, 2, 4, 6, 8, 11 ]
[ "2510065017|RJ2H1_RJ2H1-contig-067.67|CDS|2510125249|-|3027:3665", "2510065017|RJ2H1_RJ2H1-contig-067.67|CDS|2510125250|-|3683:4312", "2510065017|RJ2H1_RJ2H1-contig-067.67|CDS|2510125251|-|4333:5181", "2510065017|RJ2H1_RJ2H1-contig-067.67|CDS|2510125252|+|5354:7573", "2510065017|RJ2H1_RJ2H1-contig-067.67|CDS|2510125253|-|7661:8209", "2510065017|RJ2H1_RJ2H1-contig-067.67|CDS|2510125254|-|8206:8907" ]
[ "2510065017|RJ2H1_RJ2H1-contig-067.67|IG|IG_000001|+|2992:3026", "2510065017|RJ2H1_RJ2H1-contig-067.67|IG|IG_000002|+|3666:3682", "2510065017|RJ2H1_RJ2H1-contig-067.67|IG|IG_000003|+|4313:4332", "2510065017|RJ2H1_RJ2H1-contig-067.67|IG|IG_000004|+|5182:5353", "2510065017|RJ2H1_RJ2H1-contig-067.67|IG|IG_000005|+|7574:7660", "2510065017|RJ2H1_RJ2H1-contig-067.67|IG|IG_000006|+|8908:8972" ]
[ "MKIKKFEFNMFPVNCYVLSDETKEAVIIDAGCFYEEEKQALKRYIDSNSLTVKHLLNTHLHLDHIFGNPFLLQEYGLKAEANQADEFWLEQAPAQSRMFGFQLPEAPVPLGKYIFDGDIITFGNTQLEAIHVPGHSPGSIVFYCKEAHCIFSGDVLFQGSIGRADLTGGNFDELREHICSRLFVLPPDTVVYPGHGDPTTVGAEKANNPFFR", "MELILKYFPNLSEQQKTQFAALYDLYTDWNSKINVISRKDITNLYEHHVLHSLGIAKVINFTPDTQIMDLGTGGGFPGIPLAILFPEVQFHLVDSIGKKVKVATEIANAIGLKNVTFRHCRAEEEKRKFDFVVSRAVMPLGDLIKIIRKNIRQEQHNALPNGLICLKGGELEQETMPVKHKTMLYDLKNEFTEDFFKTKKVVYVTISGL", "MKKRNLVLSVMTTCLVSCFLLSGCNGQKQIDSETVKTVKVEEQAHLQDDTVSPACKITIDYSYLAESDAADSIAQRINRTIQAHVLGKEYIRMNPEVAVDSFKNTYINNYRKDVNEFYQEDIKNGTPKDELPTWYNYEYGLTTHFSEGKEGILNFIAETFEYTGGAHPNSWNKWMNFEKNTGKLLALKDVFMAGSEKPMSDMLLEELITEMATRLEDSSITSLEGLQNAGILNSTNMYVPDNFLLEKEEVSFLYNKYDIAPYAVGVITLSLPYTSVEKYMIH", "MNMSDMTKKLYPVTGMHCAACAGNVEKIVRKQEGVENASVNLAAATLAVTYNPDIVSPQQLKEAVMKIGFDLIIDEDNSVQEQEEAEQSYYGQLKRKTIVAWIFALPVAVLGMFLMNVPGVNWWMLLLSLPVILYSGRSFYMNAWKQTLQRTSNMDTLVALSTSIAFLFSLFNTFYPEFWYSRGLEPHVYYEAATVIIAFVLVGKLMEEKAKGKTSTAIRKLMGLQPRTARVVKDGREEDILIAELQVGDKVSVRPGEQIPVDGVIVGGNTFIDESMISGEPIPVEKKQGDKVLAGTINQNGAFTMTAQKVGKNTVLAQIIRMVQEAQGSKAPVQRIVDKVTAVFVPVVLAVAVFTFLVWIVAGGADDFSYAMLSAVSVLVIACPCALGLATPTALMVGIGRGAESHILIKDAVALEQMRKVDTVVLDKTGTVTEGRPVVTGWLHDAGWQNEHKGILYAAELKSEHPLALAIVEALKKEGEKPALIDSFESRTGRGIVVTRGNKTFWAGSHRLLKDFGAGISDLLKGMVEDYEKSGKSLVYFGEGNTLLAVIAISDKIKDTSRQAVKQLKESGKYIVLLTGDGHLTAQNVAGEIDANRFISDALPVDKENVIKELQAEGRVVAMVGDGINDSQALARADVSIAMGKGTDIAMDVAMVTLMTSDLLLLPKAFKLSHKTVRLIHQNLFWAFIYNLIGIPVAAGILFPLYGILLNPMIASAAMACSSVSVVLNSLSLNWRKL", "MKKLVLSISMFLIAATTFAQSEVVTDSIPPISLDGYKNFNGFMLDMGSMIMAPPPLIAPQLYYQPYNNNAIANYNEIFRPNMNIIYDRGSYNHVFTPMYPGMGIYGFGTMSMPPTLQSATFKLKNGLHITTYGEYDADGNKVRNPSALPWERNNFNGAFEMKSENGNFGIRIEVQRGRNNPF", "MLPYINDFPIEFRDYIASEIIPRYAGFDKAHRVDHVLKVIAESLNLSQYYDVSRMMVYVIASYHDLGLCEGREFHHLISGKILWADQKLRQWFPEEHILIMKEAVEDHRASNKHVPRSIYGKIVAEADRIIDPDITLRRTVQYGLSNYPELDKEKQYIRFLTHLKEKYAEGGYLRLWIPQSANAAHLQELRQLIADEEELHKVFEKIYSQETETIQNLENIPIFVRNKKNNSI" ]
[ "GGTAGTAGTATATAAGTTGAATTTTAAGTTTTATC", "GTTTTTTTTATCTATAA", "TCTATACTTAAAATCGTTAT", "AACTTTTTGTTTATTTGCATGGATTACTTATCCTAACTTTCTCCACAAATATAGAATAACTTCGTGAAATGTCCATCTAAAAAGCGAAAATATATTTATCTGTTTGCAACAAGATTGCATGAACTTTTTGCTAAATTTGTCCGTTGATAAGCTATAATAAATAAGTAAAGAA", "TTGAATTAAAAATAAATAAAAAAGCAGCCGTGCATAGATTTTGTTTTGCATGGCTGCTTTTCATTTTTCTATCTTTTAGTTTATAAT", "ATTCTTTCTTTTGATTGGGGTTGCAAATATACAATAATTATCCCACCTTTGCAGAAAAAAGTAAT" ]
[ false, false, false, true, false, false ]
[ 1, 3, 5, 7, 8, 10, 12, 13, 15, 17, 19, 21, 23, 25, 27, 29, 30, 32, 34, 36, 38, 39, 41, 43, 45, 47, 49, 51, 53, 55, 57, 59, 61, 63, 65, 67, 69, 71, 73, 75, 77, 79, 81, 83 ]
[ 0, 2, 4, 6, 9, 11, 14, 16, 18, 20, 22, 24, 26, 28, 31, 33, 35, 37, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60, 62, 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84 ]
[ "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125257|-|2078:2560", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125258|+|2807:3121", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125259|+|3290:3499", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125261|+|4290:4595", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125262|+|4592:5161", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125263|+|5166:7160", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125264|+|7218:8396", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125265|-|8379:10589", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125266|+|10797:11285", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125267|+|11319:11921", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125268|+|12112:13125", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125269|-|13292:15325", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125270|+|15491:16327", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125271|+|16344:16679", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125272|+|16929:17381", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125273|-|17465:18148", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125274|-|18148:19956", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125275|+|20111:22939", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125276|+|22954:23439", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125277|+|23546:25207", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125278|-|25270:25890", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125279|-|25887:26165", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125280|+|26784:27620", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125281|-|27798:29102", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125282|-|29248:30738", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125283|-|30760:31833", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125284|+|32077:33099", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125285|+|33209:33883", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125286|+|34137:34955", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125287|+|35454:36287", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125288|+|36496:39984", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125289|+|40008:40388", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125290|+|40498:41136", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125291|+|41140:42114", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125292|+|42130:42453", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125293|-|42525:43280", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125294|+|43382:45706", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125295|+|45723:45971", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125296|+|46024:46608", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125297|+|47070:47288", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125301|-|47773:48576", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125302|-|48730:49692", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125303|+|49874:51073", "2510065017|RJ2H1_RJ2H1-contig-068.68|CDS|2510125304|-|51162:52568" ]
[ "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000001|+|1867:2077", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000002|+|2561:2806", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000003|+|3122:3289", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000004|+|3500:4289", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000005|+|5162:5165", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000006|+|7161:7217", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000007|+|10590:10796", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000008|+|11286:11318", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000009|+|11922:12111", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000010|+|13126:13291", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000011|+|15326:15490", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000012|+|16328:16343", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000013|+|16680:16928", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000014|+|17382:17464", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000015|+|19957:20110", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000016|+|22940:22953", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000017|+|23440:23545", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000018|+|25208:25269", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000019|+|26166:26783", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000020|+|27621:27797", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000021|+|29103:29247", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000022|+|30739:30759", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000023|+|31834:32076", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000024|+|33100:33208", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000025|+|33884:34136", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000026|+|34956:35453", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000027|+|36288:36495", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000028|+|39985:40007", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000029|+|40389:40497", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000030|+|41137:41139", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000031|+|42115:42129", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000032|+|42454:42524", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000033|+|43281:43381", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000034|+|45707:45722", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000035|+|45972:46023", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000036|+|46609:47069", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000037|+|47289:47772", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000038|+|48577:48729", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000039|+|49693:49873", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000040|+|51074:51161", "2510065017|RJ2H1_RJ2H1-contig-068.68|IG|IG_000041|+|52569:52893" ]
[ "MKKRCYSICVILWVLITTLSATSPTTFHIALKKIYPHATNVSWSQQGNYYVASFIQNGFEKEVWMNGNAQWVMTNTDLQTTDQLTPNVYNDFTLSPYAMWTATNVNLIEFPKRTTLYVITVNLNNSSATKQLFYTLNGRLWQTRDVSYINPTLSPGIFEF", "MKKVLVTLVLVMTLGVSVSFAQAAPVEVPVVEKPQQSKKLVFSEIYMNDVPEAVMDRLALEGAMIKQAFMAYGIDGSRIYKINVLTSDAHEQTLFLGEDGKILQ", "METYDIYFKEGNDFANKGFSLKDKAKAIRMAEDMLAERKGYVKDFVGGTISVMCKETKEEVWSKPIGEV", "MLIYNTTYQTGIDDARNFVIWLSESYIPEVEKTGILQNPRLTHILSHKEQDSECFSLQWEVEDTAALHRWHTQQGMHLNEEMMKIFKDKVVGFPTLMEVIK", "VIQPVKEKIILGIDPGTTIMGYGLLKVVGTKPQVMTMGVIDLRKYGDHYLKLRRIFERVVGIIEAYLPDELAIEAPFFGKNVQSMLKLGRAQGVAMAAALSRDIPITEYAPLKIKMAITGNGQASKEQVADMLKRMLHIPESDMLPFMDATDGLAAAYCHYLQMGRPTLTKEYSGWKDFINKNPDKIKR", "MNVRHVIWASIVSTTISCQSVKKEYNSFDEYPVREDALTEMEYSPAETKFSLWAPTAEEVRVLLFESGNEGSASNTFPMEMGENGTWNISIKEDLKGKFYTFNVKVNGKWLGDTPGIMAKAVGVNGKRAAVLDLRSTDPEGWENDVRPPLKNYADITVYEMHHRDFSLDSVSGIQNKGKFLALTEQGTTSSSGEKTGIDHLKELGITHVHLLPSYDYASVDETKLDKAQYNWGYDPQNYNVPDGSYSTDPYKPDVRIREFKQMVQALHKAGIRVVLDVVYNHTFNTDESNFERTVPGYFYRQTKDGQWANGSGCGNETASDRAMMRKYMIESILYWINEYHIDGFRFDLMGIHDIETMNEIRAAIDKIDPSIFMYGEGWAASSPQLEADRLAMKANVEKMPRIAAFSDEMRDGLRGGWDDDTKGAFLVGEPGHEMSIKFGIVGAIEHPQVISDSVNYSKKPWALQPTQMISYVSCHDDMCLADRLKATMPDASVEELAALQKLAETFVFTSQGVPFIFAGDEMMRDKKGVHNSYNSPDSINTIDWKNKTAHKDVFEYVKGLIAMRKAHPAFRMGDADMVRRQLEFLPVENTNVVAFILKDNANGDSWKNIIVALNSRAEPVKLDIPSGKYTVICKDGKINMKGLGQVSGDELMVPARSAMIIHQ", "MEKTWRWFGKKDKITLPMLRQIGVEGIVTALHDVPNGEIWALEAIQSLKDYIESHQLRWSVVESLPVSEAIKYAGPERDQLIENYKVSLANLGKAGIKTVCYNFMPVIDWIRTDLEHPWEDGTSSLYFDKIRFAYFDCMILQREGAEKDYTDLELQQVRELDKTITEAEKNELVDTIIVKTQGFVNGNIKEGDRYPVTIFRRLLSLYDGIDRDALRENLRYFLQAVMPVCDEYGINMCIHPDDPPFQVLGLPRIVTGEEDINWLLHAVDNPHNGLTFCAGSLSAGLHNNVPLLARMFAHRTHFVHLRSTNAFPNGNFIEASHLGGRAHVIELIRIFEKERPGVPMRVDHGRMMLDDAGKGYNPGYSFHGRMMALAQIEGMMAVINEESKLKS", "MKFPTLLIAAGLLCISVHTTAQPGPRKKVGVVLSGGGAKGMAHIGALKVIEEAGIPIDYVVGTSMGSIIGGLYSIGYTPEQMDSMVRRQDWSFLLSDKIPRSEQNMAEREASEKYVFSLPFGKNAKTQAVGGLIKGQNLANLFSELTVGYHDSIDFNKLPIPFACVSENIVNGNEVNFHKGVLATAMRASMAIPGVFTPVRLDSMVLVDGGVVNNYPVNVARAMGADIIIGVDVQNDLKPANELNSTGSILGQLINLMGLELYKKNLKETDTYIKVDVEGYSAASFTPSAVDTLIRRGEEAALAQKNSLIKLKQELGLDSTYMPKPLPSYPYSPNRKVYIKEITFDGLDEKDKRWLLKRCDLKEDSEISLRRIEEATAILCSNLEYSSATYNLPEAPGGGYNLHFLLSKKYENKLNVGIRFDSEETASLLINVTSNFRGKVPTTLSLTGRLGKRYAARIDYGFEPAPLKNIGLAYMFQYNDINFYHHGDKSHNSTYRYHLGELSFSDVWYKNIRFAVGLRYELYDYDKFLYQEGNQGFDVGTEHFFSYFAQMHYETFDKAYFPTKGISARASYSLYTDNFTKYDDHAPFSAIKGYCQGVIPVTRRFSILPAIYGRFLIGKDIPYSKLNAMGGDVQGRFLQQQLPFVGINNVELTKNALLIGSMKFRQRMGSVHYLTLTGNYALSASKLRYLLEQDTMFGCGIGYGLDSMFGPLEASLNYANRANKVSMYVNLGFKF", "MDNFAAIDFETANNERTSICSVGVVIVRNGEIADRFYSLVHPEPDYYLYWNTRIHGLTQEDTAHAPVFSEVWKQVAPKIEGLPLVAHNKAFDEGCLKAVFRTYCMDYPDYDFYCTYQASRKLKGLRNHQLHTVAGFFGFELENHHHALADAEACAWIARQIL", "MIQEDTYKTITDIAEGIYTEKRSKFIAIAIPVRTIEEIKQHLDTYQKKYYDARHVCYAYMLGHERKDFRANDNGEPSGTAGKPILGQINSNELTDILIIVVRYFGGIKLGTSGLIVAYKAAAAEAIAAADIVERTVDEEITVSFEYPFMNDIMRIVKEDEPAILEQSYDMDCLMRLRIRKSMMGKLRARLEKVETARIIE", "MRNTLVASAFTMLSIATASAQLTIPKEYLPEVHGTIRGKYELQTTNGMQRFQVRNARVSLDGKVLPVIAYKAEIDLSDEGSIKMLDAYARFVPNDTWNVTLGQMRVPFTIDAHRSPHQQYFANRSFIAKQVGNVRDVGATGAFSLKEGLPLKLEGGLFNGSGLTNQKEWHNTLNYSVKLQLMPWKNYNLTLSTQKIHPDKISIYMYDIGTYYEFDNWHIEAEYLYKTYAKNSFDDVHAFNAFVNYDLFIKKGLFRKISFLGRYDSMGDHSNGNADEDGKLYITDYSRQRVTGGVTLSFGKAFQADLRLNYEKYFYDKLSLAKESEQDKFVMELMVRF", "MNFELISEYQPTGDQPEAIAQLTEGVLEGVPAQTLLGVTGSGKTFTIANVIKNINKPTLILSHNKTLAAQLYGEFKSFFPNNAVEYYVSYYDYYQPEAYLPSSDTYIEKDLAINEEIDKLRLAATSALLSGRKDVVVVSSVSCIYGMGNPSDFYNNVIEIKKGKLLDRNVFLRRLVDSLYVRNDIELNRGNFRVKGDTVDIYLAYSDNLLRVMFWDDEIDAIEEIDPISGIRLATFDEYKIYPANLFMTTKESQLRAIHQIEDDLTKEVAKFEEEGKMYEAKRLYERVTYDMEMIRELGHCSGIENYSRYFDGRNAGTRPYCLLDFFPDDFLIVIDESHVSVPQIRAMYGGDRARKTNLVEYGFRMESAFDNRPLKFEEFKELAKQVIYVSATPADYELVESEGIIVEQVIRPTGLLDPVIEVRPSLNQIDDLMEEIQQRIEKEERVLVTTLTKRMAEELTEYLLRNDIRCNYIHSDVDTLERVKIMDELRQGVYDVLVGVNLLREGLDLPEVSLVAILDADKEGFLRSHRSLTQTAGRAARNINGKVIMYADRMTDSMKKTIDETNRRREKQLAYNEEHGITPKQIQRARNAALLGNNSNEVTGTTQGPKAYIEPSSDTTAADPIVQYMTKPQMEKTIERTRKLMQEAAKKLEFIEAAQYRDELLKLEDMMKERWG", "MKKYIIIALVSGTVLTSCGEYNKVLKSTDYEYKYEAAKSYFGKGQNTKAATILEELITIMKGTDKAEESLYMLGMTYYNQGDFITASHYFTTYYNTYPRGVYTEQARYFSGKALFLDTPEPRLDQSSTYKAIQELQMFMEYFPTSSRRQDAQQMIFDLQDKLVMKDYLAAKLYYDLGSYTGNSTYSTTGNNYLSCIVTAQNALKDYPYTKMREDLSILVLRAKYDMAKASVEEKKEERMRETIDEYYSFKNEFPDSKYTKEVESIYKDANKYVKEFNE", "MDYKKTNAPSNTVTRDMMDLCADTGNIYETVAIIGKRANQISVEMKNDLSKKLQEFASYNDNLEEVFENREQIEISRYYEKLPKPTLIAAQEYEEGKVYFRNPAKEKEKLQ", "MIQRIQSVYLLVVTILIIICLCSPVGSYIGSDYSVSALTNLCLTMADGTKDYAPWALFVILLVVAVLAFGTIFLFKKRMLQIRLTIFSTILLIGYYATLVTFIFMLKEESMTFSPSWTVCLPLVAIILNWLAIRAIGKDEVLVKAYDRLR", "MKKYKNFGLLVLALLFALPAAAQLGEERHNFAVGINGGLNMSSVSFNPKIKLNTLNTMSMGVTMRYMSEKYFKMMCGVQMEINYSQRGWDEKIEDDSGNSYSRTMNYLEIPFMAHLAFGKDALNRGVKVFFNAGPQIGFFLGDNEKINWTTSTTRPEHGKEVENKFDYGITAGAGLEVSTGIGHFLLEGRYYMGLSDFYKNSKRDFFERSAHSFIGIRLSYLIDITK", "MKFIRALYLIAALSASSTGMMAQTQSSGYFLHTITKGQSLYSIASMYNVTTGDIVKMNPGSDQKIKTGETLKIPQKNIGTEQQMFHTIQSGETLYKLTQRYGVTAQRICQANPGLSAENFRIGQVIVIPAKVTDSEEIIMNEVKAAQTTKPVTTSTPLKPNCRDMHKVERKETIFSISRLYGITEAELIAANPELRTEKLKKGRFLCIPYPKDTKTETPVDNTPAVIPTDDQLFNESKKEARKISTIKAAVMLPFMTDGKGNRDEQTRMVEYYEGFLMAVDSLKEKGVSIDLYSYDTHNNTSSIKNILDRSELKSMDIIFGPAYPDQVKPVAEFAKKNNIRLVVPFTSKGNEVFSNPAIYQINTPQSYLYSEVYEHFTRKFTTANVIFLDAEDGDKDKVDFIKGLKEELKTKRIPFTELKGENITPESLKGAMNHSMDNVFIPTSGTNVALIKLLPQLIVTSRDNPDYRMQLFGYPEWQTYTNDHLASFYELDTYFYSSFYTNNLFPEAVQFSSAYRKWYSKDMLNSFPKYGMLGFDTGYFFLKGLSQYGNKLEDKLDKVAVTPIQTGFKFERVNNWGGFINRKVFFVHFTKDFELIKLDFE", "MSEEKELINVYGARVHNLKDIDVEIPRNSLTVITGLSGSGKSSLAFDTIFAEGQRRYIETFSAYARNFLGGLERPDVDKITGLSPVISIEQKTTNKNPRSTVGTTTEIYDYLRLLYARAGVAYSYLSGEKMVKYTEEQIIGLIHRDYQGKKIFMLAPLVRTRKGHYKELFEQVRKKGYLYVRVDGEVREIVHGMKLDRYKNHDIEVVIDKLVVSEKDDKRLKQSVATAMQQGEGLIMIYDADTREVRHYSKRLMCPVTGLSYKEPAPHNFSFNSPQGACPRCKGLGYVNLIDVDKVIPDRGLSIYEGAIAPLGKYKNAMIFWQIEALLEKYDFTIKTPVKDMPEDAIDEILYGSDERIKIKSSLIHTSSDYFVTYEGIVKYIQMMQEKDASATAQKWAEQFAKTDVCPECKGARLNKEALHFRIHDKNIYELASMDINELYDWLQQVDPFLEDKQRMIAAEILKEIRTRLKFLLDVGLDYLSLNRTSVSLSGGESQRIRLATQIGSQLVNVLYILDEPSIGLHQRDNLRLINSLKKLRDTGNSVIVVEHDKDMMLAADYVVDMGPKAGRLGGEVVFQGTPKQMLQTETLTSKYLNGQMKIEIPAERRPGNGKSLWLRGAKGNNLKNVDVEFPLGKLICVTGVSGSGKSTLINDTLQPILSQHFYRSLQEPLPYDSVEGLDYIDKVVNVDQSPLGRTPRSNPATYTGVFSDIRNLFVGLPEAKIRGYKPGRFSFNVTGGRCEACGGNGYKTIEMNFLPDVLVPCEVCHGKRYNRETLEVRYKGKSIADVLDMTINRAVEFFENVPQILNKIKVLQEVGLGYIKLGQPSTTLSGGESQRVKLATELSKRDTGKTMYILDEPTTGLHFEDIRVLMNVLNKLVEKGNTVLVIEHNLDVIKLADYIIDMGPEGGRGGGQVLAAGTPEEVAGSKKGYTPKFIKEELEN", "MKEKIAKTNVARLLDKAKIAYELIPYEVDENDLSAVHVAASLGENIEQVFKTLVLHGDKSGYFVCVIPGEHEVDLKMAAKVSGNKKCDLIPMKELLPLTGYIRGGCSPIGMKKHFPTYIHETCTAFPYIYISAGIRGLQIKIAADDLIKETKAEICSLFTE", "MFEGQPKGLYALALANTGERFGYYTMLAIFTLFLQAKFGFTAATTSTIFASFLAGVYFMPLIGGILADKFGYGKMVTTGIVIMFAGYVLLAIPMATNIGLYSMFGALALIALGTGLFKGNLQVMVGNLYDAPEYSAKRDTAFSLFYMAINIGALFAPTAATAMTNYVLGKAGFSYVPQIPSLAHQFLDGTITAEGEATLTAMQTAQNFTGSMADFCTTYIDKLSEAYNYGFGVACISLIASMAIYVIFRSTFKHADYNSKQAKPANVHEEELTPAQTKERIVALLLVFAVVIFFWMAFHQNGLTMTFFARDYTAHEVTGLDRLGFSVWNLALLIVTVYAGFSLFQSKTGKGKLISGVIVTLALVVLGVNYGTMDPTLPILPQIFQQFNPFFVVALTPVSLAVFGSLAKKGKEPSAPRKIGIGMVIAAVGFMLLAFGSFGLPTPAEVEANGIAESALVSPNWLISTYLVLTFAELFLSPMGISFVSKVAPPKYKGAMMGLWFVATAIGNYLVAIIGYLWGDMQLWMVWSVLIVCCLLSALFIFSIMKKLEKVAK", "MKGSLIVVAFFCAGCMIGAANNFQYDMHSVSMYVLYALMLQVGISIGSNKKLKELIKSLRPKMLLVPMATIAGTLLFSALASLLLSQWSVFDCMAVGSGFAYYSLSSILITQFKEPSIGLQLATELGTIALLANIFREMMALLGAPLIRKYFGQLAPISAAGVNSMDVLLPSITRYSGKDMIPLAIFHGILIDMSVPVFVSFFCNL", "MFTIISIMFVGIGIGYVLRNLQFLQKIEKSTSLTIFLLLFVLGISIGSNSLIIDNLGRFGWQAAILATLSILGSMLASFLVFHLFFKKGGRP", "MDYTEQFIRLNCSLMSDYKMMKLNAEMKCMGIGLYIQMILFLRRQQEYKHDFNELDLLAEQWGATVEDLQHLIKDFNLFVITEDGYFRCLYLDEVMGYQNKLSEQRAAAGSKGGRSSKKKTVQTAEKVITSTVLTAADKEANDDADNKENNTENNAENSVKCMKNTIEKSDDENVTENTLQCIDNECVNRSLQASFKQNFIREEKNREEKKNNSNKEKEITVVAVVELFKNIGFAPRQKRYINEIFPIRVRVDTPRTIPDLEERGVGPPMDKCISYLC", "MNGEKLTPITNKPSLWALSPLLVFLCLYLVTSIIVNDFYKVPITIAFMVSSVYAVCITKGLSLNERMLQYSIGAANKNIILMIWIFILAGAFAQSAKDIGAIDATVNLTLLLLPDNLLLAGIFLAACFISLSIGTSVGTIVALVPVAAGIAEKTDMNLAFMTGIVVGGAFFGDNLSFISDTTIAATRTQGCAMRDKFRVNFMIALPAALMVFGYYIFRGMDVMTTHDIQSVEWIKILPYLVVLGTAIAGMNVALVLILGIATTGVIGLFTGSIGLFDWLGSMGTGITGMGELIIITLMAGGMLELIRFNGGVDYIIQKLTKHVNSKRGAELCIAALVSIANFCTANNTIAIITVGPLANDIATCYRVDKRKSASILDTFSCVIQGIIPYGAQMLIAAKLASLSPLSIIEYLYYPVAIGIFALLSIFLRYPRRYS", "METKYLKINPADNVVVAISDLKAGEAITVDGHAITLKEDVPAGHKVTLKDFAQGENIIKYGYPIGHAVTAVEQGRWINETQIKTNLAGLLDYTYNPVSVDLNIPKKDLTFKGYRRKNGDVGIRNEVWIIPTVGCVNGIIGQLAEALRRETNCEGVDAIVAFPHNYGCSQLGDDHENTKKILRDMILHPNAGAVLIVSLGCENNQPDVFREFLGDYDTNRVKFMVTQKVGDEFEEGMKILRELYTKAKTDVREDVPLSELRVGLKCGGSDGFSGITANPLLGMFSDFLIAQGGTSVLTEVPEMFGAETILMNRCRTKELFEQTVHLINDFKEYFLSHGEPVGENPSPGNKAGGISTLEDKALGCTQKCGKAYVDGVMGYGDRLKVKGLNLLSAPGNDLVAATALASCGCHMVLFTTGRGTPFGTFVPTMKISTNSTLAKNKPGWIDFNAGVIVENEPMEKTCERFIDYIIRVASGEPVNNEKKNYREIAIFKTGVTL", "MNKLPERIRIKDIARLADVSVGTVDRVLHGRTGVSEASRKRVEEILKQLDYQPNMYASALASNKKYLFVCLLPQHKEGDYWTDVELGMKRAVETFSDFHITLSVMYYDQYEYSSFINAGEEILKQEPDGVLLAPTIPEMTARFTDKLQEREIPYIFIDSNVASLNPLAFFGQKSDQSGYFAARMAMMLGECPKEIVIFRQINEGRLGSNQQENREKGFRKYMQEHFPDCKIVELNLYAKRPDEDEALMNRFFQENPQVTCGITFNSKVYIIGEYLIGHNMKNFKLIGYDLLHRNVSCLKEGAVDFLIAQQPTAQGYSGVESLCNHLIFKKEVKQCNYMPITLLAVENVDFYLDAHKK", "MGKVVTLGEIMLRLSTPGNTRFVQSDSFDVVYGGGEANVAVSCANYGHEAYFVTKLPKHEIGQSAVNALRKYGVKTDFICRGGDRVGIYYLETGASMRPSKVIYDRAHSAIAEADPCDFDFDAIMEGADWFHWSGITPAISDKAAELTKLACEAAKRHGVTVSVDLNFRKKLWTKEKAQSIMKPLMQYVDVCIGNEEDAELCLGFKPEANVEAGETNAEGYKGIFKAMAKEFGFKYVVSTLRESFSATHNGWKAMIYNGEEFYESKRYDINPIIDRVGGGDSFSGGIIHGLLTKPNQGAALEFAVAASALKHTINGDFNLVSVDEVEALAGGDASGRVQR", "MARFDKIAVLDKIGSTGMVPVFYHKDAEVAKKVVKACYDGGVRAFEFTNRGDFAHEVFAEVVKYAAKECPEMAMGVGSIVDPATAALYLQLGACFVVGPLFNPEIAKVCNRRLVAYTPGCGSVSEVGFAQEVGCDLCKIFPGDVLGAKLVKGLLAPMPWSKLMVTGGVEPTQENLTSWIKAGVFCVGMGSKLFPGDKVAAEDWAYVTAKCKEALGYIAEARANK", "MKKALLKVAVMLLCLAGVTPAMAQFNLKKAVGGAVKAAKAVTLTDEQMTEYVKEYIDWMDKHNQVCADDDPYTVRLKKLTEGLTEVEGMPLNFKVYYVIDVNAFACADGSVRVFSSLMDIMTDEELLGVIGHEVGHVAHKDSKNGFRTALLTSALKDGISSQGGKAAALTDSQLGDLGEALVNATYSQKQERAADDYGYEFLKKAGKNPWAMALSFQKLKKLQEEAGAKKSSKLNQLFSTHPDLDARIQRMEERATSEGIEKPANTMGETGK", "MMNYAYDTSLQETMILTEAFHTDRVICDNGSLYKFIWVQEGKLTLIVDHVEIEMEQDEIISVSNLHRTEFKNVSGRYLALLFNENFYGIYKHEKEVSCSGVLFNGTSGIVHLRLPIADSELLHEVVDRMVTEYILRDNLQGEMLRLLLKRFIILCTRLARKQLSGFPVNEKGFDIIQRYYVLVDNHFKEKKQVQAYAALLHRSPKTLSNLFAAYGMPSPLKIIQERVVTEAKRLLLHTSHSIKEISVILGFESVGTFSRFFKNMTGENTSAYRKRLQ", "MSKKFAEHSQLNLSQVNKDVLKKWDENDVFAKSMTEREGCPSFVFYEGPPSANGMPGIHHVMARTIKDTFCRYKTMKGFQVKRKAGWDTHGLPVELGVEKALHITKEDIGKTISVAEYNAACRKDVMKFTKEWTDLTHKMGYWVDLENPYITYDNRYIETLWWLLKQLYNKGLLYKGYTIQPYSPAAGTGLSSHELNQPGCYRDVKDTTVVGQFKMKNPKPEMAEWGTPYFLAWTTTPWTLPSNTALCVGPKIDYVAVQTYNGYTGEKMTVVLAKALLYTHFNKKAEELALEDYKPGDKLIPFKVVGEYKGPDLVGMEYEQLIPWVKPVTVDENGKWQEAAGEAFRVIPGDYVTTEDGTGIVHIAPTFGADDAFVARAAGIPSLFMINKKGETRPMVDLTGKFYLLDELDEAFVKECVDVEKYKEYQGRWVKNAYDPQFTVDGKYDEKAAAAAESLDIYICMMMKAANKAFKIEKHVHNYPHCWRTDKPVLYYPLDSWFIRSTAAKERMMELNKTINWKPESTGTGRFGKWLENLNDWNLSRSRYWGTPLPIWRSEEGEELCIGSVEELYNEIEKSIAAGFMTVNPYKEKGFVPGEYNGENYDKIDLHRPYVDDIILVSESGKPMKREADLIDVWFDSGAMPYAQLHYPFENKEIVDNRTYYPADFIAEGVDQTRGWFFTLHAIATMVFDSVAYKNVISNGLVLDKNGNKMSKRLGNAVDPFGAIEQYGSDPLRWYMITNSSPWDNLKFDTDGVDEVRRKFFGTLYNTYSFFALYANVDGFAYQEAEVPVNERPEIDRWILSVLNSLVKNVDACYNDYEPTKAGRLITDFVNDNLSNWYVRLNRKRFWGNAMSQDKLSAYQTLYTCLETVARLMAPIAPFYADRLYTDLIAATGRDTVVSVHLAKFPECNEALIDGELEARMQMAQDVTSMVLALRRKVNIKVRQPLQCIMVPVVDEEQKMHIEAVKDLIMSEVNVKEIKFVDGAAGVLVKKVKCDFKKLGPKFGKQMKAVAAAVAGMSQEAIAELEKNGKYTFVLDGAEAVIETTDVEIFSEDIPGWLVANEGKLTVALEVTVTEELRREGVARELVNRIQNIRKSSGFEITDKIKVALSKNPQTDDAVNEYNTYICNQVLANSLELVDEVKNGTELNFDDFSLYVSVVKE", "MAEKTRYSDAELEEFRAIIMEKLELAQRDYDRLKSSIMNKDGNDTDDTSPTYKVLEEGANTLSKEETTRLAARQLKFIQGLQAALVRTENKTYGICRETGKLIPKERLRAVPHATLSIEAKNEGKK", "MKKLLTQGQLSVLIVFAVLIIDQIIKILVKTNMYWHESIRITDWFYIYFTENNGMAFGMEIFGKLFLTTFRIVAVGLIIWYLAKIVKQNYKTGYIVCISLILAGAIGNIIDSVFYGVVFNESTHSTIASFVPVGEGYSEWLHGKVVDMFYFPIIETNWPEWIPGIGGEHFIFFSPIFNFADAAISCGIVALLLFYGKYLNKNIHPSAEEIKG", "MRRRNITWVWLLGAAFMVGCGKQVPEDIIQPDRMENILYDYHLSISMGNNLSYSDNYQKEAYKNYVFEKYHITEADFDSSMVWYTRHTEELAGLYKKVGERFRSEKKRMQELLALREDKPAASLPGDTVDVWYDRKLYWLTDVPLANKVTFEIPADSNFKAKDAFLWSADYIFLSEGERRATMGFNILFDNDSVVGRVKDITCSGVQTLYIKPDSAFAIKSVSGFIYYMDSDSSTNKPGLIINNIALTRYHEPVDTMTVAGKDSLAVEQRVGTDSVVTEKKADSIQSVDIRKNVPARMNPREMKENNAADHSHSDRPQRIKRRN", "MRRFACHYLYVSADGCYSKYVVELEDSGRVRAYFPLKEELSATQWIGGVIIISPMYGLEICSGEKFADFLHRAMLETGCEQPVYAWHIADFDLPGKEFTTGSRLVRL", "MLSKNKIKYIRSLELKKKRKEEQVFVAEGHKLVGDLLGHFPCKLLIATSNWLKMNHSATANEIIEVTQEELSRASLQKTPQEVLAVFVQPSYDLNPEVIKSSLCLALDDVQDPGNLGTIIRLADWFGIEHIFCSTGTADVYNPKTVQATMGALARVKVHYCSLPQLIESLTDIPVYGTFLNGNIIYAESLSAHGLIVMGNEGKGVSPEVERLINKKLYIPNYPQERETSESLNVAIATAVVCSEFRRRLLP", "MKKSARPYICTFIIALCTSCSVSKFIPEDKYLLDEVRIVSETKEVKPSLFNSYIRQNPNAKWFNLVKIPMRTYCVSGVDSTKWINRFFRKIGDAPVIYDESVALKSQEEIEKAVRNMGYMGATVHLDKKTRKNKLKLAYRIHAGHPYKVRHVVYDIDDLVISDYMRQDSAQSLLAPGMLFDVNVLDAERQRITKLLQNKGYYKFNKDFLVYQADTARNTYLVDLTLRLLPYQRRKEDLPQKHRQYKVGEVNFLADDEIMSVQEGTLEEFDSLRYKGYSMYYKGKAFLRPQVLVDFNRIRPGELYSEQDVQNTYSNLGRLRALKYSNIRFREVNGENGTQLDAYVFLAKNKNKSMAFEVEGTNSAGDLGAAASVSFQHRNVFKGSETFMMKVRGAYEAITGLGVASQDYVNDNYMEYGVESSLNFPQFMFPFLSSNFKKKIRATSEVGLKFTSQVRPEFSRTLASASWSYKWTDRKRMQHRLDLVDVNYVYMPRKSSAFQEYLDSMSLRNSALKASYENQLVVRTGYSFTYNSAGNAMMRTPTKNSYSIRANIEEAGNLLYAASKLVHPNPKDGEDYVLANIPFAQYVKADFDFAKNFMIDPRNSFVFHIGVGVAYPYGNSKMLPFEKRYFSGGANSVRGWSVRSLGPGVYKGSEDGRMDFINQAGDIKLDLNIEYRTHLFWKLNGAAFVDAGNIWTIRDDSGQEGGLFKFNEFYKQIAVAYGLGIRLDLDYLILRFDGGMKAINPVETGKKRYPVIRPRFSRDFAFHFAVGYPF", "MIKERKRTYTQEEVNELKKWFDSQELPPTMQIDKAAFTPNLKDTVDMLFEQAYVCYENPKMQGCLYLLEKIKSNLEKNGTGA", "MKKNLLLYGVFLCALSISSCSGGSKSSHVMDSSSMSVENANEVMKYYDTSLKILKDLVNENEIKAVLGYLDQKMPVDSLPVVSQPVVSVQDTVFVSNPGNYFSENDRQNLKENYGRLFRSISAFYENYKTYRLYMQDQSYKKDNNALADKIRKEELLLSIALSEYKQVIFDILTPIVEGAKITLTPIKGNVKDK", "MEKSYVINRIKELCNKKNDREIALDFFYNNRIFHAKYLFLGNDLYVTDTLNVIELKDLDMGVLSRISELLKI", "MKIKFISLASGSSGNCYFLGTDRYGILVDAGIGIRTIKKTLKELGIGLDIIRAVFITHDHADHIKAVGHLGEKFGIPVYSTPEVHAGINKSYCMTEKLSTCVHYLHKGETMELEDFAITAFEVPHDGTDNVGYCMNIDGKIFSFLTDLGHITPTAAEYICKANYLVLEANYDEEMLKMGPYPQYLKERIAGPNGHMSNRETADFLAENINESLKYIWLCHLSKDNNHPELAYKTVELSLRNKGIIVGKDVQVIALKRNTPSDVYEFE", "MKRAKTHIILFMAVTITVLYTVYIAFHNSAERVNTQIDHAFKSAITEDYNERLAYISYYHPEPTNWDIKMYTIAPSLHQKVKSYTIRTRQGKTIYTFKDSLDEQTAKRMLNQYILSQLKPIKPDELNATFRKILSDHGITGRTGTIYYNKSISQHSDQSSAIPRTAYNTPRYIVDITQNIKVQAWVNYDFKTILRHIDNTLFWLIGQLMILIFILIFLKKEKDTQTLLTRMNIDMEKQELYIGNKKCNIQKLDLTLLNMLYERAGTCVSREEIKKSFWPTDDNANEKIDAHIKSIRKVLKEFQEYKLITVRGKGYYLRIP", "MRTKAVLFFLLLLPVYVVNGQDDKREYLKKVLDNLEQIKSATYKVEGEVWNPGDTIPSSIRKYMVKEFDNPADSTIGASFVNLGTDDGKEFQFGYNGEVRVLVNHAVKEIKIDNFTTRPLPFRPLTPPFFNYCKNIVRYTLETEDSIVTTLEDCGDYFHFKLVINEDTQVEFFGKAYHMPPPPFYVEPTSIYELWIHKSNGLPYKKRRAMSHNISVETCCNVEINKETIDRFDVFDYVPQGYETKKYDYGAPSRNMAANLTGKKAPEWTLNDIKERPVSLSDLKSKVILVNITGIGCGACQASIPFLKELKRKYQEEDFELVAIESWSRMHSLQNYAKRKELNYMFLDGDDKVIEDYRTGGAAPYFFILDKERIIRKVIRGYGMGKTDKEITEAIEELL", "MKNFMDENFLLQTETAQKLYHEHAAKMPIIDYHCHLIPQMVADDYQFKSLTEIWLGGDHYKWRAMRTNGVDERYCTGKDTTDWEKFEKWAETVPYTFRNPLYHWTHLELKTAFGINKVLNPKTAREIFDECNEKLAKPEYSARGMMRRYHVETVCTTDDPVDSLEYHIKTRESGFEIKMLPTWRPDKAMAVEVPADFRAYMEKLSAVSGVTISSFDDMVAALRKRHDFFAEQGCKLSDHGIEEFYAEDYTDAEINAIFNKVYGGTELTKEEILKFKSAMLIVFGEMDWEKGWTQQFHYGAIRNNNTKMFKLLGPDTGFDSIGEFTTAKAMAKFLDRLNTEGKLTKTILYNLNPCANEVIATMLGNFQDGTIPGKIQFGSGWWFLDQKDGMEKQMNALSLLGLLSRFVGMLTDSRSFLSYPRHEYFRRTLCNLLGNDVENGEIPACEIERVNQMVEDICYNNAKKFFQF" ]
[ "GATAACTTTTGCACATTTATAGGCTGAGTGTGCGCAAAAGTAGTAAAATGAGGTAAGGGGGATGGGAAATGAAACAGTTTTTTACATTAATTTAACGCCCTGAAAATGTAGATTCTTTTATTATTTTACTTTAAAATGCTAGTTTATAATCGTTTGCCAATTGTTGATATGTCCCTTTTCCGGAAATGTTATTTGTTCAGTCATAATAGTA", "GGTATTTAAATGTTTTGATGAGGTTGATAAAACAGCTTGGCTATACTTTTTGTTCGCCGGTATAAAACCTGATTTTGTGGATTTTTTCCACACTTTTGTGGTGTTGTCAACAGGAATCTACACTCCTGAAATGAAAAAATGTATATGTATGTAGCTGATTTTTAGTTAGATATTAAAATGAGCAGATTTGTGGCGCGCTTCTTGTTTTATTGTTGTCGGTAAAAATAAATAAAAAATAAAGTAATT", "TTAGTATATTTATAATAGTGCTTTTACATGAATTCCCGGCTTGTGATAAGTCGGGAATTTTTTTAGTTAAATTGTTTCTTGACGATATTCGAAAAATAATTAAGAAATCTCTTGTGCATAATAATTGTAGGTTTTATCTTTGCCACTCAAATTTAAAAAGGTGATACA", "TGCAATTTTTACATCTTTTTTTTGCCTTGCCAATCATAGAGTTGTGAAATACAGTGCTGTAATTGAAATGGTACGTAGCCGTTAATAGCAGCAACCCTTGGTTGTATTTGTGGTGGATTTGTTATTGGCGGACATGAATATTTCTTTCTCTTCTAGGATATTCGGTATATTTCTCCTTTCATGCTTTTGCCGGACTGATATAGATAATGCCGGGTAGCACTTGATAGGACGATGATTGTTCTTTTACTAAGATGCTTCAGTATGACTTTTTTCCGATCCTATCCATTCTTGACATATAGTTGTTATTCATAGCTAAATACACCGTATTCCCAATGAAGCTTTCTGTGGGGATCCCTTTGGTGTTCGTGTAACTATTGTGACTGTTATTATGCCGATGGGGTATAGTATTGATACAACAATGATTTTTCATAATAACTTTTAACTTATGATTTAGATAGCTCCGACTTGTCACAAATCGGGGTTATCCGCTTGTTATGCTATTAAACTTGGTCAGCTATTGGTTAACAATTTCACGCAACAGTAACTCTTTGGAGTAAAAGTGGCAAATAAATTTTTTGTTCACATGAAAAAAAACTTTCCCAAAAGCTTTGTATTATTGATTTTCTATGTATCTTTGCATCGTTATTATTTCTCGGGGTATTAGCTCATCTGGCTAGAGCGTTAGACTGGCAGTCTAAAGGTGGCGAGTTCGAGTCTCGCATGCTCCACTTTTTTAATGATAAAATGAAGGTCTGCGAAGCAGGCCTTTTTTAATTTAAAGACTATAGAT", "GATT", "AAGGCTCAAAGAGGATTAATAAAGACTTTGTCATACAGACTAAAACACATAATACGA", "TTTTTTCATTTTACTATAAATCTAGTTTAAGTTAAAAAACAAAAACAGTGCAAAGATGGTCTAATCTTTCAGCTTTCACAAGTGCTGATTGTCATAGATATTGGTCTATTTTGCTGCTGAGGTTTCAAAGATAGGGAATTGTACCGGAAAAAAGAATTTTGTATATCGCTTTTTTGTCGTACCTTTGTCTGTGTAACGAAAAAAAGT", "GTTATAATTAAAGATTTATGATTTCTGAAACCC", "CTCTGTCGGTACGTCGATATTTTTGGGGCCATATTCACTGCGTTCACAGCAGATGAGAAGAATACGGAATGTGGCTGTAAAATGTCTTCCCCATATCAAATGAAACATATTTGTAATATGGATGAAATATTACAGATATACCGAACCTATATCTTTGCAGCCGTAAACAAAGAAAGTCACATTAATAAAA", "AAAAAGCAGGGGGACACTCCCTGCTTTTTTGCTGTTTGTCCTTCTTGTCTTTTCCTTTTCCATCCCTGCCTTTATTCCGGAACTCACCGGCATATCCGTGATATTTCTTCGGGTAACAGGCTTTTCCTCGACAGGCAGTGCGCCTTCTTCCGCCAAGGGGGCAAGT", "AATAGCATGTTTGGTTTAATGAGATGTAAAAATACAGTTATTTCCTTAGATATTATCTATTCATCTCTAAAAAATAGACTCTTGTCGACTTTTTAGCATTTAAAAACATGGAAATATTGCATTATTCGGTGGAAAGGCGTAAATTTGCGCAATTATTTGAATAAG", "AACTAAATAAGAAATT", "TTTTTCATTTGGGGCTGTGCAAAAGGTCTTTACCGCAGGTTACACGGATCGTTCTATTTTGAAACATGAGTCGTTTCGTGTGGAAACTGTATCAATCTGAGTAATCTGTGGTACATTTTGATGCACCTCACATTATATTAGCCCTGTTATGCTGAACGCAGTGAGGAATCTGATAACCATTCACTTTGTGTTTTCAGGTTTTTCACTGCGTTTAGAATGACGGGTTGTATGATTAAAATTAAAGAGACT", "GCTGAGGGAATTATTATGTATGATATAAAAAGCCTGCAAGATTCATCTTGCAGGCTTTTGTATTATGAGAGAGAGTCCTTTTT", "TTGCAGTGTGTTGATTAAACTGAGTGGCAAAAGTACAAAAAAAACTGTTTTATTCACATTATATAGAAAGAATAGAAAATATTATTAGGCTTTTTAATTAAATATTTCGTCGGGAAGCATTAATTTTGCAAAGATTACGCAGAGTAAGAAGATT", "CCGGCATACCAGCA", "ATATGCCAAAAAGAGTCACATTTGTGGCTCTTTTTTTTTCAGATAGACTAAAAGTTATATCTTTGCAAAAAAAGAATACTAACTATTAATTAAATCTTTATCAACT", "TTCCCGCCTATATTATATATGAAAAAGAAGCCCGCTTAACCAATCAGCGGGCTTCTCTTTTT", "AGCAATCAATTTTTATAGTAAAACATATACAACCCGACGGTTTCACCGAATGAGAACCATCATGCAATATATCTTTCATCGGGCACGTGTGTGGGTAGGATGAAAGTTGGGTAATAAATTACGTGTGAGTGGAAATCTAAACAAATCCGCTGAACCAATGTACATGCACAATGAAGTGATTACACAGGGGGGTATTTCCCGATGTAAGGTGATGTATGCTGTATGTAGTCTGTAATTGGTTCATATCATTGATTCATTTGCGGTGCAAAGATAATCCTTTTATGTATATTTTCAAAATAAATATTCATAAATCTTCTTCTGTTACCTTTGGACGGATATAGCGTGTGTTTTAACATAGGATCATTTATTGGGAATTTATCTCCGAATTCTGGCGATAAAAAATATTCATTAATTGCAGGGGATAAGAACTTTATCTTTTCTGGAGAATTATACTTTTTTCTTGAATTGAATGTGCTGTGTAAGTGTTTGTGTACTAGTTGTTTATATTTGTTTGAGATAAAAATGAAGATAATGTCATAATTGGTGGCAATTATCTATACACCCTATTGATATTTTTTGTTTCTTTGTAATCTATTTATATACTAACACACCAAAGGA", "ATGCTATTTGCCCCCCCAGGTACGCGCCGTTAATCACGGAAGATATGGATCTTTCACGTGTAAGATGCTATATCTTACAGCTGTAAGATTATAAATCTTTCACCTTCATCGTCTGGACATTGCGAAAGAGTTCAGCCGGTCTGTGCGTGGTGGAATATAACCGTCTGCCGCTTGTTT", "GAATTTGTATTTTATAAAATGAGGTGCAAAGTTACAAAAAGACTTGCTGTATAACAATATATGGGGGAAAAAGGTCACAGCCCCACCTTCGTAACAGGGAAGGCAGGGCTGTAAGGGTATTGGGAAAGTTGGGGATAAAATTGGA", "TTCTATTTTTAGTTATCAGAT", "ACCTTAATTATATATATTGTGCTCGTGCACACTGATAATTTATTTTTTTTCGATACAAATATATAACAATTTTTGGTTTTAAAAAAATAAATAGTACCTTTGTGCGCGCACACGGATGAATTATTTGAGTGGTCTGTTGAGTACAAGGTTACGATGTGATTCGTCTATGGATATGTAAACCAGTATTTTAATTGGGTTGAAAGTTAAACGAATAAATATATAACAATTTAAAATCACGAAATT", "ATTTGTTTTTTATAGGAACACGAATGACGCAAATAACGCAAATTTTTGATACATGTTTTATTTGTATCATTTGTGTTCCTATCTTTCATTTAAACTAAAATATAACATT", "GAAAAAGATCAGCTCATGCCCGCAAGGGGTTAGTGAATTAGGGCGTGGGTATCATTTTGTTTAAAGGTGTATATAAAATGGGTAAGGGCCATCGCGAAGTGGATTCGCGATGGCTTTTCACTTTCCGGCGGGTGCTTGAGGCGGGGCGTTGGCAAAAATATTCTTGTAAAGATTGGTAGATAGAATAAAAATGTGTTCTTTTGTACCGTTTCTTAAGAAATAAAATGAAGCGTTTAATCTAAAACACACAAAA", "ATGGTTTCCGGCAATGTGGATATACACATAATAGAGGGTGTCCGTTTCAATGGCGGACACCCTCTTCTTTTTTTGGGAGATTTTTTTGCATGACCTTATCTTGCCTCCCGGCGGGATAAAATCTGAATTTATTATGAGAGAAATCCTTATTGGGAGAAAATCAAAGTCCAAAGTTCAGGTTAAAAGAATCAAGTGTTCTTTTTCGTCTAGATTATAGAAGGAAATCTCTTGGCACAAAGATACGCTTATTTTAATGGTTGACGAATTCCCTGTAGGGTTATATCATAGCTAGATTTCCTAAAATGATGGCAAAAATTCCTTTTTTCTTTCTGATACTTTGCCTCCTCTTTACATTGTGATATGTGCTATGCGTTGTAAATCAGATTGTTAATTATGTGTATTGCTTCTGGACTGTGCAATAACAGGGTGGTTTAATATAAGTGAAAATCACTATCTTTGTATAAACCAACAGAAAGAAATGCACAAAACAGTTTAGCC", "CAAGAACCGGTCCTTGTTGCTGTTGATTTTGATAAGAACAGGGGCTTTTCCCATGCCTTATGCAGTTATTCTGAAACAGTATCCGTTATCTGATTAAAAAAACAAGCGTCAAAACTTTCTATCTATCTAATTTTCTTGTAAATTTGCACCTTAATTTTTGGAAGGCATTGCCTTTTAGTTAGAGAATACAAGAAAACGATATAAAATT", "TTAACCTTTTAAAACTAAGTTAT", "AATAGTGGTTAATGGTTAGTGATTAATAGTTTATGAGTTTTACTGTCATGTTACAGTATTAACCGCTAACGGCTAATCATTAACCATTAATTAATTTTCAATTTAATAA", "ATG", "TTATCTTTTGTGGGT", "TATATGCTTAGGGTAGCGTTATAGTATTTCCCATTCAGAAATTATTGCATATACCGATGGAAATATGAATT", "GATAATTTCTATTTAATAACAAATATTTGGAGCAAAGCTAAGAAGATATTTTCAATTAATATCTATCTTTGTAGATAAATTTGCGAAGGTTACGGATTTGT", "AGAAAATATATATAAT", "GCAATTATCATTTCATATTGTTATCAAGGTATTATAATTTAATACTGGCAGT", "AAGTATAACAGGCAGCCGGAAGGCTGCCTGTCTGGATTGTATTATGTAAATTAAATCCTTGTTGTTCTCTGCTTTGTTGTTAAGGAAGCTATTTCATTTCCAATCTTCTTGATTTTTCTCTCTTAACTATTTTCAGCCTGTTCAGGAACTATTTTTAGATACATCTTTTATACGTTTCCCCTTTGGAGAAACTTGACATTCCGGTAGGAGATGCTTGCTTGTGGATTTTATTGTTTAAGCTTGTGCTATAATGATGGAAAAAAACAGAAGGTAGGTATATGGGATAAATAGAACTCGGATGGATCACTGATATTGTTTTAATTGTTCATTTAATAGCTTGAATATAATAAAATTGTTAATAACTTTCACATAACTTTTCTATAAATAATGTGAAGTTTAATAAGGGGTTTTTTATCTTTGTAGCAGATTAATTGTAAAAAAGTACTGTAATATTATTTGTT", "GATAACTGATTTTCCTTGTGCAGTCATTAATGGCTGTACGCTCATTTGTATTTTAAAGCGGCGGCCCGGGAGGGGTAGCCGCTTTTATTTGACGGGCTTATAAAAAAAGGAATAACCGAAGTTACTCCTTTTGTACACCCTCAGGGATTCGAACCCTGGACCCACTGATTAAGAGTCAGTTGCTCTACCAACTGAGCTAAGAGTGCAAAATGCAAACCATTTTTTGTACACCCTCAGGGACTCGAACCCTGGACCCATTGATTAAGAGTCAATTGCTCTACCAGCTGAGCTAAGAGTGCAAATTACAAACTGTTTTTCTTTCGTACACCCTCAGGGATTCGAACCCTGGACCCACTGATTAAGAGTCAGTTGCTCTACCAACTGAGCTAAGAGTGCATTCATTTCTGATTTGCGGTTGCAAAGGTAGGTGTTTTCTTTTAAACATCCAACATTTTGCACCATTTTTTCACCTTTTCATCGAAAT", "TTTGTTGCTCTCCCAATTCTAGTGAATCCCCACGTTTTTTGTGTTAAAAGAGCCGCAAATATACATAAAAAAAGGAGGAAATAAAATAGAAACAGGCTTTTTCGGAAAGATGATTTAGCGGATATTGTTTTAAAAGAATGCCTGGAATCTGGA", "CGTATGAATTTTGAATGCAAAAGTAAATAACGTTAAGGAAAAAACAGGTGTTTTATCTGATCTTTTTCTGAAAAAGGAGAAAATTCAGAAAGAGTTCAGATAAATATTTTGCAGAGATACAGGCTTTTCTTCTTCTTTGCGTCAGCAAAATATTTTGCGAATCAATAAAAGCAAGAAACGT", "CTGGAATGAGGATGGAACAAAAAGTGAAAACTTTTGCAGACACATTTGTTCCATCCTCATTTATTTATATTCAGCATTCTGACGGTTG", "AAGTAGTCTTTTTATATCTATATAATTGTTGTTTATTTTGACTTTCAGTAAAAACTCATTCTAAAAAAGATGTCAAAGAGCATCTCTTTAGATTTATTAACCGTTATCGAACACGAAAGTAGAAATTTTATTTGGAATAACAAAATTATTTGTATCTTTGCGACGAAGATTTAAAGATTTTAAATGCTTGGTTCAATGATGATATATAAAAACTTAAATATATATTACCATTTAAAAGAAAGCATGAATACTATGAGTACACAATAAAATCTGATTCGTCTTCAATAAGTACAGGTATGGATAAACACATAATATAATTAAAAAT" ]
[ false, true, true, true, true, true, true, false, true, true, true, false, true, true, true, false, false, true, true, true, false, false, true, false, false, false, true, true, true, true, true, true, true, true, true, false, true, true, true, true, false, false, true, false ]
[ 0, 2, 4, 5, 7, 9, 11, 13, 15, 17, 19, 21, 23, 25, 27, 29, 31, 33, 35, 37, 39, 41, 43, 45, 47, 48, 49, 50, 51, 53, 55, 57, 59, 60, 62, 64, 66, 68, 70, 72, 74 ]
[ 1, 3, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34, 36, 38, 40, 42, 44, 46, 52, 54, 56, 58, 61, 63, 65, 67, 69, 71, 73, 75 ]
[ "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125307|-|101:829", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125308|+|933:2084", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125309|+|2096:3133", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125310|+|3133:4155", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125311|-|4177:5973", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125312|-|5999:7810", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125313|-|7832:9013", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125314|-|9018:10424", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125315|-|11104:11664", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125316|-|11670:12206", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125317|+|12532:13440", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125318|+|13472:14359", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125319|+|14546:16306", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125320|-|16414:17571", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125321|-|17585:19762", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125322|+|20202:20582", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125323|+|20691:22994", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125324|+|23291:24244", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125325|-|24335:25384", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125326|-|25547:28066", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125327|-|28633:29829", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125328|-|29863:31923", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125329|-|32297:34474", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125330|-|34867:36555", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125331|+|36791:38119", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125332|+|38116:38691", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125333|+|38691:40181", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125334|+|40174:41184", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125335|+|41166:42098", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125337|+|42323:43540", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125338|+|43902:44783", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125339|-|44855:46711", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125340|+|47318:47419", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125341|+|47376:48395", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125342|+|48485:48760", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125343|+|48823:49263", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125344|+|49362:50036", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125345|+|50385:50798", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125347|+|51276:51410", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125348|-|51763:53250", "2510065017|RJ2H1_RJ2H1-contig-069.69|CDS|2510125349|-|53397:53510" ]
[ "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000001|+|830:932", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000002|+|2085:2095", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000003|+|4156:4176", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000004|+|5974:5998", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000005|+|7811:7831", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000006|+|9014:9017", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000007|+|10425:11103", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000008|+|11665:11669", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000009|+|12207:12531", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000010|+|13441:13471", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000011|+|14360:14545", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000012|+|16307:16413", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000013|+|17572:17584", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000014|+|19763:20201", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000015|+|20583:20690", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000016|+|22995:23290", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000017|+|24245:24334", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000018|+|25385:25546", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000019|+|28067:28632", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000020|+|29830:29862", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000021|+|31924:32296", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000022|+|34475:34866", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000023|+|36556:36790", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000024|+|42099:42322", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000025|+|43541:43901", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000026|+|44784:44854", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000027|+|46712:47317", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000028|+|48396:48484", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000029|+|48761:48822", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000030|+|49264:49361", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000031|+|50037:50384", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000032|+|50799:51275", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000033|+|51411:51762", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000034|+|53251:53396", "2510065017|RJ2H1_RJ2H1-contig-069.69|IG|IG_000035|+|53511:54002" ]
[ "MSHPIYFVSLGPGDAELITLKSLHALQQADIIYCPSTVREPDKILSRAATLLHKLGIKGDIHLFSLPMSKDRTKAIKVYRQLFEEMRLEQKAGKRLAVAVEGDAGIYASVHYVLDLLEENGIPVEQLPGIPSFIAAEAAAKLHLISQKERLVIIPGNITSDELDMYLSHHHVPVIMKLSQCADIVQDYMESHPQYSYHYFENISTAEEYHSSHQAELKRRVFPYFSLMIIFNEQTRKDDSAK", "MKKLILASGLLLLLTVTACQNKHKQGSGNLEHKTLTDSSIVKIIPEYAQGFKITYTDQACLLDIQDPQNKESQSFHYALVPRGVEAENIPADYTVIETPIRSVICMTSLQLSNFIKLEELDAVVGITSTRHLFNKKINDRLKDGSIHKIGIEGNFDNEVIMSVNPDLILISPFKRGGYDALKEVGIPLMPHLGYKEMTPLGQAEWIKFVGLLLGREDKANEQFATIKKRYNELKELTGRVTKRPVVFSGELRGGNWYAVGGRSFLAQLFKDAGADYFLKDDEQSGGVTLDFETVYSQAAGADYWRIVNSYQGEFSYNTLKEEDARYVDFKAYKEKGVIYCNMREKPFYESMPTEPEVVLADLIQIFHPQLLSGHQPGYYELLK", "MKRPVAFYMLLIMASIFLFFFLNLVLGSVSIPLRAVWNILWGTGNESVIWQNIIWKSRVPQALTALVAGAGLSVSGLQMQTVFRNPLAGPSVLGISSGASMGVAFVVLLSGSLGGVALSKLGFMGEIALTIAAIAGSLSIMALIVFVSQKVRGNVTLLIIGVMIGYIANAVIGVLKFFSVEEDIRAYVIWGLGSFARVSGDQMTLFICIMVVLLPLSFLLVKTLNLLLLGDAYARNLGLNIKRARLLVITCSGVLVAIVTAYCGPIIFLGLAVPHLCRGMFRTSDHRILMPASLLAGASLALVCNLIARMPGFEGALPVNSVTALVGAPVVMSVLFNRRRNEMNE", "MMNQETIHIRKLTTGYPGKGGTKIVAKDIDATIRSGELTCLLGANGVGKSTLLRTLSAFQPPVGGEIEIMGKKLTDYTDKQLATVIGVVLTEKCSLRNMTVEELVGMGRSPYTGFWGNLSKEDKNTVNDAIALVRIEDLKYRMVHTLSDGERQKVMIAKALAQETPVIFLDEPTAFLDFPSKVEIMQLLHHLSRSTNKTIFLSTHDLELALQIADKIWLMDKANGVTIGTPEDLSIDGCLSNFFSRKGIVFDMETGLFRIDNEFEKEVRLVGHGNKYAMVRKALQRNGILASRRVESDFYIETGDMTTSGYIIHPVAGRTIKVDTIEELLEQVTAILASV", "MILILGGTTEGRTAVKVADEAGKPYFYSTKGEWQEIQCKHGIRITGGMDTEKMESFCRQNNIRLLVDAAHPFASQLHRTVDETSRTLHLPVIRFERKYPPRTENIIWCEDYADAIYRLEKAGTDRLLALTGVQTIGKLRPYWEKHTCWFRVLERETSITLAQEQGFPKGNLVFYHAGESEALLLETLHPQAILTKESGESGGFSEKVKAAQAAKIPVFAIKRPPLPRHFMIVTGEYGLRKQIEKNIPAFYPLRSGYTTGACATAAAKAALTALILGEEQKMISFRLPDDEEMTLPVAHTEIEKNSATCTVVKDAGDDPDVTHGASIVVTVSFSNHPDIRFLQGEGVGRVTLPGLGLEIGEPAINRIPRQMIMKELSALYDKGLDVTISVPGGKELAQRTFNPKLGIVDGISIIGTSGIVRPFSSEAFVEAIRREVEVCVAVGSSRLIINSGAKSERFVKKEYPGLPAQAFVHYGNFIGETLKIAAKLKVPLVTLGIMIGKAVKLAEGNLDTHSKKVVMNKEFLRQVAMEAGCSPDVESMIEKLTLARELWTLLSEEDSGKFFPCLLEHCFAHCVPLLPEGKLTILLIDEEGNIPFRIQ", "MKAIILISEASLPLAKTLQRELPDTLIYTKNECEGCISITSCHRFIEEHFNDFDSIIFIGAMGICVRSIAGCIKNKYKDPAVVCVDSTGRFVISVLSGHVGGANELTRHIAAITGGEAVITTQSDNAGLWALDTLAGKYGWKITVPHAKMNRLVTLFVNREPTALLLDIKDKGTEYLERTLPAHVKVFYHFEDMPQSEFKLIIAVTPYIYSAEIPMLCFHPAVLHLGIGCRKQCDPSGIAEYIEAVMHRHGLCPFSLASLNTIELKKDEPLLEILHRRWADTETHIYPAEELKDITVPHPSEKAFEVTGVYGVAESTALKSSGEGTLVLEKQKGMLTEGNHFTFAIAVSATAMRGGHIEIVGAGPGDPELISVRGKRMLEKADLVLYAGSLVPRELTFYAKEGATVRSSAGMDLEEQFALMKEFYDKGLFIVRLHTGDPCIYGAIQEQMAFFDRYKMSYHITPGISSFQAAAAALRSQFTIPEKVQSIILTRGEGRTPMPEKEQLHKLAQSQSTMCIYLSAGIVEQVQEELMQAYPPETPVAACYKLTWKEEKIYRGELKDLAKIVRDNNLTLTTLLVVGEAIDNRKGLSRLYAHEFKHLFRK", "MQTFYIIGISDDRNQFLSPEIRNLVSQGKVFSGGKRHHELVHAYLPEDAVWIDITVPLDAVFCRYEEHSEIIVFASGDPLFFGFANTVMRKLPSAKIILFPTFNSLQMLAHRILLPYQEMQTLSLTGRPWDAFDSALIRGDKLIGVLTDREKTPATIAARMLEYGYDNYRMTVGEALGNGEEESVRTFSLEEASQSAFRFPNCLILQRNKVHPRPFGIPESEFHLLNGRNRMITKMPVRLLTLSMLTLREKKSFWDIGFCTGSVSIEAKLQFPELKVTAFEQRPEGKELMARNSRKFGTPGITTVMGDFLETELGGLPAPDAVFIGGHGGKMIEILQKIKEVLLPDGVIVFNSVSEESKALFTKGITQINKKVTQCTRIAVDAFNPIEIMRAE", "MKKAQLIVAGIGPGSPEDITPAVIQAVKNSDVVIGYKYYFQFIEPYLEPGTECIDTGMKREKARAEQAFELAEQGKTVCVISSGDAGIYGMAPLVYEMKRERGSRVEIEVLPGISAFQKAASLLGAPIGHDFCVISLSDLMTPWDRIEKRIHAAATADFVTAVYNPKSEGRYWQLYRLKEIFLKERDPETPVGFVRQAGRKEETVTITTLQEFDPEQVDMFTVVLIGNSQSYHQEGKLITPRGYYREKTTDATGIGQEIMINSFRTIEKELKNKNIPSDHKWALLHAIHTTADFEMENILHIDPLAVECLYKILNEGKVRTIITDVTMAAAGIRKGALERMGIGVKCYLGDERAAALAKEKGITRTQAGIRMAAEEHPEALYVFGNAPTALMELCDLIRKEKAHPCGIIAAPVGFVHVCESKHMVKPFSHIPKLIVEGRKGGSNLAATLVNAILTFDDAEQLKPGRDV", "MRKNLGAKPFTYPQPVFIIAAYDENGLPNAMNAAWGGISEMNEISICISEGHKTTANILKRKAFTVSMAEAGQIIACDYVGIVSGNKVPDKFVRAGFHATRSEFVDAPLIDELSVAVECKLKDYNPETCILRGEIVNVSVDERVLDQNGKVDASKVIPVIFDPFNNDYLKVGEKVGNAFSDGKALK", "MKRLGLFMTVIMILCITVNAQKQSNRTLVAYFSATGTTEKAAKQIAEVTGGALYEIQPAKKYTSADLDWHDKSSRSSVEMADASSRPALRSQPQNLAAYDTIYIGFPIWWNLAPRIINSFIEKGDFTGKILIPFATSGGSRISNAEQELKKAYPSLNWQKGRLMNGATKEEIKQWTKK", "MDKILNLDSVDQYNSLYGLETLNPLVSVIDLNKATRQMDYVHWNYGVYALYLKLEKACDIKYGRRSYDYQEGTVVCFAPGQTTETTLTTDRVQLNVLGILFHPDLLRGTTLGKTIKKYTFFSYEVSEALHLSEDERNIMTDCLKIIRMELERGVDKHSKTLLVNYIELLLNYCMRFYERQFATRSHSNRDVLTRFEGLLDDYFEGELAERDGLPTVKYFADKLCLSSNYFGDMFKKETGKTPQEYIQEKVIELAKERMSDRRETVSRVAYSLGFQYPQHFCRLFKKRVGCTPNEYRAQNLLL", "MLDLRTVYECNRCLGCKTLHPQVGIINLENPSLEEDAVKFEFYAVLLIEDCPGGCCCCGRKYYDYSNATMVFLTPGEIFRMSKENTLPDKGYLLAFHPDLLFRTSLKNHIKNYTFFHYRKEEALHLSRRETEKVTCCLSNIEDELHHPIDTHSSIILSRHIELLLDYCTRYYERQFITRENKNKALLENMERLFVEYIASGRLQGGKLPTSGYMAGELDLSVAYFDDLLKFETGKTLEEYLQLKRLDIARHMLLQDGHTPAAVARKLGYPNVQCFSVLFKKITGVAPSDYRLSQN", "MYIEQVNSPQDIKQFSAEQLRQLAGEIRNALLTKLSAHGGHVGPNLGMVEATIALHYVFNSPTDKMVYDVSHQSYTHKMLTGRKGAFLNPEDYDVVSGYTNPRESGHDFFTIGHTSTSVSLACGLAKARDLKGGHENIIAVIGDGSLSGGEAYEGLSNAGEMGTNLIIVVNDNEMSIAENHGGLYQNLKELRDTEGRSSCNFFRSLGLDYLYVGEGNDIPSLVAAFAKVKDTSRPTVVHIHTQKGKGYAPAEADREEFHWEMPFDLETGKPKVDCSGMEDYHSLTGKFLLEKMKEDHTVVAISSGTPTVIGFTPERRKQAGRQFVDVGIAEEHAVALASGIAAGGGRPVYGVYSTFIQRCYDQLSQDLCINGNPAVITVFMGTVAGMNDVTHLGFFDIPLISNIPNMVYLAPTCSEEYFAMLEWAVRQTEYPVAIRVPGVAVVHRKEEFDTDYSELNRYKMTARGETVAILALGSFYDLGQALKNKLREESGVEATLINPRYITGLDEPMLEELKVGHRIVVTLEDGVLDGGFGEKIARYYGNSEMRVLNYGLRKEFADRYNLDELMKTNRLTDKQMAEDIAGILE", "MTSKTRLVYLLITTFCLAGCGYKSRNPVSENGLPSADTIQTALAPRYAKGFKITVRPDGVKLLSISEPNNNHAIPELFALVPKGTKAEIPDGYTVIPTPTDRVICMTTPQLAGFTGLNAYDKVVATSTTRRMQNKEWLARLKDGRVKKIGMEGNFDTEIIIASQPDIIFVSPNRRGGYEVMKELNIPLVPYWAFKETSPLGLSEWIKVAGMFIGKEEEACQLFAQMEQRYNLLKAKVSNVKQRPSVFSGEMRRDTWYVPGGQSFYARLFADAGADYFMKDNSETGGVLMDFETVYAKGYNAGYWRVMNGYKGEFSYEALKASNPQYADFRAFKEKKVIYCNLEWIPLYENLPLSPDVLLSDMIKAFHPELLPDYHPVFYSLLEKE", "MKKKCLLTALFGCMVVCASAQISLSGKVVDARTGKPVEGANVRLEQTTIGCATNPKGEFLLKDIKEGTYTLRTSCLNYAPVTQKVSQSQKEMVIRLKSTTFNMDQVVVTGTGTHHKLKDSPVPVEVISQRDLQNANPSSFQDALVKLVPSISVQTTAMGTTLYVNGLPDKYLLVLINGKKVAGDISGSIDYDRINMDAVKRIEVLKGASSALYGSDAIAGVINIITDDPKSALNVSSNTRVSSHGRISESVNADANDGKLSAHLNYNYRTSDGWQLNPYEESKGELVETTKKPVYKNHSHNVSQTLSYAATERLSLHLNGNLFISENDRTGAYDYNNRHQSYTVGGTAKYLLAKRASYIEGNISTTNFRSFYDYINDAKTHKTGDEVLSKDQTYTNANLKGVFKTHENNTLFTGLDYVFEGLEPTETSKMLNNEYQSVYTLAAYVQDEVKLLDAISVVAGIRYAYNEKFKSQFTPKLSLMYQYSGLNVRASYAAGFRSPTLQQMYAVSESRGQITVGDPDLDPEKSNFYNLNIEYNHRLFSIAASIYQNDLKDKIEVEDIGTTPEDIENGITRRRQYRNIEKARVKGFDIGFSVRPFTGFMFGANYIYTDGRNRTEDIRLERTVRHSGNFNASWRKTWNDYTFNIAINGRYQGTRWSKTYGNAPAHQLWDINTRHSFNLKSVALEPAVGVENIFNYTDDRPYNSNYATLTPGRSFYVSLLVRFKQ", "LTAIGNSSIFAPVTMKKHLIHILKLFLPLIFIAYLGGITLFTHSHVVNGVIIVHSHPFKGEHQHTEVELETIFFLSSFAASGSLFTPSSTTVFWVLLCLLAVPAVERIKLIRTGCGIYLRAPPAVC", "MKKYIFFLMGAVCFLLSSSAVHANELNKSDANIIGHVLDKNTEEHLSFISVSLKGTTIGTMTDASGHYFLKNLPEGEFTMEVSAVGYKTVSRKVTLRKGKTLEENFEIEEDLIALDGVVVSANRSETTRRMAPTLVNVMDVKVFENTNSSTLSQGLNFQPGVRVENNCQNCGFQQVRINGLDGPYTQILIDSRPIFSALAGVYGLEQIPANMIERVEVMRGGGSALFGSSAIAGTINIITKEPVRNSGQLTHTLTGIGGTSSWDNNTTLNASLVTDNHKAGLYIFGQNRERSGYDNDGDGYTELPKLKNQTVGFRSFIKTSTYSKLTFEYHHLSEFRRGGNKLNRPPHEADIAEQLQHTINSGGAKFDYFSPDEKQRLSVYASAQHTGRDSYYGGGQDVNAYGATTDFTWVTGSQYIYSFDKCFFMPADFTGGLEYNQDNLTDDMWGYNRYTKQEVRIASAFFQNEWKNKQWSFLLGGRLDKHNMVDHVIFSPRANLRYNPTENMNLRASYSFGFRAPQAFDEDLHIENVGGTVSMIELAKDLTEEKSQSLSISGDLYHRWGDFQGNLLIEGFYTSLSDVFALRQKGERDGIIINERYNEKGAKVYGLTLEGKIAYRSLLQLQAGVTMQKAEYKQARAWSDDETVPMEKKMFRTPNTYGYFTLSYNPFVPMTIALSGTYTGSMMVEHKAGFIDKDIAVNTPDFFELNLKAGYDFNLYKGITMQVNAGVHNIFNAYQSDFDRGAKRDSGYIYGPGMPRSYFAGVKLSF", "MGIAELINKEKKTAFSFEVLPPLKGTGIEKLYATIDTLREFDPLYVNITTHRSEYVYRELGGGLYERNRYRRRPGTVAVAAAIHNKYDITVVPHILCSGFSREDTEYILLDLQFLGITNLLVLRGDKAKDESSFVPEKDGYAHALELEEQINAFNEGKFIDGTPIQAPLTPFRYGVAGYPEKHEESPNMEQDLYWLKKKVEAGADYVVTQMFYDNRKYVDFVERARKEGINVPIVPGIKPFSKLSQLSVIPKTFNVDLPQELVVEAMKCKDDKEARALGIEWCINQCRELIAYGVPGIHFYTVGAVDNVKEVATAVY", "MNTIKLKKNALFNPEGDTDLRHRRMIGGNTTNLNDFNNMRYKWVSDWYRQAMNNFWIPEEINLTQDTKDYPHLDQAERTAYDKILSFLVFLDSLQSNNLPTISEYITANEVNLCLHIQAFQECVHSQSYSYMLDSICSPEKRNEILYQWKTDGHLLKRNTFIGNCYNEFQESQDGFTLMKTLIANYILEGIYFYSGFMFFYNLSRNGKMSGSAQEIRYINRDENTHLWLFRNIILELKKEEPDLFTPDKVKIYEYMMREGVKQEIEWGQYVIGDNIQGLNRKMIEDYIQYLGNLRWSSLGFGPLYEENHKEPESMHWVSQYSNANMVKTDFFEAKSTAYAKSTALEDDL", "MQIIKRNGTTESYDREKIAVAIRKSFISTQKEITDEAVYTIVDEVEQFLHQNEANRSVERIQDEVERSLMEHGFYAEAKNYILYRWQRTERRKVLSQIITGTGDDTIANILKEIQKDFSGKEYSLTFLAEKFTSFCKPDMTSGERLAALVKAAVELTTQETPDWEFIAARLLNFRLTKKLTEQAEAAGIFSFYDKLRYLTDEGLYGNYILASYTPQEIETAAGFMCPERDKLFNYSGLDLLAKRYLIRTRSHEPIESVQEMYLGIALHLAMPEKQNRLQWVKKFYDILSRLEVTMATPTLANARKPYHQLSSCFIDTVPDSLEGIYRSLDNFAMVSKFGGGMGMYFGKVRAAGGNIRGFKGVAGGVIRWMKLVNDTAVAVDQLGMRQGAVAVYLDVWHKDLPEFLQLRTNNGDDRMKAHDIFPAVCYPDLFWRMAKRDLNQQWHLFCPNEIMTVKGYCLEDYYGEEWEQRYMDCVNDSRLSKRSMSIKDIVRLILRSAVETGTPFTFNRDTVNRANPNAHRGIIYCSNLCTEIAQNMSSIETVSTEICTEDGDTVVVKTIRPGDFVVCNLASLSLGHLPLEDEKQMKEKVATVVRALDNVIELNFYPIPFAQITNHRYRSIGLGVSGYHHALAVRGIRWESEEHLSFMDKVFERINYAAIAASSELAKEKGAYHYFEGSDWQTGAYFIKRGYNSPEWKALAVKVSTQGMRNAYLLAIAPTSSTSIIAGTTAGTDPVMKRFFLEEKKGAMLPRVAPALSDKTYWIYKSAYLTDQTWSIRAAGIRQLHIDQAQSLNLYITNEFTLRQVLNLYLLAWECGVKTVYYVRSKSLEVEECESCAS", "MKQFKYYLMAAFVAAVTCTGFNSCSDNDDEESTVNPAVDVVSKTKQHDTAILLCTFGSTYNESLTVYDDIIEDFKSKFPNTDIYMSFTSRTCIGRVEASTGIARYELDQWLKAIGDAGYKRVAVQSLHVIPGEEYLSLMNTDVKKYFMIQWYPHIDVLKGANLLSSAEDTKDVAEILYKHYESKLAGKNNIVLLMGHGNPDENYNANTKYSDMEKALQELAANNNIFVGTVDYGDMLFFPKEIEKEPANRIPVEGFDKTQYPNCMYSKVMSYCEKNGLNPSEVNVYLAPFMSIAGDHAHNDLWGLEAMAEDDDVSSVEINTNEYSWRERLEKLGFKVDRTFESHPTDQAGADHGIKDGCNMKPLGSYPEIRQIWVNHLYENWNDDSAWENGEDYQPEA", "MRYLLPAILLLGTQIASAQSILKDKDDKELSVLLNEVVITGTGTEHYLKDAPVQTEVITSKALEQYQARSIDDLLSGLSPSLTFHDGDMGSHIQLNGLNNDYILIMINGKRMNGDVGGQNDLNQLNPANIERIEIVKGAASSLYGSDAIAGVINIITKRNREKMELTNTSRIGEYGDIRQSTSFGFNYRKIKSVTGINFRHTDGWRNTDLQWDQNQLKSGSTMLTVNRSSNYTLSENIEWQVNRKLDLTAEGTYYERWVMRTHGPWKYQANDFYYRNYTFAVGSKYKLGKRNYLAADLSYGRYGYFYDYKLNEHTDYFLDNDRHERITYFAGQRIKQSIQKQILGQVKSVFYLGEDHILNAGIEYQYNHLESPHHIDGDRASVYTLAAYIQDEWTARENLVLTAGVRGTQHKETGLNFSPKISGLYKPGEHINLRASYALGYKAPTIKELYYNYTGVIGGGALTAYHGNTDLKAQTSQYASIGIEYVGQKFQASLTGYMNYLHNMIELVEISVTPDEKFLEVEKSKQYKNLTKARIYGMDFTFNYHPAKSLSLAGGYSYADPKAQYPNKGINYMKYLPIDATSSHNANLNVLWQHSWKLYRLGVGIYGRYQSTRRYINDNNADGFQTWRINTAHSLLKMKRWSLTMNAGIDNVFDYVDRTPFGRNRATSTPGRTFYVSALIKFKNN", "MKVKLLLTAITLSCLAIDVLAQVSISGKIVSADTNEPIVGANIRIDQSLSGCTTNGKGEFSISNLPDGKHVLRITHVSYTPKSITTKGGEKNLLIKLQDSFTNIGQVVVTGTGTHHRMTDSPVPVSVITAKDLSNASVTSLDEALQKLTPSFSSMTNGMGTTLSLNGLPDDYFIFLENGKRLYGDDTYARINVAKIKRIEILNGASSALYGTNAIGGVINIITDDAKNAINVSSDTRYASKGRFTQSVNIDVNTGKFGSYTSYRRQQAEGWQLNPYEENSKTHELEETGKVASTGFYANTVNQKFTFDATDKLSFYARGGYYDNKTRRPYEAYDYNILHETFNYGIGAQYMINKGNYITADCYADHFSSSYCFFKDNKTYNGKAGEEQVRKRTRNHNLSIKGIFKLGNRHKLSVGTEYIVDVLKSQTDNIAKEDAYTLALFAQDEIKLLRNLQALIGVRYIYHENFKNHATPNVALMYKPGKFNFRASYAAGFRTPTLSELYATDIAKKNDRLTIGNLDLKPEKNNYFSLSAEYVHERFSVSVNAFYNNIRDMIDYNTIATGDKAMEQYGHKEVRQRDNIAEAKVHGINVSANAYLGAGFNLSGGYTHLNTQDVELEQPIDKSIKNAYNINAQWAHSWKIYRLNINLNGRINSKRFSKSYGYAPEYQLWDLNTRHSFNLKSVIIEPGFGMENLFDYMDDRPYNSNYATLTPGRSFYISLSLKFKS", "MELFRNLFEGYPNLWGGGVAHSVLILSLVIAFGIMLGKIKVAGISLGVTWILFVGIVFGHFNLNLDEHLLHFLKEFGLILFVYSVGLQVGPGFFSAFKKGGFTLNLLAMMVVFLGVTITIILHFITGVPITTMVGILSGAVTNTPGLGAAQQANSDLNGIDAPEIALGYAVAYPLGVVGCILSLLALKYILRINTKTEEADAERGLGHLQELTVRPISLEIRNEAVEGKTIKEIKPLVNRDFVISRIRHCDGDRQTELVNSTTVFHIHDEILVIANPIDVEAITVFFGKQVNVEWDFQNKQLISRKILITKPELNGKTLAQLKIRNNFGASITRVNRSGVDLVATPNLQLQMGDRVKIVGSELAVAHAEKILGNSMKRLNHPNLIPIFLGIALGCILGSTPFLFPGIPQPVKLGLAGGPLIVSILISRFGPHYKLITYTTISANLMVREIGISLFLACVGLGAGKGFIETIINEGGYVWIAYGAIITLLPLLIVGIIGRYVYKLNYYTLIGVLSGATTNPPALAYSNDLTSCDAPAVGYATVYPLTMFLRVLTAQILILALA", "MKPQLLIGAATSGSGKTTFTMGLLRALHKRGLRVQPFKCGPDYIDTQFHTLAADHESVNLDTWMASNTHVQHLYNKYGDGADVCVTEGVMGLFDGYQRMQGSSAEIARLLNIPVVLIVSARSTAYSVAPLIYGFKHFNPAVKIAGIVFNQVSSPSHFAYLREACVDAGVECLGYLPMTEGLKIPSRHLGLTLTAKRSMNTLIEQAAELVGKYVDLDKLLSICHRNFPCRYTLPYSSETGVESFTPSAKKMKIAIARDPAFNFIYRENIDRLSALGSITYFSPVYGSDLPDADLVYLPGGYPELFARQLHRRKKLMEALRTYAEEGGKILAECGGMMFLTRSLTARQGGTAYAMTGILPLDCTMVGARLHLGYRRIEYKGMELRGHEFHYSNVVAPDAMPSVAKQFTARGMEVSTPLYRYKNVIAGYTHLYWGETDILKLWKV", "MKRIYTRTGDKGMTGIHGGERVFKDDIRIEANGCIDELNAVIGIVRSFLPQGHEWQSLLFSIQKNMMAVMSHVATPSTIREGNPNILPDDLCSFCEEAMDTLMEQMEDNSSFILPGGTPVSAQLQFARTVARRAERRLWTLHKQDPLPEIILRFINRLSDLFFVMARYEMQQQNWTEEKWQSFAYKRKKKE", "MKKNLHPIMLAGTGSDVGKSVIAAALCRIFKQDGYRPAPFKAQNMALNSYATPEGLEIGRAQAVQAEAAGVPCHTDMNPLLLKPSSDHTSQVVLNGRPIGNRNAFEYFRKEGREELRQEVNAAFDRLAARYNPIVMEGAGSISEINLRDTDLVNMPMACYADADVILVADIDRGGVFASVYGSVMLQTPEDKKRIKGVIINKFRGDIRLFEPGVKMMEDLCGIPVLGIIPYYRNIHIEEEDSVGLDYKRMHAVEGKINIAVVLLRHLSNFTDFNRLERDERVHLYYTNNTEDLAKADIILLPGSKSTLDDLYELRRNGVAQAVLRAHREGVTVMGICGGYQLMGLEIHDPEGVEGEIRQLPGLGLLPVITTMQGEKVTRQVNFHFLENAETCQGYEIHMGETRPVPGVSVVPLNKLEDGGEDGCFVNQKCMGSYIHGILDNQAFIDYLLEPYAEKLECHTVLDYRTYKEEQYDKLAEHVRSHLNLPLLYQIMSGND", "MIEGHGDDAYKYKAIKINFSSNVYNHVDHSGLHQHLFQQMESIRTYPEPEPYSLEKVLAERFHLSSEEVCVTNGATEAIYLIAQTFRNQISAILMPTFSEYADACRLHGHKVVPIYNLNRLPDRGRLIWLCNPNNPTGEVREKEVLTACIKQNPQRIFIMDQSYEFFTQKALLTAREAAEFPNVILLHSMTKRFAVPGLRLGYITACKELLHEIRTQRMPWSVNQLAIEAGHYLLSSSQYDIDIYLLLREKKRLVQSLLSIGGMEIWPSDTHYMLVQLRMGKAAALKEYLATEHGILIRDASNFEGLNEHFFRIATQTPEENDKLVESIKKWTYMY", "MDIYVLILPLLIGWILDKLLGDPVGLPHPVVGFGKLISFCEKRWNCGTHRMLKGGVAAIMLILLVYVGSVLVLHYLFVLNRWLGIILSAVLVFYCLAGTTLINEVRQVFLAADHSLEEGRKQVSRIVGRDTSELTDQEVRTAALETLAENLSDGVIAPLFWYLLLGVPGMLAYKMVNTLDSMIGYRNERYLQFGCVAAHIDDMANYIPARLTAFLMVLCAGRPGLLRFVGKYGNRHASPNSGYPESALAGILNCRFGGPHVYFGEIVYKPFIGDKDRFIHTQDMHKAVDINRRAEILMVIVNIVCLYLVG", "MNATITVVCYKSKILSNGEHPLMLRICKDGKKKYQSLGVSIKANQWDFVRERPKPTCPNKEYILKLILDKQAELQQRMLELNTEQKEYTTTTLLQDDHKKYELKTVKQFYQELIEQYMANEKYGNRLIYKSSYNSINVFTNGNLEIPFSAIDVAWLNKYEKWLRSKGNKETTMSLLFRTLRSAYNKAIESKCARKSDYPFNDYRISKFDVSTEKRAIAKADVLKFSTDVRPIGKRQYVQLSKDIFIFSYLCGGINFTDIANLTKENIIEGKRLHYIRQKTGKLIKLGLSEEALQIIGRYAAESKGYLFPILNAQLHKTPLQKQNRIHKMLGKVNKNLKQLAAQLGVESNVTTYTARHSFASVLKKSGVNIALISEALGHSDLATTQIYLDSFDNEQVDEAMKNLL", "MQIINYGTETAPKWLAVATVSIKGEEQDIIPAFTDYSIKQKDFSKDKVFTAMDKSKILPTIFCLTDAEVFIKENVKLFDYHGREIDELPEGAPRILVYLDKPDNINLWSLDIPIKAEIVECDSVADAYQRVATTAYLSQCPSKDDWIGFAGIVSKDKLLLQIKKFSNSFGMNGTAAQGYFGLDTTTSLMQSKAILASALLPKGEHRTYSQAEILMKAAVQAFGAKAAKQTRYIKAINYCISQYGFDGICEALNSIEADEKLKLDASKCEDKVQCLQGIIIEQVHILHKNSNNN", "MIRTDIEIPKNIKYLGEIRNIELPYGTFDRFELPNGILNKDVPNCGATTLALEDNHKTIICSPRNNLLQNKSEQYPNVLLVIGGVNINEVRTYIEQTEIPKILVSYDSIYKLAECIKDTTDWRIVVDEFQYMLADSGFKSEVELKLLEHLKRFPYVTYLSATPILDKYLKQIDYFKDMNYYHLIWTNKEVVKVYRERSNNPISAAIEIVRSYQNKNYPSVFMDGETYYSKECVIFLNSVSNIVNIVKQTELLPDEVNIIVGSSEENDKSIAKLGNGFQRGRIPLKGETHKMITFCTSTAFAGCDFYSTNASTFVISDCKRINTAIDISTDLVQIAGRQRLACNPFRKFLTFIYNVNKEDVSEDEFRKYLDDKVYLTEREVDSNNDETDIQLRTKRIRDCLREQKMLQYQDSYTMYDKSSDRFVFNKLAYISEQYAYELQKYNYRNGIIVKKQLSENDFDVTENQTYGIYKEQLKHIVKKETFVDRMTQYCEYKSKGLCFDLAAFTLEQKYPELKYYYDELGGNRIKALGYKEKELKNEISIRHSDAKIRYKMGTVFQMGMELTTDRIKELMNEVYQKVGVKKKGKATDLEKLYGFKIHPCKILLDDGSRKNGYKIIGV", "MDFTNSGVNSINNHLIQFHYEKFSYHASYGTEP", "MRNLVIMPAMAQNRERMNLGEYAEEATIIVDEPVRPVNHFIEANTQEVTLNHLKHECITPVFSKDNELTINHAAFVETIQDAAQSFFNGEKVEQADIRVSHIIKGRIPEAIHKPANQLLESDKTIYYERAAFSIDVPTIYETVGGNKLNLSIVGVRAYNQMNLYSKKVPELFRLAIGFKNQVCCNMCIFTNGYKDDLRVSNTTELYRAALELFNNYNPAKHLYLMQQLGNTSMSEHQFAQIIGKMRLYQCLPTGYQKALPRMLLTDTQINSVAKAYINDENFGSFGSELNMWKFYNLLTGANKSSYIDSFLDRSLNATEMAVGINAALHGDERYKWFID", "MDKSKIENAINHITSLQEKLCYCENNLQYIKRLQALKYWLHKFDSFLDRNSRLHGEYAAVYESYFHTCCGFSFYDRVCNSILVYEYGDRPF", "MDYTVGEVELTYKSTSKSRNKIYSSEDAYEVLLSTYKKGTICYKEYFKVLFLNQAKQVLGYTLISEGGITETCADIRVILQAALLTNSVAIILAHNHPSGNLKPSRQDMEITKQVKEAARLMRITVLDHLILTDTGYYSFADEGEL", "MKTYIAYLRQSTMKQQISGLGVEAQREIIHNHVKNKPILAEYIETESGKKSNRPQLLAALAMCRKTNSILIVAKLDRLSRNVAFTSKLLESDVEIVFCDFPQANRLILHIISSIAEYEAGLIGQRTKQSLQAKKARGVQLGKSENLMNKLEQAVQHSITTNKAKADNNPNNMRAIALLRSLSMQGKSLSEMTCLLNEQGFVTSKGCKFQITQVKRLLVRAGLMS", "MRRFRLLATSLLVALCTGFSSCGDDVTNEIIQEVQEPDNTLELLIGTWEGTGEVAGRLFKFNEDYTYSYDTPYSNETENGTFEYFPNRYMFVTYYTNDWGQGDWKEENIIYTIVKITEDELILNNNGHSDIIIFKRK", "MGTDLFINAHAVYSYAKVSALTRIASALLLSITIFLGWIDVYIR", "MKSISILRLTAILLCSMHLLGSASLQAQMNKWVNYSPDLTTVLKNPAMGWMMYEEGWSFQGTRHNKSNIYTPEVFWKQMEECKAADYSNILYIRMLWKDLEPEEGKYAWIYNERYKWYIQKAKDKGLKLAFRVFFHGVDGVPSYVYEAGATESPIDDEGKTQPYYDNPVFLEKLDKFIEAFAKEYDNPDEVDYIDAYGLGRWGEGHGLVLEKQDNLESVIRQITESYARHFKKVLTVMNLSQSDYRFSKPLVYDKLGFLPRRDGIGSFWFSNEERAMVHDELFPKRALIGEGCWWFNAQDGDNSKYKHFQGDKRFAMNDFKEAFTVSVTDALDSHCNTLDLRVPLQCKFWIEELPDQVQRFITLGGYRLYPDYIKVEQDHKTLTLFHSWKNYGVGVLPNNHPNWNYKYQVSFVLMNEKKEIVFLYTEPEAEPSEWLKGISYNYLSRFNIPAELQGKYTLCVGLTDKTKNNEAAIDLAVSGNLKIGKWIFVVELEL", "MKTIAILITLLLIININIYQGNSTEVLASNESGIFQY" ]
[ "CTTTTGTAGGTATTTACAGTTTTTAAGCACGCAAAAGTGCTGAAAAATTCCGTATAAAGCAAGAGAATTGATTACCTTTGTTGGCTGAAAAACAGAAAAGAAC", "GAAAATAGTAT", "CAGCAGCGTCTAAATAATCAG", "AGGCTGGATGTGAATGATTCTTTTT", "TTGTTATCTGTTTTAAATCAT", "GGAC", "TTTTTATTATATAATTATTCCTTTCCGGAATCTGATTTAAAAGTTTCCTTCAGTGCTTTCAGCCAATATAATCCTCTGAATGACAATGCGCTGTCCTGACAGTAGTTCCTTCATCTTGCTTTCAGGCTTTTACATCGACGGACTGAAAGTGGTGGCTGGCATGAGGAGCCAAACTTAACCGTACAAAGTTACTTATTTGAGCGGGAGAAACAAGTCTTTTCTTTCTATAAATTATGGAAATCTTTTTTTTATCAGGCTTAACAATGAATAAGGCATCATTCAGAATGTGCCGTAGTCGGGAAGTCGGCAAAGCAGAGGATATTGCCGGTGAGAATTAAAAAAGGAACTAAGAATTATAGAGATTTGCTGATAGATAAGTTTGAAGAAAAAATGTTGGCGGAATCATTGGGGGGCACTATGGGGATGGTTAAGCCTTTTTATTCCTGCACTTAACCCTTTTTAAACGGATGATTTCTATCTCCATAGCCTTTGGAGTTAACTCCCAAGCTTATGGAGATAACTCCAAAGGCTATGGATTTAACTCCGCAAGCTTGGGAGATAGAAATCATTCGTTCAAAAAGGCTTAGTCACAAGGATGTGAGAGCTTAGGAATCGGCTTGCCGGAGTTTGTTCTGTATGGAAGAAGCTGCCTCCGCTGTTTGTATCATATCGGATTCCG", "ACTAT", "ACGATTTATGTTATTTGGTTCAAATATACGTTTTAATATTTTATGATGTTGCAAATTTAGGTATATTTGCTTGGGTTCTTTGTAGATAAATTACGGGTATCCTGACCTTAATTACAGATTCTGCCATATATATGGAGTGGGTAGCCCGGCAGGAACATTCCGGCTCTTCTTTTTATTCCCGGATGGGATAAGATTCGGTAACTAAGGTAAGATAATCCGTAATTGGGGTTATAACCTTGTCCGGATAACTTCTTATTTTTGTGACCGGAATATTTCAAATAACTGAAAAAGCTGTATTTTAGCAGACATTAAACAAGTTAGAATG", "TATTCTGTCGTAACAAGCAATGCAAGAGACT", "TAACCGGGTTGCAGTACCATGTTTTTGTGATACAGTTCCCTTCTGTAAAAATGGTAATTCTATCTGTAATTTATCTACAAAGAATGAATGGGGTCTGGCTTATCTTTGCATTGTGAAATGAAAGCGGCTTTGTCCGCATCGGAATGAAGCAAGACAACAGATGAAATCATTTAAACAGGTAGAACG", "CCTTTTACGGAATGGCTGAAGTCCGAGACTTCAGCCATTTTTGTAATAGTGAAATAGATAAGCCGGATTAAAACTGTTTGTTATCATAAGCATGAGAACTGGAATAC", "GCTAAAAATAGTT", "CGAAATAATTTAAGAATTAATAATTAGCTTTCCTGGCCCCGGGAAAGCGTGAATTATCTATGTTCAGACCTGGCAGGTTTCCTGGCTTCACCCTGAAAAGCGCCTTCCCATTTCTTACAAAGGAAACAGTGGCATGGCGAGAGTTGCTTTTCATTGACGGGTTTCACAGTAGCGGGCACTGCTTCGGATTCTATGACCGAATTCCCTTTTATGCAAATACGGAAAGTACTTACATCACCAAATCTGATGCAAAGGTAGGGTTTTATTTTAAAATCTTTTGGGATTCTGAAAATAAAAGAAACATTTTTGTGATGTTTGATACTGATTTATAGGCTTCTTAATATATAAGGAAGGGACAACTAAGGACGAATGGGCATTTTCTTTGGCAGGATGAGAGGCTTGTTTCCGGTTTTAAATCCATAACTACTATTAATAGGTA", "ATAGTATATTTTTATGTTGGTGGAGTGATTCTCCGTTACATTATCTGTTCGCGGATTCATTCTTCTGACCGCTATCCTTTTATTTATTAATAAACAGAATAACAACAC", "TTTGTATATATTATTGCCGGGAGAGGAGCGCTGTCCGCCCTCTCCCGGCAATTTGCGTCATAGAATAAATAACCTGTTATAGGACTTTCGAACAGGTTATTTTAGATAATAATTCTTTTGATACTCTTTATTTAGAATAATTATCTAAAATAAAATGCTTTATTGGATGTAAAATCTGCTATTCCTATCTTTGTGAAGAAATAAATCTGAAATGTGATTAATATAAAGATAAATCTTCTATATAGCTGTCTGTTATATGGATTTATCGGAATTAATAAGTAAAAAGGTCAAAAAAG", "TAGTCTTTAATGGAAATGTTTCTGTCAGGTCATTTATTGCTCCGTATCTTAACGGTTTAAGATGCGGAGCAAAATTATTTTGTAAATGGG", "GATTTATTGTTGTTTTATATTCTGTCTTCTTGTTTTACTGCTAACTCAAGGCTGTATATTAAAAATAAATTTGTTATTCATTTCTTGTAGGGGCGCAAGCATGGTGCCCCTACAAGAAATGTTTTAGCATGACAATTCAGTACTGGAGTGTTTACGAAAAGA", "GAGATGCTGTTGGTATGAATTATTGTATATTTTCGTACCGCTTCCCTAACCCCACGAGTAGAAACGGCCTTTGATGAATGGCAGGTCTTCTGACTGGCTCCTCATTTCCGATGCCTTCCCGCGTTCTCGTTATGTGGAGTCAGGCAGTGGCAATAGGTACGTATCGGAAATTTTATCGGAGTTTCACAGCAGCGGGACTGTCCGGGATTTACACCCGATTCCCTTTTAATCCGGATAGAGGACATCTATTCGGAACCGATTCGGGAACAAAGATAATGAAAATTGGAATTATTACAGCATTGAGTTCGTTTAAAAATCAGAAATGATTTGTAAAATTTGGATAGCATTAAACCTCTTGGGCAAGTTCTATTACCATGCTTCCAAGCAGCACAGCTACTATTTCCATGTACAGTGCTATGAGTAACCAATTGACATATCTCCTGATACCCATTAGCATTTCTATCGGATATACGGGGATGAGATGAAAGATGGTATCTATCGGTTTTGGCGTATCTGAAAAAGGCGGAAATAAATTCCGCCTTTTTCAGATAAATATATTAAGTTGG", "ACGAATAAAAAATGATTTATAAATTGTTGGTTA", "ATTATGTTTTATTTAATTTGCAAAATAAAACATCAAGTTTCCCTCTGATAAAGAGGAATGCCACAAAGGAATAGACGTTGGAAAACGCTTGTTCGAATGGACACATACTTCTAATCCTGGAAGCTTGGTGTTTATTGTTCAACAGACTTAGCAGGTATTCTGGCTTTCCTCTGATTGGAAACCTTCCCATTTCTTCAAATGAAACAGTGGTTTTAGACTATCCAATCAACATCATGAGGATTTACAGCTGCAGGTACAGCCCCGGATTTACACCGGGTTCCCTTGCATCGGGCTATGTGATAGCCCGATTACTAATTCCGTTGCAAAGATAGAGGTTTATTTTATATTATCTTCGATAAGTCTTTGATTTTTT", "CGAAAGAATTTAAGAATTAATAATTCACTTTCCTGACCCCGGGAGAGCATGATTATCTATGTTCGGACTTGGCAGGTTTCCTGGCTTCACCCTGAAAAGCGCCTTCCCATTTCTGGCAAAAGAAACAGTGGCTTGGTGAGAATTGCTTTTCATCAGACGGGTTTCACAGTAGCGGGCACTGCTTCGGATTCTATAACCGAATTCCCTTTTATACAGGCAGAGAAAGGCTGCCTATATCACCAAATCGGGCACAAAGGTAGGCAATTATTTTATATATGTTTTAAGATTAATGAAAAAATGGTTGCTTTTGAAAGATGTGGGGGATTTTGAACCGTAGGAGTGAATCTAATTCACTCCTACGGTAATATGAATTTGCAATAATTCTGGCGGGA", "AATTCATTATCTAGTTTAATTATTTATAGTATCAATTTTATCATTGTTGTATAGCTGTCATTCTGGCAAAAATGACTGCAAAGGTAGCTTATTTAATTGATAAACCATAAAACTTTAATTGCTTTCTAAGGATATTTACTTGATATGTCGGAATTATGAATTAATCTGTTATTCTAATTTCGATAATTTGCAATTTGCACTATCTTTGTATCCGTTTTTAAAGAAATACTAAAGA", "AAAATATATATTACTTTTGTTTCCGTTTTAGAGATGCGGCAGTAGCTCAGTTGGTAGAGCATCAGCTTCCCAAGCTGAGGGTCGCGGGTTCGAATCCCGTTTGCCGCTCTGCTGGAAATCAGATAGTTATCAAAAGATTCCTATCTGATTTTTGTTTTTAGAAAGTGCTGGTTTTAGCGTGGTTTAACCGTGTGAGTTAAACTGAGAGTTAAACCAAGAACAGC", "ACCACAAATTCCTCACTTGTAGCAATACAGGTGGGGATTATTCATTTGCTGACGTTAAGGGATATACTCATAATTTAACTAATCCGCACAGTTTTCAGATGATACATAAAATTACCACCGAAAGGTTTGGACACTTCTGATAATATGCCTTATCTTTGCATTGTCGAAAGGTTCTTGATACCAGCCGACTGGTCTTCTGATAAAGACTATAGGTCTTTGAAATCATGTACAATCTTATTCCACCTACGGAAGGCGTAGGTTACTATAAGAAGACGAACAGCATATCTTTTAAATCAAAGTGAGCAATAACGGTCATCCTCTCAAAGGATGGCTATTTATTTCATTTTAATATTATTAGATA", "TTAAGTCAAATAAGGTTGTACATAAAATAAATGAAGGGTGAATCGAACTAATCGAAACACCCTTTTTTTAT", "AAATTCTACATATTAGTGAGCTGATTAATAAAAAAACATCTTGAAGTTGTGTCCAATATTTCATGGATATTAATCTTAATATAAAGGAGGACATGGAATTAAAATTGGACAATTTGAATAAAATAAAACCAGAGCATTCTCCGGTCTTATTTCTGAATCTGTGCACACTTATATATAGATTTTAGGACAATAACTGTAACTTTTAAACTCCCTTATCCCTCTTTATTGATACAACAAAAGTAATCAATCTCGACATCATAAAATAATGAAAATTGGATAATGTTGAATAATCATGTTAAGGTGGCTATAAACTCCAATTATTGGTTTTAAGGCTCTCCTTGGAAGAACTACCTAACTATACAAGCACTACCATACCACTATTTTACACCCCCTCTCACCAAGCAGAATAAAAACGGAATTGGTCAGACACCTACCCACCCCCTCAACCATTATAAATTTTACTATTCACCTCACATCATTCATGGACTTCTTAAAGAGATACACAATACAGACATAATGGTAATATAGTCTAATTGTAATATCACTTAAAAGTAATTCAGCGAATTGTAGTAAAGTTCAAATCGTTATGGAGTAATCCAAGACGAT", "ATGGGCTTTATTGATTGAGAGAGGAAAGGGCAGCTATTAGGTTAGTTGTCCTTTCTTTATTTCTACCAACCTATTAAATATCATACATT", "CTGTCCAATTTTTCTTCCACCACCACATTAATATTGATAGAATGAATAGTAAAATATTAGAC", "TAAGGTAAAAGGCACTCAATTTCTAAGTTGGGTGTCCTTACTTACATAAGCTGAACGAACATTCAGCACTTGTAACCAACTATTAATAATTGTGAATT", "GGTCACTTTGAAAGGCGGACAAATTTAGAGCAAGGTTAGTCTTATATACCCCAATCCGAGTTTGTCCTACCTTTAATTTTAGCCGGAGAAATTTACTTGTGCTTTATAAGTTCATGTGGATTTGAGCTGTTATATTTATAAGGTGAAATATTTTATTACTTATCCAAGTGACTAAATATAAAACCTTTATATAGTTTTATTCCTTTTATATTTCTTTTATTTATAATAATATTATTATATTTGCACCCGTTATAGCATTGGTAATCAAACTAGATTATATGTTCCTCAATGCTTGATTTATTAACAGCTTATCCATAGGGAACAGGTAGGCGTAGATTAAACTTGATA", "TAATCCATACCAATTAGGGCAGATTAAAACAGCATTTGAGGAAACTCTTATGCTGTTTCTTTTTATATAGAAACTATTGCCCTAATCAAACCAAAGAGTTATCTTTGTAACATAGGTTTTACCTACAACGTGATAATAATATATTGTTGAACATTTCTCATGTAACGGCAAAGTTAAACCAAGAGTTAAACCAGCATAAAGAAACCGATAACAAGGATTCTCATTAAGAGCAGCTAAACGGCAGTAGCTCAGTTGGTAGCTGACGAAGGCGAAGCCTTTGGCAGCCGCAGAAGAGCATCAGCTTCCCAAGCTGAGGGTCGCGGGTTCGAATCCCGTTTGCCGCTCCAAAGAGAATTCTGATAGTTAGGTAGTTGGCTATCAGAATTCTCTTTTTTAGCACTATTTGAGGAAGATGAATACAGGTGGTTTGAACATCATTATGTAAATTGATTGAGCAGTATATGGCTAATGAGAAAT", "TGAAAAGACAGGAGAAATATGAAAACGTTATTTTCATAATCTCAAATTATATGTGAAGAAACAATGTCTTTACAAAAGTAATAAAAAGTGCAATCCATCAATAATCAGAATTGCACTTTTATTTTCCACTAATTTATATTCTTAATTTTCTTTTTTGAGGGATATCCAAAGATACAAAAACTATCTGATTATCAATAATTTAGCTTGAATAGATTGTGTTATTTTGTACTATTGAAAGAATATCCCTAAACATATTGGATTTACTGGGTGCAGATATAGAGAAAGTTCTTATTTTTTGATAATCAGTCAGAAGCTTCTTTATTAATTGGTGCAAAAATGCCAAGTACACACA", "GGTTAGAATTAATATTATTAAAAATGTATTTTATAAAATCATTACAAAAGGTTTTTGATAAAAAAATAATCTGAGTCATTGCATGAGAGTTAATGAAACGATATACTTTTATGTCCATTGCTAAGACATTTTTTCTTCTCTAGATC", "ATGTCATTATATTTAAAGTTTATATATAAGCAAATTTAAGGAATAATCTGTTACGTTGTTTTCAGTAATAGGACTTTAACTAAATTTCTTTTAGTTTTTTTTGACAACCTCAATAACATACTCTTTCGGATTGGCTATCAGTCATGCGTCTTTCTTTTGTTCTTTCAGAATGTTTGTGTCAATGACCCTGTATTACAATATTGTTTTAAATGTGTTGTATGTTGGTCTATATATAGTTTATTCTGTGCATTTTTTATGCATAAGATTTTTCTTTAAAATATTTGTTATAGCTTTGCTATCACAAATAACTGAATGTGTTTTTATTTTTAGATTCATTAAACTGGGATGTTGTAAGGCATCTTGATAAGTAAAGCAGTTTGTATTGAAAAAGGCAGGATTGGTGAATCCCGTCTTTTTATGTATCTTTTTGTTATAAGAATAGCCTCTGCTTGTAAAGGTAGAGGCTATTCTTTATTCAAAGAGACGTAAAAT" ]
[ false, true, true, true, false, false, false, false, false, false, true, true, true, false, false, true, true, true, false, false, false, false, false, false, true, true, true, true, true, true, true, false, true, true, true, true, true, true, true, false, false ]
[ 0, 2, 4, 6, 8, 10, 11, 13, 15, 17, 19, 21, 23 ]
[ 1, 3, 5, 7, 9, 12, 14, 16, 18, 20, 22, 24 ]
[ "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125352|-|789:1541", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125353|-|1706:1849", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125354|+|1948:2199", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125355|+|2955:3209", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125356|-|3334:3675", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125357|-|3727:4257", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125358|-|4251:5000", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125359|-|5003:6040", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125360|-|6049:6558", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125361|-|6563:7087", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125362|-|7103:8233", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125363|+|8352:9665", "2510065017|RJ2H1_RJ2H1-contig-070.70|CDS|2510125364|+|9689:10477" ]
[ "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000001|+|1542:1705", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000002|+|1850:1947", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000003|+|2200:2954", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000004|+|3210:3333", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000005|+|3676:3726", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000006|+|5001:5002", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000007|+|6041:6048", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000008|+|6559:6562", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000009|+|7088:7102", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000010|+|8234:8351", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000011|+|9666:9688", "2510065017|RJ2H1_RJ2H1-contig-070.70|IG|IG_000012|+|10478:10485" ]
[ "MTDTKIKAQGAKGDDAIAPQVQINATTNEWEISTDGGKNWKSTGIKATGEKGDRGDAVFAENGVDYTSDPDNVIFTLADGKTKLTVPRTKILSVKFKDGCDIFSVTSVSNTIDIEFIGLTTENYKALVAELRSEDGTTDIEIVPRAENKDVEIKEPVFTDGKCTGTTVKINKKGINGEKAVLKVTLIDNNGQEISVSRIVKFFGAGALDEAAQNGGSFILSDDIILEKPVEVAKGKELVLDLNGKTISNF", "MSIKKTLQNKNKKRFTKEEYKYIESVWNVKNYITGQHHFLVNFAPII", "MELNDWLAITGALRDWKLSAGIHILCKPQNARKENASADSVENENERKQVDWLEKRIVQCTAKSVERTALVSRSTSYMALSSL", "MNLCIMVKKKSYSEEKLNEMIVWLNNHANELPKEMQINKFAFTPNLKLTVESCIMQAKQCLGNYKMAGAFRLLQQIGENLENNK", "MLTHFLDLLAAVISVTSLLIVTYGALIGIISFLKNEIRRFTGGYSITNIRKLRATFGTYLLLGLEFLIASDILKTVLEPTLNELALLGGIVVLRTILSVFLNKEIKELESEEK", "MVIYFMRHTAVDVPQGVCYGQTDVPLKPTFEMEATQTAAHLQGLSFDKVYTSPLTRCVRLATFCGYPDAERDDRLKELNFGDWEMHRFDEIADANLERWYADYLHVKATNGESFEDQYRRVADFLDELRQKPYEQVAIFAHGGILLNAQIYAGIIKPEEAFGALTPYGGIVKIELS", "MKLLASLIFFTRLPFWKIADVPPVYFKRVVDYWPFVGWLTGGIMAGTLWITAEFLPVQIAVLMALAARLLVTGALHEDGLADFCDGFGGGTSRDKILSIMKDSHIGTYGVLGLLFYYGLMWNILTTLSVPLACAAILSGDAWSKFCAAQIINTLPYARKEEESKAKVIYDRMSPGVLLSAFMAGALPMLLLLDKGYWWAAIAPAMMFILLMSLMRRRLQGYTGDCCGATFLLCEFSFYLTINLIYTNLW", "MKNFHIEYPDEGLREALIDKINNLTKPKGSLGVLEDLALQIGLIQQTLSPTLSHPHNVLFAADHGIVEEGVSKSPKEITWQQLSNFLHGGAGVNFLCRQHGFKLVLVDSGVDYDLPYEKGIINCSVGRGTHSFLKGPAMSMEEMELCLERGAKITDMIHADGCNVVSFGEMGIGNTSPSSVWMHLLTGISLEQCVGAGSGLDSEGIRHKYNVLKQSVDHYAGDGSAKDIIAWFGGYEMVMAIGGMLRAAELRMIILVDGFIMTNCILAASKLHPEVLSYAIFGHQGDETGHKLVLDAMKVRPLLNLGLRLGEGTGAICAYPIVVSSVNMMNEMDNFAHASITKYF", "MRRIILITGGQRSGKSSYAEKLALSLSPTPVYIATSRIWDEEFRQRVIKHQERRGPEWTNIEEEKELSRHQVKDNVVLIDCVTLWCTNFFFDLDADVEAALQAVKQEFDNFIRQDATFIFVTNEIGSGAVSENAIQRRFTDLQGWMNQYIASKADQVYLMVSGIPVPIK", "MKRVLCPKCDNYITFDETKYTEGQSLVFVCDHCKKQFSIRIGKSKLKATRKEEILDEQANKEDFGSIVVVENVFGYKQVLPLMEGDNLIGRRSKGTEVDIPIETSDPSMDRRHCIINVKRNKQGEVIYTLRDNDSITGTFLMNEILGNKDRIRIEEGAIITLGATTLILRATEK", "MIELARHIEILLLENDCVIIPDFGGFIAHYQPARYIKEENLYLPPVRTIGFNPQLTINDGLLVQSYMQAHHTDFPDATRMIEEEVAGLKEQLYQNGCAEMHGIGVLHYNIHSTYEFHPNEDGALSPTLYGLSSFSINRLEYLTSTTSAATRELLPRQEKRKRTVRFKRQWIGNAVAVAIAVVLFFFLSVPVENTYVDKGNYASLGTDGLFDAIRSQSLATTLVTVPSRPQQPKKTNIKNNQNTLKPVTVKVEKVGKAQEAVPKNTVAAKLNSTEQPVAKPVTVSKPALEKKETATPSSSKKNKYYIIVSSLPTANDAQQVLNEYKQKGYKDVTIIEGNGRYRLSLCNFADKAAAYKKLNELKQNDAFKNAWILSSK", "MNIAIVGTGYVGLVTGTCFAETGVDVTCVDVNADKIANLQQGIIPIYEPGLEDMVLRNVKAGRLHFTTSLESCLDNVDIVFSAVGTPPDEDGSADLRYVLEVAKTIGANMKKYTLVVTKSTVPVGTARKVKAAIREELEKRGESIDFDVASNPEFLKEGNAIDDFMSPDRVVVGVESERAKKLMTKLYKPFMLVNFRVIFMDIPSAEMTKYAANSMLATRISFMNDIANLCELVGADVNMVRDGIGSDSRIGRKFLYPGCGYGGSCFPKDVKALIKTAEQNGYSMQVLKAVEDVNENQKGILFEKLVKVFNGDLKGKTVALWGLAFKPGTDDMREAPALVLIEKLKQSGCNVRAYDPAAMDESRRRIGESVYYAHDMYDAALDADALILVTEWKEFRLPTWGVIKKAMKQPVVLDGRNIYDGDELQEMGFVYHCIGR", "MYKRFSIIMLAVALSSCGTPQQKASALEENKEAKSLLEGIWLDDNTETALLRVKGDTLFYADAATAPVAFKIIGDSLITYGARTNGYKIEKQGEHIFWFHSAVGDVIRLHKSDTENDSLAFIHTREIPVYNEVIKKDSVVTYDNVRYRGYVYINPSKIKVMRPGGSEEGLGVDNVYYDNIIHICVYEGRKSLYAKDITKQMFKGVVPDDFLQWAILSDMDFMGVDSKGYHYQATVCIPDGASCYMVNITAGKDGGLAFELVR" ]
[ "ACCTATCCACCAATTACCGTTCTCGCCGATATGAGGTGTGATGCCGTCAGATTTACTTTATGATGTAAGAAAAATCATACTATCCCTTCTCATTTGGAGAATCCATATATCCCGATTTACAAGACGAACATAACGCTTTTTAAACTAATGTTATCAGATATTTA", "ATCGTGACAATGGATTTTAGTGTTTTGGCAGCGATGGTGCAAGCGGATAGGATTTTTAAGTGTGTATTTTATAATCAATCAGATAAACAATAGGCAGA", "ATGAACTGATTGCAACCAATGGCAACTACGTGATTAATTTTCGGATTTATGGTACAAGAAAACCGTCTGACAGCCCGACCAGGAAAGTTTTGTTACTGGCTGACGGTCACGTAGAAGGTGATTTCAGCAAGAATAGAAAGAAGGAAACTACAACCAAAGACTGTACGGAGGTGAAAGCCGACAAGGAAACCACTTCCGATATCCATGAGGAAAAACGGTCAGAATCATAAAGGATAAAAAAGAATCCACTTTGCTTAAACAAAAAGGCTTTACCTGTGTTTGTGTAACTGTTTTGTTTGTCGTTGTGCTGATAGTAAAACATTGGCGCAACAGACAATCTTCATCATAAGACTTTAAATTTATAAATTGGACTGCCCCGGCTCGTGATGAGTCGGGGTATTTGTTTAAATACAATTTCCCAATTGGATTACACAATCAACTGAAGAGAATAGAATTTTATGTATTTTTGCCTTGTATTGTGGGGTAGAAGCCAATCTCATAACAAAAATTACTCCTTGTTTTGGGGATCTATTGTAATACGCAATAATGTGACAAAAAATATTTTTAGAAATAGGCAAATCCTTTTGAACAAATTCGTCATTGTTTTGTTCTTGAAGAATAAAGTAGATTGTCAAAACGTAACTAATCTGAACCGTTCCGGCTTGTGATAAGTGGGAGCGGTTTTATTTCAACAATATTTTTGTTAAAAGATAGCGCGTGAATTATATGTTCTTTTATTTTTGTACACAGTTGAC", "TATTCTTCTATTATTTAGTAAGTAAATCAAGATGTTTCTTTCATCGACAAAAATATGAAAGTATGCAATGTGTGTGCAACTTAAAAAGCCAATATGTATAAATGATTAGTTTTTCAGTGCTTTT", "ATTTATTTGTCTTTATGAATAGAACAAATAAATAAGCGATATGTTCTTACA", "GG", "TGTATTTA", "TGTC", "AAAAAATAATCTTGT", "ATCGCAAAATTAGCATTTTTATTCCGTTTCAGCGCAACTTTTATGGAAGAAAATAACAACTCACTCATAATTTATTGTAATTTTGCAGCGAAAAAGTTAGACTAACGAATTAAATAAA", "ATAAAAAAATAGAGAGAATAACC", "TCGTGGCT" ]
[ false, false, true, true, false, false, false, false, false, false, false, true, true ]