repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/c_mux_bit_v12_0/hdl/c_mux_bit_v12_0_comp.vhd
2
10,258
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bkKksDfu8y/5crd5yaDLUCNm0dKKl6zvMaCok9psJE1qERu9vOeX6PLBlkEWmFBaiMO8L0Tp961/ IkxZ/I2gYA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nHF6canPRzezyeZT8rzcUGifvqHXcQtiTMmz0hr9oXg2Zltt3jBxzAyOymn7LutkFPX7+40VW+Ad j1iM+t1ZB6Kdc6s08xB6IYUb0WLJAVSovAe/BgeSgOnsTBnKlEIj10tfOB3zNkUt5Ehe3bB8NZF+ vWIeayy7qR5W740F8cU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block lh0O7SY/AL36t99mjsTMbKLqH794VaocMtekx1uAvHLCSmTvkn39m6aDI8V7ENHuKrxnLOp0MCQM vEbv4XjDXd2uIeHawMiZnn43l18UMpQRbyZkkXPCgS53daJFI2mcUhXmzN5LGmfkMr5RzVd8AZdA fVKcFuOkTZhy8WJNtP/3SimQPw3qKM3+ULHjETrewAhpPbidNeBVJ1StZnglxs6MjtD+ZOPUHN0L IKH/5fL/MHx80xeaeQpAQM/28LDNxzHbkPGJYPNuAzYgmG6rua2LZ33kdMG6z8k3Zm/ZwmSEA9vb se2n8piYz4woRwUh9kBLuKgAmb3uJQlSHfKCSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PCm9rzggv3avRAjXiqgiU5FrPQk2iv9GW904MpewuUaBgC4VDH2WnkHw9aKV0tDtHbSkH+/xTI9M xG3n5pZhGeufg/kppFD2GYbi11Q1OvsOas/UDPL5PSxEVI/CBIkVZbunP7SWrP7g+3CPPjN/bAL9 6PQnFBvPSfR+its1nac= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EDwk66DcF5zTLwkvn30DqI2rfsekx+8xOqUmFAHOv8tUfJwAcUON0GxH6sN2zowdSz7Kz/zyD4ht Sx9TQGWwIsZy86GOehQIHM3Q2RsDk12aJyFkjDUBlv9YUqcOYmKZY5Kd5H7QemRxzHFN3fcNgD30 5O2ZgOTfi2OYiWE2/bWnct/fcN6coCwY3M1Ma/dbl2p5QwM4dXsyTrYRMUHy8HKSrVHSePD3RkWS Aq3DXUzy6sgxRRC8lUOvNzyCkyG6FTlS5voWsAxo65BIMtbJ2bE7YvEM4U9GDKqN95R+G25HdbNS n5h/os/+1jiam7gMCH5SopSM5GW4Yjqay44X0g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5856) `protect data_block tnVubQssY1orrEIhAvLjCzVeFj2yvpQhvpPyrettTLT93ksXrGPASkBoIRzCxcidCdnDTHhBeG/U IRCEDgBi6tsQB/vkyJTG27SRxVcNNlVTlMh3dRxotV90zSyqPUWMTkFGeHMHv3nqlarTKuze8/7K u/pL0owtp4gqE+mNbTx/yMHLanHaWwaR+ctH8df1ckYCs11MHlgZkcjo9fUdlxRxB0qknMshKiEC +9Ntgtq02qck78MfY1SmAxP0RG4KU4sN8zosdvPDIN5T990IsLVn63USjerW8X5ST+xGFKHEtIgq P0g2GaYWl9Pjzx969Nw2/6jQt0JrlmKGWDnagNV7Fx2K0oSqPGdea9O8hrppFgv3Wok/cpbH0Uji OdsA1nl06Nydu+0Buzfy4cWD9WJj4nKVrciIan7vNTOT1/tlmtwypukA8rfO6YCY2oHI13wf384D hK42zk9yrY70nlgYkvIVxQOuuFJDH4Mo+GEUs4ybfJOiGe+/wYIC3dRNMBYRqpBwmOxN+OhttqUS Dz7WmFQERR0zb1eo3na4+aYO4aqDGhxpcTESgzvTDr716BBwmlAmaNwiASmhbwR5Zi/5wVBQnDD2 v/M3zf5ay+t9GJYzPXS6O5Y5kkKsCbK5Ijg+4qJu+A5qSbyl9sy1XcWiDJEKgUpHXdTGkZ3oZt0a rURm/ABaLFPNgTIAAfOkdNIrL7QOHN6zG4E+kjerSk/ng66aRGT4WwUpzdhzOD6ZhND4zUUeQlyW x6t/8yTIVh8Evx9GxGYZmh4cNuHX1k+6t2g1ndtRSpbLpXNyNh0t696ksREK1EkpZ1fkCy4eixen +IE47wmaMEbUvt0dVBx6fuF/CTDl5mGQAJxRPiMdm5dG4S4IelMzMnMm8d0//UxTeqAnHJt3MVoU 1jFLeC9ouOb9vkO2CUe8PFQMQDDLnrS99hJsUPym3Zp1Fdl4fVTYVX6Bztxu8CPF3ET2QAjK2Nju To5q4rE0bwbwRPeDStPd7UdcKQCw4mE/dYQreM87vkfM6X9BWFYyqBsp3Nm5IDJhWVrSBxFc14Vq DDthpD+wJEuvIBfr+VKT2Q4S2DidRGAGwyYNLa6W/4H0H5HtwB9jfDXkT6xjJOYD5tPyWmsHuCRu 13efDMxMmtCN1jv/Bop4K0MXC9G59lj4+MDfs0yge3XuJgp3eRwQ1rukgcYLiUkFmiqVcUTNxVFO Jfi14trMIzsybU/sbZCg5rosq7KqA65LwOpFMfkUtJOB2j0wUMtiXJhmJmZkH8pr9U4+LcLV/HmQ L9AD0LeJvV0Z/olPNOZO6W7MWHUuiG0BI4utW5Rl9kVpkJ0jhos/Oz6PMUYogjp9I8lM9HSQ5J6T w0RUfaAuOPzTLeTpGnXo9uWVixAJMU8D0wXl/3gS6I/xnAKCZCezWttBrjuH+gj/MuBo3teJIudi +UbnPCdT9KCHx8XHPxCGGqLOaNCO2KPg0SbsJrShNEXH6rtOn4qsqKibkn2h3rOixRFsQ/UxaOw3 0H+Oz0Ed2tx0Dpe+/fDsTo5FSu0Jiq8cXtWFld0a+aBYz4Sm06HESBL68L26iKyq5E4Dc4Gwpe3n NfINrz6EIO+f4WDrYHqyMKODV2F5qzF7h0vZZiI01q0zXdNywFhU8dwLiw80d+EgRqRezQQjGqT2 9NL9zMvfq6alMwKNQi+Z7KrrvlJXRfsmElGm1ymsS9PAhta9U6RGZ8wMACG/7sUicpj/trR7a8Z6 yyuGqAz4yepVM5IgRf3X7KQlDnIYM6ri/uYpCd+BiHyXNMxJMGwKkOLOvrYiWrX2ODmnArcdn6W2 hqrkBsHE270M9GsBAHpO2zO573E7hGIMYqBzcathyqFnEqv1frphsbsl5QalwPGbStsgzj6gIJDu 8lupYqttGtZK1bl6WzlTMAFcFT5vPmTn1h4K73lXmoZdFYI2BJcyJ9Y1zBly+bdnpqlU5ag6t3QI t3Tyio9Ka4YJXqwOmTxAgbRJlW0AY9bdUhpN+FTLsd2gHCxGSX7o44nZjkFwIe2ZbnDuzMM2qqbF WjOjaz/eHf3LQ+sFh43SkKWe/UjxhQR2FN88jTZdAL3mR+IzSCsZb5hJAeSrfi7Y2KM/eHqU6wEB kESBwdltvdcASTmH2wxWDa0sxFw6wI/TYmuSPDF0AgyrHBUT4M2bGj8ztb1cWJUkdebExodVY2Wc a3/S8pitEcPyBH0gc0TFkewzr9MZU5B7A63nhwDQI+JA4t7TDFoQ5e325V1oFO3bDCV29Koo7tz4 BYJ+kejO8ggdOceBrh1PuffW9HD/LBLrm0ckTWUEJ38SJ064lyl9ju1PIorCjIj8to+jZ0HNlsUy smSzRUVsZhti+p56kLDCz9ytyrAJSuov87MfqIWYlL4q8jwvZXdWdyUe3SxKXoZNAfb47ALtyRqB QQHIXriYFQp49Sbn0OBADdrguXLgV6qCG9CjLvLilZOjtiFqAQDfYrN8znicOKq3uodfH48fkKOy CUgt2qGttIfdmP/TnjR+TKn5y+8eqLJfjTLCaDeT767QAWqCsly0NxCtbro0me0yrDcjPEQ3PP3v nwAE3WS6f2Efc+XqwKW+rpyIhOTyTbgbRRNK4Ow2pOjy2Lggng+/wmWnVYdMOHtvjmEW/QMGUq+A 56qupTmNdVG2rC/HDQCK73Y3HmOfAXFWI4LHpTlrAA+q9Uwbzwo4XuFMBqByo+lgzWOxXqaazPED wvP8+8sruk1AtoLkumbg7QX4WCvk4CN09A73UZiQBjensOYDT7zArC4WWF19FatJpWpK+BekQITf RiVBhO1PM5J5iCk6eLSgxPOv7J6q3LMMwuADAfrD8katA10IV1yDwPRMxEUrAxZaSK5PALXvSEh+ SAdnB0rEceZMVIFrRKT5r12GjSDIKIngUpoKQoCyJJ6mLZ0PVm1YTbAzgvP071sU/16ZQy81lUn+ pNQ8EQkQxD/K38VuvaH853OeMj/QpuaavSRjkN26eXsVwOwFcZcGsPf9ZsnSJOh5WqXcttBAKUVm /WfZAUmbQnuZ3TRHZXkTiiOhysTnfZBG5kIS3aCMkmO4y+wW1YwyNO//ciG0l9a/wjTwQrIn7QNl 1u4LIWdSCzr1/Tm4WeVzwIBKkTa7WXLkSkUMDpr+/SSkzw9FvDdZVnprtIq3qejtSpRMUu4y7hvF UqTgpujMZi+lLFailuC9uxxG5XKaB+ZnN3erxrH65UX9Dxd8VEwSdHO6t2MpaB/lYiMboG8gHMZq TvnjT9KRpF/9mlu1Im83mCHAmdAf6Hpr0/xiAzM+Lk7f6bOzzkr/QpR6ePl0wZLuASSaGMRIJRNB p31FPqDXDr+jC9iZwkafewFGKZALv6IECmP/MVoYy7akEB4KyWI49tEcCZozLmpEyMrKRBwzoByR EOlns/VFnJdTIAOB0nGcn9J6L8/4hItZMIDlE95Zw/t8gzSp3vwFKftR7TTsS5DUASLswWtFgDGU b8ec8LpUXo5oI+7RfzS7GVwJT4BGWfrtn2Bu3GtVnVdOW+/d6DLgzIw8ZIuzMgeaU8ha4HNcZq/K mdb2PtOZrFbXSMuskcdDV54jk180xWSFMkuukGsvq/b2jkM1EtLfEqcTj83f/v4v25+nQ5oY2ose /RWw8CqEuPvy6j9a75dDsyxO9Sj1/E82FCKeflRzqsA9sIVBsnL6l+/nH3zX8LRhVnxd6UJQA3c0 kS8kxGwNmPsjGtg71EcACPGOVVN7F79eMzqEU7iWwbe6gKScac3Btpx64eK66Kmbc+23QwjtaoPG IHUtjONCDNhoxsVPlCZNtyyRdw6SQv9oFeHgNHXp3q7xhSz0wgO3z0Cx4zNM+OYzQG9k4SdEv2N5 7+CDXoFjYHAn0RGEOX02cmPx+AERDIjZXjZHZiJv/CTqFnai2JZHLbkycb4MioIJEBUfSPH1bTDD +PXFesxAQmWegXn0HguYJBK6nhDHMTRAuSMMRHQ8poWpOeCKhpYMpyCRo8qi/B62JaIQaM01c3Vw hWSDAY9s+A2yuhjz3PYYsI0bz+OdB4dXmgkEfj1yzROZsYxh6Tg0HIUkJhi8T3yteXs2RtoHemQq 7F2oNmwULUVEEkRV5EZ2nqyP+5oaTVy/gFc46iZQ6vWqGXsJuOOPagitGrP4mfSIvDYB34L/pglX QIhpljwFgTFUQA5F3xfvBqxLHBjcHYnQvnvyi7bCHcNJswCErxgUhI2fGCUNFRrYwTCZiFk1outq oubcrDby7hnfwtkJJ7knMBaruebuFn2Nvrc6TyoCrswtZrJUoTfoH/ZWdc7HrWJOJ3BaP+nJ+qs6 ZTDDEH9Q5Aw5AcD9zEKx9h6sxhrc77l+WLWVbuQJKDmfhNVQyxSqWso5E3RM5vLHcXrjo1jL9gh2 QA3f+dMOTaL9vh8to/tFEXMULWHzNFeRqMa0fSKfODUBd055NDF2ZLwsrcxNETJsqrdN2Me7LVD2 o9ekVu+0bJZhpDy6abhVkLUgtNKtKRQBnfw0gDwXS/d+EbLZVhuUMnyVCVWHR/WjsszKk9zqmFbU OSg6qCn58RjYoRGTfn6B7dT5wlWz6c0lJ9aeNlkeTfBi+et21Ho1zE5cnHDfuZa4OffNx89f5VXq avIfcIWFbdjYkoZjKrL+RD7r5druNHZMYDVph5++YKrrMC4+MYnDqXwdbQual/58gZcYqbg4wkbG hz1KDiJOLmtArD/TfLAr8RmKuga47tT5mNOrHpO9eCtTNltFSvHikozwxjAylvOMFqXLbbQKSUNt caP3ZAUe6dUShzLdB8kQ+IWCPrDvyN5sYdNUNFhMsSoXzyuhzLGLoTo2Daw378v0L6AN78Yh0m4J zotuNu/ym7j2C3aYmE7kJ08Jl5+Qe75Fsfvk7HSiezzE+pJ6HLBvcAcIk/yriY6tS9iYKjYp3hKS e1n/AfMw2U5QzkKWqCrG/pZbqWu2fHt2MpsaQEGaq+RFP0s/0vwxprCBq00wtwZKpPDEPlgQO34/ eGZBiUO3ZwnwaWaEK0bd1FR+csRvYhBMNQ1mVn69JXl43rCvbDyRyDcVAWSVr+MbnZwxbJJjut6k GZiwg2nDfWxOZPD9DNZp2p5jCL0wemVeinkRy3vI9pPFIjpwUhvoSvB/JjYGJaNhQz/1f9FAiuXh /cX8pvliZTrS9Kh0vYNxecGse96hojaO89Hq2BxAdkNDIAtevD0L6WbYC1CdoKZ2Rk8BQavvci60 Mk9/LaCKGQiNTvRrC/iCx0mQvvo9ZKt48cIVOStN+xn8xl/WnRUbdHWGzdjXW6Mo4yiDE0Fe5WkA Ypea1AJpXBA0EZzUbUKYxjah6EsGdnmcxqgD7iMviN17WdAdFys+ykhA2uhu5ADH1ndi6FrywOAK GJo2YGe5Hfg8VJ/yZIhqflofq/hsUiQYktf8nuZEs/fc7dVtwtupO+q8UBxAtiVT0QsJrsnJXbxr CEt1uu22QcyaP/WQtpD8Bk+i4J4LnBSyMhZtWFbYM9DvkMc3JXwEDO8cAAyZTDB9Qz6YWYKXhLXJ JXEsNFyc/2wbXU9AEu6dueiaBtHo4s0xRryj26hu3LqDdi4OsSSGY3Xrnv9nlf7NZ/8zLfBSzorJ R36ShsLcksFomyZKJB6ypwCueAGoqxEs5YpB7h+UfvP1geajNpOkdBf9DlZbiVRDZgTPwtJ8OKrs TSy4Qb90QyrXWrviO0ChhY/qoHNszOYIMHcOSk41K2OWuuz+6D8Y9znwqcB2QfcjH1eCa8ZbRRE9 ARZE9uneJlUlJWPcmq8T10M7G436bHuRru7TbKybQoY2e1qBWJ8V73byAP2IPNUFAweY5S6Mmuud ahnEZeiJc/wHfIEzCnUvLq9P/9oDqha2rIbwhrQgPfCpD+6wbzo4PT+UHcVlNMmrdwPjlvhCd2+h OqqjCJEpItdDZYdLTv4iy4phwiMxznOiPxjXhcouUli4TMez9CUH7eXDJWpAmULPFqitake1Os+v vknRbz+tmBG0tmW4Sc5DzqO+audp/WAH55DzE/58hVVjVWU2LboxY8B4JJM3iJSrE7VNFrUmIC5L EQpZlOmboAB06OH2YXIMyUrEDz2s+TzMQsaYvkVlm1sS2+VuDEQ5MqwcFMY4GkCjhD+/VP5NuRAr LN3fUT1IaTxLgO/03bqWt3mLgyPyv8rW4DIpK1+2SypaauYjg41bIGRfvoBsf7XztfJi23WoNvLM Dr+VWqH4fOVp0rXIdijI8zGV2zFjsKKKg72kUpW5LRxnuue1iQo9tlOv/9DY4HSuBaHZX+Jqd9Kb yyUI/XocXWdR6jS0/cvkRPsWLaunVikJzyu7N1HOIhdgs5Ft++RCr8Em2yt4s6pCWIQeiKDvaqXd aeUi9vtI9e6pzgL4GmxrdisY5Nq5D2ZfXdn76aJI4WvIrmfqzGdwFAFQ/zmLYWK4Q5GD/9lyOF2p H4QddfVB4niqkDe9M5XqZoPbz2Ps0mwkINApgm8ccohYPS+f4YI3f7PArpdwfSBbZNfqIkasfqua jVf93/fnhS5mUYPV96VQhlF1NXDXfkilUguIflj+E6uHVjd0rQEc/Q0KzTDr1J3fiINGaQdb3i6I GmCra4InAVbKfWLXvS0ZcNuKRxuwJeQQRoeyUkOj3y6D/1WU0r/YOlVLKq6uS2qE//QBUQUtKp/5 3lhW8qWQEgUMMLQnNvj4MZRm46lCPwuKyz881WtgP171T4eBcHTPbItLZKS4yVSOgbwjw+/9brY4 x0WNM0E3af/4SibzdvGYoUSJfAsGRXzCWcnMEGE/0Jh8NKiDvzWS76RsnVHJdHyb02wzzQLMx+9M nXJHi/4Fn9ZlWAy4U5h0XwBG7ZQnCX9i8YVkruq982gLaAKu2nt+P5A9rbeEWrtWL4yzkIp6e/M8 +HHSuuVdTxZFTsxq1eL71QdkCLdx1CckWB3t5BhpkzMFwB+wCnJPd4gnoz48s56Zk1zrC+sOSRkV UoXxLc8UF6JdI4qWp08yC9xme3RndbwZVZlRjLBTscdUks7l4H8n+TAkAOWOQr9QqZHYG4csxdjz 5Vzh3cFDW1mNlX6NmoU+6vYY7mL9EFlUMsgl6zz4kIZDTCzAbvI8mZuR0kWyFE+GUw0AEb0CPARJ QIbW4/Y1ksLSygeF+We+pcF/d6XaACHnQp33IxksOVDGWOk/D00cWMwhVN1Tw06U+SFhPbyqbyu1 qnDwqAMGElD895OvQ2znPWxj1uoKEfzcNCAV83ZXRwrs/od1hUoU/VhBsBe+QrvQBmvtNR+XYWH5 Dfzwn7TNPeTAJKNrRgAbZvnDlbX0MwTqLx5MQAkLciUmgwcecIBzw1/CGv98hEmc+u+B+cGESamD ybOm6XRQ95bE2YPAGwWDSHfoWwWGuI7n4+V+MMT8rbVJFJsVkvt4iV4/tZDmt8qEzRAng3gbMSPC y/5R1U4U/+Bve3GJjyaEqvLY1bCQfSLO0GEVxVIbLtE3GiPPAhfNpicRcQQEx/VrTQ7rNXfx+o60 KO2QzIk6co4FgPtkPKrv1SmRQ95JhOBOsXsM8VY4h/mQ66WNp2ZRB6ZtldLIZvAEadqKzbzeKWY1 CdUqeLDN8RxKLL+VB6mCeWNjY1gbBuLysJ3R9fAiJdLtLnyDnHh9CYiX1N5kHB6XzGvrHOUleIrO ih6Fjros9I39UIqZD+Ygi/pOaTFwpOLHlCwhtGbimqfamDRxIQRDZG8l8ortDyLBm/XoVGfJQG8n ElZ4pgRufGPfc2Us1z46OwWVeELh4wIhCI5Cx40vPQmKtZlMnHjLQPi0 `protect end_protected
gpl-2.0
60c056b5abda067aa4c7796ab5260183
0.924254
1.90882
false
false
false
false
notti/dis_se
vhdl/complex_alu.vhd
1
3,203
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; entity complex_alu is port( clk : in std_logic; a : in t_data; b : in t_data; op : in std_logic_vector(2 downto 0); point : in std_logic_vector(2 downto 0); c : out t_data ); end complex_alu; architecture Structural of complex_alu is signal imm_c : t_data; signal umul_c : t_data; signal smul_c : t_data; signal umul : std_logic_vector(t_data'high*2+1 downto 0); signal smul : std_logic_vector(t_data'high*2+1 downto 0); signal umulshift : std_logic_vector(t_data'high*2+1 downto 0); signal smulshift : std_logic_vector(t_data'high*2+1 downto 0); signal point_1 : std_logic_vector(2 downto 0); signal op_1 : std_logic_vector(2 downto 0); signal umul_p : unsigned(0 downto 0); signal smul_p : unsigned(0 downto 0); begin p: process(clk) begin if rising_edge(clk) then point_1 <= point; op_1 <= op; end if; end process p; ashift: entity work.shift_ra port map( a => smul, b => point_1, c => smulshift ); lshift: entity work.shift_rl port map( a => umul, b => point_1, c => umulshift ); umul_p(0) <= umul(6) when point_1 = "111" else umul(5) when point_1 = "110" else umul(4) when point_1 = "101" else umul(3) when point_1 = "100" else umul(2) when point_1 = "011" else umul(1) when point_1 = "010" else umul(0) when point_1 = "001" else '0'; smul_p(0) <= smul(6) when point_1 = "111" else smul(5) when point_1 = "110" else smul(4) when point_1 = "101" else smul(3) when point_1 = "100" else smul(2) when point_1 = "011" else smul(1) when point_1 = "010" else smul(0) when point_1 = "001" else '0'; umul_c <= std_logic_vector(unsigned(umulshift(t_data'range)) + umul_p); smul_c <= std_logic_vector(unsigned(smulshift(t_data'range)) + smul_p); alu: process(clk) begin if rising_edge(clk) then umul <= std_logic_vector(unsigned(a) * unsigned(b)); smul <= std_logic_vector(signed(a) * signed(b)); case op is when CALU_ADD => imm_c <= std_logic_vector(unsigned(a) + unsigned(b)); when CALU_SUB => imm_c <= std_logic_vector(unsigned(a) - unsigned(b)); when CALU_AND => imm_c <= a and b; when CALU_OR => imm_c <= a or b; when CALU_XOR => imm_c <= a xor b; when others => imm_c <= (others => '0'); end case; case op_1 is when CALU_ADD | CALU_SUB | CALU_AND | CALU_OR | CALU_XOR => c <= imm_c; when CALU_UMUL => c <= umul_c; when CALU_SMUL => c <= smul_c; when others => c <= (others => '0'); end case; end if; end process alu; end Structural;
bsd-2-clause
ab4a514fa72e48fee27bf3149fdeba3c
0.511708
3.16815
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/DC_CTL.vhd
1
2,240
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); RA0 : in STD_LOGIC_VECTOR (3 downto 0); RA1 : in STD_LOGIC_VECTOR (3 downto 0); RA2 : in STD_LOGIC_VECTOR (3 downto 0); -- RB0 : in STD_LOGIC_VECTOR (3 downto 0); -- RB1 : in STD_LOGIC_VECTOR (3 downto 0); -- RB2 : in STD_LOGIC_VECTOR (3 downto 0); OPC : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0); OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0)); end DC_CTL; architecture Mixed of DC_CTL is signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); begin process(RA, RB, RA0, RA1, RA2) begin -- if (rising_edge(CLK)) then if (RA = RA0) then OP1 <= "01"; -- OP1_SEL <= OP1; elsif (RA = RA1) then OP1 <= "10"; -- OP1_SEL <= OP1; elsif (RA = RA2) then OP1 <= "11"; -- OP1_SEL <= OP1; else OP1 <= "00"; -- OP1_SEL <= OP1; end if; -- OP1_SEL <= OP1; if (RB = RA0) then OP2 <= "01"; elsif (RB = RA1) then OP2 <= "10"; elsif (RB = RA2) then OP2 <= "11"; else OP2 <= "00"; end if; -- end if; end process; OP1_SEL <= OP1; with OPC select OP2_SEL <= OP2 when "0000" | "0001" | "0010" | "0011" | "0100", "00" when OTHERS; end Mixed;
gpl-3.0
ee6d5c4434ab8aad24afde4480d43db6
0.523214
2.966887
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/compare_ge.vhd
3
11,320
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eKL4elCqSuywGB6cMPWpIcqqtJHUHXo/53RyokJOZ8wv0iauJDL9pbBjsEXRMzipKmxkdG4BLtCd VLF8jqdADQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V9CNWM/u9thGNCyGnGvjVWxjUzu/+aq//+eJpVQbB0pAK+bQZrX13ViFs01wko5kpM2T543Qmepv qxQIx5l+wwF9YptCgKQOpaG1oWHDlNpigqqMVm/hImKdFRYAeDegFmeLcb3MGtb7eM7HyItPi5Qx 7hgOHkcBT3p93iTF0so= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iOF0WOaC5T/VOi+tNaN6xs9NE4VNYCiKhuDuVe/cObJ65YZI7vD6lvVm5b4GknJ77IbZERWITpow f6ifNrnDNsUu0OOXMLD82IhrG0MwObQmDMINhxEVuQrh84AHOwYosThyNtMRBZgXEU/x/770PbSi ucy00ZIDyeQboUNCxc55c9mbZdoiMDA/i90WC0XeRoSz1NlBmJ8n2lk/+RqebRUit5p8igCJcg+T r99d9IqCLjskBDW5J3SdWfAq2blw9LXm/ipp2a78Lbn9Bo6ib8sixVE6Nz6gIqeRC3IuU3o9zkmY 6do9G54vvdbJ4eh70vBVwdXjl1N0hwhI+aif4w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H74KebPmjeyKba05ibWtswEhNPOVH2yZGKOPtSM8+/AH3WSeVgwrXs6lRX1CAGSUyXzTqIrT35Hw Oi4heB37kPwQrh+j8kLpYh34zD0PZsUKaRZgsqOmq6UGjIPy1hGiHpfAuL97OT/zIELCZTCDdp3Q T87e6SMtX/teAWAV0GU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block U5Ucbpi8+Bv0GGckBqyLTdIF2SycKA8dR3lMQJ25NyHU2Ag7XAIs2QVrjr/gqZiUCjRz0Dn+sadn xv2KybdwRW/ckKekiITnkKrEgdXU91BWWMUBpMXy6ko2m3VUCRcxqapD9q+QAaSdO05zuB/o4TQH KcZ3IB9l4v9VodErQBaFfiXeca9lLNRB/9kphDikXN8NyFgVG4Pt+MNQKIS4HbxChQ3h1/bGrtjS +LJ08Qja1vm90pIErmL6S5UnduLadiDjcPaNv1DfcTHocP7E05PLlqoBUr22iJUiSoxDnSoVIRTh XubOGHvyXPIRT6t+aDowv0dHSS6Lm5s5C13VcQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6640) `protect data_block j7dxHCNjDbLbgMtibrwpQSrzAEoqMPzrt3XWV5mI1Zp1mERbPqh0gCAx6mPXYECWYz0iE6BycnFh 8dxMORQS2KdOB1JHV+6oPY0H1Us+sOpdbf9R9OlzeyhCUQ9/O4huGT6TjSLS/ThBj9yXyenj5i9R 9bSdCOJyu/2h9Ydsn4uo2XoyrsxEiQQYE2YMvWEZG0aCdxCJemYAh6jWRz11+Gc8KY8sEC82btHi qYNPr5tWdr3PesAGX8Jb2slCMG3X/HifvYbmt30pvARTLmK3kmEvPG3n1diZab66O1I+l0y0wpU8 35Aol4RUz7sGU4nZIqcltq5rTe68/7QhLQQ9HMTFPXFPpTDMeybWycQQ+wfpNXbqly8f9GcIesdQ 7JMF5WMHsmltP1blw29BmODXnJQiX8u41mltTJWWuKAu2+CZkO4H0IrGpo44ozH7IuSO/OH0X4K/ noOULwHSofxx9QbTjCPcW6mGKzmt+++w+augpPzaAJWOv3qQzdXAZNIM4Kx9YJQc6N1fbszGKOhS uW9L6Mm7MyJ4tGZ3gGNSGNN3CPYlz1CQyJRaUqkVj7Axkm0ETLfD0IFjfHRMVbP0+bZP4paV6K8T OvMDEfQCU5ZzbKUzLSNPTq9N2dd3PfwGYmEtuPy9HNv5LWgN7toyU2cCO6/c0Sde47OZJq6+V2/z 4QnC9xXJYw9d+6/NtI5x9QyrfE40dgVnJHCW7vLffx3xU0WhG7Y9TArXv7uHDtuUklgyuH7uDbw2 IUePK4wFfk58jw3ceR5GUUaYDUB/NpihnDABE0ArU9ZB+02JHvNeAy2rAJFh/qLzrqOxI+v8JHQ8 L5gEfNA0I6L4AMBzA6Jafj9qs9NRWUyudweKezGPAluFCzkp79IIBQ1YOjTWMWH/yzBI41qy2KbH 8bXwEGLFfz47BcuNQwMCVgws41BKhvfC/uxaA4ImQdh+CTbsLp+WnxraT/3uig2ZyY9qNRAWhqR/ qN1qmEgp7mREhEU2F2tTIFr6i0wvJrGQOcoiWBGxEr9SLMg9PHHAzawtyujzWVgC8zjcyZZ5rey1 NGkHgiQoA6zBlQCG2zmCxlTSF3moPJBRJFxDE20uv3KNEpqoyQTEnFvtUwvgEfHv7IjB3fvivKsg VTEp3Fnm6r+5WTx1ECF+ocxIwRK7yGkWRlcawipdh8Z9T957KS8KH0XfHCXmdrP+HmxYxQQJMc0c ICs9erz5dnWy7CWcJyzs44pCk9JX4LKRHbEuq8e59ryAucruiPWCXFPL6QTrxLNrJtavwEFviw3N QNZH1oj9ooF3MBK5ImSw6CDxtJSg9HLNa3YQ+WdH5tI2eayxffhzV2LHdaQju9ihHaEyyLM5d2/Q Qw9FB1y74IuJx2f8AnnCkdzeIn+kWi1pMl6wLQPEgvkZlAEcJjvS5un334/clU6qREuuIfn969Ld yIaDrqkmatVw9ZrlCaAG8XXpDFv+gMgk8Zc3Vxb1Re76UYQ7oXdG24p5lMHjkPGTk5QQg1B33e0g OEMj4fMFSnVZlRhZWZIqplEq7zTJN6oFdloMoTOu9FoF/rZI+KdxXlvZ5MDBJBZebHOjSCROvjK7 Bt8xprXJjXlyo62IRLauibFZjyJb2YuSW2Mq/jf2nS6bdd1a/vubo+myBgMJw5L2ljp/qu+Uf2JH Wws7TRYJMdRuw38s0QtpLiL35Mb07VIxZ86BNMJYU4wSrDI7WurQA00A0NbsTLzJzSaxRsIB3vxv qggOUEnhtMfUO+46JOK/uz3Nm4/pPsfpkftjaw38sq75H/25Ym7xpbziFl5S3nE0oX6ncPXzU349 Xu+I0y7Q65M6ZlG1gHiSskQE3WODp8zsBZ5t447ClDPoSL+aJvkWCUpoZb9bY3FR6HNcgDXN7zdm KA7yf5AnrRGPkZdDWELW0jYglAi+UAsMZ1Nc70CrFwr+hScQqj+VGLzN8tKFrDjWfh+2/F7DewzK vofG1uxZDo5gj1jSLBjqE7XO/X7LosQgMGtMPlWURyjIisQdwis1s9elVwv90SnERyItbD4vyCCk dM4kXqygzNTmhzrbA3+YLPUJO5QbE6pLgg60KYjaFdSXfQ0GQNKhPc54SOwUfvpJRST1Qu0cSVdF uDgsP5P4u2Fe9B2Jwy9qdfmgHoNMR2WW2bMYWfX24vyPI7CBef4al3FsLUvy4xzZl/Hw5K61mEv2 NnECJGX+FdC9hIGjh6+haCEdMFPCxVqERznBRzRtPjjm8UQb9/Aw7ZMvZ91WPdmDVhcU3ldmWv2E pOgk1q0Cvl3EjjTN+xd8mp2RymIQE3PJe18LuBHVgPg1lQ8vBJGCIaTIvi+UhxXXhmhl2dMJYh9x vHJZjCwj7yam87IaWyOxWY9T+AB0nTJZYQ95W+ibnRkjCf9s3V7I2x5MJ66KiOUuBfObth9dtjBj p/1uvX8MTFpeUZT2++2EuLd90RwXrC9TPm5J8HZHqvaJnjP4MJy8mnp+NfLIHasFKPLqkzP4g65A JcXsBy6XLbjH7ngg3WJfGPQwI/dDTTGuUaqkJBONshvTKIZWYozYDP+J/0vxVD2WdKlqhrwocDHh ae7U0fLD8MG73VgcQfmeaX8n4oX9+OofKdUoDZOaFAjhQcKiebgf7VV6hoabMlU69UJvbEa1SWEd OSRQn3WtdNvXDz/ZJJZURWj+V/8bytfgJaIrkIKLZ9E6EY/5ahoyXzUKSPHhkRDPDMnUxJOPHI+N oe5PoT7Ycc++Wt7bRlF+XGjAMrU3VJNJB504czaWsAtlA04WY4lLDsRlBmmdqnJ0FBKxc3s4Sk4/ G269p29Z8yppjKle3YBqu2/xmKmU4aR/0pH5j5pztdF/hKS12H7eiBORM4ORaMPSSqPs/r7s7erw rnP5q+9XK/+K6xDeljQA2nFztjPHPTx8G75Pa6LOxs0PFeLi/RCEqpOU70T6E/rieohTP3cVSOhz 9cjahSVHTz++r3u5udGRx6rb4aoSb6TskUTgCoDtx+nnK+sSlxQ5OLjmap5iXbRW0vxTDUHXo4gd bRar48GTYnd75o0Voxt81DuPgjSUjkdcAAyscfOnCDCFrsdk8u7DfCTBz1lT8Gdtqh9mjYbwBgwp xYYB6ajI1ybCzwe9JurlQFa6Hj7oQ3NqpgLT+xVWn+vlLioytaBM3ZuqNBy0QCu7HdwP4daFTv25 NLIYEDcznHFJ0u47ksyv9rhsGnOc3KNW3tY+Pz2uIjMrzwnDeyTl9gPoTtHIKeofn3nv70gsVgnz g+vO41bq6PsBXwrtFO6iJU1EiDauXWcJ/sOn92b5YPaulexGDQDUxDxGy3ugFLhr0cMqhXBTlSFz XkBObFXLelAgrTPP+H3ua5APP2BaP1biVLU5GX8BNaEtl9bwJL6kjY0Rbtq3E8onuoEbzKFIT/L9 gwTmp1/T1UkGIUKQHQK0byhjGByzDwyVor6/cI/aXXR5ndz7GdyuPo8GTvVLvSwTWRcxsAX9t8S3 YB+wZiK4HrHTRFpUULxDoxr5tTBPwZdshrDaE8um9RJ2anx3S082WSF+1KuChEfiu9Rl7uK0sRUG vY1WiOyDX6AanrNjpmEVVSMMDbCnqNjASIUTVsppDvAtYPyuf1a1nwrXcyrSFEgZXUQ7bLnwBxGD ayzFM0oTb0GWwck2/r1Svakpy0EwGm4EogQCzY+vmMW9B51hW5Mcv5fMy4Y8qBOS2uRU31zgjHuS YjXHOh5sjyG6jV4mNeEyNnhTIW8BDdM2vrr8I6tjdIFVbH6sEss1kO0NbJfBWEQ6G7BqmM2+tSzl /9AbewKVDU5vpwada70K2m2Q8iP5sJ52Y9thMIxPctnvAEFxtDm/aP9mRJolR4gofIo24BXbfaZb +X8VRnyngI+RNTp6j/kVbhmTeVoaNqPyD9X14I3s0pZk4V64oOeCsdTZMhGy6NUJcFcNXXTyUXaG IyBDIs5nDgtdzxy7prYWz1Lbg9BBPto6o6mjNWpsYegY55GSpV+s9SGY4HtVZrcRAaidz29Dyz+R +caqJ5W4j2NtYvYBBMxgE4JkfZe8ZF/eEDz4wxpqQTKMqhNDFseSIjr8O4b/xwKOvHONxpy0MDdn QPvL/pVp4lxOhS5y/z+BvCBn0/25U7C8aVtgc+86iQ6zZg+i0zSExlZ0OmPlW8/FrrHh/MwOtC2s XiwIHFrbeNtnOhr43x1C/hPO9o8ar/7dUDV6GRUwIIaY4ZFgRFHG9DPXboQSHV7bgvr7mFXWDRxX fY4U6HPuGBZYNIDOCbeg5EG5nCCDa/25zAxr7tKbrA22oYlAGd0tpePHunut+YdMjOjiUiDpBcKG tH/4IZg0RbQHYcPhmQ1laYx8uMeScsfMb/kJ7ENtr8OPBqcM94vPMaTK0GsDOAkpbyi8Rk8nz4pA sABsumSY80gcwCAn0J1XNWfPGneGcpG/Qwc1IeNVdC00YVMXmqo+8fKw0OAyj2lCVvFy4hTmGSMj EtekTiV3H6xnXvso+Daau3IzqYqcGG5arV+P7UhVXUAolDHFSDyRuglsMPjKxItaiA/QiugrpURO 78ehQaISEzwTi2GuoQuTyFnHUqmWednZiBAMOQEIwPmhQNQp2NChgY42ctTu47JOr+XG2UAFcHod q9D25KVEPvtOO+IHDoH0GoEnulrFxj+DnXvCZJc5BJyE7SBJpGDnosdSfIRFmmRof8OpeSpcvVTg 29k87fwlZ1BjmLWb/XtVChBl7t809XCR2WLJC2mYMhjU6HvIBOHBQpM7rVHx/p75MctnM4HPoX/+ WXZCNqbjY5gSs6w+EVA5BR2/vPKsQQirNEOJnw03TqTTSj/BXUUGZ3TrpR+FSL/lTue2OzFyQJXO Fd36RdWcHX0k5hBH7yHRIKp5TVOsOvqacjiYn5bY8+W+9pBx5AUaCrP0pwfwJKNJC26xGE6J+iY6 aOX6P8L1RX9bK6eKCwkHxUPBDAtkZfXCg1BBRNM7U6tmArrdjKEV/Wyv8CM06oH5j/MuXraOhy72 1aPoYU13j2RH4hi38Ne6IUi0UnvlufyyCR+tyq1Jd3Eiyhkg+dEcth1KXEJ0l+JyB+7VGkgLRTc2 KnHxxt/C47ahGRjSkvgRRySilaG2xwr0RdiWRi5rIHVKT0xNx2XRL5Il/esRMzVsqPkiulbEvuNv 8f5+SXRL2AQn25VyXO+sc/c3s4PcG7Rq/aisEVkyDZOB8RDefYcEbUnIsHMXUiqEw7jLopCUeeOs xzlS715yrYKlbxAzzK5LLdSFqjra3r6p2bUVNpTPPFOIXfH+Ng12ohe+7oW43zhGsf080kyvCk0b CDyQicyHZyr2W1ZcvaYOo24ZY6B49KO377j6N37F9WkeD7PtMB+8DqlbyW4Z7rUJoOA9XsrAH0cr zEj5ONB4E+JNCVMtpCP/43USlECowhCjv2CrJmlcHANsXQURe77Z4R112YVu6jRaWD77Q3Wec6vh B9VPGZPT6cSOgXPH2T0wWjXXeK910g9WWzx4qUEhbi1X45QtS3YM0rceijJneItm1AbXIVuHAQPX mddc5Ej1tIHC7YI1IXFfVaw2yIYIdaH5Xl3KpuncOY+OZbLsjh9dhAxRP1mRaIhD8IYuccYwFGe3 y84iKLuyULYqJ4StGhuWB2UFW3hxM2EJGye9XQoLuntO810yfe72LUvRMrWJy3jJBvYmz6ZrpuU2 r22L21D3SHyKb55EOu0NCcfUlInjjS7ct2F5b/oKXFin6TrTU3DR/4XHgzwJjPmTp/VEc+ZyI86s s9LJQGE/8LO1qXbPEe3K05U3arrEg0ofMzMJnEdSdS0Et2/g1pVF/vh3ok7AZNMW+shXjcHtoeYc USb76lAlToeOCjrADLACAcm9Gqti+Ted/eM35A2XftpmIlP9QB1KzDeZmf7K+4B6mtF48kvGGMps ncj8QqOCD1/betTvAmj5/J1VL/Ja4uQ+XVJawxZgJMa/dlk9vqHn2gvfkmRn/K4G6uuL0sN8NzBG 6yXTf8HhywYpDakkGu9iUWCWfDBCkds2ubG1P76AIVmdhdEW4l/vplK6nEaUR65cUYB6PXwF6tsy ZTXXd0GizGNWtVzBEj4iuQ8NUO+/51u5Kdelk3ODJQ0bSuaQ4gqsNvcFOCSSJsSKtTcCLjyZT9ls cPjcZamqcc5nr7eAa7/41aMBfQKc+CgYzvKtHQOPEu/w0nA5wroruKUoC9yb0+oqJrnqy2qcE2Gx 7l/+fMaKiXkgyvxURa/POqDkkDGYquPrmB7PLJ5ztbPu+9lEFzFgyiu2k3Hx5QIz4Bxt7hPFFlDI tJFQoLG73f9/f1p6hr12A6aIyh61Beq1vjVLu6Wfq6ekT8CnD5+YjDKrNtrX8BHA1nbtaitin7lV 3c+PD9Zz9xMWerNudinWuMe0xfoJFIaatyGmKRAYD/GcSevWO/RE0uNYg98xZX5znjU5cilvlYXA O7rpdBgH6Y8IVt7+B49gMqOQOVqoGikKbn51XK4V0+3IRceuCf39KdryMyHIm/NIQehK8imh6ZNT VLGzpNSJWj5n9f1pQZYqWuKPxqFjXKOwVPoALzhmey3VIssdicp1Iw64lklFq6iyZYwTAukJQnjj Bk3EdYc0oZcCy4lTTX9mkuM6iwTU40VJzVB5hCkqL5SzwP2Ig+tyvkgiHUQ5Lt/5y9flMB4NNGcE UR2Y/YNN9A20JQF9u6dTm1Ui88WuwOJnwlwy2zgQ/qw3E0FN47HWoOHciyTY8oqpm4QdnspJY86/ 8JYwdYs/a2KgbYi3EvcO1c6PIhCwXd8ixeGkda8YHuykExweIUw3Ta0GJLREFtIZjqhApcmy2Kud SEd35qvSaPjC0xuxec0WgjeH9r9f/DMP6G9zUCvXqQNsPIIHmY1vw877lUXj5PTDQ5GgkEY80Omq D+O0/PGUepfaSJHk4sO55MKopHG9dAyERxdaw0IuURkTzc5NkOZkpS5I0EEvw9UDXcY1EyAnjIaB KE7sFGd7PbAKx2wtcDSg1BJajjPuDXnaYnWeg0+31znm5q2+ozMioXxNppfUws7fczziaNayLU6v wyjQac8jySSrLRkT3Yjzno8VnhicjfV67NIdfojRe3ScrRCaS47EgXid/aHQ0Js85BgAZxcNtXpU 2y21b/2yFe99z5NLPdqLJsz+u3kAVLpZjTxvCc9KKDabLpA1SkF4CjVVoKgtvIiAhwlmWb6TOn/6 jIMjjTfNnZ+gxXTWfUhQXbDikZRAoKYG5gVokPVoxnvbPXMBsB+UxSE61ix1X+35trTyujJ22NSj mOeV7cb/QftnAdtW2xqCQC7vc2BnIWfHcAER+5z07eNXqfSaQLMAdIbdoQg3Qa4mwZNUKk1BthxF oFZBcu6zB3bNpWqBM36ssVlivhBo7ZBZ41YWb3vHxq74eMMBhQAFAjMaNa8LKrCJ/FBr30qZKAIa HYKisDXbaWxI0fpqvlnoh2fIqjWztd6cC45fPhnStLqSZe3Sfe4hzoDPbur041TmH6BBDqTtfpjE ETb0TimInJ6q8BZkw80yaWH7k/KGqBnOgDaoLZc3FQNeINEYAH79sRxq6tfvwmCHEEdKRy2uUSf6 9P7z9VKdWlAYHu1DoXyz55DsOmHvofxEeITuoEarq32PGMH2yYFuqRE+TORveve0FoDT+V9PAQfn e06XbOjMRh30Hn2JjBdU2tSLbv4Eyqnr8ucZyqzRzA0tTj+jQ0WIknlp3rF+jPTYEgUR0WDz36D3 SkPdNbpuwQKvS0AVl7M4uKLhvWvS5Cj/qNjwj26czguIaZWUpWNFJ28DS6GzxTYbeFSVNRAS4y5E lPt4eVeYefLtT2RG5X4+W1b12gdoH2ege+jnCSXufIcIE24G9PH69imZVfpV2F147ecWztKeapJo 7uMiStG73hgrYQe7/YCrcH/SyWfJnvpbGPSxIJWEYJAqLzUPCm8OpRG6X91H6Ct1dpeE+D7SwSv0 /3F5I/KmMMqcQkPMqwF4qHSPn1cKXcVKUzpexg2kafZAuFwHoFO87xPazDGZBRlI4uteCby9l632 OnFY84mJrk3ta2CuKFfWPjoDU2JXA1VQfhIm/82KrSRpV61Lw8eVhenSWVNbJt+i7o5JIkSKkNup 2F/kIVe77gt0K4LhtHPNZ6lOuAIIVgnVEnoflJVAMYbK/FprsulIOnB5Ft/kmrwOqd98iwX+SQXh 849zX8oSnBeCmvsOGcMeHYZH/YuVR+Np6R8Z2agxvpeKUpMa25OAReM1phJOVVuHCSaRc4dpjpS5 wEmZtojVZThqjPKqUdCMl2TdPKdSSacovQ/HKGEAON7xbHqL5OfRKys8vEILef46dilzYfGTwM+0 2YOvbJnbcvcC4/71rr/eEiGi2Z8iAezNKFZSSUQ0otgUdxBsDiVBd6/aUHynUaERlUdQjK6eFVTO Zpb9jSJQWZBu8BOrIeliK9zqq8P/hlLVOfzdguFvZFGDjGmHfY3lls6ZrMkVnORsP0VDYny/fwMK /itbg3jjk9qHr5/L31/celUR+yy7/de/C3+3rvDWaKkK8niZMGn2nJBkDFOgyu+MAIPej3TK8JXl AQiAyvPtv7/mMiAJWqY2btBohVTMECM9JMOEnA31Nf2WBhzFtv6LG9eflh1EwYDe2Jbdrsakxza7 cpCf2fXT18uIeyWncl2/x/gNBINwj00cQFy7axPhaqaMGiUqTnR8nshsYLzSHuY2ZAJEyr5hodqu od5TzzjeK5EtIk5SE6aN+MG9HTINxPkFli0boeKKdRJ/nY1DpRZ3nxWyaNOmuafBhYstWuYdi+15 g2ZCOvI7w4+uRDroFlTAV4Wp2PeSa7yRAmgu1A== `protect end_protected
gpl-2.0
27e97a708910c674aa8d0f40c49e3e68
0.928092
1.897737
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_sqrt/flt_sqrt.vhd
2
21,736
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MqIxntfkULypHBO90+g91T8LvypAapsO3v0L/yBxkvOPauNvpxsNDKShHkSNwfodxsRuYRMtkCZj z1cw8/N7Kw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S+9OOc8ZYT0oNQYRITx8lX26OL4W8kcH+yfXRnlt1WuXBNZXMLLJ7mLijVTvwy/f4jPPgsLdgBDd 4/iolUX1oV4VT7jXLEd1sNYDZbgY6gH05RRGamUJelRKVsRCwzbubEPJcjbEw/ghvqV97YRcvN8B 98QYo1H6t1ANYUN06C8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KMfQEAbIluqG7H3lT9aELBbqjKuzOJ4V8gX7H+7D8S+fm9R8kXvjT5UL7YCzkazlmtQD27HAkHXL HGAd0svMzMIrby0HpzBRGP3WtdYbP6AlL0S3J3UaknmIZ+V6GZsJXjzs1R9FeM3cm/w6j0KIDLN1 /3ducU62yF4XFYIVKR3oCOCLsrVPZ0I4DpcjUcVSJFCYbNdhrgEC5Jh9LMEq/38VwMJbPCV2xmQW Ayb0kE6ppktdG2CLYWTRdGLr/B5vdXblclYp6AfEKxqeR5sDqUSbzbFOpRbFV/vbQvwCCBIHuAHw J0Gloz65EdVnpWcCa/y0fPEEurNVGNVSzDRYlA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 0EFq0RSBR9Zv4NDSg+cbqEo/7mj6llNN1JZep3GVGpNl8TC1lyISnM5KYQHBBs+eK5ti3wIFPtYH P4LxvFR7u7Mkj46IVErFaucVslNAqBTkUhyeVHS6RyVCjO5qei+P9Lwq81boejGFCbeNc5WMCnSN Dx0HmpZbaxSfDpS5OZs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y9/ABYBBPsqaOofvfbyLfPZz11FG0YTeRgIHPdWBl8NgbKoBg0f9ezX7Ax0Ca5Y5jJRixNEsr6Ni q+MofEbnNibZNSXNB03P5J1X3PbmkDMhLaJI4zEw+1gttVK1d+Fi7GdnqLB7pH34ccQBgErMpCqW H0P6293+RO+yyhraKkDD3xvjzsKXSPVRahCJ959dkpbyXtmkQM9rIqNtQoTe91I3jb2wRXf0BebS B1Xr600pxYxvAjkEflzvwsi2TfbZjL6wN3+x9n0z/v5mfBr+rtzgFwNnXXhSYiI/S/F7I1qBEkLL UmqV4Hr/NFFTh0KgoPhgHBaf+BYDTq3Jh3qS4w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14352) `protect data_block gu8n4d3TUpiit2dsfGqMcfoF7j4+SOInXPkgSJnEqTIHeAwBSPjQfda2GPeyXgLMSWxWDfmbIduW rD8uhMCQOU91pOFOJJwtg1hLeUvPc8/+Z/aCbgNxfrHQ3D7iTNtQYk8VICtqzpSlXbYk8AK7hVVO EvWj28BQLRnhR/lBc/XnaDTvhYhb5ysun6itNfZzrVeSsZafVAWC/N0Xw8/e72eZVk97NRfSib+S c5StJSf18dUbypP0OngENZbfGtmPswrVwaK7dLZ4G8AARO0mj1ezCLY9JsaTWIgh1lsmFPwL66Cd bJJFKNinACvPFCYjBWtwvW7utQ0CTLBVxaUWDiY5d1vrMP2879PfLnjSdcp6RxOzNRQ8pYCwfidC Damp1GRrApTrycTNWY0TYD5mQPxIg4G8Rn09aiaeYUdRVXwG2o/Tu5LQKgPF7epyLfL7A/Wtuqac 10Lfmxv89PyepHHRcwIcejDbH4Ua33+fzX30o6L3ZTA1fhbZdDm7JPJEhN7w+givZJLq4kAg6sQl O8+jW/wSaf7vbkgCNhFxY+aiM+GOdgFUbd2QraYGADKcDh7dHIAukweWtObu+9abGBx9l4grR8Z1 p0mdgdvsLWGlktJJhoxGbtAVlTtQaww4kEtb3SCE2DWbZMf3K3oEMsoyDtB5QHzo26egoCTeCz62 d9j52Qeu7jHFGLEFUuvvPUv3qJZfdO/x5k3ztasn/N6Vi/l3tieZK7WEu3OFvkuV1voeWHVFmyxe BZ4EKrxl6gYHkfFoYyOn2ya9UgiraSe1zlQh16Br8Zv61BKOsObO54FkiO4MxLScjB/FZbPFnH2S qwx7X7VPoSEToQAvOjqydxfkCN9UrKIrfWAvNCqd1OzrMMs6Xc5V8JBkC+ieDVJEmGsOmoK22bQg YI776cRSlyqojUqDN1m3lMXDOdOG3owV9Rm6SGRCNaHV7xMG4xsIVeONqDqpysA4bt3am7bh5MkO NZ364+Tadx29zVhJzKPCqzO7dTTnkEJsoYVZPI1s6DnWpZwJIRap9OMpqudoeqc9RXvEXNQYoQ6s 7Fi0cssSxETnbcft28Nwsg/dZlALdpjoD5uL7T+6yYLChgsIR35DW5u5vhPDhJC1yAeqmJw7NrP2 0WfqMTbvFLbi6MwR9RIzXbGOr7tBk7MrMSRHW+JGjCO/ViIngn/VQpjbysNevJGz4MEQesOsf8uT YrqPcdY409el1G+sbLBk9ylbBALYv4FgoGh9M7gr4yObDfUPJW6oJqCqWhMtyJxrtzBf+7YZ4x7O B9Yt950r7dAE/9AeEhPNtwjO6c7sieK6xZ3r5woBJOdfBWgNdJw4azMUSXJJ1tYr+GSn19FWxK4X ZNBvKb4zSfX/HDOx+vrW3QZQvruj2nPgS148I563NDAa/Td/tHYmUH2X+rg+h/O9PiameJf81vaM 3wfloJz0dUXW7GKdCYO9Gsh/D/eVa1MyC/Toc9goHuY0NDW1lEZsQ0gVh1sFZvGvCJj96oBg97n5 pOA2Ishw4LgP/gZO+DT7efGtcSIeBpYz0H6PwLjNiIJe7UNpg6No/rxne1KvwH77tukf4+DL7X2S TBj2FKhvTseSEItrHUTGXoImfhHFwTS+lJCwpw+LhxhJ8HJAWDGLOQ1EK1eXGVZovbbCFXCJUYFK NLijIk7NJmoJ3Fi1TqBORFQQaPtmSzUqzVYj0jyh+mt01p7iTujiP9/GqeUUO2Tc/ix88+LqYacp BfoMGfboN9STLp0HI1wTn0xrzNtynAl0OIUcbRHEPKdvL1vBVqwnFx2GqDGsTgYEjUtA2EcPZEs5 pAsd6LRGCcw0Bizfu3+1C1ZYa2QKmhnVHiUX5mQfiZT9DPFB0RzK9w4/a4GjGKOVcx83140uNkyR jyj8bliX1QwJ4wnyk8/nhWk6d5R04IhkcISNYZvTNvROFk7TVRqYMZ5J93e+aRE48PG3yjYcog7T 54mvg4wJ+9rSNK3G42MhMvWzCwiHqdorVIZow1UPcnjWRb+iYVgCkHcPVWGBa9foK2OfyW11jdOp xgue80i9MiM+Q9L6ssCAYVkKoTX7gkdWeUckCOh67/wYpyZls8GMV/TrmbyVIlPjAWwkMtCR9fnr yrLG+HqkMaIhT0ObqPXgjytsL48ko6jJaatdNTeTjIMDqheMA01WdiQa29oX0M117CpvUJ2ex31A AT4q2l2D/1ZloBU5qE0er5UeD8MfBdJU78382GpYgu1Vo+yZ2yWb/JmdRArD4yBut54Ojgh9iyrv Vj4d6HdUMda2+PFEpKQOQBI1P2VPusnLFedqoodL6Y8Ya14gAGsIY+j3z96DTPxah6MfC+8R9HG2 qpaIEnftXQIvbuROE2sDN4FqIcyxVIGC3HGzYtQ61Qe2DoBlOyfKeJFep5xAheIvkIp1qyOvZ8GY nndhcWTi9/ZAopV03TVnJ9T5SLCJkAZrW/SXYeJ9Kru2cSzwIzr+IMPzU1h80IWT+D8wNkzjvXEt TzOLIUR5GcWMD+GvnKo8q+OahNfvTRTK303++8N7NoZ2xPgRtQMlE3Y4kRaSq3WeZ4Lv3KWWRh7o YkL6qUUDXpp9nBsm6bPpfOogwYqVDWlNMTYTlAVCwM+8RUMStKJ3sti9+Oj6JO5vwHorn8+J4aCc FcC7S3avgD24xhQDe7ZX8R3gLTZ6PSjQ6giTxPhmGsbJek1vE2rbOzfVUGrTAkNm9QdqBQk5hoj4 QxY8iXTjOExjazuhIW4fB6CdNvbtVdKe6hm1fEE4eN/2GwTzk9sI3NeKnZYdDkKRvGzydXhVItME dThiMFcoaAH48ZJ4GXh/6n5Rsj6oMcIwU5Iiy//55K54pUJFobqZVVWSy7OTCixg93Qes0S5k1VS RocAce3HHQr3Q9bgfan/3veHAaPo65kUZ/w81kQjuKfip6Dg7uWd4iIfuWqC1CkZEdCcgFLTm3B5 RGsa5/aB0SB0M3eLGnPdJ69vS8rAvCeV9Tb/OefXf/0hAuAueabbizlz8VKrQZm3iScsuwSjJ0no q4p71k5/hcvyvGIB3SE2nRk+jTmi0PxJwU4Btlm2uAFa65FhKs9qGKRwTJN09Sy93j2FY2Hvj1kA 7AifSaC4yiR5S5Y+U8hW9A3u3FcC3eQxRYZglSfKFBqB/cTuXwGdacTiJ8F1nVlO48xzeqxfLOqP 2kJ9ptsJ4tWSGSg0RQox2q86yHYI881mmKKF2Xnd60E2y9qr3xkmkN5fbZHntfCEkdq4v68PdINO Cf72heU9MFYncNy6iKRJjA5JjMAjWIxec2NPzC0C68aNiOVxQWPNZsckwAdGH4YoybC2SF5ZjEaD lOj+hxwASfncB6/KFgCnClVhWSSEAYEhLHT9O9JsF5YETql5L6q2b2lGFzVqSHGqnemG1s9UDoYV Myk1dJr4MeqkL8coEchKCJQljPGzJeMAPXVfY5SbDzXydfDM1WMRm8c6WyrexRAx2r7YfZEBVn2x GLjNA5Usl/ToLsBEXkrY1HcaIgRDzWcAq+1DgPnq0ujOMi5Cv3BwvLIerrK5DXxU0aGfPTvMLBQY +BCE/Ce278bcLESOF+e8S0KxENj3AZ4sFFCnlUZQr+cNTuq//21c5sfom6Rdb9eKsJdHWBBH/Npz x9qMenSbIErlsGnNFWXYYrvYNNiZcfAPEnT3hVQAVSkNN6A8aAXT99xWo37bY7kTnuZLxqIii+8+ gLiu+gg5ySV1NpGDHGKdolTtrZNy9NqpMRfBCWBS8PiSspnzvqtmwE/v2aLx/WZ5EfB/gEJAKqNc HZ2XwSikMZxHGd0ynzAD9yXYzKKtnPJe0HPg2vQTk/0wjjDwvG3nue8Ed4DHEIecNBCGc0APZePb aBtTV2awZBLsFE0i3+4juG5+BJa+xvkMoj0Wy26w89A9sJ0pvTOptK0uSIWVC2U5fphwifMWW8eS yKLKrFrewHXO6GqxJ/TnPf/c/kW1CW8nzfmrIDyk1dy+scj1Z4bXD6Wk8n0EkSFQcyqG2YJaRJAs OehGYfaAoIRfoxw8BBJQ1Mhk8kbH+foaQqs3Bf/qErE1+DkmpuXZ1Bg+oigYXT/LESARCFlRcclY r+wX2AzI8st5B8/U4brgiMOvdNz1ZnjTONEifWuRcH0iQ49NY7BhyCS0PTI63D/us+11i6Tr5Z4n kpCSpMzuGFZUcIoPyDy77oGBOL+Lz5Kjgs3fwCKZQjhOdhfePDm//xr7Oq1uDSrsGYD52a7i67Dz ppXXmPPMdGdPivBeVbwJeL7zL8iWc8fGa5jfw2H2ewXZ+J+PBdWqG5KEqiV9QjId57AjiXvSyn0w crh8N/GrzGjetHWNGaREfKeaoRg7Zr5WLxfsQkmgv44GDt0uuBs9+YAuMEdQ4FuhOfcbqf1ZuzXm kz12UYVS6altielMMz5NNfjjLTu+4M4//naY3AtapnpEs4SdyE8UOkBAKV9ujUMMcWXi7GFG2yJF uwJZdEI/ZJkbmlKjTQ+2A+0JTBDfx8LFcdHcqC4GlS9IsJcrTj1AL7ftX/2XEiBupfibeFHziIzj XOMCgNR1ROwwVJmx5+Gf94LVY06U1uRWH7KZGQz1FfjMUkOmnCjZbQMrkJazVLDxZXibIinMtYjd FLbikUPNX2Pej9oYHOMs4VKJHPjkugplwaiekXExydiHHViJDu89TPfqmrHyk/YDklfGVswe2OzO Hdpqlv4QXyDS2RzjqcltoHWu2pX8t5EZJEPlrDxconwDYiQB3zl1YHc9PUxNC/sIeaV0aNqU84hS gzg/BJfLq5qU15y/HHM1cnCRBuskgTPILHHY0NUtAT2vskSgoecdjXFp76n1o/p5PJLPO7w4qZ42 mRaunJI2jJOI5XEiKxuGNdhNgLXfyRFsPhmCYYcD4jLe8c0KR5pTdpHBa8CUI/MqrLYr39P4PV4q xehLRF+2jNtKg3pb3PXC1XV4LBOiCxRjGwMZ5UusISKs37pLDXXrPRDwFVO0an7gTuo19yMgOT7M Djwhsgxzl1C80bfVpGIG946sQyQd/ViNzkvQKJNR0WalQJpAvrFPdthrkMfF9tF/jVh3z6z1F0B9 0fzQuZHDjlPn8uNYZRJZNibWgg3159jKyDkRJvXPdmZRrUZVM8KPU+CvnK+Wyi40LKR2JrkPf9El hQTbcvdZCYi8F8j5CCNdh3N6+GrWM+esb4FpxvViwCCgNJNXV2jrJlGeO+0xw62DpBxB6JBv4PIG znp74UrEioIIYRBzXMY7mfLEpzKJTq75PX/QktyYlykybJ4AqEKSse3IEWLLqhPj8dxmm0A57BPA BzmToEUe3ByaB5UQ99CIUV/fQrSpaHYFr29CRu/DsyLT8JoutMiL+6m3ymQ9vQRgAvkjmx/C51/7 /H3G2jpRD96xgRRtMrhv9DWVxytpD7ZJBuQT9MnVuP+dvd0/lfMjwC5RbsJ469hcMGl1UNz+9chm o+7elgKq+vbFBCa++l4yNQm8pkvKSG2ET1x/SCemg8wjoA4/SFcPYb0/LT6QVcohJobpL4pWtpH7 Z5zYX+dvv6OPb6FnRpgaW3r63RhBJlhSNDKeRWtcSd9px+OiXB19wX+c8+iBe4ldjZGVwaW8fNus KOQ2LbMW7sPQuoS9LvgppF3WKaWsltKgri4Re4H4+8InZhsXQYnKZy2xPh8nUXFvSP1VELK0jXr0 Ao+QL9qPXysVq8glwnC3Dr5JsTFKCr1zQO/TLrUFzSzzO896j6lsZ5S6DmvTifJa0Pa8ngfvzMfj FhEcSpAtpvrxPL3HNnzcdnjNd2YLBSc+ksdtiuvcdBZtEBQYOysbgi3ciGuFIUeHSkb1IffPNijL KSg52IaEwc/eQkIUsN+my+txgIIHhpH1kEt3nAQ7xEMDgdT02cqPZ1XLo4qs65+pn7o1nFpTj3L6 Rv0KrfMW5q/ZZ/FjOxqaI5aS0VImkAOqkbq13CouoTA+dEtgizUTwQuN1Ua0hf8XNJ3RSMqpKmGQ aiFEiXnbekpqe18LXNo4F8LIwrGjiD4cC96obYp805GUHsePLSuZ2vGoj/Zxe0m0yA/lHUDhk+Pm +rUdzNUyRXO31iDm8N+SJ6kIxrs029QzN2jlGSCrwioJA25aLgLvgx45ZRvG/S1ZB/zaGanHZRW5 Bv4GKn6iVWN6/QASOMgtDyF/EbLGGrt9bChkkOkvb5TkuPwHZlNQ6qsvMRSaP6SuN91xDxKxfMUY Fzo7w4165CJZ4Dz1qPBHXUCNpyibLsXg0ndwwDXvy9Qr3a9B0CCvV1B7/TAehIeitGcG8sJZzb+k kZU8bwqBWVp4zhSjLqnnvXnpyug6II3peoLSIr/3VQHb95fXg9C7qGAoQZGkrczEvvyZAsjpoqRQ g9SeOguPJXQPdOzielr4vyuL8wWCNfuLWsSLYvACQr3nnm1G/S7FzBDUqYFl4/3C2xySvn3/uyY4 8BDpwWzsuxOCfVSjO+BL8a1ZcGwBq3HNs7o1EbBjiyvprfggf6YzTeLTqD7G28bLfzulmsqmAYM/ 267RagmDPRLacMctad7Aga/0ZybJYNEIFkS3vTffHX61eDsV0+RP4qT97InKkJmCiRIqfBG9KLR1 v5sICQFsnmZsKcHs86jyqaX5dGxTLI0bPKLLlslz6Vs5EO4jR2TSqB4s0GvR7ZI1waPce0A2JTUl 7CID/QBn8W/zfTUtKpYY63BVvS6U4mefPxVTDPUPHptY9cnLzLQYzaP2J5tzdd5qqDcZhCdniZnJ qqtJMhmnEfqfBgsNLGZBlVFScjHsAaYPzoV9PCXj3YLnHnkXK+FLWAGonKFl7EMsa+cgVzQ1CwYA 6T2il7urUUHJ827420Etddz07eye0ZA0fffqavOZbKRITAiCENYBWvkbqGKPR1XfE8HjfUOxVVX9 ee25h2dPtgUKd3ADjG4SQkjxF3vGcwKXuAV9WDugU28TMvxhg+LWuPRaDkfHr72y7WFuExGQy3fO jhZd11/467FgNOsWSe9p9chc1mXeioul9tBf8UHZamhZlo0aTz0/T3md+T3JmbSLyiszdOAtxHSR dCoGNOWgA+26tQ3FKSGEY2+RiRmbLSi9WOl/kQ7j+iMDTaa95uRYFqkOlDfqJGESoOdpXVhmmsj+ vRL0u0rq45Mud2GlTyKwwzlWEdntNaSANdbq9ELAcqMO+BiA7Rg6XP7Jr4am06oQIGZkk+z0zLf5 5FH9UE6bQT8C+2TiZ3xd/eFD6FT4fPfRJW0+bQP6VsaubYMTjLjE0wLHoSQAfCktPECRGM82uoBw sxJ8dzvHmOqD2ATQzAq39MbTwvDuXi2VniNm0McRkmUqfG4E/QKybzFgKbn9ci7X1jdrkZSrIvT+ 9e1lXSxQ31Ldbwgw/p0ZYgwkSDWhbF0a2DHwYsSbE4d86glReiv7RVgkQUo4cCcd+sf3KooslWU7 7hkCLLw0py9Tjtbo6AYS3fKe+5ISo/sd8mo1qkW5cXyqhXpFe9iPnOAYKGTkL5qzVheSoul0G0ly jLGFjmfAhzFBD21Lb9ViVuVXAX0km7K/qm7C8ERdkx+TToKTx1s3hCD8TdIIhND4ItIeqzfXPG2k UUeFlwwSW078uJZT/h1O6FiMJpXaJzeSEkT77RjceWKqu6StdxyhsCT4NMfrp5SVixPuSxrZd/Nf PyJdOxpLItmm+eVc1u9I5OJiKPCLFoqgbLTyRftI5XCHpJ8G7rH4kIUJfzdaNaZWRJIlODUxCDwz uLawdUDj+kySHGTxxONIa0HeWwYEixZ3vxRkfR8TTZnjFtJC4/+Jo8I0d1R13Bzr8r0mgkUv0uE+ Z3oirrLxGfeKj7Dsnu99Gz/5dj+YOXcpY1Dvd0N85TBQLd5OiWUESKKOH2wYhxJNvdxgT0KlPa3a ma+2tItvNZ0591V8EtxVqBlin4RPenX0rpV/9R3DsAQ8n2b0ctrxMXXaahqrZwC4QCAOvH+kDXMp OGtB+Ur7L6MR+9DAba4ZA847iX5NwL6aUhsyfEuAevFEcEn6aYmrrNbILoxZd7XQdtvvx0VF5gtq SYe8uFNwr4EEXBFuPvJs5Nspo9EMXLhTGSge3dW4O8/sDerXaEjayVM2EKdb0CVPMMVvFMh+O0Em C9v2xfgAKXhtZFWxx7leEAHc6sx5QNs+E5/P7yTRI9d2mKDol4Dqh4jSio9i4D8aKajvl04X4vTg ZsQ/03GeHo/q921ZH/F8BetQ/dxMp6Cz53LamnHA4lM5A5LJOEU7cvAxJT6h71WSDmS2aODUpEOj OBXgCpm9MWH84YgE47ZZssLVY831fXkvA+lpsAix1RBv1OWLkFtjANwC9zoYVcsdq3a8w4L6wyfR Qa/NXn1CWIjVg+x06CT1sDWNrojSIKGm7yUxspwT5K4ON0khhwjm5l8Qo5zfyNz+jwTHEnVET7vu 6c9QkrZQmjdn+gS89b4+sWC3iZ9v/HuVbP4+2lsdntJepwf4H90SxZphco5Vw3CESlb2ipbhrBzN 1QkcmwQ2ARNbJSXh6GVbP+baz9eUqQyPt6TLGtnUF2cQp76/OHNFzkwLvpo2JXuQkTMleSvGqeKd WeEaEF4RQ5WyAFY3L1FlwaXmTYck27n8PmsjUS3Mtbu+UscxQbvI/zcue56xlwnAidkxg+x32GF7 PAMaurmY8Ev/GA8D92qWbCKMQHNepIRa96rE1Jdyzf3ave8/OaMfNV7J3eO53Zo/NHGrvGR3IgGd HLz1D5BAEMGTD0OmgJGmWnvuBjf2bz7UwbnbLws8I+eXTj2Xs41br3pL1+Dqe+o0rLiRA/ZVW9/Q 9gAb4nUIm47ejmUDs3fyRTVP136z9qaFmdQWjSvdW/XSWjqkvDyWIL44hX+4WFNeqFBe/aL6PT8r 4+2/lmHBcmQeVFmHnSdxtWU6whxXhyloBG8ySPwLrA19N5yF7NM+QS7w2je9No2ibeH7GxrHwQOz o8It8n/ihAMlWzUUeSwjtfZsqc5qmSG9V37dLRZULIO8wyLGTci0Evbah9PZ+DfyI1h686HJRmfh yp3F3MhTuOv0GA2QbN047KjjOAP3cGrPKt/0tDKDuQngVBVVGqmFD26fiWL19WzBAApFfPzXl418 WiyavH3PF8pcvtO5k0OM7M3k07rY3+BEEMqqsfSzY/SfrYbP2qAUBDxx8F6GDSSurjv2lY3u6Fuy YP7Ms7ZH8myAPdRvT9fBsT8VjzTJMrEfqr6GAPOnUgc1yoXW0iz228zzBba4ZZRKJigYt7w+5VPU XLAmn3fSIE0PbiQtTZCpJz5vi/31SYGHfc1W4szPkqni9aboiZUvFEV5CmLALzhPRiKUA47NgOfg DHkV/BxhjZcfRkDa8bW0D5DLuYg/7ZaT2R+chd8TxMG7tnCXYZcmKmCLp3YYdcSRLqkNM9uNjyGB SDmVOeCDmINNffKr5LiRmSNz/hVq1Uc/EwAhs2/EFLs8/9FTHLfERmIC2vuXwBSSnG57I+NBIyg3 NLUeQRVe127ojBchK8I/AmmPQfVWN/p0W8N0uW0eB8Y5xKBdcAqtvVsJqWK/cUk0MS6ZAYBfhrju mZuOZ77sjQc3j+jhcKqHudiv1jeOHXsFyuO+ly+7wk4oKfwEVe0S0KLObvs9GDY3oK1jurnn8MDE F9V4pu8AcVYmD04V2sEkutFZabDtQXsjvdPDitUi7hqtguaUWGckY0UBtDLv+NON9MuQF+d/Y0lh lUXwnRGf6+JKlMqQMyOZpNNVpttBSqDd4DgVK+Z5ZOU7gLoHJSh3A13YIRG9qSnny+9nkAxoorKZ JQXuk2YUFlON7T7Qfo8b2Wtts8fCaOEf1AY0A92XSecQ1avaQmvhjmg/rxrT2MuypYFB6SZWHAHy gzk8v28HQYIciWCViCfkLfUhwvXUuBOkaLv8sOp+T2oP2zFO0vkJ71ZY914FGGKYX4QPA74PLyXI QfIHh5WKbzrQ37EzJWnKyjQ7b2kxFPovookkhCofy9zgQWbh5vLFq2MHgJU598zfTPr0s6oYYXoY n44EI9QV0jEM5XXJHgznz4TEMCu0g0MNSoPLptBzQJI5bOUerwx62f6FmlFutyufz/MYHZfZI7ZG hORLCbgeBc4jtT98nkk8Ptn2qLzw2IW8LRAht515JSMjNFYEG+0aywnEdOEgIwHzS1mk1Hr9Dki4 TXlrRNtrUHfdzeTxXip8ZUWu9ZungyLHGiXq5dHERAzQfzpQAlhpPv5eCVasCSrHMW9a5RihlEQ9 ASrsOQGm1xcgl0Fi/dF/CSptEY0bHLl36PP2nBPhTIEzqPdBJ0X0X6ftDViz7k84WEvKVjBHHKqa K4COXgZkcGVX5WiN09VD6gEpkerrKkUtFcrjsiCTP4zRKMip+ZAp8KUoQjwy3FnUOfUzFKiPsZ1F T9Ho6zEDDci5EjoE+SmR4jQJs9b8E6hUhKjYeVO17p3a4LMbmjT4xUp+VD6H9rjMVFIVNtAvBUmF AoUdAVvzPzO7O+R2lNt99lbj2tpEIHHYQt+p4omAKoATRm0HYTyG+V4Mt8M2MhgU6G3jnJ8GB7s6 LcCq9fBzIOrj2pimnnKjyQcqK/pwn1ODD7a2pgCdxyXL3rpmXaRWFv+cY+6YYdhGMY7PyB/ET3AN kSstMKmFrCMMCx97I7iKVMFiOXUY447zg4x3jPT+NCTniJ9f1A5aDXzCOoXHmD3cMwG7dRZBcnru LpvmHCDorctteWP8bViV7UycifxoKqjvRNRJiaEzkzX2rgOtx57h1MdO6MB8wbxOTmqQ6j9cB7gl uZE0ZswlzWinUefG1cj1R94mPQ2r3kq90gJnjSFOxeGoPVYxVWHY3M4QjMxzR+PyyVydw+ozae9P V/Wkqf9+HivJqCEju8CFJq5wqJ6t1Gfrdncv0HxsCyHlpf4TgF1zkvvGpRe/40A5HaE3ROc0Ewjs jOazekXMYR2Kl8LQZ9qmuZsJoqPQJ8HM3cWtPsoGyoRpgsE3dZOPiUMnAFQs4za8prZ5u32t+7Z4 HfYLfeCmuAh6VOs+8R6JPNSJDyoLcbKGYJdHJZiOn8/kN03T5rucVq6ddlXLOTkF1f6w3ZALoNZs cayKW0aYUtN7YG/RyvNz6vwOtrORTB/J9eMMURrqQcQ4e44KUw/PBJinvBBuVs7jj3jaApdlVoV0 Th2KqaGlPSQ6ITdPgP83HvpgqG6uEwPfKnqfL/GZp5aUVmOLyskzaJvLO9wTRtLufCVxpUEnu931 Eg7dCy9q3kn5Ev+a4rpKHKS0QyUyj0vQb9iDvlWRTic//vSe3E5sbz8tcR6r7geRxh0BLElK1GbB VPpKu1kCYiefPHzEY61cnnrq0096MBYj0iu7J1fQnnKBI3+CAQE9lJGvpUySZXFd1cyvdwxjGF/3 pVwO462xzXRngTdb7MSE7GAcwqGsNu3u7dvdA5rZgwVWs8cmCjTFX6nTNJILkq40TK1JLrVNY5bg r6ZASl5nXBAjHksHAre9Du8Km7zKXyGFLp78YAkQYijbXMXYpZuc416EMQF7maxrvtXWqVzBCy3H oZ7Ek8kxLlmKt+C13l7brScrHpKWYRrh7b3/SDUXQbjCJF5NN4Z5ItHQBCQkQznDjN6WAmvojx3F 7yJLVX8QMK9f1ZIor8YXx+CxVV8Oi/rSAPRsr26CeSF1vV73BBgCsIrcN0XsVPUJffFzCKWjN7S/ Zyg4XJYitg3k/6Mg0GTQ5ZgUJfbDhLkEo/15lp6R3h+7TsSm0S+j1/+L1wC1FvF6hzWbUW82Mnem pw8IbBaJJStnL1JzD0dVX+2HFcKXQT3tx4cSPVwYM0/io5jU439BttYIbPQu69NycWnvQGcTnhDK mqTC3J8qRb3eVooC964pek3iFFGytbvSjMX3lPEEOqMcLOKz0rDOB4OA4slrDgvQxNG+3mQ7Y/zi WZs4b03rObvdiMI7PFdaUOXIzuGII56Wk2D0cBt4pCjdB54+rqZgOd7v/rsF26ebSOe0vF5auMww FZ7Lj8hAT79S6YBcRqAs+vm9HYL5hBlgfX1sE2uxaxbo/fZuPxYnRcLtAW3W6G2XmAmJAgkP22qg 1WAmBz11VZrKZfTaxbinNrmYPReuDnYa5jQIcVBSPm0jikWdlKF0e+qvDElk4xj1eRimSbe3nEU9 HH8xxnfQturFaRJg4JUu0P5zyRU7Iwfpu9Znn2ADOrIyS83SapX+vl2PSvgHdofIP1iS167Hz+1h ddCXthkiqFwBTAH4A5c8SD4FKc7WxNQDuZHY5TowORxKv1AVOIGGxCpU9z4BOMkiu9B+do+ckdMs 49xT4BiEA1sbh8KbQWwLaMYkoZkLt5aMUzkcQpPlLxh61WLCPrjKcntreNA8ieW+O9N3obmfLhvV jYv342M4tsNdiTIHwrbsYDCNM5iUGvWSrWi2lWjZ+HuIygeuZHN2QuD4qfA/npBFDHbHvQtLVBXd l9OH4T+5lkPMccncgKiNKr3XpA/dh+VslzblpURZJbWLSD1snCT4oodYrJPfpe1zqBFz54y7SVOf rMnHiD04fcKDA5jiREbjrW9DsORZ0qMwUmumvroAbIQvV1/WIkeLYI7YwZVdR4IMiAkKHrbAvw6z zfEYMgVRXBPnq0DDnhXLs7Smlb5y0AHRrqL5XAlgYLwTEvdRyA5UtsVZj/ERhQH97WNmIVjSfA7L mmH/khKpFcknEw+a7sfvlQvSF9z0ippJOxv1U2dcPSBE1dzjR4sD2d1vCglQiDvx5wTCv8oZntrn DN8zrpKlb0/XwDCGKLa55tfB0D6V4/0zbzmRPlw0Hj1QSwkMyEdYPBypPDQOAykpC0B+zOQ52gpq 2+Z2opf442ARMYV1dwmecnqkAm6juJTj/sRuefk6oMwk1VisBZLP3Su8WeYz3Zhoa+5DWYM6Abbn 6Um35yfAajzbAJuw5i/1NlL6lnJx8CtA/nLGm5mV1yXy1EiDNHjbL3+OGq5uJk0Y6JTR3iGsamH/ TV4yZCjN3U3jFs8law+wAK2y0VrajOd3+Q99cj3FqPGuNFkwKEAOzbd+tk+E2NEptGYpLTobKvnz DnnDbXha34vngoIJoHrassnX7lCGe6XyBBdt1qOteRT1MOqVai0ZEAui2WhdsQ88BEA0xNrv4Mz3 DS25u7jsN94kb2Xz5CQXdvMpVDzlYcSNNfWuEfOS0dcp9JK/zawPrwgDPu03ivyumwrCXh26/i5i zm3nKYsQqzOb+vXtby5UjhLmTB1SOiupoxlba87Vb6nxW8fZMDxL/GYKDTD5fqGtEqeheY4AQ3BN SlMJVv/SdA9bJqvFq9cBnFLxXx3Sf6EjHymt6Unb5TOqEjiLCIyRY+5Bb7YkP4wBuD3fV1Zo2YV+ N7sUAXSiX+tQkkRbsiAB0+v5Rip7fa1hoQ68MYwv6XHGXSLit3t8MRV+68ezPRS9DNKLGflgKJUa nGnkWnyvWfNn+wxgcBRuRLqobHDWoJJHxxXVefvaiS0rthqzplFqzc5yUKmyd9sejZ2s13iDWaA0 567S2n6M/NTkpoGfAd9MtOJu+Y6hAPYfSvcs5VTkwoi00cNUDaWQcFi4vXlXE0Y8aTACbzyAg6Bc Hlyn9dkY7KyjBvlmLFEq0Xhc6YlMEyq+ck1OZGX2ziHQikp0cYV2Dtx6tDQNkSyTujMBHT6XesIh W2sIubo2qMVLCO+1RC6rEf7GjJiOrmbTk5FY5ZVnAr86/bvvywhiNmsjTBZZNBHDtQCVVLLkUItg TbuYX/VRdwr9AAqVEan1elFZTZdzPWKEeoSW/zmk12IzhrMJnOG/HEAG2aWOS/yyW1K2LVkS0h1N zQHmlCN1P/fMV5QBHcbRwJ/Fzy5xNbAoFp/4tdMs5wZ+y3w43h8JNOKBTze+inOAMhdYxV4hy1nF WJj8A7wIg7wjhpJmRCrSR8/LSO3aHTE5FOfrxnf/K2EO6L2w+1SizwFY0HUWwHXLgV5UQkTaS+J9 9OyDb2zlxqMHGuxPUukGn/qF0s7eZBieAgYspXOB1bIjJzj9xDbOcQY/8o6zB7DiwIUJsNXodFfe ojGOGZXufI0gmrs7qmRe91mytseeNUvYF7tKDgq9PJLWzw2U1LtRcGUnucuS+2afiWUJoXg3MLtb RJ9HsoTYAbURSOaH8h8RtFpBOnjdU80uBcw0CRDbylqsAtQOhraIRXgllrJq5Zn+E+xp/5ZgNhpV RxAcIfuvD78MnDKiYhp6rsAVp/QkNw3VrrifgyUARe0d4Rt44K4ZJTGKeoBFQXu1ImoLAEyoC1VT Rmnxsf1zKUKmupmvpPuXew1koasg/GGOtqeXZXoZap7xYYLYXz0THAZ3+iw8uuFcegdjdHXlx8u/ Ve+5RSRG7rOEIW2bPRxFGN0/Og6U+hLKcGkO+iKX+iY2tbRNHDA62QiRKo7i3kMjmYWjwO7mZXhZ v9AOPEzU5L7yu2O0wbGuD8M6PkJ4qoiwrqMpkNMLqHUVr0wzwhXM0xo5+tr8RgN+TxlP8Uh93bYt t3kYd2SgfkHNHH4FwjwUMar7Xbnd5dzK8681WMHXLcOIN8/0NLsoamszS0X5JNCpu20ovruFRReR Lqk3ofzyGfNqssrjwdrGw6umJa1Dt7mFjHWP2aCjz9+/rg1yrztQf7tcis4O/Zg+KcNe0EM5QiJX 8q4sf+8v2BfiPzbYttC8Hjf2sz/casukYeK90oTqmNeoTbtHrKUyxGOWbmku4/67kAje+MJman3q kGSn/P0IiChb0t+gfEXqwku/xGCSbIdvpjA7umiVxjEVrV6cLdXQ8IesDLJcLqpYtpo7r4kKcWtR MxDsAnkvg1YWvZYVrjxCWVD6LBh8FJmGRXCg/lCj/zpIrgCHUbKEIjBOru/kVMbDm0s4YLvyTUZ8 /nNSXU5MmBYFbIM5gAs103jUXZUeoG7zra4sUUYLO5n991vy1PIO+v+PTT6XGOTzWqPyQhWzW+mn 3/ixDlY8sVBD+HOoVXOT6ljBeBTXZSJykGUhnFlGBisnjy1np1IoiVrFQJn0NwO95hemT901WVVB zgT9RId9wMzc831jk7aOb2rQCzipmbdLJglIi4DM4xYnF68orFF77WzLGQjqeUMvgaa5yts09fZj gipFGdYVzKYPJEmZ5L62UPCcM9MlrgcagETDOCqx4TcULxgkGp4JRuExaPkISsFsxH7NXzzWAugi VACUi9WJ1hvh9k20DtXNShUtwP902dUE6qOQPZhZLECHpF6Y4vzh5skop7c/Mztc5WLdjn1g2sMP J78vrjcJtNP6Tdi507yaOAzJi7jrzZkzMb8L4AnhTwLbgP7X/0Sq9qcOMMVgec6E/eT4URXcCie2 ZRxrJ1YaBjlxrVBhNHR5yVqxLjw2Hi4OhIYh5p2fiuKxIQ2I62C4gL4ccGRUkL0ujxhjOQbAX/xp B7aT7snofQjoij1+We6cWnuG/HrJh9om2Zv8d9qlkorYaRZEYo62ZlcjGfTSBcN94Clp03bb63NP JbfZaX5WaebAiNt2IHmYQ+khwiFqRCHcoMhbAzU1K+tfwpZCJkLRO7FShb+cvmiS1600hOs4/eit LEdqNJhwsNws80u3aFv8Q3F/nj1kN9Nww19Zug+n07mA0hii0IiQzNMcs4Rv05swER215zaXlGNa OXSvltkhYotsyimXH/Yv9PnrdafPESPz/VhgyIB5IGOjKLvjhZ7J9cHYX6gSJQWU70APAth20AvP r8BN/gjSK6nJ186v1of/V509uFANfjDd4LbvGltGERBbCnuszQrs+Kflx0VrwLLU1pNLaX2sKJh/ XwA3SoTrEQbaFM3IZM8zOsibp9YJ5zaswLi6AYqDh/f2vHjm0Opcvxxy7LCYT1MhReH5E2EUOtyg +7LzD34ZAzjtJm460RQyyEnBoH04QaCSU7TNJmK6mwPpCL81bEPnmKDSbrtTRjxhU8tZkMXr4rN9 Brw9jHm66Ggurr+1we0NXiHPvW+W4lTtHY28G60DUFteQwvpWjV6JKz53Ns3xT5VGFxQjGLu8K58 rYvyT+/Y9a1NFi2wI/2WJRNd4VgrofcGXn4ilq+ZgiB1CfthSM0275/5P8zqjGJspvJW4iobVluZ ZRRrh0vLK5RdwgnRTE7cY8dloZBjVOc+kwOv/1CQbkY0Rs+9Qtwz0T/+OplHrcbLS+yBvopCKSH2 6qiSFB7Gtizi9NqOZB8TGL1Yjp7bZvO8ScN0ecHdL/Io8Sf8gyjyoMy0e+GZgwgFZNhvZc+6NqyP MCCZwQDecqEcTf7TrfwfIOZ6szfjsA3stHSnzHqI2ak/oFaxY23mpXAh5T8Lyc6fAJtOLyX8Vosi 2dHcWqbjddLnRRTTZ4mkN5otraPlOVBJ2PEHdaALoTYvbQOOd17YpoiTm76BCKa3DeOu2/PYJET5 8gaUKul5n7uBddnGLgBGvzYR1+l2z08Y8pUogZMv5NIc8xKrzf9hvYGDpGGRusTzvnM4TuFdVTRb f6G5NGMYc/4J6sp+6U0TdtxmgKvMt+8cjLOdL5oHbOLf0HFF6Trlgfc9Jp33B0iyGeiRSziQBTD0 /Kaz+/RvBZdLw+bgAFfiP0eMT55to4jdSSOPOH7UdQe+2IAi3Kh8ZFchN8j+rHy7+OBvNSujJkOJ 3uVWh+eNmryKENWZOa/h1l3OKci+XNLsX+st3EqEqbJ1CcQRRloDkzd8ZkxRC/jt6g2M0t3gE311 djbtr0reLp/FDEtZyzK40q5EvY6Sz50/9bzk1AeqZEZX9Og69SMO3qQpcuGbHN40VC7GzXoFb3QS cv/ChGxgolNH3fCiAgMcIJJulBBowxuIv18QDMhFODDyGsBfj/yu6THZxLWq9u9Amj8P/zY5R54G BCNL0S42e/A0p/MjmhII3FGk45p3VIo9+HiF35plRKwmTkqOjXdwm9htz22naQgCg2ZeuuNoexdV mLSLc4UAA5784Lqn0NnFl4RK+MYcTVIGZS2dS4v8ZibGBHdTWyEtOGZifWdkw9HZ59oybVulAzmp WBEvPl+dqZnn0NaSa37LiJH6IJfE0uojmGqFEoqEL2FKOU3pV795bnnaoSzoIrJzDGimHjSgK/Ee BBuICiOTEmAx3OsfJHyN1JHXbde9pA5xvXn42p5VveT9CpUVrmI26zj1tG0o9IAsopDF2ZVlT+aK GhRe/if0H3qgC8Ev4GfqU/n9hZkwkqtzKk6S0vu8jIa7c1j9A07z0S9RimQVzQSqlMhybiy0v50S aY/0eTO7DMqK6dj9hf9l5Ym0mUFXZJ1BLkWIJ1UM3Qqf0S99HoYDxf1u0ETlma1OK5zmBwbuca6n m6/Q4F1PQPXzjz5+Cn+JDmbF6j9fmaJBH23rNLzBI2bDEThEdJgpBakMo7cM1SytFf/KmvVMaOJQ WTJNh2Q93oPHXnz73LvXPHtboQQEKp5mJslw/w9PmLbc3P8fkDg+++0quJHsY9ELALYBvSV0e6yX iFc3J6uiEGbLDaCWUZzbAUAVJMmgBMKgiyYuZUngm/O5K9jtNNUTgRbGTGeF/2MPBLpJmhQ5b8H5 g6HRVhqc+hHAQypgO8qPHiT3Z9hvyRuAxU3AA0CiHSkuwihwY43Z0roB+QK5RB5zjjjnrnTeEG0n EloVVf6aA0bmevBa9Cz5+61ntSw/7RKAKv9SW6vk+ZuCNWbyWEOE7UownAQKjaRJBlNpAGsNivVl Mzrt4t5imNnsHh7sPY7FW1R3ZLXPDNn52FSM/FWOySez0QULH+yXaYh6+Of/uGmEOMJLhmjkmk8c aiAfoMLl9beGiyRV79zFrn4CUsGvoKH88BnjlPaUBLetMf9J1Kp/soHjNHn/Rx5A3lKFPBYjIlgg HZ8Rctf9Zr3KjYuabUqllKBbScXYr+p7DjruJWhkmkMVyyhGgGPUTvi+xJ3Yc9mGxPLGax7HYVIr Td91k9ADciwdXEO9JfYVcbWXxEVENLJhxgPpB7qX2YAe9NXF/SLrq3jGQHTr5c5p2hNCPZuMZyJW lKuTbhjhhXyweVhUVzRoBknxq1RD+EYZYZEktdlfnFe+WdacSpt+ba3962gpY13MBiAVR0uIp3QT Tk29mbSk6WkPRsnVytzdCPrtNOas22ZCNKy9eNFN3UQ0uM3XSTwOWhhUUMV95WBnTVndrIdAZr// TXrSmM3CZeTZihBzAJ8x/k26+gYw7JG8ZH7KPoG6OFwvXEQF8/KFWfe6WafiwWPwUvGG/VdTWITT qy7ADQ4LPMvMSAqYDPmfoXAn/956i4jnIJx6j6hfddnLA5yRonXHRt9+nl/6rs+erL22B0CuQn9Q A6iuyRPqfgsOoPVf3rFpoj3/4+qUaCAzMvEvIPPMbTwsKNsTNqZd1QZWz8dNIJFFHcNIRWZtAwez u9B53cOhHEZFiZtIc2wety1iG8O6Eca9wDKY2HFey0ecAvYekDGZS4slZNN1hZOAiywle1LvMLSW 3RLVBhdK4ds7tmUN8lmblBr+d/H5IdjzON/1Oau6iktLeJD1RQbUfsXOcPkaUU0O1SXTPhMZSe7R jIFBrnwYEE0Bh4VEnThSlpPHRAubBQZOwkRT/ARdVlvYeBktDng/i4J0FLfav+DNt7+tsXHLD/EQ 4vRHSo0TIsQyG3ehnO5VKCKcGw3t25Xp2PdVzUP6JrXGASyrTRPGCCs09Tk/9wqVMkU9EKJRvJZP YF3yJ21lAyLRE01MnAXYtVss8q2AKFR3q6iuiGD9Q/s2TiPkajL7nwiJOJnrH/HmI51xa2KzQaMe +DqoBXnjReOYLm+wMu74b8HkdiIN82Fpc9HOSYVXzApzwsGci9UiGIeISrrnCKspZFnTWEYXdISR IftqjE/Zc1d0b87F5TNpoeuPT6vD89caOrshxC8RPsu+CKM4YV/ARXfPrrk3J4Ttq7n4zCW/rhPo V1r3R/NnJywQX4l1+AfP85n67/KJP8Tghx73rqu8rcr2fp9rn+KkZCL6dRd42SI+xPS0h4ONGjzd ocafoj3vF2+JFbsnRSZMwTXtE8dBET1oFw4hwLlqHYHfR9QfVOYGDyjijOTB2E8WYcYaJrf6fzX7 mjvYTokfU29lsvQfkaLFfBsj9/b12u7yrAnBkSwXk/OHrCRc1u3A7WDNccLg `protect end_protected
gpl-2.0
87ce883563b0b7e474b2c2b456993cc8
0.942078
1.851133
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_twos_comp_mux.vhd
3
10,258
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PGhjRVxpO1h8dnZCIbe1IUJq0Nv+WyLpeUbi8ffEVp0wMGEDTip7moZ6C/UDlMnkSvw2dhhfL+uj CVDYn8YwIg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FrkB8aU9gSNE85A40/TRenh4XALobCk0pFYa4vDGE3VhjeXEs2fAgEk6FEj3tmPUK337uoD6C5Iw wfEretMQVsZ4i7woq3r6xmpe/He4R6yUxICsQeTtiSEcwHY7qUmMNRuU3/sj2T0Ur6A6g1RTA25P ja9j+buNrE1JnNWIFDw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Lm9LAzaC6cCmaWJsnWGYEMphZSuLOljrJ7QvNpDMz5e4mAMatD2o4MyvKAU4BeahW9nGkL88k69F LMtAV95oTwET5Qzj/5/xWjSJ6z8ejYeRLwQI8NBiYt92rKPximPYWMy3a8k8q/mEVKgj8NFlC6rR 70qnVQmUOUE+1apwLIHeW+hTaw0ue1s9vBJ4SgeZUEL1cHrfcwGS0EHQ/Z6R+2W+/TFj3HKlPqxR 7hP3S8ME1uK2n8ViJj9bRL+pI/6Fg/VDbX7c1J5Bpjptvh9fGZ+UvB546gPJO4jZK7exQknsv5TD VdE1ut7IvqBs7cgnBhXZy8L4jPxpMmHZPrLX+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SUAJoM//nKzLs02jwOK93htq9CaAIq2RgJLj6CYZBAOFAoORXGmO2h1ROQuFfk5zCWlhZJzXSqeF LonLYtVBK7MIK08dUa10JwVbt0uq9MEBRX92ywk63bZosqzNkhyon/jWDYf97EZhMwQUOMn3e1ev cG5fp9XafOKlKivrngU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cgCuw8o+AJynkb4Bi4S/YmSEWkCQAjEYQJ7eeQiQL0YrML7SYM6qczOTwYsYQYFbioZlXpNlBTRK fkWgQ7AnV+10Eb91pW+CvOO7UFttLdO6uw3Vs5j1eJeN7ldwHHsS3w2CQYXHjneHf11lMQNXgvUg pX0DMC8P6JPWzl1pFNX9jotmPeufVC6aEofxnPoI8XXFGpgKExHXqC68NQQLnWc98rbrhoMCORgG xuoOBSPUisjJwsOY9Ae+BphkTo4YpNMYLYeW/bU2doe874Hl34E5elvHqmav1nhE9LV9GQvL9zw0 Dr01/AH749VEydEbpK1HU7oHvtM1Siiezi4E9w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5856) `protect data_block Gbv6eVrj8mncVusmlvyExc63VkGYzXvVzaUoJrNUudbYyhodB2nbz3thDzDnrVvZSETnfKEdLas3 MtjU6hvHUhdm13RTBVhLscBDxsUN8kaw0QzULCzkspi1HiZ4et1EXbgaUowBLhb403Wk2J+m0sc7 Ok0fA0fUtK8MmSTuMeAgIjsruzFSeqOFyLmqRHdGVljLIS3aOq//uKOhQAhvkUfWVDqqbYhYMxkG 71U/q4thi3pjPlU6n2EGti23wrrERANdVUWTmuWgE6gekB/lY+7covzZ/HYp2nG6rXrdKNVDrb0s u09sPHBdgxipXmO8+7yaCU15Z5AJ3eCRmozw6af73hjp/1Os8YcJglpMDqVnDAy01ofdjnbe7bN7 5WzCfz/iQ7ZXGSweByC7aW38wHhdRKSFrxr6n5fvQukI2W/w3DfYbDIJF9g0ekqQxaqqrZqS7Zyn qgDycDeSApuDfm3mxFdxK8kBNFjEpxXQ+tzcJHGAISLFjhI/WbOKHTCV0YNRGMpeffSfLXIyy4Zb t7IN8wMxJ9DRK1LyTiKVBKM34fHF15XVRUOekEC4z0wtY4bfUfe1KeB09hipM/dqg5WJ9Fp5O/24 fA+XYP/h8RhSWErC3BEffXuS9+cZNFYpnT8Fp1wkDiiQ5xWevvjoi/RVLJeL8YGlhdA/uG3vA2Nk Oi32VmWP8VtOKzdlyqN+KnIWPOlOMDvMjPmgNjowsj6yswvnC7iez0CkWRkf68wgNxZdDziGzvBY EjwAiYllvFE48Yp0C0yun7ewEVTZH3MGIGO+vi62HvhbfUNGf9TVEDgOFne+GcGSToABeasdiO5c 8nhNAmNEYslH+3KzbkKQvIrlsMIoJwVJCK7IeSTtp80P+l7sHaVlMLgFaPoDdY2rUX0NrFGOvKl7 4uvramdJV2d2wpTs+JX/q9fNbHYwQUmz5fAOiyEc/cOepGv6oG5eKdcSw1+zigoBzgspkx0FUm2u uIapoYMRJZ7y5iYlAxtP684v0kDFm8uVOV54q9gYYPCZX5osB7SVT6a0ytUE2IoiU5AiMmO0EwsR /vpnCL3u6eevqbCFhEdqxPVXhV30PwLBvbV0UTiVS19Pd6LlULfbjSf/IglUMUSQ2bUN7rzSZuZG AkkcsLXnskQR9pMlR+oLMXhVPO+lp5vnzuG6itVUqLNYJtXkoOzFg9jSHotStDfu5VvSbe32zwph aSprV5sog2ycubiFGeCwQ9iKD1nLXqHCZeTEk4PT72JfaT8DcIA5k9LBPlGm8eRwMSz5No94tOvy c3Q8BexgER1oFgeTsReykqCMQy678zfNbD6VJGflR95LN6nZwShzbbGh7dNWQKcpLpZm7SOv0R19 wawgxM1Ztuyi0AGTwMC6PuVBpvSWnqEyqF5K9bb/+ECffnFP4L0c1HsHV1v7uRS+ngFZkfmgloC4 BisgXILeTQroQZnYNiQepO8jdgUdsVglt/2WAWUnQtDCcrFbwHJGinrQ7hcwlPRvKXElLUOf3u+q ++MGPpI3mkRcNIEAh0L0daxX4RvxH2inWRQ8TdnlRpXS4ksk2x2NACrVcVkrow4FfCrw6b1MksER qzM0FLtad4ud36n27ZPcJZKIGXzG3MgAgIFiY5P5tHhVgWvmzFaYTOFZD/Bwx0fzimNTQCuJn4as TynQ2M6Aft6Be92AaRYM61Rm3P9QHKAZlwKJGtNjnlXW5eWePaNc71Yv4bh1GWz8dtqEsX0kRWgV Bx9eqlPx/qRykHR4a3ft7/5BbQuybyFAIwAgLjr/9pjDsaj0Pll9VxAiKX+f9J9OPVpR5MS9Txhb jmXavSpSgeJHoCUIokmWW6rcKSOmiQsp3lp4QdIssAPKH778UTFqRRHjeC8N5k6izyo2s/IzIQ1u aRGiQbly5lFR9w2MhYS26mpEmTCnTMUjD5GH5ZaYjdkh8PB2M94NUEG8OkusRjO5OuEv8DwQO2iZ TTHWZXPzbNs6g2hVQeiinW2DV4NYpeBjf1krjfrkIqWJ1W8N/cCksHZuaspIvaPGYGrNhI9PRJaw sXEZArTIhQF/CCF7XiPYhmwGuExFfRRs/xBqxWAkCrcZ+F4YcmHIcwRLDnKJCoIc/7qhajBlWK0k DGaJRWt/q2Q2N8ec2j3fB/yOrHJvY9zWN7rp2eMV5bjJ5JoJoLQ2C19dZ+E9q9s1hy5I4wx33m/Q Kt0Ad72hsOzTL5X2SJeJuq7Cxm9DG/ajOKvhbMGLHPfH8GtZRRprHvnP8DK8dRwM5i3OlTN92Ug8 WurzWY/cZjY+0JL7N55FIDB/q5c2jXa2IZ5EEJOwYy3TgDOcehQrAv3PyzjCLO3dLyAs1JXtoPbn MukGR6O2FacD7lG0fh979yTZ2RqSFePrUDCbV5R733mNrBPUPPtKZVrjjUxR+NtXFCioNnNv3I6u f5evSaJnI60TQ4xS/Xi8lnu1i4u+FC80tCDsbn1mXMSM0iEwcdWRWcczh84+7WVaQZjzi4pjLNF/ VU7/hmhrPUsk3rhQq2FT8/IAsyPvcBbVkBpmLSxyZJ0R7+WwkHheq+WnKQIhyZ5vLuqs/3qOFYaQ amLLwQxMiJVZc69IVc9tv9E0Woy8pBSeRs2jmwBZgiI0htu81kjjEuXmvyC2bkgey221R/6cnAP8 k9Tem/pOrgTyCUZ1kKZTuYKdmy2X1W9yS3BtG6op4do51j9LHtJq+h3yLo8gLKl8zOBA8NHxDNQg q0Qb9TQtv8nAcA1H1OavcQiyRq4wccnD6FifWl2BYgLKJJbUNh3MCjSETQVtc+UZ6A0O/EZH3TQI 0AjT1MlS/weY0UOw+U4OFOTs2EzFkmmGkhCsmc6kX9oimBhnWBtnjb+HSE9FeqCrrFV5RxJBdQeo PfPTRz8frP+eTt4K/IT4AsiuhTrUk+9fBfKs1GZ3qp/fYLKU291Q+jWCzdxwm9wJ9nxCDCZ4tKKd JMiJp7JkVZkaFBo0g2CwUb7OmzvXG9SQKfaVHwGbkycdSlz/ZlgS9wSVnoBcK7AM3BH1HiGT2sNX HgnEdfyQsZKgk/a8BP1HHFWKbiqnJnQKl6hPjry2/MNVbjN1S+2iM98KutChJdyTuKJl5gUYsKdd SOltMwhJmtisjRW7bjcguSw6EO0ZBhqzqakr5/bSZcVq7kWa2vDpmhzN3O/M4JDwlOXgdfrS5Lgc WttzofCoolt+l3fBr2Foed4ARxIYa8l5aVvppFavMTIvWfAJXA/Z1E0RC++4/nYRfeSWJyGtpWlE j0J4IDSwObeY331JhnnAMuV0LbkpDOjxOCaslrlqViLrRKbfk34YRktYlO7fD0Sw2zCR6ZzLg06w 5oknzpZO8VaE9F0RM6EOMIeyZ2wlngTBiB8tK0POxf8iafZ0uyFkpSYdPplt3K2dYEmL+rgQ5hST kSOK0WprauXIEmWANAvXmfuy80WXhb3qUK4MlYf9LDYAB1bldp/ivdLDnzODErsTDZkIz2viayuB QrTGwgF5MIwm+vORNui9kwvcGkfAGwBjhfzG9gFFSweuLjwkNZ4sljoqoQqNJ2+wUBmPqHWoKA8e ShtmtSVf9rCT7Q9Hw494arFK+fYiOcLPn+SFTxJwOLoYq3KO+eM9efxmm6IGCuZ8x2UArVc0chj1 UX6d0vlnKuloI1Iyv3QG/XyfA+iOlodPgZHELUXkDpYRxqeA+R0asGk2UNNCbqwiDzcMsi6+GeV0 Pra5fCjToiCWzP0KsUS1inzfHoHPv0WWwoOgtUHf1P8KdWWDA1BSe1Y4VV5+0dmBXFS98QB48Qfu Zv53L5h8kks4RdkjQjfSh/P6rnxGFfHD4EJwTfvS+3TfYhc418cekzV0wZIQtt8ieNk5N2gqMZQW 6FV83qpiGJs9Frhtd4yYWiv6EQIXbsJB82ry0kncqqihtXTkwYvY6e7M+Wgngz6dk+QC8YrlO0pk 7SBzN8PImhNRuF/NmR1AY44TcsKSNb16hbLZzJeh4xLstFoqT4BSuczEvTsME39Hjdd4HK6T28+i XpahsSgfj0NHeHmSapA3Gl5mneDzn9c0cW1fz3Ivm6cCq/q/WNo5O/ku3Ux2IT2L0t2MTIhYTDit Wbfng1cBsDMkSxhwTvOPHFVkZS8EMTSXzKmMIVQEapX0tv11UgNn3ZuiP+dqWALvYVpR27oe9lew 2DAAyzY1zD9pW/a+AoWklXrJWQ5MBOYMk1Vx4XTSN/wYWBu1Ha0vCkUgZ64CpSyfnWN0ZDvhaeOe yOoUmPRWHpd75aCeLm8Fzu91fUKIEVTvDplgSw0UhVgR1vZSuRxeGSLjNj0gOf8ebqE9PmDaB5cd uOoT9jCCdc64Tt8XPFXIjv63JrzDpnbOkveEFhSqNjXEJFUXIbKZvGFEQhxSqe/CHWLsstjdDbgS gUNmC19AiNgQITXtMK5cKjquLzQw182jIl+COVdU16xfzhPG7k5sa2M7mSkyHhaZjyxcz3mj/NtY S5t3WxYZ9tyWYaKGX3yllbo7FdWPJWmD6i2NlkAAjk9hAqkVt9WchGKegCbFLcJ5QQYsqSAfslkw eKyKgrQKHWG6Rj1pH0bYE411oHP/0MTqp6lne/nd9ZONk5KcW+oQ7HVGk0iCK/SKfgDjF5Uoj2n1 dL1V08pMLpYfpF+guRhxnf1yS1DJPErkJOeySKZBtEblTiSnnltuf1j2sJiHBb+zNdpv4XH1qhIT VmGRANlKQli6Y+OBLTNuxVykgxeZ4jp6Q42JEZodDN137kxv7TpYcaKCOUbal8QMDiyMhcNu8l/U oSInNa8bgK0P+E3JYe/LBfdy6B3iLX4d689wS0F9a0cYhRzDNK7fr9Bb1e7iMgyDKD4bWMJ6bL8X Pq13rx823LJ0p/LNouN3BgtcNAXBwfPsk65Mdclika8VXKNCzCznPuC9pHo/vaupac9ZWWWry6Zy P/vQ1JDY7hTPB66OixVfi9xQtVR6yz4gIlygNmIZHRRghXyeOcbGwrDlWhbbtJZ28mlJ4sd+OhTP WFPwqpsPGz82E54GNR/gC0JEOepz0W2f4iArnQy4ISTPhd2laRdTA5O/CZ6xiJfyN366Ze4a5XBx uzhhI0LDN8BAXz/dV0s/E3brRNbowg+UHp4ucJhkX7k+xWsqoKbgDb98j/QRCEbta/U+X62FROV+ euZOYElp+WBC+JofFpVh/zUtSv7HrrQcn9tK+wDIa12zrH60ESomyWYwBlrbanGY52r82JIMv69A Pq1Q8jqBHUUUOMV3/tLhFGap6U/wjLUqKTw0vFFYsrbLfQ6EJK/d7QURBLsW8+JZbLUWit/8bmvp QvoC/480keigGlDYoziJxCaiKSl9wXX1q5j08SFKV7Iy/+m64taGom77vjFCxtWiuoNdtZz0pgxm X06aY8PfLomDbRA/S8ll/3XPnWsrqu9OxEtD/lx7jz38XIfN9nT74lUzkUiL+VUQ1SyV47EA6NPT FDK3QikakInA+96MPwNNEBzdkwcJgCV5l33pKhwFcK23h96OoYqWU3f0VD//O9vEZPX1bTh0A7yr /jfu/P/WfV7XlpQfyq9/haeFX4M0BVsEmXpYNIrF6d5+I5jqs6ETwNDmV9lDj/9YMUmJHp5SN1FY 4+AFPc2x32UemGYfIcrDlIMw9LCzQL9HVeLHFk2NXjr5dLBt3SkZCiHdpcmgbCOUdKg9v9kWbw/O yBTDTLQXG9oZFqS1Gd1nYPVrPdcwhCxL0e6/xjDIjMq/xPZm2IMB4Qa1i3Apt+c6xR3dlrtSkBiK Hoi5T04p/aHZaL/jB0v675hRBGuHcpQhBabnQtmlA0DepQVv1HGmSTqzfffvl73V7V77Xzm1Nehn q9bWl8x/n4p6ytvFwEC4M5/jfExW5PeJK1EsVABDds4s6ULIyGWDfSkMP4AC0ImTGnKG2jf7iSp7 obq67kRSrnY85IT8SgZ+YNkKPKx4s5+bOFbRObnT8M3MUNBACx3v1o/o3oInqjznh1XQZ76HiI/N VK/v1D7R8LuYZTQ/WbaI0nQMGCs3ovjyZXKKPsivDhTH+gRJ89JfEUH88LbDOFInJQrYJA/OaukG KX+7fIj89KAieA05orEvz7zDqPuLwuIkSYzmuFascLGqvjUzBBiZ1PPmci24iMylsPmBe2QtLG4D zu7H+sfUXaDUBA7YsXmsu80oAaErBoxqNrvnu2POhO5hZTybn2B/08s1R3oLxOWkC5TMgOGckVlE 5MVH3Al9M6e7LGRjy5vavnjNxnpMe2zkVPPdSxVX87zENZ1mn9Fqw0X+6lKVN3y74DO0EId7jWiE fRrC8jMTyhEW0UrlKOufRnN2vfa5WB/hJm7BQsqKBawxjODijSSLtF3hrbcWjFcxSWyAbrwYH9WP CnsJLp4HnpN2mVvexKNvQeHztVUUdffcf8Pjtc1Ge6G+ZtBC6Ks9bCrIuct8aHsU9EHg9vKCPckp t6wTnrLQpn1b5SSvMq+asSOp46bz9I5pEtekq63WbDMyf9H90d7tr3gqr4l7Q78+qsAiBdOfKZe7 SBbsn03iT4TYxbjj+ZXH+CtvU0a2UnqRslQbZEHaNNgMz4iJybVT5zauhkxZFS2T8beEPuPRK+DD G9i2H+F6zQwHWM5PpydsHfhW+InDL3IrmqFuaNLYSjbgmKsjaYsO5nPBeogtoxzDXVD6y0TkdB90 Zyk+83qhWn77fmdgK0MvGX9JsShn+DGk6K3Y/efQKbmZGeLZZO1lj2NPqRKCWqNFQO9CJxvSrR0w 5rfZtmguC6i54cSGh/3JjCzL0bi5fvHpK5eb64yNAUiOov7rTqUmheSj5R4v/rqqowBUFOMI/uv8 7g34cI/QCiIueEIsfoihfTTT1988xGvk/dfUVZSpjdhgK+RVfxPuaYzD8it1yyrjfrWbVrde5eP6 U0McX1pUtohBpZLPKh+hkZET2x4/3tr4rjHk3M+PlvEiqTDSEvGqmHL3m+pC/kdWmzirNxu/Z+Wx O+kSMajwTpAtXn9/tuGlJnLhYhG5jpRAK6ieNZ+EWHS0mYlDsd2JhQ8J/vgyZ3V21G192c+lpFaJ pGUwIaQGu31qYTjXxrD8xn5fOsZtbWRADYC2v1R6qyU9RJRNbiQ4YrKTLCGm/gs1gFacTBu8SHRn K8XNSD367nLxaxAA1MCxSfNl+rfow5TBA0/06hMNFb2FfEMDfIyqDGqVG5QlEndFb3Tdco8wFEGs z56HjAbAPPCt6yCnsqvoRj100/6VcP1lIJSJEwfvK6iNLFcpWieVndwSrdKoMZmtD9+EOReE5pAu Ht1h2Ss/3g+bEx5nh05sl2OJm1DawAy8DvuE1g8Aw8G6k2Ic86dOpaqjkDpCso2cKPYUOoR46hI1 LAcnqMG6xta/5ZqwMvgGDNXaPIsFtTlJ+An0cDthb8XDN1JaCQ4qvznM9uOTDnXLolTP82jleFRV ONHpDpdIUWNetnYovd8xCJ8LY9rc0vslWTKSVZYKCbJGv9SkrajWAEIqMGbN3utLmMcZIX8i967C TE6StUaHC2YCyVslcMiJsiWS/l9BYP4TNqTTxZgdBTVglgd57rXzOE/2cDDjFBColnwueBGVRKDU ZThzAliSIe2CgKUzlrvSv/+1iWJVEgp2qN60LG/TjmLZYIWpKE9ApGgX+NzZ77qBBbedDh1dUswj YFUA7b3b7L0odiqtmSCfZjQ23iE/tTUX1qkGASJzwbfxPXxJOj1pmBukvggapV5At+KmN440/AsJ bGJA5OoqMsf85rBSJK6PkL2+uVUoZKpxRIQq89uHjNtZhaOByO8dp3LG `protect end_protected
gpl-2.0
49e0e0ba73fad28302f15bde9cc47ae1
0.926301
1.891573
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_utils_v3_0/hdl/xbip_utils_v3_0_pkg.vhd
14
141,590
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block maG5N4mcxNMv5ki6e25fu3wzDZm0ZTSzlFqL3Fug8tlkkCQuH4dhwgjjbU2JjtG+sGIdWDl4oKws zkzQ6HslEA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KR1Quv/2+0ZW7Pet6KTsx5opy7Wi+3rbIke9CQGCJ7yoNHVXOqYjWlYz6znINSCOH3I5UuGSO0uS nJ+wGAzv+B9rqLLyBb+VoxMDx4pGx9THQTYXhEq7LKvCeMNx9hO2zDcBJuLtSmrZh3FOU7nyE9q2 C35VPHOq2Vs9fd6O5+w= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mS6Plnkb+x5/4Rvsi56Z1CtPEjpCD9vPk2BCfi98eB9E+AEQC1ptthM1O5v7E8DBxXfSbONoyOut nnC4h20txdR44l0MPxo8FVucsN6/67mHSLMg0jRCqcAZhUGkVMpiYF48Afc1btbnoSXE8jTJnh2x +TYNazR3k35kFnHwBuVsv8svjI5nOxutolrhQ3rGn/xRBD/sI4l0+QFZ2cZznjJtyegrdJVoxMJA AnM0mbl2i25mmP2CmgSP56Qjly26te8W0x9+yYMGShwk610vByf34BcGi0gHVPKcPjsgR6OlRTWM xj+9oHAnqMOCWeRqXN/ceF4+ecHDMfx6D9Ib+w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DqWipD62syRHsY/gUzM396cqzKm2gsbDUqyq5R7kromixBzPU9bVU6CzNsNCiQsnSg3/Y6zBz4lJ dliX2RwDE6+FfPmvCK8VMySdgbq9XrKb1mVGgWraxHRy1JqG/MeJzox6x/LjOEQOamRpre2Kh24w JvAE/LxI7sFizG/pLLU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X6IBtaOk6W7HSlW/D5aJLoctHrM5bNuZSNxOFnk+5aflG4etsaZ/qhMPWADSwSUX0eJ0nvNp+YOI cckeTEaO8qV9fJuXfO24cNbXqYzW8Nrom3ciJIxN5FHpiq0rI4FbXMDLNAdbS3c5efscoeym6Lif 7OAZlg1gWMP90X1PpbpbUQHtXonnnNRSFTyGBEKNo2wfWZOHaH2llECSLauFG06kdQ842CjlwJaF IchPeRI1/WVXH3pab5q6NhbM70NBvSlNrIC37g0F3skvBHBYoZe90BH4cX240u7D120iiGXWP2CR r9rRvUUJ0K0v5UfUfHAu1nzSot9VNlHrkz3Lqg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103072) `protect data_block 9094KUToIl5lNCwY0TDFsHk+wWyy+77qj50wVi58ScswOGXU70omqDVJzr8EMT6IfvolsBpuL03v O5uFTLzELOpsq6R8OVk7OS4UyW0kzRb12y5TeVt2YITdHRSBhECN9dYrRvKXGweArKFHF9aE/KST qSq7s0AWfQMO+UQNdmqVAIphApDhAA3ms4JwGF17Y4HocjKE8RMd2VUswGTvKwTIZalDLGHmTb6x ANDYCe4OPEwtxsL38DXPfiZJWM33dAFOLOcd36/xP833y3tr+nlD70hREAMsSdNNxKOSiRx0Alqk AMl+M+gYzAgE+bdlVUd+jSlyufl6hVerespo0YkLc3zH4q4STBTtNiwS8A6LQV2Kp0abzeil+lct DOpqQVU4+8Q23hhlsiWjNh7xG6Tf2N+Uf2FLAybtU+/n7zO4iStOiIVsPz8ZeddfrHbNfzyK6X87 Unjvw2SY+qMNtdtTGZ5Nk9iuv1nwMNV2kju7GLoiEuPgrfvtjio0273YSo+BKo2RXiaTuOmZ02iQ +PEEqRd1qMaf6cx7UsjboxaJ2K+R+QO+msH+cK4Fv95Q/dWzeHvxYzWl9+ChCvqvTRRtIixwaY8w 3qc8ED6nQx75tuz25ZSi8s7xA8lhqB5RpNSwGjlYHJkBqUz4Rg3Q8ewfwsewMfHBpFy284txwAIB GQxrKJrRVBYviINB4ovr/B7aEnFppJWL1G5DG13kXDFWTY4gn212jqFCj5VLutLAl/8gHZ0ahMW7 57ye8YnuXptNe574ToY0cF7X2BX414+rb5JttKFfgQOChbpSdg5CY1pNh7ayfXZU0WCSHA3Nh1it 1qQ/mYNR/tPpiYqd5jFTY5lT1QJJwhkQaDELu7jFCcAMMnvGCSKIAqw8d7zce5fuq7B7OFBqtTj3 69bdB2f/j0p2yzGRIBqaTMW7BRtX5eespof2VPISAwS5S9T3bZloPrpT2RipN+tGzY3Ij8QYtR2z WM8pDIRgMl0WfI3LUBlfQkLYO4A86FNYhbXuJghWZui9wrtV2ul4PI/myn/flt/lPuZtzBwSi6f9 HnV252nmiMAu4YYhVY1g2gxPO/2ZbaDwxX9Wplzo5BAkw78X/KPTkTfrQnhoEYYpkZxrVrN/b/ci MRmhrIl5oo7vHoomIAe4iSH6pC432D3Q8SEPjnoZgCy7kIqIQ7vcLfJsPgSySR5FP/ICxazUucm5 qdQHrbam9sK1m5yYUGNdf5WrafilnfFlFXQX2VpIcH/LwGPevpGjsR1pqgPvtuq6uP3tbchJQgbf 6RlGEcZJHl4Ge1wb+998KZqVEqae/U5M3CuJfyfe1x/HiNR8TeetECE05oGJoYMN75dsfcOhBQ0K 92VBMvETdk4uhv9GdNp0iZ2VGbqV3OpSRNWMdBDWwX5beS2jFa5RYaVw9WzuN+n1hm7AFjIUPDni bRyZmxJQVK75wZDh0m3gYaKxVYLPYPmMBoCeD07mii3aE9gkqT6c6mLthu2eWrBz3xPa71wnE/tB 3NF0S5rUgRCoWGsX05274jAGqwmaV9Sc5rxFHsl+f0cpQTDAvKEjU0WWpXvs3OTpmP07ix3L4kt9 qC8FwIak6kNqNpK5coxY5qnOuOgjH9u1TIx4LJFUp3Vu2lC8R8L5jNED/FckP8/HzyFmfTDptMqr hBnimVvGbOViUiZtvW3I3ztDx6ECV8us6z6eaVdD02Oy6ghS9FeJjKJsvFl8zml3NvJ6a/OczgWW YkMGXG0AXPetPxRwiEcaMaQ6/GIbaJiKOrjRW2avazJ/Dj9eEI8Ols+ihx4Q0M9hMHpJyP1HAtgy ezmDSZA2VQFuee0V83WKg0WeqHSHQq69k44KCbEGKKBFq7+sqcGKYjY0riUWKuyPBJHX1T8x5D89 IQ/2+6fM/vVyqqWhjF6DEqewbPbpnNXwCW6ISqbJvOvT2Gsq2ugOOrkAMkIn2LgyKFlxyvB/ejws 28NG2kv5nWGqu+halUKCgBSNIZ/xB4I44WPE3AKmlLb68Z0QEsWocLYBIqbAYMd+2SZFpGDxR5pV F00z3zgK+1KeGlDSvDl2FJ5cqF0marY8QKNFA5KFm+So0U5Icb46Jaz0eUI/PROPH5MqIGgfDb/i 58HVv/dHEDizSnUO8MpDsKZSc2uVviKAQbZ56dRVDD1/fD+PBJLE9HyJQAw2Z9wnSMvHwqfSBNgZ 1mjkVH6+tPMv5BDkL7jraFOBcsT3tLCsL1VupWNpzUwwHCLo0asONtZBfQX+zwfcvp/bvniuK6JF Rkw/HbcCR2E+X1zANZdmsUCL9SaEag4Hcohwsw2BguQNi9VeCp3SWNnOUMqHYiseGyiFwjmN1/da zs/6FghbaseEAw9qHMTz7mVIkCF/0WFmy/jrNnSVALOuhnsOmtg4L/flXYnLR57U5G+Cl7RFkLF9 anh5uA8ck919ynQnfPv1jl9xfinzji4NHp20Xauh8Ix/YTeseHvseJoNObkWQ6bsjc9hffT2otO5 giOFQ/Gs4h40qWJtL6Si+pkE0KyoJNyCvSMP5OT3fuiF5MZgT1WH6o+/E/EegTKRUVAaMay2Hqvt i5/uVTKu95EbJy0zpqtZSU/HK7qb7uP9sIDWy8pdzttX1adV5BhXkXhlyPnSJWXNuw8HeZ0XkmD+ 4RXUkSEMxZmix0BbFYj/JClb8LGAax8bD7LUh+d+oEG8fGcbW6DtC47tqxeyRayDd5Ngtb79bHD2 JyD1yEhJM8T6GJqyae4qpGGRjEyPGfdkunUkqJaBrp8vNxXedrYD7Pl2XOsVciLmCrMnGllmsBIL v4FLodOS7SBBqStC8d25DnW2uiYq3jE+57NFRw7x1FVGkFnzb+5SYuoM6j/1eE7eC2roAje/vwMa qt4+2SgFUI+QekZmpleqQSR5COEsRlEP+t8AAQp8aSGjR/DJcANFIzPn4TJqwJxn00Xum/wItG0F mTQxYRodxjAh9owcRIwIRMXaCqNxIk9ik8POMRQm+JGMjx30Gj5xw2TqX08TTb9ZigBdJnBGHsSH M4gGHrcUcK8KGsmiN7aAzx/fiajIF6LxT7MX3dPB69OqEcgzYeHmF5coiha8S8TtxFwEsgZVIPEv 63Eye4I8U7FPTGsrFqsRz9XmVcm6XyPyk6KkSZHR7P0ROYmxDBzYwCpQVITyRjegB06HxgW1sli+ xQozcSciDWRFsUdUk35lDEcjncJLa0CB2L72PyOyQ6cClH/eUcv22/GHcEjRMVHze09ETOg5ccBn z2qUxmmSdlrsR4yp8xWnIJ3hgIZTR8IYJ0CnQV7xgCyeCZXTXJlnQ8wnCm7WWNK63zX4ZO9gVPyx JSAqwmYuYxIIkFUMioq5oEY6pPRGZI3IltWv51C1Nyd7C21NodV6zQ62iKYW5Ta1rKHOwH1lbhyy TRbKKMhAJDpZ264GZa86LAY+Pwk31eIVKYVaXyJZ3GA9gvTAsi3ySA4jHbWUtEbWR9UR2DOlAvqf iikReNSqwaLo+vjfyZ2ovfzQPEuBYqqtLyDmeUXgxVhl9FOqVQUPpUYIoRpZ1coft+soOUTDc+VZ AnIwKzZCI0P95NYWVFOJ1yjSt/ZDqLVhmtFdLc2Z0MUKAWBT7Spql1+KQ9jZYkYhdOJlLJ+d/FK0 nwXUtaHYzVgU1Att8FiZB/hAKMrOU5z5CCUFktnt/tDS88HvP8MI5hMS354pvZ2lB9UJDBIs7hAE FqJp/+X+OulxV7kjMqq6LIIy2Qc6JJi/AapoK4f8u7C9bCYQLoxho5b1NV7VQJub8nxJ/8M1Qngl utXKOO1w5mzl/ZMzdHjx/5eS1na85GhIdcPcGhTMMXiqt84hSEjH0ZmBfEcM26LKKj+yqEcRimfE bXzWfWTuARX0ela/biQnXbefz/RBRfrCL/7ss4WFhOfIUnuYXPTOvpREYx1A0TbPMzyWEQJApQD9 6yRcZkRwgOjpbMzThZ44X0k0Z02mxk8G4dzPyHEebyzhCbTO37Mg4btFD15MxHhcX3Qgs/pfEPU+ fWK1VxspESrBGtN18eYdFYLjDj35GjlIeBFM07gW20PR0whym59+UxA6dDS7F0wq3qmsMAbLeaVL 27yP0zV3Z3REWTIed+a8nlJ8wIa+gd7s50RQ/BWFjV8Qw6Kp+zEj7+5dP7c4T2cEPuTnpga+zBwR lTxLQbKCd/z3yA4FZQwhgEu6+3Tq34dscmdkyOX5cVQKQ5rWjdJLpElVQCFwe+nZHRLFoHN3RIju CFgyJuVIbPIwziVd35rVs7p0Ra5zkkj1wj4UW6LeR8ZldJCqdwVp8EWxMUxJu0WfZ1h3Ew0tbfd2 FT68N9uhwBI7ohO8Z/hQ+L6+vGBoY15Ed8b3MeBDYzI7Xfwjtz39cKuQuMWPt5SNLFaVouR4bqqp 3oyc+VpRz4Te82zfQKkp0197qCucCB8lfTDMM9ii4e6ffHyIJkOyzga97lp3djQChwt6Z67FPeMz 1xxzTSkuVVCB/Zeb0gYNqPky/5pAzXYF+oNSs2XiNm8cSY2cNmoxUwo3eB+cjBTJ9HkntTiSOZqL 1hg/QON4KVQk1qy6aE3PLw2yghstKlsiKW9FZKErKie5k9BHBiyyYlyUtchfZJEsRs3xzVM60mzS 2VESG61jzZBZJgy6uupU0A5nxPgcS0iNYXVc33uehTBPkMwNMHOQw7+a9NH1rfRW3h4gBPZnP4vz /G9Rn+AsFHWXa4K5DA4fFAH6juzgG7mmQWKjjmIQhtimC1E6yTJloG50MvjbV2qt7LIk+1CgkmC9 VGylv8AQFmQ/wDyFO2e+E6HxqjOBEx65d2hDsxgACedX+Yhi748UEPu67x7mkt8BxHOidIsp/wh9 kJLZztiSvSEky/B3Usc3bBrzXePrFijpSgU4BxrsqhR5RPvg+2v0b45ci+Cw7K3OmDNlUM2ToXgt Tlokdps4sSCoyYSjvqXZNE710md6QwG1/Geh8qsVI2Rfwbt0t7MGhCVREljBfO0VJCeCrklJOC29 rvS5pby8FLLJTChKi+k5z76/HoXgKE1a9DDJg5UCAL46r/BCVJIbpAp/lIx5D+MGAMswMADNRF5f +8Z1lWB1Y5eCWWb04JCiDaDATML1AOssPP3GZxN/ieHbrQpY8QbV772v95ha1ayZmjCK+fttFpzC OOB79yFO39E+jehqNwMHbZP9GfyFiHqEhto+Hpj2LJPGjQRxGpxr0ZKx2AxvVbcGDBeyy/vCTx0h ZkmYJVQjcOjsIQPrlX1DdQ6qftj324YV03j+4ymJAJl1DO6HcmV/GxH1FUYxtakp1Ezlx9VwCMYo wpPqtXFlR0uYRRipMiG/YC9PYG8MaKat2TpBDAH/610AWDXMd/Bk77Fsog4oxlobtYIIBUatDwKR KzBXCJN3fpprLdPER403XlbNhPEQDmP8ay5YJjI1whVhRrGmjzWvlrCrdwkzpbG5ah0oVU0KjwyA sH0vuzcfps1dQ29Kfq4qOBtZBcMAzcFWWXiYZSpI2TPIAgJGTCOMnBHh5mImK2/oFAT+Chvpcckm d6TuHCrGK+D86GDt3wOQ7GCQtl4D8pbKPhr++TemZh9ackb+lzGEZPpmG/KcrsOwH+ZDeXtsgEnz NjscgqxbDNDyVyQIrYCPnFJJYfPQjsMpSZxF8U3WQPezwEtRibXmv5TkYh9z/gQg4Vb+mQyqL989 7uqN6GHvMjKUXcaXw0O6hfKug84ijLumOp+kz98hNn9RhWukf6lCuQ/F2OfAJtrPsvhpUlpM3k3W Xk/7G4fyXXE6LirMk4dI41dUcZ1vd9utKz/A+Q7sWpNjKkkJdVm648H3onfo/W7ep6r0rNceyAkM JwHEP1O4b4tfmgBbk7xcImCloE62ebT9OG4FBIMG3JnFYcrA49AU7H02ZEs+6gIqVVSrgb0eDacc XbNHoyGDR3sTnxEQFYjWbZ56in0P7Oxf5St7wQi3hMboXqIDLq/hA4+uiejTGn0lv2QaUfCzMWks WWYcG0SxKR9IOF/TFVtpy9J52MrnOJ523catMl4umdNPhwMPNSgrl5+l/FnPzugXza2W3JCR5C6s DhVZTV1CxqNpiW/Djsw8CicZLk9pblrFuRfMWBOC7yjx8wNypsyBz2hEe7a4zP8CKS+OXFAK3Js1 KAsR2KDHJfqAJY66DLcNrvFpB4yleWKhE6row93K4Do+bS59+Hfu2RqQLMG1e6uh3vlXq6x+B+en l2G+9Zs/McnStzTFzveJujlx23av3neGGMuSub4k9LLwOlmyDtbLosAZ4z+f51uSSr3srEghw5Iq DWAl6pvqRXRfy6JQFOkfnCpTcpHIAnTvyN8qrZn6b3CGuw4wipyaG1HfsruXXA0hBmmD0mtXXKMW Wv0SMxYl0eoTygmB1ulPidnwBxdEHUThuS+VhbdmHvFZtyvPQePpQjYZODmmw4D884hAzWCH/DLM O5I4awNVJ116unW/6PMwKQGGQvN/KoJrgprnAhZov5eg3uvv/our8TIsf3GfX5t2wiD0AGyOe2gk z3OPMBFGgSFMGHp3v0YzH6BP7tlfd3/RklU7094wj9WC7klmkokXRawJtM6Iz8vZqJOT56Bf4Bkl t+RBzrHB6H+5eWTGijSjv9ruLxg4XFd6/dyV9DWZP8+ZOYrIV4/NPpFIzegmC7z7V0oA6jMUpEh9 omxzLXocOTLM03BxDfMUdbtwr5vykhetXzLK/jDe3XBBZ78jlfr7UDBn5aOjGbwP1og0O8q58SRb /58yBxIV2q8d9vjjraJD11bJkYx3Eu70o8F6PpVnry1l3vmHCn1tHFI5Tm8+UluDOTKRITx5Af/O uBcOkILCJm08QIjxsaSDcxTfJCtklFa5sC2nmBnaakjI1FwpK7aMrgfvYAfWoeHXKI366rPzS5We 6l05mg/SSHqjjVNGWSvS1rW8ZjIP+AuCVF+RmQzmieiC+Up1orbQ56vHHCOQwPKcA0IXn5pzMgvU Flyz0eDKyjgYR4O3RcSisWtDwIu0xDruZbgFdX5OzuWDl3U/9Wq9MtFFNiNC5JCGi3nGQOrBIlEc /q1A39POINeZGv01AOw9WoiPkQBNDiUWVIRRwfOueiM4IES+Z56mrmSOp+7ld4wspr/3lQR9hCN9 cBn9wy/MN3IEe0rTBI6K1iUn/EOqs2LDZv+WZa+9zYU6SuxKeoGd/QIMc6/ijilVqQostKUlQmUd CYl0gAkmV5DCVvmj21dmC4YyfOw4W+fHOU3ZVkRfpEKuUpPidORXwZF5/ZkgUddrrZBa9ZBS4MAk eNdI5QTc+hcTbOusVOXOVL1H75VIhcVLHT4aRKw3Y3TzjXtOuXbAHVNmh2uMUP17y3h+rQIZLiO6 WRnaayisWw9IhLwQUfEjoyG5ZWKlKLXM0ukxhf7Fmrhgssb9eP/NwzIVLNbS5lBDPFWpATd5RFnV lR0MyqizK5mX6KKA9jemIEsqbGPYk54uoyyrudV6Tzl0JmYzZRb3kPR1Sy3y5Kxjt2hetU8a0NpV /Y4JPHOP/3QPdVpuFk7yZqEG+5ySErWar6/PUE30p32aEKAKYAaQZH92mDFy2J+Cc6V/V0F3X/k6 obfiIL1BJWu3kTec4wz6sNeVE7LR/7GI2jI1ttklExeDdf0D/mpLBSM2mG4ZdtKCZYEjB60TFvJN 9T1rnGQ10cRPcie/YwKchYRlZ9Ox6x6qOA/gOXLyOZIVzrAxBjqHsGfxWs3PCVP0iJnzzto2NMLy elXrDNnjYm0CbcvoJMbsxgccTmg61i6qVbv3iZKfoQREk29/V5GanS5HPy4rtocEd5fhNfjghc/H imlJNPofZw5jSGq5Bcl7m6JNkkouXhXxLCcYiD0/MYJvaF8mcVyxYD1GMTNrYeaIHV4PCjDSE83Y aCzJuVjIOaSdL1Dd3z8kot2ArE7Yfny0XgeDMdJtC3nQFzOcKw3UsA1LN6hVyTDci0itLaQ/KYy7 drkTjXkJtXOHKh3Od0ng/LOdvNmLIZ1JvJZEf+0W1Qu7bjlmedPJYaAlB36zM25ANz8ixkDYQAmz ZIYe9Jfbfd2MsmpkS4prsqFPuCorWgHkIBWbOKZKEkw5WQPwBJbC8R/DSIWjsQZT5QgWm06NQ88a yJLddkmGGVo3wNkGiyyaqYahkGjU5YwevHlWkSwo3Gmk3CmRCo3lfmkOzBvsuCLSYUFkyAcI2pdO ykR5Ou+pLh4xknwPVC2HdROVPR2H7p0gUaGlOh0SKjHKyWUW3VzJUsT4IZ6EYfQiGvpzqhaXLdGV lWhTIce6TI6gEOj4pAPPEl/vG/TvZ32dd7RN3jS+DHtw90PtO/7/BuQTiuZjTxPA+fii9pJGLk69 EJD9sBoJzVX1ybsXsu/JMfLnhu8my1/0TSRbpMqaIrBpiKprASgpVBvbBuVziEqWdxSe3iyBB3Vt qkAXBh9SnzXgXeuW1xn60mKWjTIr/sqBYkRFLPaFCZSzw7bj+c+u5Wl8ZAJc+F4SV47uHF8L1GEp cYJppezWdFyIadEauT75SAoDJGhmpd9t4RQ4rifktwf55UzT60k0tZeaKkoePl/WoBUL8fOKI1kJ 1T7HijWkt7wtEd96buoIJSQzgJS+vAG47hG/MRm7QbOlZzpIDeky1aTFMQbdODTebwY310gWIDbJ BcATcHHLfbETsYKCxx4rVUPFSkTJZKNohKoE0+LfkbiI0vwKRBZyZMcu91bKGP54WFouxAIGcigC wRakmrmiVD9SMThPzQvQ2qORO1oFJmaoETxQpRnOUXmXAdbtjI3izlVzzsFPcONHIDYjOPlwfh5m YHPNOLrkZaebqeZmtQk6x906Ol74tNYfPAwqVb43xmPAy096NIHmZEf0kWt1UEQ4PB/olwDCgMgO fXBVCZJ+FTeXn1gParZWNsrV7sIX/O66wM/zmHx0OlwVLowF2GgWbe9Uf9d4EEmB5KRCn6deLE88 prHU/EOBezWjgvLNoDsdnwfZdgMadufepsOx7dKamQSwKKaefv7fRZMi3aibQwNpFkbWN44fDbjr YH96aGJON5ZH7q9mRLfMyPzOboYl6qR4ApLjK/eB5N8+gYaGInNIvM+tU4PGM5a595elFt81Recb 58YciJHKmXmTVupf0cgWijyFBa7oY1E9scPHNAnMuZzo/rdJoozn2kxcc3Ix0Ztk8akT859KD5tV +UnNmfLtGcPunOri3X4BXp5QLKqstwpe0XhF7C76ltxeMb3I+g2HRr3wu5/y1XZZ+4teFXmxnUIN Kp/yVWEN96FReu/cwNhIholxwE8NQZnGYwbkgSvHF5ZAP/qjuzEh35ND2QqGQGlj0AmBQwsio96b b5NduUM6jZC7kLZfzq2VwPcJGdiuHizF00WfiyaU2sA8ui6nk7vj2vg2AQGNMP6PazIarFOkzH83 wZG2gWFh/6uTuVheIdRPumD30vl6xDIHbW+5Mu0Ienh+1VjECWn70Zlk7Dn/0m7xBco6siyhV33X zibhcSLu+C03G5+WedR9XaQwGS5zSeZ00PeVQS6mwqDziD1s/U9VyhaQvwmF72oq0SZ9ibK4MtKg UcwMrhEZcEOq9gCVxkk1peQQLWzY0X+T7JjlPx8eA7BFi5qbsF+Djfc2bzhNqOLiTVgEdt5F1G7B Lzoj/FCoZc53gzseRG6bBurGjC4J6qpd3mHTg4mnmcEqYgpECDn3omy3DIWKuaXpGm8YM4MXvPzc WTFRneDhvBbOzJVJxWp8nO5blv2DZa9Syow/UW9OLtPPfJDeFdbqxdB4JM8RcQaSzm1Oe2CqQO2U XQfys1JXO8VHcvx0YrMltXjeZrCNVTlBruGVAo6K5dpiCgDA6E6FEjxcwPC11BkqWjlMHxGL4K+8 vnosYovJWu0hgB/mFujgqIxYEZY6VrtPA2MjpXnN4ZNy4bNmdsaaeAsiHsgMHenZ8oqU3bLF4Isu OICUa+kT+Zk/wQVmn3j2Xn4048RwbmScII+p69YyM1tA/q9Eics5OFIp2iOCoXh88OjiaCkwyyaw /WDZhZgZ4WoVeYTf/zBqJo/xods8I8INYho5l/Fa434q9kj7Mc4LbCvnTDVqq9ETOYJElwhAWj70 qHTvQ0rrZYPQU7J08kG/TfQBIChmCzIT30664iSzDF208e09wwA+fQBsXP7wO0mE8dX/9sqPkN4D JyIGIIlIOPaA84aaG2boOoqPevB8+An7TvtZJDTlobX/zHSUy3XAvEKEqVhPQPgbI1bmroq+de7Q 76BiDOv0V9T6TSAqe0ZglSmLFmNdxc4Wh5vI2j3LPTaogf7F8QsZOvBJ0CRBkg4PeCvBqwQFJvAV iZiJG0thz29xj+X2waoYy8IwbCURNluPLIWm73awuo/pPrcUQufsW7c+fzIvspBIV0/OZDdxzE2L YefijJFAaRXkoQcacClySpCpuJ6N6Gtwn/8o6AFSMqkw9yZOfQyiP8coYU8cPgdhTgFxof0pNXL+ Va+f7zuQK88+BB4PVzr0yg+XAcCRdb8F7jrTEZRCTBZyUOwrma5eWbFgpZhbMa+dLuFtUgrHvCzZ M6r//c9P8Ub1/BWdgXRdReSDMvACxnMJlYmzg1i8shD7UsU0KRNdpEoCJLLc26ZPRnb5zZiUxRuC YQUr9JgJQgrzqNdaR4206Tj9sL7ySn063a7NfAEHk057qN1QmpF8Y7in/92wFbwgyfXe3fnnHMMs Cjmx6eIuzONCe0SKPk9NF3wFF9aMwmbrzLJZy5JAF6Bl7Qie57yVdc54RmXayE05gW6goY/8HXs9 PRfCTOu356ddEVJAeUrkK5eyC5wWVt58N1chx7MfVVsGcHnn6sFZ+hawSnvXonDz95mE4KkkJUhi K/USUn7KBWzRqbd5LvvxguDVDCZM8LuHTd0en7v9V4MVoYXeX7+IA49Toq8WLgLPQ7tb3dQqO/+d PJIR3SyBxH5SEQhjV4cVKpZZOvUveTH/456i4PDMuIH7SvX8q4IAIbPNwUtgT5kZpbosJmLEt6U2 woxlO0P+Y6xpL84ydaJJj3xQ7/m8KiCnCmtkudyT5NbYCZNyzhEBgkIcXhftrwxyK6a7oBksUN44 +ZCWQT0YGkXvgUn+Iq6C34bE72U7laVaWkQZ/g1kDpSleEj++vGWxvn0uXcr1bjhyIrV4yWg0eSH DxuW/VoQGBpWpjOScxF/UpUEVcynPC156VBKBxLGVBCv0mnVBqCulT/5LTVBuYWCFGTj7DcCGCmf WGTvOBUNmtmrOcNKJNCq2j8l7UT48Vzx0Mmta//Hr4QvmgQbmccZHsC/o1xfIhOX2RR3P1K1MoSY JzsobIPZ6DeCsrHTYFGkJ+guqhhPZfCQBmsYfXhzB2y2BFxZWo0Ey1OFBuCTSCCb7I0/ILPz615O lWlC3nts8cgjnxi+tY2PJH4u5WuIUvKJ2aoOoubHyKXx80sZQrb9m5BxC29fDjeuOK15refhgEXx 5Hz6BYe3H1/QvrLvyRmOFhGSkRlHi8o4QCdiTDgDiQugHTVHx+I1f5kNPWoRjKH710OVpf2L11I1 81ZNUcGN/8tunZbAlPJKwCYQgcW/S6BGMOMJQIQW566fzIBPRyQQJd974E5y6E+Z3o93Ks1K6wCi Mw6w97sRnewX7olwhinNYvdafE/n6/kN7bNSunfAm8rH4csVgVDUEI6tTiShumi/BW3nAN6YNAq1 itl3VWKEDaah5DD3LucRQCL/BJQkITys/elumB/pqCaXl0PZTFnpdZWLJ3ZoOAc0eSp7LY7K2kHJ NGAEKthPhxLg+cboqrfRJu7vdktqolnjoOU2YQdowryutAEUwXw5bEfkmNVzcSzeVcWCE6TvZU0A GFvFsLIptRV2Z7lIZPpdJvjPZrtuYOPdo+c9QNGVVOoJcUpL48pK2D+EpzZSy3ugSbBOHiWhEHHL i9qkmC/6a5H/7Qwvk2dbAxrzAGn/Z8DYEx+kaBPtIPUWR+DHcUB/u3hkPXwjZkAKdf+DaxxaU4a9 kSrdMi9nNvj84mepPLwZ+kt+9MUQ3/CZgP1Oimk9raqf4frTiJsZECE6HB2zZedYYMzci0JoUQrL m0Zf0U6hsPMP3e/a8vWOv7SZcv2lMWwUPwmIzkGCo7MZkoEtR4u65X9UvZ8iF9/9+w9LxRd3Ktdp +iVRGKkO+VMFr49hfeWcfO8SRGaQDbwgSHTQp630QVtrJXNYniYT3gvlub0Keio2/W/Wl0Qi2ELL Htf1Z5acPKPIunHhJj2KF8W/7aaRG2FfR7RFy06V7KXbbm0TTdouc0IERtzxL1bl3OTpH11hH2wA POse5+RvwGk5bKp1DFdQM1CliW3LL9+/uczwVh8Bga2FD11qRW75Quq+ojOvHLvJkoy31SduqvPn odPaZHZ+UV4AQCURT5Yu/7ugdRhrL/TXIOP/10GhFkdidv6WtqOdOS+mt2cBvp6kZKXUCoX9syJb Yx5RvqNP7tRoCr7Np6WupOB8POgiJdWXfUZU0BbujoR9bNttS74L0DRw4Qq+Cx2ScFVrERqFqb3Y BcFFOHcvYflSHx9Og7oqpkxcoj2BK9yBmPaGa/Bdtc880mT4UeEAel6k2EoMm1rPJhuVxU7HR2fN NmVNxIW7+2bOLHn2CKLwC3bUBPo+hU17Kl+WswqEGOw7LVSio4vD33fnMtm24wiYS+OzRRPYRcFS DDCPeRjBWFVDBwPymYroXU0UPpwK9xsYvVAg2QpmkM1nrCpftqTzv12sC3mBMt/9hndlJnUbnOIg OrRGUBm/uy36ZY99GDpUqUQGBOQrrKrwoApnCSC1brWxLZQFEwAPOyrgylEe0BYz9O6dKi7ixbWk nWgNykB1Tp1nRH8WG6T/SsPcrfGIjIvjez0R6+9pgfPSePbAFaAncyJ09zuLH4NAj+luhD6lr29P Urmv4V4+W7ireJeyJy0YBF86Dv8qQ5rCDcdv7h2gGBP2GAVYWb2R3sWBztuPbm4c/C3bK9BWMflj eykWW8EFyunz9jsjmZrwa1B6lFLPrcZaAp/Q0Xs0LTXXPULk7WEYM4t/SRZ0UrDVOIVvHfa+TMZ6 uwDzOGl08rpHV1nlZuYQbuarnf/3c8fLW2L3UQAtbnAeJwX7XDXjZgqwZy2SzD1bHFma4mZVC6FT tZEjkZphvgpQL9GsTW+CHqxY3FBIbn+fRp9xEKo9ayyKO1lgYn3s11JUudGmSqoIjf0n34k6sfsy l8dEKxIBWdq0sP+KeyEYczNaupNQ61EjaielG+kBvjkiHHUoTqY2T0fNhxhdQsixvh0RdSmOoIaW nepPUw70oAa9VoVnIrjQT2PFzD5T59S/bzYLYBRrnxTLj4FoH0XT4sNVP85NQZmiSVVuma125zoU r8m8kO8Pt47hOvGb0lMwMWfbpCnpyYcPWRu89eDtb5S7wd8iR8j7CVIDgEgcMcQDsA1XUM6PfoJP i3/eBFiB8awxVePL5FHAeOSn3AhmNJY54HOKDZ8FiIXbVwS54wcxs1cEWytqjWd5CbaIf+bKqtrJ Ywa/N5HGF3MxRCitvyENI6Q6u7gvxqM4uW2tLJq1Wbt+AtanmUlyj9Vn8ygdNRaAs6PaWdZJcwlc 3twxbfKtUul0hgm5IY1wnxnwpSwZ38zVGQw79ERzuGxTXKhPcrRyrEOL4XOZmuCMHKRQ8cLKY1DC eU2Aknl//1x1Jl+sd92vVsQQ08DLHvQvP69pEu9Qb1rpoZwBxOo7KeGC1v+WFtlDxrXU8cCUjddp IksnpQysMdukOK0ZXLpcvCIpcG+5fjdQZ9pj0kcZ5Y34Nfj6KYqtLbRIo0e8xKtVBqWopxZtC2f6 pGaPYXzE5LsL2QeVGfz+WSrQrNAGDYoC979LSJjo/C3ZMcN5uUYbwHRkc7PEDoqf4xBm7b3PRpI9 dDdHGmzmZvuRvZY/aCTgaZ3cPBU8/QJW7CrN6rwfHggX4JGcET0o2eTE/2OBXyUXMzqll4tSZWxP okv2RTT43MMKMMFaafO3521mmrNTXCTQk+eAjb8bFK58uOlNklMQfZ83JoOzeFimPe/wgADwUc0c p/ao1Jyt5EdubFqVENVSm8eWrZeWzCr61Zc1qTm97PzpGN4AytkqTRRTEa1SalznOC+4S9HPH5Tt 68C3x7wdBFa6e/zZoLlKMxr3wKtTwIJ1jNhu1Nzf7t8HxzybFka9rBEP+fRIrqnGdqQ8Xc2c6Cr3 v9VY8q1olvrsuAaURldG0NmSSzzJwgMbnHLQrFrwoNJRclAdntbhVUQRppY33dXf3zRlR/72c5C5 gNc2RoEnzheYyzrY3JMjf0sJwt5hWeP9AbwiV6Vf6kTsSqCKMWkSxfYtH73yoEEPuViMapROOEDd ZM4+XuTNr7KQRqElpCM4lLmYnqsfKechgj8rum0iSIAHYCoEWr62g6QyaiYysZ1gFgv/63qJK4kc f3xTsEz5rmKepBqFtc4KsA73u/Nj9oG0DJbC389x4g2lw9QOwjZpIMzyvqI2EImbgYtNp18h/v8W V9jxK1F1J1SKM5jNoVJslQCP/pIUfugBKd6A1eleej0g+uUM8YJSiydediMztXmQoW0qE56B7+W1 +aAemZHCuH3QONBE2eZMD4YqE27w/huymdRLU7ZcO8TK433W/pc98rrLKf8SvucDRm7AE1mtJReg aTv88sWqEAsrZx6C//xRo6fH4bCOUFzk5rcP89ZtgaJWJ4ZGU8wl1bIIWS36aI+hUt3mm63ja7pM nq9x0er9CApMwQ1rqQqjYrrrDD2F+0NhpegmsuHS5SO/+ZxKz263QVnpKwWMlEmQY424TniMTEfC Uj+v/3RtHQn4TtL7w4BzhBXnq/vAmAcNvL7QNBL/4A7Ibc3uoiIAn0hKNevowWnfkksSrBYc+N/o ecr5gDTc+QA4V9CmTgcHHTvdAlJXgAXbUg5+16t1n5gTbcqMQNQCOHVCBzbZ0meFI1uDxx0te7n5 cZ/RBFzwmNbknkN710BbhePQu3nXlW5carXGsyM8aWbrg02fe115ufvbtFDorkuZkuFoT8HePQdI ulzvKTzHWoClgsOjUGodVKxMfVAB6AstT+G5v+HjUOtxFV5V3KTZQAepR529O8mys9mnJ7Dxxsq3 th2U0IUVIAfNeWAu8jVxYmxPyiVELx3fo2NP+VW+0depo1iuYHDq+wyjB8m/2Dt0J8tUaPKcpX8y mnPAmIXQZGZGqyIn3yKixYT+x+53AMTjVQpjR2X15HjhDsoH7dz7sbWvBCSN/vSgtXjrkJsQyF+P KpulfaVJOUVOi+9NGZgPfhCvIsX6ImWY9AuxIyN/JX/+Kdd1JTnwwQ+9Y8sdpSiluqeoGlFj4/rk xRVksAVh8xhVVkx5Busa/neK8j6O6HvP/CY5uJH7k/A8cK0ExqJ25nzU9cGpm8nN7Q4xF5jd44YC k1jbZUX6SjIhoF2Yqs4x9ciB3VNlLBecIogdkLxqEKeO6Nh+R0UVnkARCkJfbF+ekTZq9DDHK/oi euA8x4mh6+KiJPxwqTJbbV/Q/KvqxMeWyG0AIL3S5aG5mSqSF/EFIrK0d9K8jU5QilCtcEy5vSvp eff7zz5IIz22kG/kR4E0K+IlaNYuRcCork5NIe7Wnf5jh8zmqZU1mQoQolnzrYIPhj38RqY16eLm Hq8oN6/kV+KaVQputEvn7qvdq7XovzXz3Ipx4Z1XnJtiYZ3JvmgYzpi8GRUO0bnw8Xh3lOnA8k61 skp2Ohd0OBnoSEUUoTyFKnhKu5ZE+KBDKeG+3pq9Non+l4zHAMQzrHhVZbO6ncWiSBgsZZ7cpcTE ugsqCvAqXkuYSAu/7zBBs2FrJzRM/FthJVKHAZC8gSUwfKCV1qzmI3retQL4NzkUvLgLP7t1YBL8 lbaeynGmjEE2yZ+oN4iv9NgrVgltlSbKpGh6uzJzhjk+6ACG0ht11HrQCzP+b/Pw/+clTXNAuOig JkZcMCL3NorK3uHaRkoOAK90sKbh/CC1cZ4TzOklnxYw9TtbfjbegSsgZNTb0t0I/syZ8X4vS7Hi 0UHXSnGNCuQN+1dL2qdWbqfgWgAmr8VwiosCxUY2wOg9ix/LyTtTQyj28iXDVQ9maVjVqWrRk1q/ 9Yv62pu2vQWdd0gAWYi6SaQXd/9Gapy+SrTOP9mjH1cwwrARDUFk6PnCQCUoBp7j5VnybBqOcpVw vgfVhyP6C6kecRJ2GAPbOqrR/8mEawZ8X71jQjkjA7XonWwyycjT63q0XfvHh1DFyY0tuVCEzFra UZLYQIJQnezN4d8pDhDBDXHb5KEfvZgeFyj4VN1Uun+UH8BKkSQMqsTI7gQfS3sjWEAWjzzqo4GH lCXhvg+IR7K8Dr6pBSaHny1ohpijlL/q1LqiBEavfVyYrq1bHj3LIMUG+STKMKdt920mk42V/wT6 yT5StfJU0iJjLi1wIt0d4mdxJsH+y5UruZkJAvKRy4xZ107Cf86owoMDAgf9/tPXETtPxrQ8PDQn igmINUAuE8nAXn2KaIZMmfSHSejB9AWzfZGz3PpmDSvg6rdPIA9qf3ayGM8Z0L+KIWoWDQXSbzhF 62DuR1V3dwf3uX+UpnWYO/BwVlVGSW/JrrwDNyxpTrVmnUPVvf9MJ8lyomF/BwZexBCoRh77mURZ CnPM/qER+km8O0SWSMMX26Bw2a46moUH621Y283g2T52SuHATIF6/ws2t9CblJs/5gGIY3H2fyHC vmCmURwaNKNeEgyAVMIApNiVZbj2usgzspyRaTy/yf17h+Dt3Ct+bmws33L9tgo5z2+L5P0kCmH8 TUFSCoSWfFz0UqMiaqmxXF/olf9EMiCiYNEk6Tote9jjlMLuc+GU5xratfl6rcrauzMpC8DQsk98 sys8qiqw6fHSHUWjEZnHRT5NyAJmIdv7kwD1U7jr3bmWLpXYg/BnPqxjCsIaBvkH9RHWUSKVO5Bt NjoVR+2iBGbzzHbA+mwzIazEOL7Ixj11y/KNsK1Eb8AK79t2n+p1dGkyXEl6GsPjoYCNUqEhx4RB 9wMDlkedtVVz7JEfEUNVviYphfKnulT7IonZjMp+KXkyRsixa53qJkkEIsAH6d9pbxzQ+LvKTLue PruzK2BXB3MNAyrTS5u4psiyEc/+3TAB6bINMO297/74ps7tgawHcwXsxeytrB6PXQ9DLaxa7N6Y LSXrZp5aCjo4pb+ggI/IBQtq1LzmeVXBOBB9SmACV5leR5sQ+nxNcplKkmezOvVcwFV8RXkD4Yfk MmbqiGoyIr71ZgSCBiUhr/p3sB7taAJ/uBAv6E1dJKj7xqB4f299aY7rEf67fvVg0RH2o+gOtkhe jTSW74OMUtq+BIYTMTsWYmrNyDpDnKusZ/qHXMY4eWmC4SOcQzHqtf3lIe1Z8fLyT0wPx1wPFl5V DXwihIpJiuq5q62pbZ/KPnDhkVvkqzy4yljp9o929/XC69xF5MiP2783/aR6CW5QaqoZB8rcByEE yvCra5IK0PHVt8Ys6hSNHlOwMK2tZJNYN5ggt7o6M8TpdCzq3IrKtEu3ZqiVssO9kOzk9gXPwcKM R2X+qR4nvhGbfBne6EeVDVD5GZEU35fn/nOYKQgBrsYQBzu2GYM75f+1f/sU8iRS1OoC9PHdn7IN pdtW9ykxD8a9N6lceXM4rfpAQaNVnz1h22fzItWT9ytcsYssxu/vYJsXEHXAkvbqH319ni54c/3w yJbkuzy8E58rNLyX1Fc1CGPn1l8snofMBwpuMlV30JT9DQArWvqBcc0uloECavYyQkaov9eJXAcv i+3A5GHmU5yKsssOzV3Caee3NC3a0cCs9Kxsjj2o/rVC/+a/ze7FDC8M//ekDAk1rqODlFkFx5+X hCs09mNSCcy4EDjApDDitKymSx6nw2S21sQjiTtcISl/2+lU05qiF12aUXfirEon/sqwa8XyUg4l 1NTd7THzoGmhTAcXisEB6b4w4YIspX8Jf60NE09Dl0H9ScIwa70qFbmtg3ydKPbgPTrIsxS67YHP 61a9iJlwDcb2/IEzACEUOThCwOH5koINBadCQAcSdZGjK8qNPs0TF0GFTiBl5QPkf+36yZGoHs67 403cMQvXBqU64eSgw24zklzdoJEHl2QMEo88LGo/7z85k0PAPw524IKlzIwQdSSC1BxzLMsSm5Y/ YkZEu4TarbuMlrATXk3ZgmZRn0uXY6rx6jfIzZlm3rwasoG1atk4Zdl9FF4YywCfdA70MddfeF2Q RP0tmClxn0x1NxLsWMua+sZfyBLZpB/Wg5NQ0CLcxcnmz9sUX5mzgogJJZ5Ph5VYvN48zsoxuUeg zAmI2h+z5C6GqLgNx5vDjFJO6MfIJvnZ5Werqxne7NDDwoQeHL67LPuPWbwrgCMqSwrXh/qxTtm1 B2yqMmADHhpomVD7QnG+nC+R6d+Yc1nJKhdBHO3kP0kfgH8rZPp2mcEdvyCv7+IdYE4+FP4JHDcO 76vD03F8Tc9aBrM05HybFKsR4k0zGk+Ulf3w6mi03GxUlNw3MN5sMEdHQI43RXi8IquVJe4zFS9O x8Y7MTiqamuDCkDQLqFN1jnd88DS1CX7fqpVk0HVeAibsWW6Z+EtkPi4KVs2Qwu84NNMZiDYypVM BnOKYOYjBfil1eBM8nugJe0x5ySw+goWLrlJnDrisKYmFOfz2OBmC85JAcxjwmmN9zj6fXZUbrXX /q6ylw28spqQZDcPZSpwzndDtu/cOeEBn/quNfiq2lQIPzEO4oQo4hLOgpgpPQsll4uLkhz+MfOy LIAjf97Yp26cxQNpz4QSc16Pq43Yri2emG0T5fZKoYc04xnbMSEGkurvY3McdRkLPzdC21ibCPf6 UJSBZpHweChuJ3/jwlPUGJQMJ3d7pzSAd38mc6QrsuEdFflGOFBK8ANt9WkzVScDIDuRv2ezR6EP 6KRuMi/t7g3I+gUbTCARTqvqAHCD1+vI41jEpGlLe1m1GIWoAx3pKs0b5v6iD0nt2lH104YbbqAK rGW9ep1bcGI5HZQvjsgtIszOi2tmfJPFN4islntrvJHp1MY/AadXfFqUDY1gLyIB9/b5Zgl5SNUF 81Ap3YczzkX/vrb8vzpyjv2IxwqvA6wxEuH0n2MC/IBGrHXFRewcjSv5MbD0CNXy808HVojrA0NM k4eikxgnRzPQb3in0jEBDUboXoQIs/epcwEWspE4/t35eSRc0ZbWu3vlGy6WIjsrOC5FXll06vHt PBet8BUJ0lmoxBAWNtr5YKT6Qgw6I4Z6ElpRQi7tVq/9CC/d/HsZYj8aAKpYXE2Z4doy4zsWhY90 sSVTe3k9nO7e/LR5YWBESmjIi6BbvgcYlrMHeq4ef6sUS0fnR6BvMKuh4OCI3AuerAQLz8sVZ904 u0032SiFLaVltNORXxBCNAuytm2175DgOWmx0B+0P7lIwep1lFnwBqP/qN4topuv43AEahy5yQGa yzC9VDg3nl6648/OLS1+LcpvSj1BfPRMN5VNjl0Mhmr2JNnA4vDEHEBxarJpmqTDwgvZWQd+X5pa B/mJ6wa2JcZAOhYHs6iDrQlh8BPror+uKff+lzmjCdR70Px9c6N8gPkHMz/SylUAqDWmenLtCi0v OFih9tr5jLZfJTGdFZP7kQnPkABNS0aQCjxej3makscmsFsCKDG4fXQ7EqMieHPuffWTTm2Dq7hQ XUN8Uu6exlqhd8LCgDgqSZpfwO7EgCATKQy0xRTtJtPsR6FKEabfbYgyr4KTuCfZJn1DyJOrrywU Fb98bQLSPj7mXWtkqLozz5CrQ9UtAxjLqsKOZU39CPwgPCsPK44I/AZWB1rCUqEFB11TnUVInw+3 jOrbAi8j40+T6LHQfmqJukn1Ofz6LzGugUmuVPuxQP2gImPxtE6Eyff9OfufJNovDg731vDt2EcO FTUgYvPUuJ3vQfM/0Wydaec9nJiZf6wKP6Z+ZdWCGjSHsuQ8tEBMsZVlDlL1meAhjuXW4qgI3Fxt m21qRiyLbMHy7z2okPMp38iK+beIhGi0Q4V+mUiDxl86jUP8OwWbEDxv+cyKfReG7E9mTGxampJY b8aZvWk9B8HkPjSWqM+SESb0OTJbm6+oEyW4KZeDPlvnUjlybWjJUQ716BSFQpp/R26YYuY0fIHp lRUloNJ1WimpyaGmCkCthtpJyd7yRzphXp6G5yqAVyNJ8SE+0/zmn9klkkmg7gWIixMQav+6SAHK F6DfaCQnKqlrorhz0CdqRDn4STv1rxCw5FFlmk9A6oQmoZU9fhM8SqCueQjKNb3RZlvUKpMGDz8D QFpHQscidZQuThS3gVCGWmHMkp5580m0cMTWLUTTPz0618KMu9pgfebfLyfKbxIi4D+FwEhUps0s QI1HCPXBP4KEPJaqpDs+/LFvKKV5n0HY/JsWI7KXf5Qs/2u2O/IReDHwdYh4D8XoY3mDHS5tWH1O lGfBZgg5PUPxK4B8FTkQVSztHPHZ0u5mPgBj05tKeC3PvfJCbUzLtFMGJ6rfoRWyoSZs7XqocfMI izzCeeT+mdDjffj2a562YqvUN77tmxm6S1kdVEFRiK9EBAIsBGPR2wzpEK5SA5sFsd1l2R6U+MEX 4Va4OhdvbW40RCAZVHEq9kh8hqzz1Tk/pc9s1LJLUHonTxM1ucn67ZCIRNPDEesnGIlbS/5iVT65 M9d5lm3GlijLlFspYh8HBSWqJ0hGttJCYFGzvAgUdWNoavqGM4bT/dBo7BbKIQ1siTE/fnO3dpod Tcaiu5Tihsn3X8ox9h/SRZcfoXIKRscN80vAafCUx3KYdkKarN74CQmnYWi/EykUIiLrSOsuzYVa Dhdc5JibuELCp4m7ztBQMnqFCxDdpV34Iw5TcJlmcBcavGxqEu5rB34hwYI0WUU8LMDoznXXYfSI hF+8KBxBub4pU3Npug2xTAMqR084ZXYBHxQ+KZaXrIff/E/YkgD/VXZcCaC3U0hpc3MPQ+vQYQOQ MucOm6hIAHs8uN5m5EjBgyT/uVNptZWpkUqWnWnwFLGBxFTS8H3vy7RT/qfYhgec0gQs5lwN7GAe +U6uM4j//K0F05U3ISj6ky9W///sRIvOEQbU0rvxlp0vkI+4+Le2Sl6bFUJ4KX2Ncb3I6JHX1yXz GPUj8wCSIptciEdCxW2FPzauTKfCYpdhBzrf6aWDgG4KrmscvYOLdIkeeU9yza+SFm1lDo5zn56X KLmbMdTYwtN2TwESUlUcbbC2e80bbYnnqyrab+4t/QZvsWoTy4myWDMrLL52B3yv90aNfwFopv8u arH0+OCjQreTgJ3OCWB8XZMeCdFAFrMpTdTjjALSWMRa5DSjnOIjdjuiqw1yAOVXLgKoydajHTI6 Swe+Oh5D0I14lCKhtZG5VLZTNALqcjDbyKolc7BXxI1cxNTGUAAhmAaM0YQCumJA/AsyIp537b6d Cu3LgdhGOxxwvoC6+EgT3ILoXsBwtovlMzRhUxSNqzczeOTnbag0TDOAmZpjcA8udJj4RKMW97lU XTYrHRLdAI9ScU438HwV6LmgE+SB1QyrHIYS+LzPmwVOJY6s64qWHV5vqpI9Bg2xKheYSmfvdbnS ruJNLvlTR4hEofXVr++lC/nVfNXw4cL4HUceaw0RnkcMjS359zfkL/3vhtzYZpofLdpC9QWGi+uM 4p61qb8HjMdiIDPq52HmcA9T4un2mitwnphSNaMirq7cYwsO3d5LRUNznEshGX4nFmZd1T+UDfjA 5XjezjkSbSYX2FjIw76aVnNiKS+TkUBiOd4z/4bTKHGBozxngGs4Md1cY+umwUsZmg+uyBVw4xXs 4NZLfX3XqekpN3TfFbKQSJAGz0HucBKHYwtyFLQKpZl/9L9lvpajrgi0CsUyewUpN+GumVGBapUp XZ0SahJIpS3OyYBB6kYYOOKBpMVv+1VDNbBEAJ788u62cOV61SXHM6Ap0PU54KkRz5sxm64HEMX8 G5mMbR/ZMb8vP4DD7F+K6gGX1Et3WKSjecDpZXmowBDSO9EER4jnvTU2C5bJAZubRGPggE8qt1Gs ST6mqYEM1gf//PgUt52ArowtVAMZBYU4Bgp82ij11YRBHaQb/1N8nprOcR8hbIP7+0by3fYyyNDH 1Jrg7A4evfj4CjsQoIIcIDI62VL0OFDkvpxu4ebR//EHWiUBPP7yS2ESUJyWTDm9C9IHVq3krJwn ZNyLQ2Rv8bhghbt8ZaZItITORtExlr7ZGjqdZ0DMnJId78DZZeEk2bv+5yoJ6pvZ1HwB+H/4XWXx yOMer1DI4AmrbqKyxZUQd15CR//y1IEIlXAr7BIr5CjPfQStKdktMfBxpbIlP0SYNte0ObaR2y3W WWnAYh20AwpUZzutdC3Tj1OZeoo3O1LkJ0s1308MErhM6vnwaJgztUbKqef7SBgNOYYJLh21FZoT vmikUclLaaCCx7WuU0ZFyJaU8A1GYXKgkclNcAIYwhru+h50Pxgd2KFbjGcweOSdabATKOrzIa3U j0AGCbZToUyszdmh+CbE4wumSDwnzVXUU6H8Bqjil4BJJevE1qbykLPtiHtlBcK26p1XpRMxVvdw zTuawKLPKRxLOIlHX5Po8GJ2Wja94RoNywBiSLe4DCue9j+AYKx65DxDyCizSD/y8vd0hyxI3Daa fRWWUmViDn9cVaP7gX5XK/qO8farDDYfgrxgZE+JuvkLTNSokXb2pVpFi14YtRGL3bzp2163pXqb XUneSxSFqiQCWoVn6B/IyBJKcEKcmU8cLAC8t86s02D10mfF33hk4yXo9CGiqLAlKdut2L0xHW20 1gcCMuTKqR/vqydgUfu4eMkxz7p9JjhnhkiqNaXZ+PfKuZB2scpv8Cr5+AH6AyF3N6Gcb7FzC5/3 5yOwxOoxTAfiA45Rkx3E7Vl7D6Pf+stzQscrixcT3dNfuEixVWMOEosx6+BH0TbeNl13zPwUTrYd 0P3swifML+wyXOjP7m8GoVOg3E0cnDAPxDgqDESNJR4Hitc88kKkMuG23VMIhUwybbRbgtLXdabx v4E/fmUyQVkSxoHr0/ZuBADC4cEQv3ShZcGRy1aEPzq9L0RAtIlvSb1X9npDKNXBzsXvJkwUDba2 On0nDwtQ2hiP4PaFWiGDWMQR1JE/8F/t9E30G1ms7tdlv/v8fLSZ9uZy1DhBltHqu36TPdUFjGPT pYn6+vUFtIrw1WosdgethmvPn4vY7yp3OhtLw6W4rsHRQU7z/E0Z4yIMxmghAP35FLDRheFQBIyY KmubHAJuzCJSBCtxe7m/sJkx79QCNBqfdjsWY+STzWEk+m8Qt9DzI5wSfdhUgbgQNiDR/AFeJKjT HkiY4RW10WRiT81LQdHNp6NbFCSL1Pz9sthiZiWpKbKrjoh0RZXFtUIn3BD9nhh+I/3gwuWnw3+y d8rDETBS8UZGVSUNHFcvDMAHK3WBKv0fg0mM2YTFULQ7wTcdo8W5Q7wnAn95tWB+R2yKgabcHqnC A+4KVvBwGsPVfzPjgqkJlejlbfhjQe2gxEOcI40Xla3t/NxqooeHAxfKGXynFHVwf8wmseZXrQ1A ab7eECkFYJtnzxzpkiQ+M5GcsZeCRZq6IQ4xTifjdYOB4sE2u0EEMF7Heb2dBZLzCkhbYPXTd3GZ iy/6yrZm2h+G4ffiAz5lWPPcVVdmqG3urmqQYUL0Tc0bj53EIjIHHiRUAf+ViuTn/A2LHl1tEn5h R47iqKLx4E8/dz3Uj4MrVbk9HqrGejhBCULK0421cO51D1zgiLCpYLbPzVPeMVpmHBpubjo13oHx qm2ygcDJTFD/bKVLm+96XSimY6M7il75fWz5wR8WHOFcGCHEBm6im4VwAE4P+DAiQLyUbIetXWmp CR/wAskPVlIQg1SwDUcIFC5s/A46Sf/md/oSHTZhpzpwh6mSYbcmErdwZjkiJYg2fbyVP55pPHAX 08FPI1JoziT8WEyG9WtOLWrU/1otoZj+62mObnm4QKlhqxz/Y+eL/T9aVin6ZcsimrO4iwBYn9Tt 7pVinHBRYp0Vu9+BepRj55SsVinc2hkDW6rckb3DHlBIMNa8+uRDV0BloMn1DlTklrpSXu45xUA/ Jqze5Igpgo0OTMqY4WWeDPHmQJztHlHl+rkkGVJ9+InigdLqBEqhAHW3+DGqguwOijTWhsq9itfO oGIEKKzTHyUMFhKqYYKhJeNSCgjpwpy6O5Xzfx1bV4oY62N6zS1DxnfFfKczNM19/vXdAvaGEfVx M4oo4gGyNCzZxVqmJquqCilChId7ThNijUt+XBRl6pM265llhBFvF5etavlizVAIJOUufBXYWAG/ GYsIMnLb/05LXil4ApkXr0xUcE2QdUeF1OHnBWGS8CnJOsmfkQAeXFfT4LeabTMcKRfcDaWPYmI9 yo1EvSnsPUKyAhj/3nkZ3LYaXoWQv70GaRhtAtZSpdfxQu4tdjAOYXBvd1VZO6wk8stScZtqNPu9 Pzk/XZYtsIgRNMOlGO6bBRWgY2fhjSEfaQirIITVyoiU6kMHxHLBTvuJlWVVHijHFJLidxvu3ccg EaT2MaYg3TvRiy5OZX1pF48q07xkFHKcVTcXhO8cJvvEqkVB6UlmRaMVZ+I4ejxa7qHaAJmtHj3q QOtxFRiXWOC5XlRpj45HUZQqtbTc/W7Ne3VUs3gfGH7IKpA2O8Us8Yn/fibuk3FzbQ5k4GdeRLPQ wiMxCrDINxJ/qzx0LbHKY7kOTcytlBo7qFlvlRWDBxyvoniwHMT+qg7Qhq6kBSN+DQSGh0o1ekUZ sx831/iVGyK74lEI3KH8wwtUxHJZFANi8qfuf/hQFKQszKqM2QDOnlZ5bKE4oUG3lKtUHnE1Ujh4 q+gPpNu64l6G57ZhjSaER+HbUV3YdOWRRWc0jYpSonfH5kZ+DhpcVX0lnrVhSmw3xV6r+h6GJ0BU onMuMUTz2vhCxyA2dIjzsUEwL5CgpMqPpzNHltkz35ICEXA4ONs7HFmhy2ZUodxdX4MbwSO3xM09 fWMREwWFOvMqfUyd9uFP+5mnPhFlfx6ca18jFjR4Xl5BvvbwlOOhRLKy/j/et0usYibyjg9a3l2j JYe1/r0ub0Fy3c+x4I1bkczAYoW85KBfq74r7Fk5ZYzQOIm3CqmaKJN6YxCOyE1OtQzuiqa1rhxw ibyxLm5zOr67lh0ji9FIqXnxbfoo7uNjVO1prGDzocaKNf3m3/hqH/vveaRNDjoJvukS4UxaeOcv Px2ZB4f3UNGX35NIvcA2yK/pfx/xktN1tGRZ4SIorCCFqkqQCyZ8qU1RppyxWbwjs2a4wD6WB26w JTLaVxj4XoneaZLE4kSlaTI0F/To4c0kH183bsCr+9Ubafk5/Fk1+JrqEo0nQwqBUFraKzVZaamD vu3DqUnLg8PC+9r9NPamSqA69TpZJEbGU0yRAC+nffkifJpJw9RqtVGpZY+UyJK+WfB6Y5n7n2li AZXkDgxAsUAwjzHov4xQwK+DawHb+OLg4rfZwNRMQKGJVZo6zNu+b1kkZPUfYT+h8+h/navM9zBO /EXQHsKW3iMF23PIJpg5Q6qhp7aYVZQio/HtLqaTLk5fIlR3WIk2mf8T8QmfEUJtR/7hJpOsHxmt 3O+TCi6tdpo6YDyJ4rhS0TkcjKaKAYfuIg86XLlRU0YR5K4zKFsUUm3T63c4H63GzgPEIWmcosV0 0xCKaleTfaqv8FHHvqJVok3WtlyylpCc6shkzhpJr4b2Oy3GQtIlyi7xbX3SDrhXuqRJKQc6olrZ mJJ+YyJdV3ChV/IwtnEq008HraAvnaowO7J2oI9VE/WjcKZv1+g2Zuq6x65TZiKhysZ+t1kwNd+P KQS2pg5GSUjsKpf1VRfom1UNG2PlLxfvWlhB41tUOs15rQKleTBs11jbgh50tFxo5jj/ymv3tkqh uhAfsMHpohfxNqRSXJWA70w1EuVMabyTtqKG+PZPXpRBb0xrh0BQJSv7684TMwrHEYubagCahuJ5 AIMyo1u1Ax6zzh89qe5xbuJaNEVvQHgAX0H/tuwhek55Bp+cBfS8Gas7atxIDwSAAayZFlDNi7by Xb3EK13XaOlPIHAwBpEh3A8BaX21ru8ymaKdCv7SdGPfan480XllzOtGzUjR2E2bBeDahq/lRUjA gJMM8c+s96swc7DTnlxIujVc5xkPFk9z7NqRUjwL7hAN9EVIyRiZX+M6FSYy7R4T1QOEiIsLGL3o 7R4vhEY44sF2Q0wSW6bDUCIuvwJNR+2hv7C9T1VSTbC8+AvBeK89zB0sWs0InDKFqfDHG7dAlUtf 6EeTXo9R5ryyVjsNokG3N4slmugwnKliMaUTtSFmxnVCAYn46mLuiXQ7dcHcC47LAb/+2DBL/YmQ BvS3sZhDHhoE5gZt7t1WWeeOMsPtFTsA8Zq8bOBQsBlC+EqbEzgrlRC1X1qOWrbxId7FmBECa90o fsvN2zTO4tsd5V9PcfH1h3hbFIMC6VAUVmB31aSCJhGlakJKGSVSJA2UFJXrE0em/c3b3Lub/SNZ rQ3gPg2aIQ93yagHWkmcUYxK+ZpgNs4c6eGt1Itzu6Qpj/xs0duX2yn8cgZH774qS8934k6XPqSx bu6G7UmvziKkPhK76YlbWllSYqr5856u+WO4d3sfIwA7nJNZaWaw06Sb3Et44BfLvrNpUC7+3Wln Cxqoc3xA0Ktz+zQQqzQ70cBXJtA4Yb+yW7/vdxAr5/Jq+N/ea5RMMYtI7YhrrUUWYL4hD3R9Gxg8 u33D+NX8d9FXhj1PzjF9erx0yqiTfwCusVs8z3FpQ/jPGjzy98FX6vMnaj7vfln9etC263VrMH7l E2GxZyfHNEVcwXOEKGLFFGbokZTOVe6Mj64XAaVSFnTpP/jls1aPp5xeTgcP8myHUINN3FyFxmoz wobmUKCG6nq6q4z6aaf+cAeWETv5f4oVm+J5O5tZQ8AaHn/CLJR3ey8CcCjGCzi/Kn4Ql7Q2vFHS BFWtK+B4AwKM4irn9NcfGWKOy9hBnZbnxAP4vWUP4C+dH5w/GxiCS4HS6ogdN4XOQtZY1QNG7xJL rNyzaXnD/urYNsuzcxnNru94//7EX2siKOSU19ep7dpC1ryvK1yDf7AlG7an/xN8sY6LCmncLSWW rBzKYHbzOMFI7PgEj7U/IWNKO9HAqbqEc+naDK/Go2g7/r8j+BhfqJ1h7/MPeICzrhZYm2wmAeuo VDBdHdF4ClI7nchbJ4VvH643hdUjiAs75aOlT9ctvnQpc2S3VZA3NwJCp07v+NihKULoRXaAcLT9 pSGVddN7vgKedDErYqZ1DVg4EbTFWHyNiTwRDNklK7HDbLQb58g/kHbi2GWqmVPXCIpfceXP2JC8 Lug7rQSsKCYtoJkU6fYaMAPUwEc1pjJgr4bq05ZJ/dezGwirinaUy9uBf10Bf0vOXAVo4nrbg99P vJrwW+S5BfC4f0R1HDOjuCd90sdbLMm6pgQh5TkyjeKajZnIhvQ7v4rp6bo+47OMuAFORVHqV9Rr o7F3BpPCB4iewr3muCC+B7ouSm3Gwk7k3f5rr2cAG3vwWQ0prtPCZE6fcdmgZPeHYbD+/yclO+0j 7CDjOYXk3CSWwgFx8QqRxQtClsIf7FKOMCdcr1JGYFsmMAKVuRrmJ7Hm9zNuBN11egyhpO/SccNN G1H+ifNJrWnIdQEzH6Kuo48idb+5XHOVxx7flPCoNjyPMiKPIaeDQfDpTMVqHF/zhjG/sLVsRJLS OKXmGiBBkQOXOeDPuBoY42Sa5MSsFCGfj7NR7PlUia5XbGQ4b/E7nsT/sgEw+S1tjxGJgdCcyudf wApfko+37v61gei1miYgc+3yDYgQhUNR3cHp6vDHm8M0/MKsdIKMmpYPEaO6weyw7DV4blfl7qUY KsUj8Dc5HOBUhjnoPi4wpKLrzs/xH+YgGyWTp1EhqmhMdb16MARj6eN1sZrZ+Y9QUQso1goIBWWG mGZ/DCiBsK7oupXFnD9qnuvtkhoiLOSTbF/mF8qELNLsOS4+FBjwlqVnvd5pGlHFhQsoawK1uABz JChxFV8+ZhKzHe9fp7Wq2l2CsKhdkZACgbynqUhd4QiGw84LKkPqy/9sE692Ou/9SUjcSX7WYXwv vrbyj7CKOMSbhI34ZyUScBtp5io6t3z0/fTkYU8sAVT//4UzGH+HNMvY+SOUIIppDwqXNJdpVW4W TFhzIzzPfh4wLeT6y7kmkeIP7ncnMHXswx+8VT269C4Zm9MtvLgGzBw4US17DuV4fRZ7OFwFeRt2 oaYR5hkL/v24bo0pGfkUKKhl3gfVsKvQRQ58SUcrryh1YvvanFqKa8v3kuVxVQDhRKO+bb23aErs O2StiYJnq89c2F01rqfGTOUICscg6b77JwcI6jzmJgU3XNHdgyo5hhIRnXs5nRzUexRC3kBzN8fT eIexrxFR0bGrYEn450zbO5ENPrrVptArYogrfDaWb8/1vggaYdLJtEAf7fHSHZE0orBtE9L+TmIL tQfongNJwhqwkMwQ1LmFPJdNqMi1oENzUnk7U8KzkDmRAy9540/MX92BMiT5fYcxjvL0gXVTOT4c JmiuhhrdflsEN4UYUQiHPG20ZTfglGn0vYWWCwQaxIH6QldtLP1O/MhwGByNBKYRKU1c/K52DftL ENFbmhgYtMRlOZ5nSyD36IsenFS1dHcF3Tv/sJR2P+i0YDrTAH8qK68Wcz4H+RVKEuXQbIWQ5xNF TVJWt8F1cYIBmPuouLhkGneAZ9POrskomqNg8f+Ct/S+o4HXVrOWsZCpkgcmkKFMX5zmv0foFWOR /9gGgfGAkYLidKY+PfopQevxJvRl/b7WGISSbkXIHIF9MWfJM0IXf7/u3hm5F8yETrftWHupsLYS AH3CscEEjoAFMJmZ2YCE0z7Dn0V055ER7o7juHAjcm3VE9gZBkhU42qtWOl2qLBHxX3rc6TX01we MicvGncJOLjaFjuJX0PfHLAKL01myD49g24Yc/ZjwBQzkneF7DCHp2Sc+31fgkD3D9b7dcw/Exc6 011LnzU17OH/lLVQju4HKVu/B7g5KdnI6u8JdYMAm8H1Z06Ymo9fRI/m6lUChbLREE8IDUC3OgvZ ZaPWW26TubHp5e6ZOQJo3DaGEAGHZxurVUaklEp93EA7+K4e7AwJ6pprLpINm6A6whDGQbrsi18y G9cYZZtRrEyf+DF4l9GLZ4git7fidYSwCTH9KHnRlTEIQR2z25GSbEzPSX6iU7utVr4L8TLG6DGb lyADstMMAqfA5++yKqotBH5euTRBQlTdN1NfY6rqDggqmwdj1Q/Zll0z48Ic1eMnn7zFICqNBGHs jkUyoWN6xSl05NGrCgLxCCv1llX6gNe81LGad/lOllDDo59EXOq2jJk+Xv7Hr86/1/O3zskgZfKL VwxHHu9s7mG3yA50zkNOvewOStKq3ieWFGmi4g7NgUpPdafrbfhMpJCRwVCOq2GkTehlH0O8miNk Z8DfeeMDQLkOR/vePqOgX+VKVyJHOW66z+edXkNIZfxqX+4ZW8xZHodh1sxwFDY7AP9narGKsF9T aUe2hBzVHg03Jc75smOP1GgMprF8oqpdS6L6KSLW/6cww5ktNkaTkul117ynhP1X2WwwFmYN2gIV D5xhMU8vnBHGfnc1Ty+3Unjicru2GUrs1kiX/Sg58jg7kUOYWzZ9q74msZBMm3UHJK3OQLiLkTsE WVbA1FvvZvJ2TZiYWExNhhKnO14h219qG/CpVz/X660UNnYKnPMuzAiTYnofk32eF2Vm2JVbDIrr nJysuw5TPMJ9Wl1kDoGKK+WszPhUHc6Y/7TfgMVGaZgH9S2RdVdcz1ivPQa20ZDJERzFE0QAnDmX YBWsQjVqLWKu8UIlS9bqSyBl3Y0ESgAVFT9A3BROMKqDsHkQ5wp1WIPgIX3AyEI0Kdl3jrR5KUhJ JOuNa08GttPQGODZxUwz+l6pvx0vhHgp88024N6c7pJ7ASWhX8As1z3lJZhsDrbYXts9KVbE7GMX B3L2YLgj5TgI21mUj5eo8MVJBXUdCTw4D7DVYU1djosIP1ZAwrEKjp5QRvF2V3ALCqAS74EMUUO0 6oBTL+DxNA4tdCu5dGmz11jSE53eL0SsqBlSa488exs7Ef9cWkR6kXzsdz2UDFD+P7bxyKM2KSKY +1XeoK48ANuGtwoZqSme0TwpmI1nXDdLNTnzin+fIW7g5S7pMx0csWLryfvfknJaHuQmYZBcl50U 12F+Mx+ron8dGb7N9jDHubrCCUoNC/mQMon2iX9BikAxF+Cppq6yPhL7ySzlmctBZbAomAOBSaAK KhVBEiCj3ZwMIzFtm32ewgjT//CI8k93rIRA+kOlfVpDQ0pjBgS1nVYQ/6cR2v/VhLj0jXSL3xe1 l0AhilzI4H6SdpWiS7Zvdtfft4/aqXvolHg7uauQoTb0xzOfA5miFhYKUl8NsEqWoh2Y5n9/QiYP qSI4fzUVu3SUkZbJEJksyTerIy3HtW/XOSKveMWmhVTZWmJNIrwk+VcaAwLCpfjm7R7A+KtEASrz /YcDiUOXJV63anK/A6mxivqTm4mmbLrXpLPhvnmoUh7x5g1UpeUfSipz7JleATx8dOkFug7W8+zC cBZxYuOku0hCybBGRk7PYFzxYM8CLPT6Zcx6aOgNZxRCrKxTJxWfZ6PkuRpsLeQEmklU6jlPZ2e0 6AKwo32cVexjgzJmLloKIJDpNDs+lVbva+jRL33iNxTF27Ep04zxu0/r4KFHCN6bZ2VGXGaTCQGb TgOs8ZJuqTJMU+tVm88U+gjC/bt8QjH7E7QozIPjDVDmbFFVJXGtQNB7tqXm5RSG+T8jhkA9lyVk z4QY42TsgeK2Tpz93oQbAq54c9UzeLfhTPS9myzu7rqFljf5DjmGzfAHcQFTfzmWB2fjo4RxzEVI z6HpW4TQlIHDw2yGUSNHlL/RBBWQEW6b1LgcsFR8RBF/yYceTO4xrxZO2FbL/bls6LeyMkbOU1KT NTiovWQh9ONXoQW3RkoB4GJBWE7O5/NpILdcwfmcq3Fpb1D6OjN3StEP6H90JmZHpKGqtVNglg3q RkL4XQDdf9NH1MILW4ZVyYIeo+cnC+CqTwLKUIsIzwrrBPLzCer4EBg9LKA8AxpHlWgG6zR970sr x4rI2U/HEUmUJpCuCNSGbtqJxCYi2QpwZRqUa5uZcmWCVBvlNGLGiUGGrLaiPNCGkEnWx4wr+0lq ICjLVTu/3dn/UY4vzKAS+fybAkOmdHt1F6KKlXYvJlxBvYtOfiBbSnu02HhFJMniOkeDIVY0oAu7 43ErKOaClPl1cdep7Hy4ae+I3zwz4J+OPT8la4e+aHrIPNjjgsZuapbsZx7dnDJ1oSkT/pMPjxkS r3kLj1fpXqfIPSKauCYqBLRBPvk2QS3EkCDofMo6pWnFe7ip+vDGFA02oqI7gqriJPUhmu+fD3oE vVSnlEWZtBfcYrxIbrIWuMxue357AD1m7JDtB28ZVHrI2D+RvtFyiHXq8JGl2++FR1DQGC5sxisc EgAHEmKuiSJcrcUCz7Zovf73HNyj6lQ8f4FG9zxL0/cTE6rwGwNQihf28RUina5dLgmagugqb3MS +gCc3yaiqqUGtsShpC5Qiie/sHM1CAIk5rOZac15KenaaQN9PmACkavG73HCjrEFmpFllrbYRVAQ DNlGSTFRChdQMB0gMf4VdzSdGd3sGtULHGl/EgslmbdMMi3Ggt/w7ju/7TBQHtA1ECOkz/qWLa0Y FsWrCXTYUDoWRAXS2TT+8s9r+mpiK/GzxXt6UB3bn4OSGCMRepL0KB2My4jq6ViFWwAnD3CL8K1W auCAzmMX7R22E4iTU2AmHit44Bs95nyVY7XXEqvfuFI/VQ4y3N3Ac6gnSsQb6XwYyNlcA+V5a/ms xBCkOyS0Gevb2F94+LIT6ZI6VDavb2DmXGevV/N2n4EscZiG16XiI5dKoCXqRAD9Oe8NeRBDDcTD lltCuOxHMZeHaPxZNfZC+l3p9JVHalK0gABZ0GZMq/Z8CUKa+hHB2L3cJcuPfIp8a43Pu4RSdVb3 BUstmE9WAoeXuej2GAjU5Ivtuxild3XLdd4ZxALJYLwhquHHma6kJBZOX1U57zgr6hPhZj5IrmtR 0S6v/xE+diaqdBh674moKr/vjJ7xkQoqCwLCAeZmfneqj4RYnLgFKrYXQw4TZIAqvMfjeSsv03nB LcwL+CF0Gc0AsHR2l8RlGPHLNotkusHekjZuKx5Sa1Y1ezcBl7kmFHb561gqSO3G/hiM0czncI80 Dt6Dbky+dSxBEE6JfJAv+RxBLmUDP8BeWA04KLrl2TTjL5ZKFl5/uLpkLXsy+88DG79B8EFUQkEM x4hhCrYZeZfDEVqPNnRpXzUGwT2RT4j0UNSxtWjSyA0IrSF1p/CymVDcCbQ5GT478t5fiqFyyOJ5 Xx/N05dckL46Bw1j3cBVYtvbITgvH+r95Q6iklROzKQBCbCSrt+JY/j/XtLWu62uumwhKU0bkrjQ PHe4CLPEAVi2SNlBYoIQKWjTGK2nOSICTl56sdJnhO0IMOOXSxyNwX0SyQFPJgi5Cs+onfpNspbe Wc2Bs/SQHic61/QKRXORYCe4Q6l8O+BJ5sfAGhbHuhubp/j/0gDQJlzTMdvUMDJf4JUQTfJV5dMh 82ypbjN29AC7HsU7w8tV6C9kfMFuXiBPr5PSbSw9PBVAigvDc+yaJyzz1M0HMo636xcodm0KUG0S djIEB1OOHud9ZpHPo5XhrHvwTYH/oJl3thGb71/gXnpwcXF5mmU0Va/tEi1pDL0bRITsK3AsqDTb IhFEnRuUp33Dv9PsgHWRwKKxyyFwJ5rCfklk1uxWwmZ53XYNUYtpXOLKM5V2pR65Y9N5DtvXTOxn r6vpGQzHCXgZJpAQFHkec/rqDyUGk3tJw32NYx7Cthl5kdJRCOSxlnBD6OLP4OO03s2k29Tiy6tO hTA2Qz2baXRKahzRfhgNPSEPUoZ0OZxTQit29ok4Bzp9YZcHXCjDzZn44jkedXlIAiuS5dVuDFhK PfNWE1FH8Up5GozG6r5Gb37qMKbghUELKETWAFJ4jIE08R3wzuX9F0fuHXdiQHMYqlZkJ7wgwyKo YXaRsP6QDE6AQvBeDaXXLchZT1WhoSEawdvgsDMys1yblVPLHL8prJ97dqmFjtRC1Hl0DLYNto4p JyrDltrJnxCBsIHiF3xMMRn5Gnr2FKP16b+BlAuscEcmfAkc9uOvVfERCMLGvJDAI+iueXo1Ki0f 9wrIbs3uxDjdQh80YPqK1ewgZVPEfcYXMbvhBWK30k4aoKdZPgqAyoOHXS7jDiN9sX5JQ3Vx8JHy ejfjK8jg/tSoKg8zlQfZ6tgQwgRlSAIg6ShAa2mWZWVQvDhBxICdafIVeE1y/dqzCsljS8m0KVMu 72JvmfdZgTKAjoqmmXMQr+y8aU2yQlDzlb55JxjQcbyihXXjfXuPOUdjZ6zyVPLw98O0ZUM3I6hu 5t8KfUP83/m/j9yEPs+XxrlvgrqCNpnWyfESZU4t9i0pgiabIat8RdIsAKtppuxuKHdVWaxGgv17 9d1OeG4Xm+ecY2DcA8N8551QjOiJ5n8G7FrjL27lkQXXN/AM7zSUGbjO7BibY27N3CIAfH77fWgd QCtv9o2hQ1/vweSldPmduKzgZhFu8kJgv2xQLbox815kTjp2B4UVXrAUfuM0xbFeCGzSbM/qPPkP PZ+JK46DUvDqChwG0PH79OA94i+uVg4Uubt2HY3jRvMu24CzGHmwKb7fYx+notMflddEeWOq76Vo 2xRGKuiZBYo6Kcb/UTSKvq8VAtiFy8eoYN+W1N0gYYyy6Sga1zPBsgEoRUWGcqWDEpNa7AjRpNSM hlxVu/s4AiNgxEayWaWUnLdubompiR+Ybnlm9vyL5f+sKBFclXvnZSOpdnCAlbcMf7kUikCyUUVR Zebn3MbKbFqFJbL1Kvkoe3K7JIJHNKQ1erhGwHZK1DKHg9sumy1/KHt3OE8211V15w4yhkdFGsrF 8oi6ghSFY/yAFX0GkFDcjTHCvWkGNmFWmK83cWl6JrwJWS2OZL7UAUT8RcNKKS0OmvlF6tjZ+YNq vuwSc01Y5yLBNtJQTK+XL5Awp3s5D4D67s//dtt+ewtKylkbSdnwVNXRoqdVM5jX+At+Eh252Djc 70/mYW5P2/txT5NzVVgb5x8+Th2Uws20aMFkCLneqGLrcqdOFLhNUhnAtZqGpHpf8lA8IlQ/KYuD 5emaAYXRrDKiGbliZhbH1Nzjq/ayZBsid32gTG3xiijRBFOhDO4adnzAS6jg7elSKTDpFtpPYoVc K2fxMfniYcDg/fYbsDA23Rv/V2lWGLChDluE73hpB2RA9gemFU9Bhm12/iX26z2qA8m9GsiONzNT BzcC+gQBsTc7Rjg8emQgWxCXzFGNFu/Qksm6i9IsIoPbDUMW+icdgUpzjrelMT0hCsdJ4l6WeJSP ZGt4xKN0UvUfyB8kspLS7xBbsuf4jgjlb0lXgj21nTmqmGB7d0Em8AXPLTHWhCEf3Z0ngCVrvIgi Gg6V2sLmnj+7QNjdtcrSPKD/fSbmTBFJW8rSvC3Tp/Zx43K0RgVybkI+ynBywpjs8HlR4ai8RX25 /8j266ckEEoSAG/RrAyBtug4g4HOcrESjQpLWCQR1DiC1i0CLioQU1bpcxNFN6WrPvrowC4O4jUx jHJGVSAGB70sImtq9BsLEYQAZj+ACZzdFPi3+S6b44/dHtKWo3aGh0JK6ONbgcr8BRoDjXrw1g/G eCZVpzpNh1oMmgoZs1Jcz4mHxQ1nFWOJrpDdo7KGEDnZR5gUCQSgRuuPppxGaeJ2G8cFGM8bV9by HYGKWnSFcEHwbAih27ET1tfqB75UjbJXhKTYkpwNjRhPdoP7C5dDcfa1pvai5pWQvtFdN/BYSg8q nlsVpbsWm5+HCrC9s0/sGsWMlFRhSCuMErrSQeR2bRl6l/Xbx0OjlSSMTPsFIMfKcBLSEMnMLF2+ mj4ou+McedhAhSeSAddA2VPLFZlkdzwdiLrdRwaSNahBTM86ZzFpxySQwwBhhPGB3ZWEA/97n5ib WRUrRx8r0H9634hyc3LQm3QsXsSAyiIPWZA4JNARhOscJq3iAahyMyz2+Z+2Zae7SLn6CmzHdTfR 7vFP/OjaoKCq/d31NOt3QtcHtOBMwREqohHpcmWPS/l+X4QVk5kPQsp2Kz6A+a1XN/nNxeo4/rjw AMnQXMYd+1FkhFD9TLpvgb1NJJLiONoPdrFRBuYEYGCFKjJy3TYGQhufZWVYzsYrFVYa3VXLnDS5 pqB0eOpQojHKx5pQda8xmmflIz2KCKF0nF39QrjIABSIPyzCbpANTsR2ihM/8E5VeppJDTc/J1Ev Wk/5v8bJ+e01/o8PAF7XqW3QB2L/Gk4b5UuawPDc5xVoRVgdFh5ffSfnlxUVk1SqAZK/2mDNx1JV FZ56Bz0H2i40G9BxnPJaYH0Gc0Od6HdeN53gDKYtuz1V+9XmaFC6OBG7yVXOZT3j7ou0QVPGra23 ZLYTgAwdKG0QCw2qBOH1KI42nyIon2tvEXCUtjqjjWXEzvd9VchMri8avHoNSIQz7IP2KfL69hp8 luVb7ztN4a4wdJNg8JWcBtMpygu+o8yYle1eRRQguiPQoJoWfEmNyf2D0lH4CjRc9DqD1iWHQO1f y5OlyHK2FJ1UcKSRfR5Uh9kzwrNUxkM42DJocIRUKpTyenIxag8aejYYEwtXEcCrXb+GiL7tQZk2 NgCJvXmax/eN6XiS/j41NC/tb3es1iZwf7H7tLzPHuCWHRXxe8u7XlI/EnElbrnJHGqOywN8JH1A Uq4nF33Rtf9X1JLGztb3vG2H0kzdPVQ9oobQ1qxLt8xGCv8vq3dXjFh0g0Q2Q9gcuaUKibkxLk6v k1iEx1M4G/0uw2+2JWxqxdunY2s95NJIWEwBvmsl+72wHKuKVpYQPKhfQxq4qWewXEeu6PX911uz 9KLX9+RoXc4whhBw84FfsALr34qYx3EwpdujO0Er2LYXrfhL7/1rIrtN7z4RcvRP0rlxXCIbGRSU cFr4AGmTMw+bF5HE3EzSaRFgUIOsiHJnSzDDgmsen0RkfNpB8zCVerY7mxPfv3B3rImfjQfTDbh+ VUmdMKz9aUKY7jvpKeMqLJshoyZnYf1S1CgjEbFkzqZCqA/XMwjtdE+8b/jaBOCKdy8hkTI41bas 15ztR+XN4e3daEzKANsYEJHfJYjpiQa0ZoElAKe1byKiftQgVd2TcqhAzj23RSVE+ZEny8muyweM VLVgy8mcJ5ptLbBVeCoGWhwUByUshGIUmnkHVJfycSOn16z9vNc6VTvB1k8Ov58YL5kVRN6rRiak YOSJ0srHN31wpX/t6aemPGqkt6K/5+YpkejB0OcBmZ9C8vpZCdtYMcQYvdmoK4hnsnH33waQymMW mr2cRgo6HNTA2Y0fSjDEIMDEmAlRBCP/QmdeHilDkq19k+21h6oYI8OPceuZQgGfInha3Iklooxt Bsr23roSBteTGGNQqgejF8puFBvda+V7kZf5v2UiHyEIf0O0VYvKrrGGhSJDVlsm6KfDxzwNn6GT 1UUbcgfm72UxaaVtjkTXCJaC6tvOArw158jNqJoE1IqfpvuhaS0kiQxXrtsNNlpyno3fnJDFuC0H Jd5j4qK05tHWXu0UpzBm6S/TnidoDWkHtJZDN2nLWdIgDyxdbpAz++OCGM8/67ErbmFH/eJtA5/l yJ3xq5vbhZPrGWsUzQeg7Z/gLkZ30RiQIRKUoRtZFdcdfkXJog4UYgrR70nilt2jtY5vyzX/soCH lweL1TKjakVWIXuupIaRBxW87Ki1cg0M5RqTbYvlBqUnp8JmUEbZlcKoxAqKRPy7TggtQVKjZSYV 7bsUcaq+OsMwt5VhC5LIRFh8mNWjeJeK+0S0mM5pyUkKpGAq0CZCGBW0LEoaQnUWg408lmzxu2fC 3TACikXIj4Hn4//+SIXl/ZYKInI+5zQ3xX5slUoxm43NwT0c4RczhQLIfo8DaiWx/YJsBiTQ1DF0 iH23JVhbApkh76NJCFsdrt/LKlmluXyASoVC1MVNQhLv7wH8EL6hjL2W+1lnA5wf+frPmu/3zcdm GHt5lxRNPLQM4XWdU2+78E3XhzjkXFy460p0Sg+9ZBoce0MM2u/tvC7X/IRZlYNT3apn91vn7esj dRNlu+qBdBtcEzj/dCALmkZ5KAWjudgBu9gnzj+rzq5rGxgsCeZO66PReH940a2WwyfVVJuksBpS bYSMNUz6YaZy6nyiANd/KLq6bB2vQ8LskZM7VhzuN9UjSgZlrQCr6/7IZr5a+TOGwRz093v9Up15 /8t9Zjkapu8Fx+00XwSjfuGfuy1z7jYWnO/wh66BNbFnq8LwFwixF//ri96AnqjY3nZDYoXecrUY ajGu2TybXgieFNaJYx/tfU0Ur26O8oKIYY/rcNX1gRJoda0bLYKuLAbJSL7x5boa1FIHeXrXv//8 XXdkaYTuEgDAURWasmBlnfbskTFfqf9vkK+LoQJZk3oLvH6iPagIDcdZziY1G9wikPd8gb2bsIZy CrQEHYhRW3yscC5AJ1JrHJMnd6USn05YAecT67hXXnHNe0yRVyoaS+SrnB1Jo6im1tvUfOnUghuL zaw4AWkZ6sALT5HMhUtl0dqBHMDzIVpFAaAQLjSMs64JDjE7K1IR7mJ8Huf6ez0ny/Ff8CVLf87b 305vZGrOB0FU5A/Wwp7t9fxXlqwf7K4qf1MYNepkjrEXwRMsCpOXm0+JLqyHplc4MqKTAjDmExBQ Sm+tjMQJh5dpgnMmyxqYV566/+l+1QKAZ3wIe1oiWts0zSu7NYaVcjdUgVecUptR8M1xRe8oPNHS zz6ri94UyY7iuVPqcVBTPecGqCtyLOx9Br0lvn+a/+1W8UgGjKOjfHxNjY+Uye7t+IXc6hIZyFfk j+WAsEUjMHBVa2aKX9rPm0PT7QADB3OEqdm7eyrSDDgtHYdUeuyF1HtedeM2y1cJmolFKDq05k/G esZ5S6HXVXDAuLuwCyFxhWZWW6UDesDzoicRmxa8prJpaYHSDAztiUe8Ix+v9kt5C8T9grqO8M6D kccARhUVNCxzFQTCUKGwEEXlrSfONACNe1NFbMzMl7ZxtFACrxVBfa2Lpz3+uMvSKKejY8y6kl36 5Q+C0qbTGbRJEhbGGb+2rGTxuh5bzFX43lsG2YO4u3rIFhbFY7zHXrOMQwoqT36OZX2fb3vAttv4 vf+rCumCc6SigwjfRwCAqor/X3bW7YwBYu7vTFQhtBvXgEwgbatS8XThm1M7YLbHS6/bqvAEdbE3 uQUZP1MOXs2gtAa2lqvJ1ZjA8X/vso3rsqvMy19W/KS7I7HVRK8g7utBVbuFM8oqjVaGORaynZfe SHyPIb9tPJcVExxTN5zhhwH0y3xVCjDKyNjBs7kHfP+VeAbmx+204XZepUUwEVs+IHMxorU/owca gg5ceY+L54GovVoQvzuT0q9TmIaMamGFEaJXPMHMLDk/HgPV7vHMyqG4zbw5NepcAF5lbsINrCKY j74jenjNwA1zpENTrdD8Rpix+RrEiu5U9hhpN2WKovRyhD0DrAwEj0jHB027HDgiOHrSgzGwnhYp YrXt2hjS6/evOEilaP3Tud2wDZBYc4THyk6GoAgePDYndycrEXIurwXc5gCivEV+6BUIs3X8zoDm GGzic5Lyg3VOMizGzmnPcaxdv59Ga+E1Hf7vyNYO0Uzr2VKAMMr8FgwNJEUIi21qacP0ZURsv4HW yDv69pHqD/jQW46gN/Hv/r6cpi/yCBDZ1fKfI9D9dokGD/SUJfLR3aJFYxdmAwCflDYN9FS0oNSe YccSjf19QUlttsDnZn0X8z8Kh6X0g9PY6ZiTd6wlH3U8flrcmw9O6EJzTvFOwMErfRyBZlt6m8l4 zPT5r+MvOQd9qDWJM7WIsMaEyiV8akTzrwO94VDpEFlbduiPZfj2QbvGpRkJk894wJ4IQbl5qMSE Y/kU3s/qQvWozxY7IXrJkA3T/optDvHcZvQ8XP5vbWNrlK1Sx6eQu33idK/I4mxlTgMt5acnu13p HGZye9bt19nT90SR0k/V+fXy8KnTshWQqtrgOlPdqfbSU12YXhTNG2Ub6EFi9meVhRccR+PJdGcK Mk9YOA7gs4PsQvSDszRu9QJYNdmLZqsEbrpQYtlSzGe0Qr2IscK5ypW5WxTCoKumsXM5Igu+gd/f 0ZmNKdHX1fDBwFXN2bItykMvBdJRK3irugZ/gcdzihkaYg74qVXEKg3g1zyi9eCEdjFnAkUTXALC LNBt0T5rSqHsu0TGa2GZ1P+Kefvp3jEltH6H6azV3nmIIdRYRx2m+39WBAZKdk9y3MFjMGDCBU0k mgkcrKm5Uob6PY8KcZJdGzY536qq4K9JnJrTwL1deX5yJAUd0s+8UTYL1aFQ2UY26A+ilw8FCGT4 DAnhQ18erTP8NFyjCX0nkRnpfLeFlTMx6ok2d5VlCquPgUtqO85o+uwcqDZA7SzqFb86XQyhoIYc qSdj51UePJYTrCZmF6nUFFd3pylAIq+ya+RiSqMDZKo+FN/LJ+N0ZkV/dlqkjJ6d9NJQSDGIVOea kGJIOvbwwszTK9vQp7j4tpBEt/4BvxJL3k9DCcCR3RaTA5/ugFNcWPbkDwQ281f8ol1yB55zu5PK /x/6TW+rSJ/PrBS/+CTs7fBnHTa6PMGZPjAeBfbb5ftaEgS94Ck7Q6AIH9GzzYDTgCd4bif2jaWL vWH1RL0qU1XxgLeE1vUhpFJkc9mEFbtyIubV28xUcEPYM3vLk97Q/iHdOXH18SV1oI6hxB7kyy6p hWn6TSMqXxTizLx8YEAlQbu3C2JIGq+oZroaDtzjboZid/B+riWDpWc0uQ7UwrSKcLZkoBYrk0I9 pQq85NznbzVNJLEAFvdJE3d5N7hB+NOmAHH2jLekf6I2wtNbSn9YsPfL0Q5fqptSLhjMUo0LyMFX cZq25cHfHOwmT7zlxUPnTlDkR/u+2/CFlVc/lnifuqK4oU9tr48kIIXNO1e3gg/jhRDX9PYAM0wS lWg+UgCkLD7SZi2oRPxVpe4TxqW6E2E/u/c6uLV++IR3e9lLwAb9ztqPhVHlnyCmMrmxbBbtQ1dU 9NmFjZvQbOigEB2mo+QGImHL4azU0YlDFRy4DWWNjpU9JJy47cIJMCDEcks0uSslBixcXj+gIW7N JsZLc0yl2dVVz3u6AixCoQmprx/IrIdfnta++qD6y/64fHmie3l0TgGsaISU3HaFXlbzPw9zHshv xbrfB4tDtyR1fn23r0aHvQ3T7LjLix18qCF+9PHaiBYRyM/0mvfHqzJXWbdgaVGWuCHwDyC82e7v 2tz/i6x7HJgafxPGqvm8qrBuzBULHog0do4BO44sIuNmWaDsVgPMDYFjDapSbDucJzHfl3HWraa8 8Tf3KJObpInT+5Zy2xkjeBCIESyJzJWhVPyPMR9gahRcnaA+q2kuhcHYHFMwSvv9fEM8ihNQr256 oDZXWTg+AGrIp7laKwWnxL2cMYPtY0IfBb7AXd8GE0uZ1ocCLD7XB+kipXYGt4CbO5rZECzQWCng eGv11uo++LqnZmCUWJ7Ovms29HdcrngrNmCnHyTvJHwiMxou0Uz9ClTQPi6Qvmk8FdKuInKPNO1u d+GJXtJbEqBUCNeD/pRyO4z7Z8vTyeJSp6UjW7LZoT1qz99053UYuZRmMinSbBzLVFRKr160gIyw jHV7DdRv6gQOzivjZhhXJqmAojeV8IIOanRKav9C1f6VRAE9MSN0eyy9iW9b5O9JLkDAnbIYWhYt pR2AYq4saulzMNuRyCQN4OdEmi6H5CHobE7ODSeniL3TCEyV7Fwl3FLTBD6gPFipIKM18LgpqS3s 4/8myEDPAYsOn8aYKTrwRtfAq6oszlDSv1NTA2XKZ7YAtqPTDvlpcmNMbSFnsd5PWTkJCUVHz5BU 054RNs8CDcyBKj603b+JPBd1k7aGtY9oyzjC+g0Ox1mvUYNFfv5IpqTD6vcyG1UEXM78Dz7jQjQm mhBle2PjXsbZHmA5b1tShycq0eFl+NO7EJWDcV1/7YgZXxaJ7Xql7m8uy6pAG+5/0pJgGjE+bOXS UjO5HByYp3RSNMwixT5CNHH5mJGzncuxYp3sk/RyR+cxMY0ihBAklzY3BkuT1qr++8D1OFa965C8 q3UQTUIWMga8u9GXIHsmFB8uraaD2kl1+ebvsFKepIjoCxn1b0hKSGO1wNgEoLLLnH9vhk1lsmtE Ytu5UMHFEX0AOqI6JPcgsOHyIr1yaBpFncZrlPKpq+20vR+HHf4QW9fJ+BMhmXFkiwaa17X38meP AiJQ54j1LjUYGGayaEPtEMnUdlL/hcfvJQZuGAO+5YuD235yOijmHlVawO3mLvP3pRa7wadinpWc ROWjrlizUmMZ0LyYq+m1QWQ04B4EjcoqxhXrdGmLEznfQtLr32AIrrG2XZfvfBHv4/z+4tczK/L6 xxwwoWDzXMLAZICglfXo25/iuoBz1x66GYI+0dELf7y07hmyNiKMyjR3TMjI2Jp6hURr6bPh3kwQ Y6ucLpNdpeD/yiylWwoaprfbl3djWq07ZKRvZUJOofnt5CbhcXA3SBQeYSUU1n5ilfGrgX6rZU/g vvt/UlOmE71ZFk69tAWx4G/6Psud4eSsVzvQ2B6RmngAPVENe5/obr6vmsIMdy5OPOwSSzF5wboW SEbOO3JdFoLejCqG7WqmKjwyugG2yPIznoMP9EDN45A+NBUKiUw1QY0EXklp0ct9bdMAyvER8Pcc uktKhVpw5RRQB/Dylb87I+iBN/83Ws9wCoJFJIgLAbzE2IHhdfy476WPoof4ZqTgZ/HiQjimSZ3O q+BXX15lw2sAeTA2l2Tjx7Yu1uDXo94Ce7gL2wxqSFdAPaNLfq+72YAhVw9qDkqGS8iycRm7jf2x 3lt3u3LpcDTPTr9Wxvtx8vX2b6mgLy1L19zqSQ7teOyloSjz9XqnaQ+831yWvSqrYq7ti71NZ/4O Fe/UHKOFBCBWqoxRvDM3P3HU+j9zeQjPCs6+V5JbXH0y3ZJBHzL+0UCkUI6xyK6UAvm3k02HC1+p BGKYDZkAmOXpyLxDQhJjlCSTzgXCRdQq+I6wa7UWcy0AClGKaerBMYU2TDxR2XqSxigA2tCRYjtp 0aP2cxBJLxIARMbLehZM++D9rnO/WGxV5Vb1HAdIhFKoj1MiD1M0EiAe7cL8fucCKPY3JS9DcmuC poGhTVXyP9DobNyKQOTPtqzycybax+P7E29C58ONMHa/R5ERVU2+kFCFALVWWHWx9TfF+hcWaFxt fBYFHC+4YGxk442TLZc9GEhbxh+0qJxIgejj1vTDJz6PMsEM82humpDlgWr/cyEH7FYdtau7ZxUv /MBBZG3ZN89wUebKhDCE/XDm/9agbvDNofwmRdfXfKXRx0PJ+WZmC/MTSMnvImOjoOFuddpeKGIe iMK6mEZg4KWCJxpRCRW2ymmOz4jAfOw3JgHj0YiF27gKCC4H9VWg+j3leTTTyFtvlIRr6WHX75+N gEvL5932fc/dic0pyJwk/6I2c5PezVBL1VwzlqU3ULibnZWuuY9wsHeXKFXG6QV1vNWBqNSrr7k1 2gg0Ay8Q8FJIeeVFuODdV/gWbq2upqodCYjU54J4ZCopUAz6QtBTMyrLGBVUjMutksy3lcoISDmJ pd2q2Ad8xgpu6ghAGq9s0Yv9SD9qUwKCG3sDg3yQ5L+RdH8bGAl0hNNyf4MCotKKj5F+aV3gPYM7 l34wX9cKy1UZF5HUbkQhtemv5VZjW9/2BgLmobSaTpoZc+VkF18eEj4ff0xJt3w9OAGONELuqHqS l76edUfqa7FDPWGNiSzx0HueVVHu5B7hEMiRaZO3RoRYx4HfEa8xcmpKE+QBNGEgEJLxNmULCmP/ MPUIZX8PICkxkmWu+PhvrvNJfv0TK742O5EAyTIYJ8Gsl47NUom1msUvZrj35L+CYhIJwQfu+ub/ 3s6yEzyKiAOnhp+VTCS7r5GZMfBtTkFqsr5tx6SSgHuQlkeDfz4oAS8e2Ck8msoPtvUZcrE/uQUp Gy8lgHD3qDRAlspooS5EYH6pIoMlETZIwScbhBBR8aG/wUdDWUiipYDeIefiKjVNo8RTFywaOgvv 7+/L03m8M2Pk9D6mUIuFVuBpDOZ95LW59JcOqvR1TIUizoZpe6nZwpPrx4R9GG6GPkcolupwQ9OL H7aZzPmlnDXvfc2B1w1CBqu885aZkqHW86rSrDrU4xWZIY6go0qTHJmBObvLkzep5L9KxaT4WpQ3 8EwcAF1SrTJBRRKFeN5lI3SNLHaZAkz3Q8ugjCBv2WsMiZOWKZpAPuXsjrnHyDVXlA+40NhlU+oM a5nVAV/3fiofFFjC66YfOEDR+O+2EQmwO90eSdc3I59Kf+sAlFB0hdoZN4HyLPLdbFxBSYxhaXA6 FynOliz3Qs6RuuNdM7i0MgTV8WvfV2l1HeohBgyK5oRy2QTZNWrgiVVLZzAvnS4Xn/fKbIRqyeny Vrbr62EL9y1XKCoVzB2lUvisXd3JncCuQqQQkPFa5R2Yqgg/lcpG+Zj5zHrj+yb2/wjzucxul8kV L39FmI9cBCNLCxgb8E5atUgyXqSskKT0PXHF/+laX14uD1XLkW+05mhsDSSRYBBrtQ2M/ABrvIPQ 0QbHTnaY/0aGRSk1J0nCTz91fkCRByv1lvkmZIVCUe/5RAZij1rgp0b8a4RIy4v4NzXdfNZOo7Qy e5HM6uyYuPuf1LP61SsCaUM4lWv++bf1r8x8AlXXWxVO0bq8tcB0alb/xlbpxxqe1qS5LR6hMKbM ccObbBIePd0hRFVPum/KRxST6SOpm3bCtnYvihfYGR9zac+ZOUWhp5dNQ+dLqv7nwYC0zRINWbyF kmck23VYLtcYWoQJ8FiVbXgOhlGN9z1uOy/0WcOUKt8IVo7gt5edERgFVRsc5AE6wBkfuELbiSFr 0Gpt58Vc8iyspDDnv/7lTSK1RslYdSkSyuLdlBaab03nItpEG3xk0/2TkzusxZentyJJU/DqC+P+ Qa/v3AZuPu9U4kJ18qArkhHlFAwbSZHtIElNFMMzlMEBSjegLdAj24wsLseM2G8fU7xe0kFEY9Ad iGSoBU8QPcNRWU9p3RU+cKfcGg8Sxz6QZKVTxfuqTF+8xaU+zULYlbr79RZpkW/EsOh9e878oEdM o9X0lb+S8pusk6deHx4uglCMkhQCwqjU/Wg+5TlFgPuxmJw7sSRlZ69BO9KjP1oJrnIUEKCQ5W6l EZAdUGqazUVVqr5DSgxoleeOBr/N3uLxzprV5o2uQ/JzFf1uYFg2w45sCh5qUD4hAN9dCgGUlHVb OfqwTMNPkq7hBRku8MWRCrNFJMW8HpfL+a1m6+adbz1YsMg6mXfuqsB92Zrvz+sj/ms5QaPnJHsf w1bu3JcCjjxZoXYJNtg9na2DEGmnacj1CsoLvTEFayjxX6mQ0wH3BztnBHMTlLz3H0gdcM3To3Fv qUYoX46S7CrzhE1m/MaxhsKb6gcFGeFlBU7cg1GJkkCG36pLuMbbs6xxCLdhr7TQg6cF3dcxW19p 862Ez6AVeobw/c5gnYG34Xps/uFsXlf5KHmr/kYDs59GDgX5EH/QI1gibVEYSGmU+mK4fp6KILQX aSCQI9RPqchYBTGKAKPeq50MH7Hh+ZFbTDf9TtBnrgn7zRFezMmBUI0n6I6GHnGZ9E6Imbh05jTv WOFZCp+zJBjPFVdwmZhWdsEJkoqf1fOx/5eRXHik/nZAkj5rXWBbHGf/y5QVs4ysqeQLZdGPq75w 4p01cqAqe/czyoo1ha9U6Wmf9+paft3stC1LgH44gNezXDVSAicPMMOpYl2ZM75bKlkczLfpD+Su CgjL95QobbEUQ9j89n5/lNpg9loly6sPbgneUxiLv8sri9iugf6ERY4y74ZuxYVMmEV2G/w9Y3qS mK6rZl+GJEfMNUw6i6fuutj9yfDr6Or+8LUfds+TylC9tFFggydOMEVFqRB4eRoeyCXc8FoMHmhh aoWRsK/spzqAZAmLkZaZXkU615aJ+HS6K3VqMpAH0rUiGkyxmO1opr1wVZ/1Ci1Oi2duGOSNhJJC 230Jae01F4yrBkSM0X/2zzqiRHeQy/Y+yDsOdXDuyHFUHYzuplcVv9IcrBcUNGAaZCn7FXZPLeO5 b4IAQ9Ao2QLFvjyQMPnqMo/77dG7IxkTvit3Br+/MQ98q+JADHwI054YpgXGD+Mvhxh2V2HXvsYc xP9GHTeCSmg44F66rl2sPKND6klRCEcIRp/s2rDlmkEdCLVTRkwHBJ0aoT8Brf7AN514LL5OjJpx 7On9pP8JvYSCeuKHJrlukziwpsmzcF2WHtjc/n8Wb56lgoGiWC2qUROt+bkoj/VQSVgnihH+nBci ffU1yyAKgy93U7jym+2cxQukjuZzgf6rpxTmN6qZNXfporvU89Ka3k/Jt34flsc9uS65+vroIJb5 nIQ/CIgl+nA6gLqPb4sYUjAtpHmyzkxtPQa+UFEym65VL4aRO7sC3egL6NMdEY9dbGzOTNZhi0RD mFTQvfmZ9fpvF0Lt/qikIA9OxmwQqD2VH4kGIdHhHrFMfHHun4y3MIsbiPsEQGpbsymUt/pCgfVQ s5UY4C2SsHw7TUsCm+oJrHESe9yA/FZySGzV+SkgohwyQle+sTY5gopj3cfx06mXuDfIALGytCP0 cszvBh2Anva/IL224pRD9Kb1kf1mIJVRi6GqtnmFHztOV/NI2DtmLy8eYN3NHYnN9+65BGBIZ53S tohFwvKA1Nzg3C2tblF2UfEy+/GPKhjAxXiuXDKSMVrgFCMXYEDzPmu9Kz8m0FR+ZkeE0jb31mK6 YHOLZc+rjQCzRzixJzfbIEZyf3oNzN4kfi9eYhXSXZlRrl7x+rqL30VRlj8cZR1j/YIGmvAOWWYm 4M6XjbfcfxNTr1f4kYKbspaTaKnMjokxYKzspka7Y0Ey9xCgqGHbmRy4LLeYNaNiSwwa9HJb1lKL nDqQdM8RjPRkONxHhBdfHf/N15UZGza3X4JPPUh0AQIyoF2dBqNUiWdScOsHJEb7l7ZeuQFxNap7 Hui7nD2E8MCm7c0HdWjwUqpuVK9wlYCfvYF2Ye3rDkMMCLNuRF0Q9gTrgtMLMzlcTPBBA7mTB3tc OuweSaAvwH/X485Y53pnN4w4DpUkIEqnRPUcUmC0JuJbzTULOAAhRRy6L5UdmMr7Zp5HdmhKexuV Q1ALutbuQsFpLTvOkHH6jN4V0xU2xDHruhFVNjGHroeviZ+8rCzAVdxX2AJkQKstWMwwnQJGv6Zz JmNnbBp4Lfn2DeNEnEhCmsjswt+XkpwqjYu1dO7tptzK0jJREBIS0KkfFqLNxCCDRRgSwXrQENAl VafPBdkzpTefZRgytMODaeDex8JyYi8dMuLTgzYeK4xVIHmFMOtVOQNa40fqpthkc+gML4Cmh5ZJ lsF4Tbc7NzKy855rH5LcSUB1whzw+RW7tUqITFCQ0wyWIeGK7E8gPsS/DWvvhCv8kErFnAYVaNgZ 9Jbl3mGzkvNmZWiMKo+wMFfa5B4Nyeu2UxyaOdH4R1hItMCSf6npX4cI+GhIo4Gbt7PPXeOT39I8 PjeQ64mXytp/ol7G76qTdD+Y3DgHGQtLDCHHviPluOc2BDMfGieeKGS0UX15bpXTKM6nZJipIUcJ 8V8STxd3kUU5ZHQ43LqwnB7+3yWd0FjiOm1y0sDJAM59uxmfHr0R6o9viA5PzWRKTu6qus614GDb 1QmK5dc5texYEv29mz5tyN579vXrvz8EQclDWOU9P8IZRY+7AYc6dvqKTN4dyDb9WiMPA+nzxwsQ 3uLwWjdUmVNRchN9xDrCcm/DuktETcaD48iYDzQvbxgpuNstDyFVFyNTm3jyrJU3akQ8g0QsizYI lUHd1jmHMmgQxYh5WUspCG9Sn7yQI2RvUVPWEggsBHqZUuP/j9+0kF1Bjtzu8iiXDV95bs7tP87B T6vc9nHA1DO+8FUzFfp/CdcduO/edqKV1vsj2lx32NicGfMX2gXRwzf/6lS6H8vt56GZHB5bqkS3 SFFgP65znUOzLIVcIU5TvrlOHej6OmLGsKxWrPASiItAedGXzTXnPAy7vttv7AvV6NwQtvrs6s/l +1HdhwGQ0zKR6hdHINq6J0T+A/+gWYyE52nSVtdDejvIe2Z9cCKOHggtjc4KNWd9RuKBiN3HeFWF Z2M++TXkfUavBy5DH+kXzsOnmQlOGg9Tg58v6fsA/6ovvn0IPLK+Uya8zCSx3Aay8AOVtNXui7Sr r1DNlXoiP6u+R8TYMP0TprJDMGvLOMtohMD/9nUIKy38fd5ratW7SFTh/zZWUqKDAd3xjmfNNMA3 sQ2svpSfH6LWZ0iFJG1ztgMFEtPxffuzFWgNzKTP7ijaQXcpERAzMAHVxSuwTx6LQ2cefb0S3eOZ DEebXRlGKCnCFgRikCT2gvAluWEY2bVJ4tsfky7DxysywzMgIcLUc8qdj5sQb7M3v/AcePZchYCL 8RjhTzKUrS2a5qK/iRchh5Xgiz7YiIPr36Xeh8ml0wkWC4D6KE1mstTF3KuXPq2VCYKEj3QIOYqz 2zepf30Lx7SU/dqqU1VPTF8or6PfVHoBRAWvFbvBwSHNRrdy43IQXLy+Xd21YlUTrARNbUVjwbSt 9ZR3iw/BqYmaOeD8cLCqVKe8pRSqmhug5sxNT0BXWHBUldhiDinMyloId50EZNELB0Ax7MhZ0+xr 2vgfhoINkSbgRdPfoh5KM7hUpMQnulqaFADRc5wSV7WLu/HwcU6dUv8XMQutt1pfFybYPWpKl9Ii 1CvW8TyjETcyT9wwTSbXIpdvPaAB64D4FT9ZyZxJrUzSI5xbRDi2ZjJFmKtDrop+36MXL8GDqJ2M Ci5wR0DqdcIAKErfJYJ7ZhU+71V80Rdt1cXZrz7ePeOFHZStMPvufFP/6+5at7RzHhntkaIoRQr8 tgSoEvb1iR1PYKP3jT2uMrC2IOR8E68OZhSN41JyL6OeIW2nc+qaJXbNH0tsprZvlbgrzLn4pNWv w4qJ2UYe1JX9DEXXpDKUbfYkzfkVGQRE/KHW3RXyPXIURx+y2WXrh6YRaNknhX74D33tkiv4jZNM KZCQHiXNTu6GJ7H5ZpK+VdcCdKZhymOCzCRoHj+tnB0295qfCBlC2OQMeh8wkjJgaTixRNF/WciZ +XOR+jcHBBuA9qhC7Xm4AleFvwEvxY6jQVLtpLLmh9ne4Bir0fkJojrnLoF6uMfslGeErFG2iO9I Ff+VH9+HI997abYtz0molEUV92MkMEIcRHyK/FuSHy/sJG/Rk1o5RYMe+sfVGIcB3VdrWrn8l8Z6 X2Q9BGmUnocY9juvrOwPftG/xPNUg7MljVMuslLEB3ezWzkcw8ECZl+W+xwyQn/y90wh5N33n0F/ mv8YhjIFH7I27CHDxeVnhmbjQxWNrSMGfpJVc5sDpS7e3+i2E7IuLPICw5RA6tjd08u8qHWyilSc 9emIYSaE0GoF82ngw1q40rfPEXmaK2aPxiaiInLxjw0seJs3D/Dg6qabhmxp9lriJ19f75GgUVB4 mJRCLePulbMu49/t38isU5BP6y8C4btYwLrTXkYws8D44J5H+D1YV0zGESTp5kdLW1Vo8PB2M5Qn LM3Wdy3bkML5xxAjcN1xZ8KmCldbycOfpML37Vx/hPbWJyQR2kyzIsYdhhruiiKeVCHEOx7ICrQi iZUQMfZy6LBkYj/PoAg0hFcqLeeWBVnPAq5un6t8wc/MIRoqde76S5JIksM+a66SvMqtFqoalvuY 442OW96nHaExfmPjoS/Tcz6p215/NzfVg19oWryEivQlQKOCqWCd0dKKy+qbQGspAoaE8nQSwYMA 2rG28CSgQWZ1XPTbJoVKcteoMPRjONOQqmaoD+qL6b40oInkCF/YJ+ckK8FCp32kd9iey6KHISgm 74LWGrsT8yseoFL5zN4u1hP1vCy28CN1+pIGQM8AsdbVEhFInwrct7rPE9GGSRPHEcTZdQO1tlc3 L6/F0ahm5t3/gnXSzdisgf5pNCeiKDxgW638ypKoP1Ecv+FwaiMlQKbYCx5QiQ/PklAHuixWFCQp 2hIj/PmYwVTbvImVq5wVxrwMk+pDZYK365zZRZBQw6LfDaNI+NDEezisqWIRGZ3gejjJGctGh7+V P68+pzUSZVpMjRYl8a8uUHFquC/DIfhGVlOK3yY8NsZyuWKgcUEE1tLBYOJwXgpTyJs6f94nRVIy +lcjz1Xxc0Z2H4TapH79cdIePHlgXzWwLVTR+S9lg35xNSV0oPooLIrLwz8RijJc+Af0mLzAk2uf +R+gpJD9vjpD0KV1xh1tmF95cqEC9CH24dHZ2IERhpkG/9GGfxN0+0V1vv3Csk4r5IbYZFxi1YnE syBmYCm7r78ozgZG48p+LjYRqdcgEHhm3PZDQxEwdHy2kGZ2sYlArQvUbRsR7azpQ5r5N4U8MVDS 3qO5cigoj7+6HyKsvpZQh7k3GtLQl07FEUYNiAG6EQOvjPGljY9buUw8nWh9udr+L529LkKCTyX7 1Z8tFMftJrxQVsz53URmR1fG2+kw+x2ksdzKbSPXI+7/+ah4IbQ3LAN9AqAmuIGaTwTSfC9zxgsj 8/vjh8Dls8lmi8heV8wPiLM8242XMpkIm9w5sHbyDNCjZXt8w8uEl1UHR4v4AT3ICyicG4FxWx9p xi3GkgD7jq3Os7yzfeZqdfauSbUB6+vTFCjDxIY/rsFNpXDWU7EiYmYDN5wHdf2CGfmNQxMvFAz+ 9XbFLQRJnrvV9dPYU7PT7JfqVd8KAlsOm5uEctdMxgA5Cb20MaoW5CFyuQHriy0QN8bEXPGa/08V JgiMCSe730u/o+UGC2lcmWSuhYcNaUddBhrZ9yiaAxV/NU+CpWfxDP2jk40btivz6n7ORj7zYgm+ Pv5eOorRxoP1AQ7XN6ODtMm7FdB6mUcqAM1twnVnogWCryh4ykDadlvhCM9h58zZhIwmnO7j8yhT hsi2VXt9I5f9jQgYEs6IBNavy3/lw8MckMKa1LeENqn0QFu0OgZx1FKAUOrw9ZLL8Xl3LMRAQG/g JYG4RC6o45tbjQnIUvhcxHcNbAh8yN8caVlAkcY1P4pF5vXUaigTQbs/4hMaYcxgDboFoRHQZGOE U8r2CL8uux1wQmA2MQyP8W/KvPyVUjrBL23Zxsn6lDQm9Wh1fSJhPaBXiwa6gKhDGn+vsk+9vCpN 3M0tc15U5aNBiwZmcFxxyoVWYNUS9qHrPbk3KfxcjQBOpSPnfXu728jNReJsZ/TTlMM93sMeUe0Z UfRMmNcji3LuyeauKKIWdej8VF8VEZsu3TFtbNFgPW1ae2pxahSvCZkOUywhZd4UsvkbR5cTIIiH Kn54/gGrHHiEmZwxv+SFnp8ExNT3zl60M7oYM+Wzz90s7oEINA4iiW9KAjfORbZcv6Hrv2xnJVr1 pZiUAjc5j9eaqS0aWmClyfcuoMWFZUhH60HV8H5u6yms8WN7nIQ2ZW1spWpW7urhAVddIoHc7If4 4rhecvf1vjKaMqFOmo7LKEuFz+H8tExtBprxUHYL+2K7gGvQbpkagkM7AqZisI6GTicDaeL/Gmgp MUFX0mOL2ihkWW+WqS/Z0SjGsUXcigTPidGIammq0Ms2ScCeFVtCTUhuJT4+sVmuwDZjghzBauiL lPzRW7Ah4hkzAyIgr/NjKk8i2e3/uJZ4wdYLOr41Z8rZskb8CJN0Z6O0Msko4IYRm/oQZslL/IEr 65QBC6GQJeR6iYGUuZl0R+b1b539sfRd3dC1EnXZF0sRe2Bnvjv2hAHCIUtLejMUqotdJVAa5NUd claIzeymJJ7o8agRrtj9s1iBRAeYVtgKFf+HwZ0+oBXOp0UdCNybRvfol//i6Zt/pgJ18DLC/KiX BIZfjaPrP7lD7VH2sUAuJ8624+VWRrkw4U3WX6aWSWSQR4Y+0reGV+tHUVpSQULtj2+XNkWbb/I5 GAOzNKTdg3cGxp+UL2FlBsY4wzAXV4gwg52UJLOUAM5HhH6jeBY1XNKJ01LrlEs0kIAqqWa/4A7L HPdI1K8uhiq7KZy2WO4Lt7nenzNdtoLNas8h7/SC9hJdr+yEmBgbn/Qam8GuNqipfZL6WvqCyCZS iZRqwrQrSUQKmvte4z4MNjQvYLqIf6XUeV9b5mFrp/KM8j77Fv8nApthbohWP/WVqyGBFoaTCwyN KNjE9TMgwyCeipQhV++KSsWQW32idrDG/ZD53ivtuAIaPmYv7eti/r3fR5e/l+D+SgdshPpPI7xG MhhQ+Uj1D2yc8g83w9jo7SKNGT6krHS1++uaOBcXWebWee57C9ZGGEdhAhV9V+VfvuJKs82gmtsV Tsu2RkuEvQAE/VRxzvTREZNmF5RRTREL3t6QFLbhyWTdQX+JJOB8xATLJny9DWXBJvSvymIJrlRy YcbE12T2fNsOD2kVGqiBbYS1n9zkl2poPiCbVRVF0aCJsW79SJgM+pm0axx7K/ZhbunsMFe5tGk3 D9nNZELpGYDLajXnfZlhfOfbCvs7qpqiNf79dvmSuwfMJ6QfvNdMN7Q3xLzzKSYPQwezzsFgPOmf 1PmXnlFTHId88NY1jtpQvyR4EhYyo+jJ/fzbRAxDiSTsi6qo5VLUb6kkkAoHuX9Q3a2bvESUJYL0 G8CiUSqVaVLJXN66PNPWboKc1Mcf+WqyGkxsI5NYafE0TMAg8dmJKLXcyIfpp333ZmZZoKBK0NyZ cVsXVgJdBTb8KuLUwUL2zOBkhblnPvaXt1lmZRtDQDg7Pb7yC1+5gIF6z2XXb1A2s2TfBQ4jH6v9 xFiFMH5daxEtaiiO9wVp1w3ruCSKa0TY6QMayFSHbf7zb0l/+rNk53AVYeiG3AGfuFjEnz/FR/6w fnu4t8kCZoLb3nQelVLmpM9hkz9KP07QfC+Dg+ufJgzY5LRxaBM1/MPCCDCgSoZIOwKFoOeW55AU Tb+PVWUISE3tC4xtTVmdJ72MFasNdgbgdMqscouTFnbiGP5ka8SaJL99Zn09fKuDPUJUZFeibWsR bjgbnenUUK2yjgeYmFPCfnJ166IFO2P23f6CO2QukSUrqmyFFkRZalFwHgtb6yLjkQqoRWn1OE1D b0dXzjytE9Yb/ERjnVkaL5Ge2Kl1A10X9XJywQrQoV7sRLxv3sebnb+UKp1WvF6VKvsEH22GyGWa BjzosnA/67y9Cw4jf+bRh2uRjbG//eKz4I7WizAdbFDCS8Ext8mMiWCRWi2hvn8Fz2G27xvoNI5P OEXRWCbu+CzwU0sxp/74x+gE3LSNxbI98xbqvlv7Am9E2qyfO1tTaGNIOnVB5fePh25lsWjvMmjQ lNBYZZJJxcU2wyA2pryjzgTERRQ+ILMl9I+A9qTuZu0FMGvsuBmnqO6ZOyWQW32ltuPIvK8drK3/ MJCtgXbWKYNbYy50q3ghWyHIvenotHbvapLkBJ3nY8K9U1ENwuk+IRJTdF3Mz80XChBwq/OICZz+ nqsFhwXfflFCF+3dz8QLS9AtPxfjhE+1PkvG9mzwpgoDWUezAqIhzGdFXiHC2I+bSM7USu2imW/n zzvZA5suDMgI1KfpG0U+Pq7UQ4J4z52iLxybqAlcSUzOxN7GWZ8f1CNJdAqMl54AbiG8XXLXsR0j I592n2A4AwHqE+rA51sA4fnxPiUhkD4ojE7HJ720ys0rO5tzrjUaflOOuEocXOnSfa3eA2t9gvZM VJ9dq33E9U4FikiSPzO6fRKRB7JEoUxw3ThnWrrEfhKH+hRmAGQ4jQLstMIzgVdhjhuDURgreroX GLpM8YlDDoqvsPhISFuv0q3bBbAt0t6g54saMr5hpW0fNykL0u8impkoBsm0ZLIRZzIuBuWKg27t OPUEM+eQMqvEhNV9s3WD0pAALwGJMJxhnsn9uO6Y6CA2vJwisgXXdg/msBJXWITaXw5U2f0GyQYS /7xkxZ10RHmrEqchgUbldNdkMV22lvyWMHaVWwSngANtBBhNI5rbxJ4EFdvRkrEQa1HeeGXV1y9v 4u6SCugoZUiMpS6skGVHuIyEniTdJySAU5/RTscA0bd6EIQVhPyQuIgN/EEKPrpgNScHX9HltEEx q7kRpGpanKYddj3SD/aspd+gGqwQgsTO8M1p+PSoE0UW66k3e/7K4yZE0ywYSMCgnqxmW8m02f3N 5FOFsLI8Mi2QAFaftbRMphoujiuXdHglKghFr2qPsdMx9xpGftP5VnnKgNSD4GtaAbX7Qdsy4+8p dZeI6RedctmCmrAV+P3X3s+jwJYd6+nyv2hmKQsqurvFGOPBSR8Zst+d8XMB0B0oL4f09TN5xZPA dNKkL5IvzRAV9NDT7fzUsxLDKALAY0ieB6LrYZ/hTJgrOQ4Er30XZwp1Jmf+vLFNfkQl1wab75h2 EzsX7eFtF1FUm+S1kcLr/PDjsXGZEC1bZblKeADHWYTQUE77GaGL9/ceeAES33VYf3lFJUrOyAWq SeNkLC6zRLRGHphts3JIUcQ5sZj7xrHqYuT4ze2Fug82j9QuJXx7makxfdcCdl4niV02658hUE7T u8RWP+MfbJbDgT75JNrqIZ8myXS2ZWFWp+jQsKfcoB6REnFGE5+QYQL2s3cEeJVjpKST0VPVNjvK IbgWmc4ZWTogZPEaocHloofBRvq5QIeoYebQ4gWD0lcDTIW2hI1zivUgtLWjcDECoB8hHsp/xcD/ s5Fxm+X7yZpY1kBMxUEi+vavLXlG1xeF8qU6Ke1EbzBtgig+IOsX4ynXuaYfw5na6ErT5tHJzeAE v4L9P2kQnB9AcGHzt6sTR6Z5fdT8wMm+BRndQWV3hqqy9GH5FjQXHqvXEITZdQenM+FW80HHa1MY Q5wJHVWOI/SyY0bEIRIaj59mocl0iZ48zVRlBUWe7JKsVVFGaAdXgjdKwMzBpXYRfFkjR4HgPLFL ulwUJjOmNdlwKiFo2XQNsRW91Is08zMA+e59zDRt9Weip450X/49+nkF7bPR3YAswAQ22+ZjDUZ6 92tVD/HhOByer04VlBdSEqEo7mM1EAN/5sRGCbl8gOtB/jUXdv9YPJtONXaeWnO2qHELPjHaqXDy 7CAN5rP3SpT7NkO3hsyWBQma2Azs17Bo0gZpY8GbdOgTdrD+cBfOPkSODuVWbUpAhyOsZtiXUGkd KA5oEcD6jbxsrnnAyBRw6Whz/nLPHpj6dtY5IDD5Tgd13TwK2dYBqV+GlLk/E5tLCu6+WJpAYLlX tXJI+POAhU32Y/JjjXxCecFjiRHwX1csmMcRvctPT3w3FWbEet9eijZsDj0e2I4bJ5B6ZvgolZv7 Fi5jzN2FjcFwBnhLhS9rpTwgCq9Iy3ZvyE03MxtUF/rIOtHPl2WCYwTcsKsFv1pywuqH7C99Pvjn jsua6BJXxg7+IyfnkQdkHD+iF7SPneIEmsTWXSV6uthDxx5HsjAKXZ34PkakHQanWNNrUAiCRJjc AkTxQYeLYWYQd8Hbnzz1l2eUQtmPeIkSpu3t9+nyhojLNBjG3Offnei0ATF/2OTigCi0kZnafb24 O87tWcNwM/VYlUcb+EZIjq7a1CrJ+w8LHWJbmiIEA7owfnIxxoUy5GY9ZjTWrFrozf+yRRV23vWY ObFCsaqCmHwu0nccwMZyMKM6+9GV2kKS3cNQpAfG9LVJoFlwp3BIpxAGPZBucZ+Ux2QcP9/7I68a z+LCgLDqEPKDSoL4UNFyy22/8t8lo8UlIygqmzuB5QW7XNacURiKf/PoBVNWwa8BfrKXkkxNxjf2 QWbYjn8kQftuAvUDFVDcfbCfkTt6xAUD/0mY0/4B8bB3K+2Pd/EOFHJNeLxvPG7CavLhjS+kc60c nSNQXaqXn198jw8+I/XVba6f11c2FIewHJ0VGSK7COPnosdaeCN4Hro9E9KqY/IEekpg0AQP/JbX tIYJ6eV5titF5+hCWBmvjJAjz/bDdX3pHMhVS50jBq++cGNfuj7SMxXjHneAowtKXpLi0EsvCUQ2 ++t0QwoS3r49iIjCI7ad8Xw/gRYabhpnxRIhnW0HkaETpV2aPJQaraE+PmnpGyrq8lVXPGmD2ZzH rhxfNTyQBAiZIZwd+DwpEAk4CUqasO01T43Sg621rs8EBfEBzd5zxPZwL//RdCZXNJy2EjDQqpnE 9MED+7rEVOvK+SBilzeD+nJTFDcBOODO3uDEQR7z4YWtMzw2gt3ad/KnqWZjwg/b5OAdsp8VOPzm ThuVNDDsj+LJEtch4lBY54heWP4QKOWeSB2z2m6rPppifLNNLfFzk9GmsBSgGk5b3kjL3kGNIciW pmanFxczHcE5A+O0mi+tJmnZxXbBGR8ED8V1JEel89ZzSM6JoiWC2hOYRwIGEuBxmYyZanXZoWuR eUIRLQJd69nir4MtI2PQfk0GeBVOG4fR7dNYo6dcJ3XzQNuwezY2GUZGMb3eJutI5RmC1xBzMr1O 1ZUgzvSBw/iFkbVNptgeO6muFUDum15QyuRtoV12C2bKcsbIVx0uVEH3mZOiXVppN0MtdScF4Wcy G5HP8p6C/kU6mSsE72NoYtWOa1RQi93gG0ustq43KDoj3LZQI/8oyXlhadG5R1Az06Rhu4ivKSga w6GFoGYi83l9bjxcnCtdVQvs2QZbRjrearY3NpcZlxWedfYlfKjs499hB9rX0MXrkbbzdg03mvgj 9YUWB3Lqzuvfj+Zi/pUrGRVOotDrngWoZhoim4CjfYleuJvHdGR77ve11TvdIcFQkrIZ3/sCtYrE U0/MpV+RfhxBIhmLUPXj/uQasfNU8tSuULWGwYfFJRkws3y8BKJif6EJDvKc0AqiF6SfDyu0ntsM LqwmECnvUohNTBn3Uoqz2ngWdeU7DXQ/Jg6PKjSkxzUgG0bztoBFZ2LBEaxFhT7lv/RZwB9MDl20 nZjiNdT+szm6uLGBn22Mt+EhH4mEKbP2IzzL5eoPxrzm38fxS3of3Qdm4VCK1KKFmLG/gQb0OEGP eJGnrqTJOwTAu4t3qItbo+rkyjRTY+o+hnJrJAtk9nS7+eVU0i2sNg/SaYnRL2diqJexTw5Dcysw lWPYsW7D+lqNsvdEg6Et8J3sxll/EfaP+n+H9bb69mJ1n6lv/CcJLruMwOuKrdltpT4PJHHxaI1s ztwz/X0LNMw6K7kvc+UXz0p+vu/MCX//SBhh/MB7EbQvwNRHhmSK7Ip4NcNbvm4jhGbEAtFEyHOM gYX9YJDSI6flw3InNm713ylOoKf+r4AdtgO6em5e79a+K2RVDDHlCqAytX8ihMZ+zls7Npcp2zmO CzhdYq5e/LheI+XHlOeiHt9OtdjEbqA/769Rbz/h3k/wPBIWuNyKjjO41LEeIMPjRa7EVj10qrT/ THVo27ydJ3aWcLN0ji5Be4kYmB0tlJ4yzUdjAcLNil+zbLaT1U/lOm7l6e8P/GZRgO3dg/YX3e/Z mtEI0pZ0p9OeEi9k42PlBmxQfpFf81hElg6eLrNvXZTsZG2FDgSAbnnrEvgnJRyLlxk5DkyAYaHP h1bjz9FaoTS+ASn6kcmNPdyX6rO4pUxgc8yB1eYZNGk8PKVudAbr1KVXYaQ8Pm0T4CJAvrRYJ5bF qSaSg+0fOswb5AZ2cykrkDDoR+tfPYx72TuCy0iCDWSrpnjHP1BiMih0bqvEL37KaKWIBiyKXnAV kc9ui+AKozfIeYS1AZ+ZoUFBc7h6L9wvLOxCj1Pk2RDSgQoBNvwWETJGx5P1F8/NDqtLjuwr1qSg 4iUUQgStnjTPQwMNWlFbGTThx7eBSMsoTX22hkfUlY0PeRPDfnswW9nKMNpfL55cDPR9bFDwG3El xjkqhDb22du66zRduZ7DO4M4u6RujKoiSJJw2RgMYjKfwnyYia+uGbKWJUYxE58R/SBa+3akzPl9 E3iCFSIDsuAzm2n75R4UO+3Ftrc/ufd33xRv+VXeHzXpvbnSUrm41+gGI1uYHYs77McBGTGJNQgV tgitgP5dwfACrACv4D1lmusp0IC0fwZ8FPk+MiWjs9cRh527MWVZiymKQ1pPaPPiKXgBl+FbGHDO Ax6lvY+dBxuR081LS02v3+2l2d+x2Z8A05oXhBJFs/Y2nhGgZa94KZQj2KeuCtNHcRDZB40GzB5V NY1SFrzAOgHcAI4+2dGdpRMh0vNsCGKRs7M3Rmm2b65ShO0ncwjaQs+ZvJp5WU2nqdrQ7A82F/g+ dtfDVFjTzfEZi2jg7TD64iOmHon75JB/SW4doJCzqt1iruDYJnTkyjcXmC9Eh3TQBwR1+2Xw4QFt CVnYC18M/UOnnejWa/h2bRoROJfdl4ms7RXYsnXQoYqHQjMnGUjrgCJl+6w0PUKPMKEiMEj7iWLj zzagG8YFKTAfkf6GpuU45sCA6TJ4SYTB/oUe16aqORYZQ8qYLsqNgUeAG+r0ceGfBYbcF4M9tLjY ys7PJEgz0HUxz6DSfEBTuAHAEf4b0QuHT5wF1b1KKMsX//7mvI6k4xsccS0d9DlrvGUL45Mko7gA 478ihOm00+EwoSJHWAM9GTicJqAl1LXDHXyK6xZaql0WG+3tqPYcnYXUQ7FPoveBIBshNRmTkhZl zOIib9cufenZbkmxDIOUi/CyJteJt0CqgnZcsFRDUm0v13dHXeaxQ0t20iqtiriu7xCMOEp/dPpL V+QAFhw7aXLvKmzlWhUGz/J2yc/JimeXHZITiVSqcLRTEAgo+vHAVJWohBTzBULsP/bpEWCMzPNf YZnQWxlNcyirnI8MMJJhNTFVwrYZgtJCkMsywTPl6DUwXIyG6adTRzFEX+rlMqen7v73enx+PMyw VXHlWTEEltrhwmNZu9jIDdQhZP70nAUf9N7E7GkxDLv79yZ1P4SU/Hs7mXQx/lPxt0Z8M77/TQft EdVDkK0fAnxC9solbeEumPVP31PzQ/BjW6H7tXhwTehEAlFtsopXlqG89bxWztBmX/zy9JJyzBSD yMDtQPQD5msonbnNB2M6zuhKTzqYqToCYVOkMnwG+zugckMKZ/XJDuiajnB0rkqBApeI/dAioVMS PJOj3P0JFKOsXIjnS6T7i0nQ/5TYkuVV5mNOKbfBuquIYH0uuan+sYFhL8rFe/96a9cfdqyRlYhT EBaVTpyugYh9HXzs0YDm6Y+EZnwVG4q1DRi2PyGhrXe0Ju2b9qLycUiixSurVvJu8qOA22D0TBqP pm3YYLZrq9g62F3UYsmpJa4S+okyDM1x3z3PgYMsotQVFR0RLiIHPhYPRkGYiiew64JvBG/SJOd/ b/3xUVftNSA37cVzYwsiaOnLOwUuAvzrDdX8JbtLwqSvABjEG+U5RnCpI1ck26+jzqX5cxJDpVik wxVD78GH2p53VhTVYx7UITqxtoDqIfflBcaH5DZjuVtUaF/flQciJCGTtZ3ErjL8B56wblTuCFzp AzmsMnNJ5iUXQGO7H1Hmpz9r0TTkk4pXxMcxqLxl+Gibe9gaFeKVbMoiL/RHy+iNFP8oPXq4kL9q QV8mb/wiNuYhxo5w1uBG9Kx7/RnflcU3mMLmhU2feyGA5GoOGRAUyGqui+oIqv+AEMxXYqgjlnGc P9c2IoeucHaIwMmIH6RbfYY4mgg+aN1Iadi/kp0RUs6A0XwJ7V4NyMBTOt9+l9hTBe2PMMl0jO1W wRPGtoo7ZkyvBoqQgbZhlcTjs/jsKuArsxZgX69S7UiOjLPTS/EvPZgNE/R4uJ029lSfKxj3jeMl QxfhDgGO2EBFDsc+dlukeNwhQ+CcdaJ3soKYm7LnjlWchT6C3MAdwGfhuxDiALaAukrKjw371Wss 9yTU+sEAmJFtf1okv4aPLrR8/0dGjwDE3lServyw7cXgbNXpE4W+r+eqy+7sq5ic2MHn7J7wJQe+ xcxFVjeEGy2GASSpjQTZTWV6GzmI99al22IpUTFEN7hz1zWphHorsFRQWw6xT0/asp45annVkeSj ZZOCdt/i54SVsJlyRbbP33mX70aLxw/S+umkk+H3m656AhLZMsYkd0FmOIMolpQ/JvUn5jFQ7ewP IWpa+zOCnfzdtFcG9+0LKQB1WQ0rqlXMixjiLiGzqVFEyRRO9Sgronf+C11aEoCkd/u0rH+DtnZm O9iatCzH8dYhE+L6Ohw8zkBAj7Zk1WYH8diaHG/1Xcf9zd6W9ASfp2uPH+UVFo0Vrm8fLvZviiqF HyOj/aTZ0sc8AQHkLEJ1fti7w98AVTaZWUH8OEaEEmF9HQ0nQHFgr1GrQSpNZ399d+SWkjtSZ0zZ +Oii1AujYLwtdfWWvwAQ1s1hkl6L0MOQN/Wl3XK1agQQcV2Vy0rIFWjLG5PcGA7/Xa+870uxlnsG TyV97cQLTpcTtv5BvRj+4CWjgjdBagv9nxqwJSMtP3NK2/BroIgefq2G/a47Acww8vOxo5edv/Xc HFXMSBclfqemVa3Gt6EAgvjrRvzroV0UsTz0T3m/KfTHXpVoqN0RIJnNO3AKIwzbGvxNcHvXKl6d c3Ctm2N6paIb5dQ/xxidU4ZRNf8WMOthurRUOXAi8jHLwot6rTx92n0QFvFapM3WIonbNOYjsN9r 6B6mz/fd/jfGWA+zz4jwLNiE7yIVAvg9txgat9UjmgjZUOeV5vuiW6BTZSq79c5AhB39VZ26ikRI ioriQDWG8XK6rQXFkNwECg38GG8prA/4gWT5h5InyH+l1Qy30XPgZPcGje7g1mI3zsvJnG0En5ic K7aeqD9eOg3hSjXBE7UdwdEEv5UGMgFayukiNTxvcZlyeZYVioYoqoNNYZsK/mrjdPYEc9feTOgp Sgt3BPavW39ZgkHJCa5lA5OuUcJtXxf/v5qTkVd4LBOJC4pnaS8b1g6O3cKlhGWo/3tt8HyEwHM3 3IIkw8FOdj2aFIESQOMAtK2BKUY3A8TCa8wzVmvjSJqLdz1G2tgA1u0gpCOtFHvMWSNpQFY6F+qB byVG6s1+sF55jSrsC+cL0JwB7FO70BScm9/ZRvmVPdFXjvFSG224EEgjyi83G8WrB67oQZOyoYZC Q4bFmYIl8/rysmrlckDVFQ1dmHfzI/G1dit7TxllIzteenuGFe3P02OuhgCNFBBzFNmK1gNj/ZC0 v0wZp9bGt6bQ32eYGprHBahbyNz/erNKHG4FnhvR9BdEeF71kQh5+MqXrnMRXElIT0ihZ0qFa7vD SKtonhjz8aQQ1RbPLTFt55qArCYw0gMGgxNmWhNHApDMx/6xiFJ2rqiwfyU5QJ04FS+l+8bMT3Kw oHNB8RradnHu2LR066AmAHSurldPVrotgegTqvoNFRnUgqPkoaWhZHDqvog3ewWcFs85DidtO17z N2i4qw1YpMx1C2n+ofLHCLGnA4R+SeizGmFbqA8a+FOn2EyBw/iSty3U1JczAUZGUh06btNxSWn3 rIArdNBSVLqSR2yRW43q7f64/QhXI8xdDZtLwPedWvSfwGyZkGY8sR2V2ED3N8iB+9PWTFdeg+RA +96iStCBkWRvw4D3Vwkx+ASqRztjOM1fO6UKtyP+YKFg7syIH27wyDszZT7ABZMykCX8KCvV2pp7 Ou+xLakgJvLNJSLD9lB+imOkps4HssU1qDuM/G5wpnuPwNlcNst9UREsx5D4AyE+y22pTlzxrzhI O6bn4NQtoJjUiHOA9UsLqa/XosCRQo1aSvHj7xE2Li9UpZvDFg6R7cWnWnjP9Z5BpiLdIkSV/QvW dwshyszh9Y51ZEie0Ykq/iwVDu/EIxbmFaSNto6oEMik55O96EIsMuUz8K6aYXOZedUKZtIav9gO yStLP+0exR82yGEX1e2krLceUWgM5KAAABWE1eOyAic2n3OTi4S44u0fwkHS+4CCzRWUrRNLio58 Ei4k9th0fRY8WQqKLLPHMBsrHzKrealZ0e0O1RkfrxGSbdjPQ51l22s1/FpLjHLIG2mfyiCay9XU ihVfUn/U18DQPNmrqbArczooD2t66zD+jte98pdy++RdQPF+jqX3/H9XulbLKxu/2qktOD1MgIJ/ SVHCHonI2tllcNPRXYEUbsq/rwWEwRkJrcqCxa/pZmCKmySxwWNLErptHWrIm0l2953Op2aKcahW JEOmBymLUlzuWu8D6s7rj1GdEts/QwWfyZ9SBVy52bQr54PlWsQIDRpfGJixXAafOi0Tw88mfuJT tWcw/ZzIP2oVh+K/4r/D1W9uoS12bOV83KN88kRN8z7Ms0ocI8RwcFO7L9Mza4m/l6Y57K5GDJoW 4XQJrS2DB6o6G6hnla5UqejV/AQRRGdTMI9MEtQMkZ7QSpcaENwgbgMgvgGW2SNWwJ1coW/wmG2H 9k3vnHm5LFuu8r+tx4IPisLyPYa2YFgxb7SgBzUETVL4zI8tzUyLIyRFwvQVcxh7Gj+o2RhiiMYH Ho5hbk5369xP2S02Hiyt5qlNor8sUJdHWGB2no5hgM5VvdSSoWoLPvxc503TLl8Vgr5FimC2ngoF eydnnfcAYJCmCGUN+FyKBWWq7IHCv9jTd3SEh7P6RuYb/KBC6oFF3kPFCbniN6L3PdYMsP0wXcE/ bxn0Ljrx6UwERc4Sw45Xm5KiMTebqn7HfUwc97NSvm3jF8pVPwQwzy4CV34+LOrCN4f3K/KqHIi0 yY25zn0RmuSjC4lBEz+CH0HEeyaou/W9t8U50NhrGcATiPvT6yJl3LMDIXLtp575ka1mYLG80wqm 2QXnrRuvH+t8zK9r54MylMxeeFhemogqE9EursYP1bqIgQ5LRK5YKJrOxJha0PzEJxbjcoqZxVSE kWXwUvyUih6tTb74l4QIc9cAbovOSkpGs24hd+H5V0foEhpgk+mqu2j4Hkj31REq3RuJ7Z/RxNBv lav2ULlG7vsc0mln/RnueSdJlwRcrOSUoItW2KCiSmGq0aCm5mWBM6Pxv1+QgOxw7VYcv9SlQlUS xtqr9HrTNRoBD7c258g+eGSoeBmYuTzDlzYrH1nge8FUVcxLhNza+wUC/dAIriLrhqBoXN/1Dh4r vN+UWDoa2KjfKxtwIkCGUaCR5+QHFjk6QJzMq8BIF2RFu6MKkrtiXRJ2GUxE+SXWSZ6HD+sk41nQ VKKsBB6wZRjDYT9Rwy8PGSk3PAHzMHfgeqGMygqR76a0DcIQlEI1ZVhweCjp7xh96E4aMuhtunYx qi9oZLK3eAiysxS/lWYA3HfqrhPA2Ps8UELJyBfRh7lL08cR7zhtrJRLjYRCJyImik6zs5P4qjl8 zKTQ1yPv0h5w7cxvMJ3mfjluxkXoxLit2DP6nd0QO6AhE9kxuMFKawivYEuwvHI2AjyiNt8IYQxW GpuE0p5nPEzs2QJkGqilgZAs3q5TubFdb/dhtiMo1j9Yx40PgzTosDcNqgAyIKuLWlOQMNH47xgy DAxmNGvbP8waO5c5cmuawErH7AlRNfcW+Rlc2cm2JRlYz47azSFsan9pyc0AlCPEk6e1+aCnNJxE 3pz+JazYSjWXIDcUz54sYRXtKQ5SnsTvNK0uMwxhCWom151yLzQM4G/z2kltPQfzviuq4HfrpUoR zvYWcZDLlwcDIKIpfp34+K2rKtRH+aWo3ZqRd9xGvWJRJt6nedX/jN4J2bAgcPHCDeadWe1Rh0Vn OgA0zsKFBR+CMPbeAyMoRGQFVrQC2vwHsuVmacIpfNJnZMlOE8g4IFgF80NseW1bawTpnjrifBpn RlqAk6GMMrHgwP7nnbOuk6DNqdsX5o5f7/ByakRYefKBdLuTYoPAKbN1AueTFMSsgtPgP6uFQ23X v9OB7dwbphgoka2KWPudkDCBqD+viduAP5EQTwby+XtyIix5aCa7PM28qwvP5zR0v5dpA45SEgl2 O4qPTH9a4rDQGroABy+j00sd4FbUEmhsvTiUxoC0FV0NVJY9A0O/r/dV9U7uv0IN0E0w2F32hjN+ NmOmEKn9uDF+g8MHS7oONcIV0DDKVXk3hcFjUjE7v5Uc0XWlXUmVXaUGjEOz4NB3dBCU3kTUFI6T CKpPnPz0AoXH5V6GQ3hhI3dTMoPiPUVC137rTXbDqsVj6v83MZU/9qA95lhQvnuflGkvRJ1Z91oZ 255dxgcwv0wnEdPMrIG0ekuL0f81fXez05hn3Fn/bTTc044jSwJwUV5CPh/rTHSsq+yIa1v36ii+ 11Zzt/J1U5DS1R70OoIXPMWfP92q2sAdO1GjnS77Zjz8PJBspjzXdiuEltjuFYHId0FbDe6EbBYK 5rtFNC9S9xWwJ7hvZHcnBOs0dE4I9jHCEhbATT5p3/Zd5TinR9CCa+R5V61pRieEBgJZo23mWuUm VokSukLgx3+6k4ChmCU/XVCekTdpksBGc6EwEV/nzpuBkAzBab2KqodmwDoEBf4oSdcEn/rZ5OPs Beesy8D4147gnmFn0qSdPteBansknC9MsuRcYYQpC2amVP2nzMjrSwChzj/+jJiK2pg0FvDfJKlp iy8SUQ4/giptoxgQHFKFqEFy/lZX48VX6cFYgSHYBABZaXjSaz7A0qxRJZ1Pm41SFLM5EoAneweH StLwat+bxNX4nZ2eL/4CivKY+wRFif34tS+j+nXa2BtrGOBrk8L8AnY9Hs3CDSXkeaxcjKDpmsKJ QUL4Swt/JW1PxLFdWU3pfDPu7GC0EkBm28zz3cb0Jq7pXV34XVLUzU5Vwsr8uRqFb/xjniKf/hhr vtIz2DJy9+OJGIBYflMs5yOB7jmQdlpJ7+NCdy7HENRir2Qvjtu3LV4b1ArSw7bMOtcv0InBHPjY l7uh6AvtAJf6r/ej/EQF6QDW5SKbIFZAmJTinyMhMvPUYalnW2fBBH4AF7kHziflJP5wY3MndMlm OWz6bJN1oitoEFQgaIOtzjonTWqQEIdpHW746b3u42Pgk2qElls/GS2PwoYmNWHNYzRWzyvxwg1O xc7TGDg2aYM3EIrLEoxYGpjtOcpYIDaJy+9tb6wSEcJGSCAHqKd3DzsHKzNSUbuUu7DJ2RrhAgxZ bLsvfjh3b3OrELZ/Twc3MIGUOT+HcBMBlhZzbwKTJIpTWKabTZVZD/PYHp5K4FwTKA4m+bwhD73n xiv9vldsQBlHj19jXrrMRIENFRngaJfKLQlqxCrIo98E4Cwjb5WVCmjUZUGMUVErOG2VFEPAsw7X VaT/q67hd2/9JbIHCwWpGbP7RMXPyQ7hTeEGs2byc1aMmmaSk7SgimorI2TD3vpPZSy6lQVzanMA W0Er5M7q6g7y/0bKWlpbCC7cQkZjBBOUu/gcJ16ilKEMhJIL3uGW5SI0VSpN89BSZTCUKMYtVnfe Gk119putMuVX6PYyuLKOAJiRRKCINCwnDsvOZyGXyxetfvro6HHXMSuhURRdySg9C8agcCG/ZgAx JgiNo6faDSwjhDhZ5/006z/9q1vkCw4lP5CurWbYcfp0q+ky763FhrpdDcFNalUJ2EQrPhITb4uM tkZxzyXG4x0TDLAUshFVmlBdU0szXnQMppYraARBPkuzFs9BbSk8QGLrKn/UYJfs89vIsxvHtxr5 UMPSrDtgK2KpykaKErdByc+9spUkhVx5JoQfPlaA6iuJ1r7IsD1FABuVaWY0PpyFRUMYYIDutyPM BvVRR/U83Hcxutkxe79Oq/s75ainf8WZUSWZ6gUUv0U7vHNYogdKvooOzHAL0xmEXmkGCrLojD8Z w9UdRJn+ieHA2CDsMK97bGYY8Fn/p0LPfqDBVslv/VdPH2CDbmDSikiOai2sdRJC2yrnrYvjHh0v c+SHoNxusmxX1L1ceEySul1b1Dtq8og00gk4rOv8XRk1zusSUAEo5nPo5c1OGkRX0vFJJ1z20YxX t1uNWcO9wAhv8DiRsg1fcVVXQZfgVsMUP/srA4h8zk3/9HHZ36tzEMzQn+7289ofaswWnsDgpa9q ELV20/qFDSl2cBGOQpZv0SMZ3CxEOTJ5auModt/Umix6feH9yFMU2GQBlon38po4NzqU4Zn9KosU UEM1Id8Au2k8VwDHYFuMIQppRPUOm2JspTTBN3NhTh+9n5UM2P/LRYl+HM4H46XMOcFZSa5yxyhL B4TaOlzc0L/LMjUefNtRAbhvJ9x5L1ObUqxiSoII3ty9dXTTmTPQ8B3roLUAj8gGaW6WUMXDCaVu 3g5r1R8k2NJ9ZGk+CdxRbCjwFn/Ku7sHc8UlXnpyhCR3igaj1ETr2vASW6ms130nHLAhpOMxQQde K8o6QD6fzA12ra4ms5+vbUHIdRorx/dAp75M1O4EckjjEEEjY/ph7xyFcEcG73in8UdtjM8RB6V4 yfPt38g4o41nfV4fuIhvN5zUSc4Rc4UqXmR+0UNPn85+CVFsTtj93V5kUgTfI7qZr9UUiCgEXmMb Fbq9lZFRdZhDryMgBYVH4C0pMQqVAvBOs0QiiQzWz1WgPNu/PhGprTCgJIV5ITmc4sNpX+f2qadx 5DmdmvQ4SX76E1a+tWL+Di9Kp+0nQl7iXBgz7ICc4dObo4h+Fp4d7wAK2H3LzOs18Q88yH8uxNTQ kd3hRrLxOFiSpjaJaKl8JhofljmwhD3L026Av0FLGTiGVls7sl0QESRtKuI+iCw62p6xmSKMm2Da 8g0NZyAQi874srYvDmH2T2eGT/ihElk28IX0Ws1FZ8iBZOo0j1GekdoKHZkLQOgcVxCoxYG2kXPg VgNK8pgWzu1QAFaMlloHjJBPt+Bprzx68OxLSJELnMtEaUOInCR54z/X3hQ4fdqKRWXgfMhCLvmm S/mHKJQpSpOAX3r8LpGrwk6tzXW/HnKhNgcDOpyma0J9Na6YkAfWaFWytytr4Kw4OROMjjQ265n6 1ebgURts26HRtt44QwWyz0wEHtY7F78HLMXIOBjMmo/xNVrmJToYWaIFePJnjfzZQQSPFsSqGDpj xCtNCDIt1dLd2c+u5Mt+jmv7sPcYYw/FFU1ETK0KVDjJjJAly+PGhyBx0rtuNKlRxK4n99QUy3Rz rujM6yZRnbehckJFfXbFplvxfbKv9pUpxbCvXkTXSJ72Ekc7UII/1ims8jxYoIRkIbwugUKF5Uv+ 5zSzidjP06VOynu0+d5nV86RVUhWV1sqpRPG21E1I4UYfwTuu7SrFosN2TvhyJ1G9kfXm5LQifgf WcSLiNakqTemzf4J4qjKY2nM4EJnAKXKu/tj9eKva1nSDyzXZvE1C+RMZDZ8QE+zsaGdWbrPqW/3 CySfuGOx8OVQTC91j3cHU/1K8lUHdtXOGk3OXJIxMNSDsyAgJE0xr8mje9/RjAqgRUnAwm+VWPvW BFw5hfDPxOdttNi3U5I5O6iDj4DYYoBMyvXolQx0cP7+Phnnk5lZUa46T1mpAGcOhgnREazbGKGp Gtfo/XBxlJ2uriS5WGYXmVDlrJYY9mijESVs56M0S2p7gRYDZDVG6XQjCZuW55FmhCMRfwgXz0WM JXSWddl0RgDnoSpiMbL+U0x4INIrnH18iI1tui1flcSSrHku6HHAfXQCFnCatvaxCUxAhRdHMEIL QTuORNAd+6yPiQ1FCYxT751JbwaW45zVvjV//3McGZGNZwFkun5zmeeSBBykAUUTUhUX/ixwYtuZ Jdy0+SM7zx78M/O1Hljbi2avUt4N0nxv5n13GUha0Qbj4DuoWn73zYBDPlxA+TqzdK+VcBXZpT52 4OfeN1gQuzpI2E7Dr/oHbyYcWAjbXwBhT4p/Boj9h6hf4ziSssZWnySZa6CUxbh6Mnp/Pzz3V0sm 4gSXC5iOsPdXV9yFQja+Yp/UnDY29DP/gxc8Bgovy9QD7l2mt2eQghbXRIyc1vzV8mVfnNaGihWG rH2y1rvc856OTdDUhpuTJsZUiEC1PJ48Jc3EW5m9n+qMkULPAbY2b2YsJK/v9u/9NMjRimjJWQNw H+B/YvUYDN0W77pfxS6dzNLuHQ0CNTpcUw1d3iUc1jWq7V3glPeIEArsNfghzPJsgnIiMOwvhQah w61JHB+ClvXA135oepJxzb58OSQP8fgnmz88HyktUeUTATR5fSicaHpjU7FwRJLuYxI7Wirtkbdn DbcYFqGBAlOxXRZUEoGh5eZRcBsFq/4SeVzv/o2RKGktZ3a6dZw6WrGED14pEpLiMVQoXwJlu9gg Vm+d38VItZ9p2/r2ldj8Yr2WgwimaxCWBewmDP9jgqUdjqy4nzae92SehcB10I1V1Z37TYMgUG6+ 3/43aSPKBA79W/JbvTdhM2WHCmc7MY9Be3N0x2iQyAQeYiqhoKaRbnkHVc6t+txsmDaL61em+l6e dGd7QAT2NxKJ0c52HVkrGSbUZLYfGRDt4sdEVMurZKDJKQcCevmR+P1P8977yBS1t7QIJ9l8v0kw fvJxJQqjSax9oA+JW3m39WgofS6t1crz0/BNfSiETiTj0eF0Kfzi8DRJIBGKZtz5xsq/x7AWd2Gp 5OZhCBrT9KUSi1zvbVPpCWLtdqpq30wX2V7RuC87X3/EU0KvKK/FLquvL7rRix7LfjNfzNBH/A+g nMh02LziBfKKqFaotQvLkv/Hs1foudKsyInS36JRw92KMfoCuQjXvp2I/6pM8Vesx/g/VVXFJL1I cjjO3ZkrDTHNWK03We+hPLc5GzokJTZsN3Ymir9QlE11bAKSPLEQ+Ean4mH9EdWaZRAxWB6V8/R4 Ucy7JDvgUt25e8162G2SR+Cifww+JPBzwolm/kE/lj88Mp9QhKFHT/Oc+cWSo3EcoN3khBTH4mdC xzRjZxE0WYzb+FpjQEylPjOj1FzG7xoccZhfbN2M33vaM8vc0e+YZlL9ukl4Jt6pQxzOuoJIMsBg SAk5qIKEFUdHSOiJDoTtbGTE9wifTBOm2Xy4I3/TlbNgYFA2DB+KcdlqAjUTETPnQadelhCykAgW DQBHCEFaRPyQseL+5onrlvHuCl96bifv95Zh68OV+qu/hKx4qQSlb3UoB2+iZZ3cz5HmKyIWM0/l DomN82fcj4cIcTEAJgnB91BRJNZoiGpHVK7Rt25MjOYWILIyIFaaPcBzikRreoLaQrVJyrZN8mSq q3KpDRd53mQJsxEgZ3xX/3Kf70Z+yyZ0j8Uy7w56pyZnH4mGvp1TxVAPju8L9FjVe3c+tpuZjkT2 weFuFw1bQtQnVr+MXvw1DvQRZNiCkZ7SnwdfabeSlfAApnzdNG4r/rEJjFKExn4M4hnMXPtKaqzl 9k1MepLxfNaYRl7KizK5lGlX935Ol64txG9yathQ2tES2veJDJFfJ/gu40EUmzoMMkbmoH1E/5f9 lDs3l6pVcgUf5+Ps476D1SGoTrUL4bQAQrlO8lcm6pjYfKSkMYa2rvDLghQhhcUZHuSzoxLIfKoK zq4EnRjTWeBpT1lmdl4eSpgCRgsDFEav2mFDCY61M2xPCASefQCCA8PkFgN7EwjMibogq1mIDwja 0NZP6uTI/QXUhPtjyHNr9Dvmpj4DIND4XpoPSeyrv7FuWwCY6se5mipo8OIhxGSfqqXUEQKU9L4p GEgnIXr6MwEXeJKRrDUF37s7yJmraNHx1sF/MhyjriNRaU/F6UNM9dNBjTfVKHWPLF+ek8W2w2V5 Kyj8FNLG7Qx6BPjhtU7KLPF+EgE5VTZUELxvXwXYC604RPFgP4BUU+FMrTSr4c0Yjao850kSjWbM MrgRW2NbYNqj4UbbQUtfltgtML2HD+6xy7d1ZeVZCaouYhglH1+NG77Z3k1TE/QHXUnd2t9rWFoj g8uLtttLFmuHceozgUV/Eqq09oZ95VvsaE9rHJSXT5RgxjQlPTV7fGUi1qmUO9/F0x7Rgi7pKQrw 6v8w+Xr2F0RioOrgbDQOgESQr07qvzgkYWJcLAipAK4jc/m0wr4nP0/KuFAc31SSATrLuV92gP2u nEcUZQIFwXKAbsPgXwbFw3GMqV3ujNnd76iRuSGB6EUz6GZmq3WEjdZ195Zg48cIN5VldofzN3nn cPGPQMEy3kUbC7s5HLBTjbg6ABIWmSgXxjv9sslmDyZ/nrKy4A29WmNqNq7AK42peNiYmFg8Ufpb hYETPN5VtTl4dKC3bfFLye7QQviA1gasVtMkbtBue+Bnqj3j/w0K8Q67CE/OCpvXokmzofk+3XpU tb0fEvbgA5f4Se3NtmuqTeRggCljEw3x6q6Ct7P2rqUh5c72ng2d7JTy1Ti+O7fe2Mx2w663oWyp jA3pd0Bz1BkqYy512xh7LeO/Pj5OeN1fXpf7/+NgdkzxKI4EaKPORln0tmeaCP5iJ+E5cDlWzhNY xu8fzog2o4fW8j1ThfFHLwhttTruJESBp7h8sfW0nbvFHUlQwxggESN2xW0BcBPUx1ZVpDFZyNbd AOtD8Kq2BmYeqAEW9iVbgR0vuHeWYvhXLp2uRUe+b29dVnN1gZaQNKqbGbEjDeOiI2zsf2dBXsJ5 e340guKiyAevZO+97An2oFEGALTTR1AsQn09/Rc68Q3Ql+I970sa5u1OGhVLpZOQXuFPPv7NOTZy QngP14ntQEg9PpMjOqU27F271vksAwt2LtUzNuE8aGmimOLeLVdUF/+JsYE9uO3f8tBv5vTUkVwj w4qXpiEREriCJSChcl4V5mn1ZtRHoDkt+zdg4zBFZSddA3gs7LImCEDejbFFkx+fimzzTLEne3Im nnKrvshUkN2pAEbMFFJmClXi3g+onRZ2LOtCSt/QbvYjt0i/kyot08+6SpaERobRDs3mqq63U0fq k60YW4VWhKtBbZWsp25fNMTfE736D8rqrtbxoMtNzuIOx77WgPaQds7SoaeesmM0FjgwAYvzMyRi HltYazD1+0tP6H94dMJG8DCBhWECA5DZQNetmSB+M/6Eh5oC5btrgDB+DagZdlLUOhYQC+x58L4M e5VK1cA9Un8It3iifk//lwnc2maMQ9onRLk6K+etPwSqhwDHPA+8ueckrdLkyhS4+ZEcdxsDb7Vb q2TCp8MxoyXyCZsbjNY5h6f+twarn7U/fWKlaCJ0ItgVs64HqOqmL/p5ApVw+bDdq+hB4ag6m8r8 1Zry/uqrv0o41urksChZoo+RjAxRZHjSo/0J60cGyAlIW1wZumFUX0gMMVwkGLlsQN8307TzGHWA k10PIyFutGIX3kXyk5EGYL/rcZcDCwGTrZ/uFAWhvRvfJqii6Eoe05HduReAy/VjxbA+9gzlbJPm Wq86KiEAGP82OJivlHOKiP6ghQhVMhCkEggvqNyXJWg/oBwf0EouIrOHRkeiuUcftS3DwGb7/CqA G3krza6Q8gTpPyU8NAFOXs1fy7jWKaRvLJFTjUVRz6OCRKi5//T9dL9XAmZnK4m/iL/77hBl+Q8v DKzG9OfL3uCM0DjPIrbOyrP4yNDx3yLWjbnNCGwvB70HsGW47B5g8hWaj1kZfZgNruJFJ5ylq/7k oSddy0LOiEe0K2pdKieuW3xUkKPDiAaSZr5SYHkqcGDf+CXGt9XAg21m/yIA0WO8tIPzLjsMLbBP PvuEoN52vIKnc3QBl14rijFhIx68YtOpYKVcm5egbde7tuPKGYeSUruNEUPmdzXq33y6Wn73OWVS A9XvOCWs5Wip1rXkvyKO+M56q4+ze2N89ahOxKeagDJtu10kliVlFEyX/hEcvinCUwzg0LJXNq+0 loJPE4HhgDsYMhsbampvM0FsajqFCX/hkKz3k+46GJA5v9a2uCzqSt1jor+RXUU0BuhyZFO7uWsw 8qVFCGXxG+96SwgWiHwscihUfMqMTXAeeMXGoUwnAW2Oko6/4PQEqtNb40pKWKqukWi4YdH79kAh 18UXeQW9s+swmqxyGqKjq/D5HeNqH3UYmyVFnnl0l7yx+AX55hAp9aYtgUPLmltNAFXiLY883Eoz ND4sS64LT1yW+SLYkCWToNNJHVYmqwggxytvUVKyveSpv88lAXADaprYQhq0sheeNqIjwCROl4G4 NeG79tiJFO/MdjvVSabuTnZD1f8JHf/vb16NigtQQwFaLlaGdjio08mwWxYnIZ/FP3V6ugTwVABC 4dp0jA4X5CXSKMLEQ41CR2gSOK2bFuAjfjBPrOTrKpOFgBUmJ8Soples8bCjv40IE4RltMCdufnm P5TiMBXzxmAGNbLNdg8cQD+b+j4YmWDWu7eyzlY7q9dloGqaXf6i+NMMge0EXiJgocAv77xksj5T qwUzB1Uc4R6nHqFBh0BuuhOa62wIE+TLmeywJYjB6z2Qz7SDCZqwKOMbMKfplLIisDKvIUKoO1g9 hb7PRr2pPi8S4KRcFy33PmcXNC7ZF6AA1ll0m/EYKJ3wiVnf1qWhXZqC2U34EvXEKryNbHoBoVka lWVJbEAMWfMGVWYY86TsxvBSWIOsCSXg2WATrmxBcDQm8JGwXId9sFLIH2NrpbZOHJX9q7YQnxmL GaiCT/engab9NTE6aMER+23cWH/+1YAFLyFyXVeghBpt4FSr0QdBC+kAggQrgKHE2Y04iDwmgcFa vH0kNiSq/Dim+etKUB1U7c8BeDlmjLoFOn/epbsq4b49LiVFQXBBJ8JRAsx7P4LmdJitOplHEm+Y /lak5GHKGV3nDx2fbIk+g+YXAATGYSb7oHA3Bj9Oaezrel2qHVr6asW0ivdN4CqT47x4BIIA+i+g RrXYjjDAYyJLhcEKXEf6pCZM9fcUrBIzFRXQNCNymUNVBulE54bQWCOJdGGJEnD5XPIqzEUnZYP9 lVB5D/Uqjnyy29Nw8d4GY5MHU6myKw7tIrjPaaM+Cj5OSc596tdSAfBRN2YbG1IDvJUiVdOzF/jb Ir+6Xte3nEk2z+9eTFnni+zYVeWalzyx7masK7/b4ZXHRXRxk6JcNGh30Oe7/5Lr+3qyq51R0ivx 8qWo447mIO2g1w81kNppuH1goKMdV9ojdoctl3dhcn1MtXs/O7pTKqPEwDDWGSTivcIMR0X1sIsd 2ip3AwJW+/gxglLI2NWQ8SwD8842BFkKa9rS+MIrWMdgtij6mTdtia6J/gSqbv0h1SXEcSezg/ix +TquKRIF+19i1579EbwW1S9ocUwHp0Py6OKS9s7tukPnik+U9iNWBaRAll3Go4RNlfzE9CahS2Hj PBezwGGjjqnOwQaoeaOUFGiWHy0uiytvrMGcJ/jrEWL3abp9XBxxjUsLyG2kSmq3P/AJjTw9iWrJ hjfmrqUKkg+6pqM0t989dx46mO08IqjGU066YduMEWUJ1c4Pg5Y/ekOkvlfD5QLPN/2JabmcavFN uszhkC2S4cXXgQnMGziBTbTYEUweKCV6+a/jGzWcQNmUQVD6latarQl6IdyK5tk5rAjTR21uI0v8 3nqcRT4dFXhD38cDD5k691yFXME7QaDUeM1BSPtMdsmGAjda0mX1Pdc+EBryWQGMyfOQPN+6Tlnp IGqDFx5dvmBdGcYhjUHYIUNyeVIJlsn7OlQErl488OWfEvRW1DhB4I7VvKbeCu8psyychXq1Omxm JIRwYzKayUmpogwnvQDpbLF47kXcC/hgjPYXVYlVoE030CgW38xh5fmioFf6U7LRGL2r4/U2QoPx FeCmB5N8bcjK7YYYGwmzFr144Nc1277E35igAi2ABsbGxJfhAyRkmlFJsFDlxgujAce2t1QA4SRy ZGQmy3azotDeRY7rh1XlJFUzUJ3O/TknjgTYI1DrZlFDp3Im5+ggVz+FBuwAczoOj1KrH8sBKgGI h2ASpkOg+fnNduDikqvSwDBHlfbl44EOclv5yiZqDFm4UqnfKs+VtN+0mbL4t/AX65WjnI2oa3+t D/q/3vtniVDqRpJLnvicooO9Zlsa+G7qHzO1Y+hPek44J178uCvbIaU/+Kv6n63Qn+QGHaxpTacE EAWQjIC7G1UsPrXyTYgZCAzdaQcK9BJTr6Bnk10lKua76mjCKHFwT7UJYzH+O8j+CHiq8wW/rLoP 5SqmWDj9cDkk5XaZFd0yGgArLByXzbJpd0w6LdjpPgaUEAXFJnNMvyCQTJFsGs2QcDzQL+fda1G4 kmSJnicoD7eGgwcdRPQp+arKOmAJbwi+5F457tLDAm30s7K5YY/gxjsEUPLjQPXtRhpqdKijKESZ f03McqACmkSDEBpyBZkPJTwIHD8zfKENMmnhd7Ll5DojVDnPzCTPg1hVPGOj1O2RInQNNL2Vq4aF 3Ey45l4AEs+Mu+X2Ltb1T/AeTFFI8CtNUfSHssMCQ/hc36qNpACIRAWtp+Qa3A5Q/EJax/2R/2WQ IE+8t3EWp1Mkjr6VxL9iAXLQTHdkRfARbkDzemPpbyQoyw8xvWPYhvCfHavz/rf9YJ8BtVu+u9yI lmZgBqkM4gukGeY3xOQ8isxdQQm5am7VFGQOqDambrLVFgpHpujHufiAVM+JtRuIqZvMqIFggSnP 39vJLfzNu04RR6tuAzzAUDOsqbWCi5KTFwhp+8KCKZWGqZTfU97gTHUBgtjnSGLBg2g4Dtcdu8Jv XkeTczppSqqCCyJh77DOlqaM2fC1uJVZnq0m60Bqe18zIOlQFGbNJuE38dP+prVauPcwt+lS77+f aEFF6boshKmTZPudOJ7NHiu/W4UjCIXaxNLfwAVe1qvn4niHMDE8xan/uVMXTh7cDSHv3dXI5SuN k1Mht2Za2IEeh3cdDojocR7a4WsO3k2XiDXHUYw++335Wh0X5lvnTVF8mRVDKWd176KZBIIzjAME +biRxFf6KLjTXvKrz7Q/5QlDUDdeuP+UzQIMjyCTY8aLH4VhSLebvb8vz3Z03rPkictXOFgOm5/D 4pgk3iYemsjDbMuZ+3cbclSWu0BjSN7OT2GLTdljmZju2ZkLBtMpg/QykzNKnrtiVcKTsskjaSGr VO2I6G/HD7WOpgMp3jEG8Sa4SCKMeyLVna6y1ULoQ9H5WcVYMGwMgzVt+85E6fXvNF+pYw6a1m2J jB+oLBzTUe3nwLvwYJkznii7/UwzyYJ5H21JmNvx9yaOEI58oeLLoMSB7sA+nAGlSplw7ODtn41w 2I4anR3IZjoytETkIxdjkcA3ARz3HE7GV4DyN+akH9z4GMDMkNtvFp8b/njteWSsfwKQmVzSS4FC tmxnLoNWZeL6jC01n3R1s5Txr/HXKobPxuox/rav+ghz2vhuO7s4L3DH7F2zgIPOfTHP81XEaS+D qd9jXiIT+fw2LeNJktVGC8r1QX92awswiCuv5IXIqqaMX6YYd2UOyNqdUkaZM+1Yfx7SD6vzWTqc YPbmq/SSx0BoYEah2y00yJx/MTkb9GqwT7JM42ueM4eDvarqb9Y7fqDpgKR1K6Lhxxs+TS0jJbDD r+o9KU7lj8wWwwRJ3JNvDA6r/zL7o1szuvYlKP0BTB1SHuXNEn++jQpZhMlJkIzfWlh1OtjIpdM7 cWVkx/Z778wQw+n83rKYCEiqxEwNw7K0oofxOB8so5Fh2LZSwltDqFwXViWEgqaqFXoFDmRyVepZ oWaQBOaBN9XJ84iKO73RJk7Cp/gviD5R7Spzcrslloy+JTov3ro6McsgS+aScvm8HQaBfAsCr0q4 25eeDTWSNweI3hmhPzO4j/BTidXogOS9+qeAfBeW6Eo7Gv0gNIE8EkzOFDdhTfrBfLzuTbegcLnW yA4PR7hFKg2C1xnIs38HGpwbNOorQGWHZhaH61s8RTlwVrOCz5AU202oSI5E0MGmDfHCowyeboLL zMb3ODO2qvaA5rkBodTW1vR4BfgxHBf7TOblaalbxEH2nGsID93v9RkLo1Sdb5aVbEIFBD9+XaqN zmLCm4e1UxADXW/opVAWXSL1XHYLlS9bxHxHwcwjQWbbnRqKX03ddK2k42++VjPZxIb4a84n6lo4 6+ykWCNEf0BsFc+JGBYJ2an4s2iSEH3hnYqjCx8x6Q+VNeuhOEsJK48yDxV7moModTU3sSwlW5YH m2cH1EUChSjnBjimywGqm6NRkEIH/k1fl1XrcnHW34B3H9uUgxI9JyRwyUHxpAqWjePKwvzRLRQY Dqyv5P7yXxMCKqPY7ZYbypp7H6GjuQLe/Sh/bnlHsPD+RVUw0o9Pl6cP0lnrqfNVNKazMxLyJRIc 5Eea/DZA578zHR5bogztdVie//NTli9Gr+mVHkxFqZpjXNWK1JY6wR8ZNvZeCB4hT00m+vV3uqF9 bCmEO8oM6vRTZRg1pGP8Sc+zCSs1rKRvKMBhXNa7ymFJer9UNZQD32/Rq8whx3wlS0qKLzV5x2qH HQl9Z0ad8skc2yRWxYLvWjRjktTjqJZk4ZFGoyA9K0n3GfUSLgzbkBFsLWrIcE/mWsUmRs2dD1Z+ +/X2Pqx6v6KuNzWfQ3UgeTWp5OTk1KowAewaiatwrlpLp390EI7jOSwm8PrDFj0sH2xhKZbI0TYt PLLf/8LGTvCMQOonBV8pIdok+t1CCy95+T/RGKYOccFACoxcTfkaydFthF/sG7LU3ZNQ+gNhrNxY h5eN34V1buuEm8hFbmMMi2WTTe0o09cthdGQ6Nnwd/jnHpMXw4d7sT17a1IFiP06YP80pYuRCc4c WL/JkQMccib0zOTnnnvdB9iFKp1G3IrEFgkhstfeq7gTCWL5vndKhuQJVR3HeBW2p8k/vRph5irX piFzQkrR2ir2ciX97QNCvJlvDSjcnsqUIzWAFdULI+lSxCTe0ZtidcCnqv8Wbk7pcr0jzwZzcAUf kWz6NnCBMpHV4zyMTB0Mtg4ISrpGw0XPohtl6ctSVLrB9Rz57pCRUbWxlzeNkv5C5LBBV/hm7dGA XdUWtohkJmAXcx0aeRwBlVBwLZHji5ZtDzrRUwKjXmYY72pYmEj/xrSgACei50nL5tt8BMuWppmJ tqJsW8YUT5uQX+7yKVS6z1imMbn4BH/7qVSjGd+4ay6PEIVd35TRNO/f6UcQXdR68P9DTKl7E5D8 25y/a/BxCkVaGPGU8+mnpnNmJXb45SV9SNgVCMCv0ZTYE03EggZSngfrC63vmXMO9ZfU7CB+jm5i /m0HgTp1+TjMmhYZpmZtGWmFZH/EgijpUkeVAgkilIx9JzkPgBgzWm6mUH6KjlGo9lmeUm96pqjT bKBQWc7ExlM7muzLBU//+nWi12q0QpBEyeKa5UxlUwA8enBqGbjNI0bMe8wMBFwJmjV/fBAt0UY6 ht/xarv5n408Toi0jB1/W3x6T7WRSkIM6XGVrb7OlIDP44pgCxAGw1ktsmiXZv2anvLe/uSOEdBl xC4739f7rKggohrsekOIfinprTpHxNKeUEW47Lj5Zwdl1aUsFJGa8T5jTGIOT9lfMdRt32KXfkSC aXX0hfsNU9bzKNFoGK9lBUvElvl+TOrR0KEo00k1qclP6Od0o5zNDs0XEjzbllebe3uUfJuR1/Bd +M8LbWV4tIQAjOwe8AFIkwdJT3jdWqKK1wWPT7tLbbDf2x6D1/itXeNNjDYbm0qEz8eAUN9060Ec uEhvfZsfB5DIbHZeVgYqGVUZw+9KSQ2D4Qu+R3eCBsm6hd4EXVV5ykXDyxDLN4q0jh04juYp9lNi XlYzooAb57wToIhM+nA8oFZnLqH2YlwH/MUyDtF7PJcqF1wpTO48nGP0hcAkMqIt6QRqfCcimK1i U9Dyb6ETtXzfkJu/kFw1vmpDOBSvdhcKD9EWfXK3Z91Awz6v0ZGs7gEj9X2rDhknP8IYfbyXO+AQ qT6dvPVirgMOFMX1vEJOHcG6mKwuQ8FwdF7KuJHUnNMsLhvl17/BOVsBuCifrmXMXJp98wfBhMok 2Y58+kmAQe9pev7KqJ5nHE5K06D1s5iwwhe8A4nLvodr9B9sDRWlgtms1FW9X5EHnFf3k9iItltY kF31bxMA7Q3EFQ7VF42JI8ZWnG4jSEnnoak5+rBAF432pfBA37UUUaOXxOqiASOSH4ckhBExShmx OjczYfNdC4cTmfJLoI/gj/rnt1/bR0HEvPCRkX+F6InGQyH9H6wzRFPsX1GNRop+AYB0Z3qLfZ2d 8aJZhaWpyJgplNzyrZnC0IJRDtxEf12/RyckPXRdgXA31RIhtpf5Y7nQOsO2Kb58SkaZplQW8ZsP 0XriMgxXR8WLReGQbA4nD+Ifq96+v0sAjaxj36koO5Bgewx27y3G5rKUtu7P3FLkDLu9IwBR1/+Y jIXmPvbt5WB9H1QDlAtGjP2E2U+D4nCFHRfeu/Mx/kLBLPNRQxoRs2+ILQGVizJo3+cMHqvYDpic ISn0lvcsoB+MuSvWdvWBq16krCs4/NO9b0Wo6XjQ51r/srSaX7LAh0P31NU6shRngqZp+D150NW8 No1IPjQgiTEV6DAI1rVrexaStvjObSwgmupvswhyg7bWlSWcFrNxA75yM5VuhO1/Gd4yE4jvp0NA ocTBeCNSvbcFVZASJKrw5Ear3UEOquZdcCc+xKT9Itjhjh8XG7BkvnANfBLpHjIYVn4OIl024YRq YiiJIMPqSeBSPwmZK0WvZWGjpaFT/+JPx97c6gyAg0uhCj+Fjmcvz49Ys7j9guld+MRo4tl+/Zu+ fKAbRsfn3yxzS3XdA3GCOhLFywhPap2Rb4sqlTxp3NNmwMjz+bw1O8Eco6E8g4zyPqpglitLdPhp wSL8UuPrEj2GgJCUzhOr7x/wc/AtB7ndufoq/HeaaBJTHOPatkCZQqFHtPoVaLkNWFH8pMwSGLQM mTi42xpzNaYoaZ8KcteMP2XlociLoQRVk8fkQSOEyC7L/t3qMQx+eMSWYxfcMuSy7RCjdDnO5Q/E UrbEDLTfP1t5dwESqS5pUscC4DEC6jGVscjLKOqxNUkJRtmMQl7JzqlTXmnJUfRZ15NZA11IqhMJ Owx0tQq7oqOoxAT95inbKINjX4Ns8ssFJ/jBn1axtmCjlEcoCFdla8V3wNHXgxmPXBq3IADSZxpb hOecMYra4h5Jw+Y5SiN0+bILymsPAOKs49eldJceG3w51sW/wFjIDEHhjzC3l9X5IKK2M+TwlVz0 rhaRAl/ttj3SU2i7JnDhtrIr+ew2BacK/3XfjkILePn/qGbvHi24tZtTqnUD5IDxdksECS+aPGHo 4PHM4+UD7NhIe8AS4ax484Im5QMngcC3UQJAYqt/NcpskjtX/RIqy4/u6cSJjv/1d8Jy6frb6ol+ PApl5dFIif/2Sfur69WFg9iuj07LOWX6tv0+gzELyi+vihC8K4+E2w917uMSsmAju4Rng2G1qhix ZfKQKToiWM5yl9Xc+CVNwdQtvbNaOdKUF+Ut20a6H8G0iOmUDkJsPKIAxMJV49X3U+FpZiOu9jXo 8ItDswl+f+QJ7WMGjEg1edeoAd2NO/frN+m5f71RzlMOptG/+nE2NUgCWl7pKnF7WVoD7yHtlze0 zkjK3GOc3xxl3G5wsIRUwN+LzfVwlXFNcDWcS7L3aXfVhSqu/nxehK5aLvJyzWxos2SiL9PwyB4h QDQNBw3oZj6Dh7YrXNgw5XxetXwMprXM7C2qyvhuezB+nkuLBnL5RhGNtu/pRBfySLS88Oo57Qr1 e7rp/g7dA9BoH7PqAsChRbfVNdXd19aZFZJj8BjNZa7kNbgqTNGXbxWTVZx24FuM6Ni1ZifeSGdg 9rorGZODxnLH7ic2RZ9knGocjOkt+1mK0oe5siQM4EtZy8qleIqrkDs/3LcEhm6DFfIAABFWecu5 jTeEHIyL+xJJzSMBama4ucelMR7YyBbyaD/uMhaGcMtKKFJcZ4ki71dv7fsRHOTUtq40qF3lIJ83 FRYuC2Vrh4tePO31uoWXxVC24bqkdoy+xP3jMJpKdmhGYPsPRgJgVN5nV9JmLt3gd2DwfH7KVUVj rVBIgGwyACtfrXbGNvZ0qcubZCcBtlHUPYsG9BX+Y4FOL4abRPv41U9krLCCs8Kw2gdZEHnsdgSo /kBTYMHqIfrFH30qw4KoxmNrH0mdlGv/wA3SXWzAud+sgCKYc+W4LMRUG5MxgLG9pnNbQifCqY4Q 8FvJkJzX2YK09ryV6NsDaAQuxJ3B6m/Cb4Dt2EiRkWb89foRxfSllbiCtSxU7eDD9ukH/MA/rVfm RMKYEcy1GmeiyuH8y0HfDBog8kJo4+DGrB5MXaoHl0h5Y6yF+VxnpQ7V/qnM8WySBmSRdbBnJk4O k1kwXYCGPhOvbU59kBnGHlXjG+HGxKvTO3JVoEiHAWMeUHQCWPaQiBt2cVHMdqILc70Rw1skb9vh tnDMpxZnA7oHtPLhUPNAg3Lc2RjidYsunAG1uyZ2NwQwhuf6itCE++90I1z/LO2Qzs4WZmJ2q1kQ qAtQJibCNLYpMmWD/QovDK+zTOT5Uxp9dVxnkJjMNxwCb72PpsHa+fkniD13+X0J0YdEkivRl+bS xvtWX4AkjFtj1WB+9uV6tPdVoX2D2Chsri4rls1K+0vAvKUeAHbpIdTHZfQhJE4YylyGRb4JuQX0 JpFdVqCgNWj1WrbzCWV039u4uWLlTEDtKXOjkNhVL+zJJSyyI9x6bG/RKvZcEhe2C5Z1MO2drmhh Wg5vpF7N2l0RFwuKSfhHAkglUWd1DV9w4agOAPJ5/u6wYgMbHLRmSaoYR9Z1qBJ0FOV0TdXQ/xd7 bP0Y+CvnEhKDrgn4yOadLW3GpcOnhRyB06D9aG6iPXGAiVkD0lXsK14ambuWNowCTAqI51EVAzkc UoYAV4W05ZppWokvQQQRYLNpyEg40Hb9vQiB9WC3Og11fuCUHVgTqHQombXugk5A3DSBFdU9vH/c Ncs0zV5EdB7YN51ZqW+qsaNysr7A7uiCnJTRlNxexKT1lXxR/uTUfF3GVG6Z66a5IqM8nQBs+oW0 STR9yOvw9sPp2IvKGn1/V+K6t6WE222X+oW1Bqmt1dyq3Pqx4ubyONXmJ3hZQMz4EhK72LJwDMun vrB030GhGDtBDUrgteGmk+iJIVwBPwqHb3idQfsdbxXhswfXAS9NeEdzBAWwJua9RCw41MvOE8Nc QFVyqFpAMivldL0sYLgsl/UepsX8SfhChHTIvX0GyrLLlOMupEtJwMdTk8ERVLgKXwuyfVFhMfN9 1FajiZSvv3BhbEfoQQseSG8fgLlqST89ssLKcUd7VS7xIRW3WNAqaVuk0dEqt4Ls7J2Dt+cFcWcl jlZP1quUtENDMAfQYoCeDvw7UAUeVrAELcAEc8gXwo4wZ/Gy4FbjW33D7JVg16sNZVDkTFusfDmP INil8rPelY4hcCp4lYTluzpfkxKF7UY+Q/NwLgQ1y3qJ8pWml2ZLynJ9+zN8Bm08Kf1juADtLpBV Hq8cDKaJNchFj9YC8h12vRZ440jzZLHZt4n5JjZ3i9+QiNmO/3+c0nYzzgWNSWkCo8VvbnWAhefJ 0RJ1cOALCygIwYU8IbGyQWKfAF2a7tuSlXr9o3Spz9MqhQFmZq9XQwLoXamfZ6YoCNbcSM6zxzZ8 uoWQ2jkthL4ZuF3hyq8bq/Auy8zgsW64LB1FX9r28Di3CK6rdz92v3YvHcGV0st//3yJhE8r8Jn+ yKX6H5piFICfl/0QiZ7MXyRAn9uAYpi3lBd7BS3ExAnLpca1CvVYZHYVEeabPWWIh4uRfO14GPAb Brz3nxJHtElqxDWMlULxj9gwjulcKfcBauGUb2jflc21AiOC7l2HMQevJrPDcjRmcKm8SX2gN2zH GEI27b6aALOrfAXKbYO9W3cuZZUMQvhHxRSEWsw9Ph6Wi+4a77O/zL8FC+/Dxuzgf++BV03IE4YQ 31ozXD8zGw/x5ocIw4kO/pHihRSPyZoSnRwF+5nrjHcz5u98pP5b64dSXRMqex+W6UgGUoeOMF+Q xiUnzmv2jvLggLarXKK+KQNhgi5ovKqUPfnxACPC8ILKN1mJStASZLJtl8auIeUPr+aJiLqPLy3E JDAOnWJn4aTavVmo1snzFF40tfcON6ZxzyM0pA5g2bTRGz2cVhr8mOAhL7B7w2j3wPqHfsDck0NR WecnqFAgHhuYFCwMPHRz3aXpeAE0ntk3/rqjqkaaFuEknmmZ8BAzJvnPJhNBSRiPQIPl/BcYf5zL Zrf+xcGWVSO/usOz+r5anB8M32P4zkeziW8CRAr8HP4PkC9AP0MN/c9CPkWdVpZiZWS5P/B6B4Qi IJj1Eypx4Hm9VJg+Xp7PJagydNOi5IlXTjso2fLgcVjFyNujyNJgGxknInAv6HmUEut4SlPoRggp EmsWwvCYfWktwPNwPyt4+taO8TXRW8rXkXnENVzzP34ZarsjXKCwFSdznvvMNCauQQ3Me+ZKkwxe sl4t4aZ+T8pOm7V+wYLzLGkxCinsNxcjD1KqffFXDECq+aAkotIOl0sFhs+M+lUqVfHKOtHSA+Jp ojXaIsa+LtYUkCaLhJV29N8jgPSdiPJsnKzo2YW3stlSEleo+fstOYJzBA3iHNRVWhfi2NAL1J+c roSFa/E1+pli59KStWt0C4eAQRQYvNpNvEypzIxkmgzFBKmE6v+QQEwyUErc4I2P8R4J+i/QC/Nx hIUkXM0ZOPVorPFGx2lqd0uzF639COFrysWj0iazvy4kQLBKl+BoyI3Muie31Hli5Kz1A79byF4E yo0Q1vEIvzXX0Prt33F6ENVlHbodqfwRNNsRjgtkbXOaPPMSAul1dvmpH4XwTd//7x9xLZ169V9Q xUH4T8OSUQAFZQgui/Bnymmzae2MdBa1InsVI89HMikSZtpjJbeevrYCz6EAJHuST98qLTsVH9A8 1kzkPk0d2KoaFNsHpAqDf9RbeIL7MSA9+3X+nfo+hrsDsJn+LQ1glpJ2BEudkT/L1/Id4sqd3UDK 2hrDBAhB8w/sSuPrgH7Voa3aC5+wR8rZARfHBbnzKB0y4qGUEdqchfmC/3T1CggL179fY2eBbrMx gJ9cIRBdsxIJv/Io82exPAFLxW0GyhhU037zAjzHLYdIIf3jQD+t4M6Xe3hyIu+ceaMHHi0dNOeA qz1P3z4sBgZkTr2dzBTRuPt24ljdRjcGqzK6+7Y3N4PCSVfd32B6TOY68LDKbnf0i8OHeLRIMWNu GlhhNbKtA87eb5BBVu0/yryTm2dL1zG3km3aWI2Ll7w20DC25T9qq+6IGpRo7Q1FEUcIiPvGMyde PBEbGzyqphl3GGAwTJAK8oVgCb/6YZ7Xa3x0c4y31XDlG2giSy8N38PJTyd3oYJlLUYM9zOnLAn/ Sy167IZMNfpGideTP5MAHmXbQ1WwAJSgQphdT+xn7dL+4zUjmqQDudlAR/6SlrJrUVej0jsa7hJn u5tbroJ5IGAHunHjgWlMqaZ/W2fUlJd+QvLNIsGEW1zRbBFB1HTd0S9OXUhdCgkDCiJ6v3j1PjS/ obvqrDLqf308GZUbz4KzST/MFIyRY/4wvHT4QjA8CedTSxxuW3K9slaBsrFTO5YUqR+eYdj97PZJ v/GQkNWLoefka4MPCSutNrKfsdQg7LA5R7Dh767ZbUhRsSeXMn42pJu+6KNenq0QwZFzY0Qz4aIu DefMRGN5vjGbxcPRPegp0VkRqa+XVftt6bYESmvTYI37vNGm+0nOG5/Z/Wal2uasZcWi8itUe3MJ k2VKPj2EK34xXxCvaCtVYgYhwoE6CsdcnzMn1Itr45eO8kaxf1jfyLdJwrg93zXmz9VIqvFeP11h 2IWw8FGUU13ow3VWDTGq0nfY1T/dyWcMGHUFkLP/rZnrca61IlShY/eoZs35R71zGh+0A2G7fN5q swnQIyWFtf59/pnGcW6MPuWyntPfdRCkBpMYfFNK9WVVnWsXZ/1liRdqeMQDEjgys/FMZ5Fsjouz m3cjwwPWZ0ccZ9N4oxf7ysMFPYiVrSbB4qhW3BhoSrbsoWebt16vY8ofIYBS5LjKVnXAaPvWuk63 7iff39Oh0UI097scCik6v33vV5zkWDHwzAnBrbxhBxNueUn9c7e8JE5O7cs0jq/H7I8gVePJFPhA 7jR/8fpeWJ0yIhzZNarRk9lkmHFuocUJJ7SJHoGgaTaSeq7CE5fHmBjpDgaIdnJAoj49hLcCQsd2 iHJ2pzOMuDj1352rkSqt29PAVkzciDxraHGpCqfRX5oZE2bnTRIsU6oXqSdNgW8ZWWljg/qEHSqN rnuyINAewtclsCCVOjotVABmaW+tL41Vsz3wBZaFJ1bSbL88wPUO0GYLMBZklSW66IorjAy1rLx8 pj9kqr6Tr/a7Uhnkqv+bU8M1P+cMOUGd32HVkZxjhfGt7W6FyTwK/MCQ2YAC4Ywv0lehaCQBPI1p ZTNQAAcoRxNSKUE6DgeF30pO1QclE0DXeOdNp3W9d/BKja+W6at17vZvYrxSgMcmE6r0zDkG3drN aUX6JbJwi+rLV7izbJi9ZwmmgGWzP5gGksKZxtW+PgVv1B8NnIdleDeC4FQ6E7v3FAxou2gCXMRk n8+AxAf1dMuEQFcfr0J7E8URRPBk1YsI4ZGd9Ra6SJVlc1TBTBhWka8/Mu5IOGrUy/hlErUAFkns FYetsln7mM3PhPeEmuyiLvC7EYF4MAht0NEQlm/By/l/yjZWbAgpTcggU8cFCPtcsaPgOre8+uyH /5TqmVVQQugH8Aiot508Zq0+6o+VyejwVU7ew4cCQGXrbVvo4iLOlnFsBalWsoJ4DPXJM9JOyqPd HX8IJQzgDYhmzTtNWuIKm0FHrfgGaYNfCpes38oDXtSYl7KH8ssEbwtPLTFqCuyy6LQFjtzTyOZ3 HtzdECRWocI4Quj1dgKdgg3ImEVUUbPQDLMrJd/Ei8AlR5X7uO/sl5xhigl/9ApWc7yyWWaJLKQf zTURdToG7PGMfu4QbpUcB5GbR0gVXzUSoNXinTGedMeUermJnBq8oHZRwXzh3IhgYSRCYZhBtCzx DAkDLGg1x0pCv76IzBi5tPIFOKT7xQUwK2n67X/+ibWXAUx0uTzTr8wlAsi2/SpoiOZ/LearHGW8 h8SQMFp/+GNlxsMBgJNOEKQJsjnTcp772VF07GNVaVKHPjGNpbJqKETCJi1zM37u2SsOjznM9oCD XNALg3qFyjYI0Sh6EkOISTGjPUmMexB6LdoQ34G/DSyvjcuNGJK3pN66gfHo2BPXXaEmReUSF8XK NKcnK5PwiWZaSRbfWDjfc6M9WsSbMS4f24Eebk+fOgKB7TIxx2BrFqHrQIKW3wEQl6PJS0t7HwEP pfUys9Nkf1YqUldkFNu1fUmMcorIwA7WVmcGJ2urrlzpYedCL0WHbcN0aifKiTHxa148T1A42SLd dlAGHofPTZJFk5RmFG9RKOt/Z6TL8ZNuBFDZeHuLGcETSVvxrSn+IOxa+l9vRhuGRvKt6ozgoW9t JI2mwGfy/EHODS9VbBcYjxkiw1fQGiJPsUESNB1WkKNApxK/vHkH5TBLSHAOPmI24BzSVvP3b0o2 5k2TPMALibNaBXYRD1eGUQ2eBJGSOJcU8DRwmh1NOWw/nCoJBzGi1HpNGvLhPE2dt3i3xb8vRlhA OFuAOZKoKIAfdsQRWkITwofG7QMBogSwIMyCURsKfvP2whgI2LzaXskFVHlu51zz7cUSYebAKuUO d9cvuguA4pnz5GZHrI/yeBNIkO37SaVdQN8E6eQ/jYLtz8jtSSJIV7C6mdd8qtEUhmtwjR4Cen6U 6OjKKzJlb3sYpNDF8bim4FkmlQ2+FbU+ajHHJkJuvnUXDd8byzydFpz87JGtGNjrsRFOfex3oPHK 06Y5r0J5pHWbzAGgTGfcskSxydKnb80W5IQCn8Lj1ZEANBNt5N3G5pXa25Lxn8ZVrShvTDaqVY9x PmGpH+CoFJb5iik16l2lESABQJt9I6C6nQ3KNwSs1q8vk/EM2+BapWvQCLmfbZS8FXT2isYYYV1e 9W81wmnYBXVZvBLvFoCnqt9JHABukokOMHzD1eabnhy4/yv0kniUqAGlMwG3jn4VM+2w53/HVjCL HkLzzEyzTNlgnNbDL+yUX4VwKCnH9VgXCy/uG1hBs4OwufBf1iKydoY8rPB8apax+ZWC5FyYce48 w8do2WtYFgkZFAOX8NQIbomat4bt2266DFlscUmfwY1B34mYVJnqZLg8rMQmHT+e24JOSY1OumfF C2q4fA1Gk0LlN4Wml099rQcvdgm6AhzGWGxhh7s7gJuUyaWVh4VH+rt33VvBPe745gFoOrO4cr9b QRFYA5s1X70YrL0Bz90fTQMUBY0PLUpyTXzlBbZmbU34+NUl4+B/b01c4gWC5FtLPSmEAaDCuRDj E9f1UiWTAdMYhQE+aP+CzWe0BEBTS4t5xqdYy+5Lo70yIbO0RCIuYVBJhaeVB/8or+aPuupnEy27 oFHQL6MnGt3M4OgPUr4GWf78FfVzZjUcQiK6kN90JYASKuU3zt8VREBQ1+G9cv0KEgWhMrYTO3Sh XBpdffZOqbJIf0s4oJmT132sxOYejVrYLayb4skHnCsTuWUIJjlKs7OmZGRL7Z3xnpSRAxwqa2H7 1MHM2OFO7IQzL3QWCX6jRJxJNBKgH1UpwIzmLFDdcWBzYVbMFe38sL838GnrcbQjqMlTCTByjhdL xz4Mh4rXX7nfqyLNvphMwGQeMHIs9hjW0wjHKSqXd1zOaO1HZK2dKqJ7DJE7bF1hGjawOoU2qWbL J0wYWbV8Ook+7yWTwcUYigRVCHc80KhkypF0a0GVx+yr1csbNDybfuGDcQXg5szTT8JBcepzIfwt sEzbvB9WKD85Rc9805NuSfCPU+OhsaffzUEzJ2eFmVGJU1evZycP5BznsoMiFn66fsh7N1jeuPkN iSqBUWfYlAWTGCRf00msKlUlQvN07iITVCNDKaSUKemx2JiTTPUQ55S6XpqpByLma491QUIc5P61 epC5d5GX5tObhDwVNYIhj2QfZwuw8UfXFl9tezNPElEXGfj7pzGkBz8qvMP4Ru3Y1QlT4jY3goAr 4vPYDJXANRhps9z540vl5GU32mRJ23nqC7jOJerjS9aypvPKsCbFoMKyDHWObT5ozlAtVeflgoh8 Bs1VfZjwQ7P0XmcuXpgfPTj1ZTIh9iVmcylGar3fxHtedocubQniCW5L4nXgSxLm5Sn9aVErp6Td YS4XFoD1+lEkN5K6us9oS3jRyCVllunda9lAkZHVpTeUkanY5LyvF+x/xmK42pYyf/4lIB2hDhKB u0y2fnCdOBHribJKx6PVfvJHVzlHIEmm40lC1W+Enflcw6X8LwgwT+L4fJjQmEAl443rXhHXASJK DZK758VZpfduK0OYwjXFd0/M8BnDZVaHjEqmqHQ0K+oMZFfS4C/qDKKtmhCLexB28Gi3uTckN+Yq UpzPFEeZWVDHCBlY5RPSjhrGBq2YVEQhu1utqOEL336yTCDVw62Dpt4kKm4PRO2UoyWLRd+hmi5P VZ00OsQvjQuOPZD0G+E8nvAj7O6lBsMNoCiX5f8lAkrFY9xx+MpYMk/dydE7xTGLAF8cz3b5UuoA 8ouktIGK+rq7BJAPZzoIWZqSROaPKlkIsyKOBRSYbf5GV4tCil/1qjNX9W7fGt53SY6ou3tOskCv oxrKtPT9l40dKzmUfRgE/0rOJg4S83p3vwMMBX3AVtL1IhaO37FlKH2y2KywjZrww1OhovDoRo05 DibYEH/C3qQBo54WEIPL978JnOt+oV+/wXaUbTcslQ2fGGy8xAYD3HzvFiX64Lt5aaJAGm5HR/kx 7jjMddeFTLz4DWFG0mzdHY4nsWQfMQeJf5m50Adb6GVUaBM4TrTNgk1/t18WETFU/amj6r1o+qEV JU8OQWwm+/6oHCpHRJe8OnbXDWk/zHdJZcJImTNzwj9YEIKCC6fExuU7VH/Lh+3S1j5DU+vHTmhP oXNt3WOxwqnMCTq1qb7ebhSemj98ogRSadCSN2PqKB6I6zbt/OIZb5ZCeSZ7vtXxpMmzhmT9tSwN OphBwwBeWiRtjPkmi2aBq2crD3pzjEt6N0yRbUnyE60qxQnjPJAp+LPj0yYdGEhXXEMNslDX6lje L+xEhUikvUpLzkkXP9ckyjkkD0N+A5mwGi3zNauBwOqU6biUySVAu97tw8u9EaS922l7LOkTlH6A Wekp/Ln7Sdza7CXGmwxqct9R9UiKVu9t84pfbGN3bnYNwc0x6EuupORylwUVvgVX10CTVwjDGS2t Q+N6+vES9Xa2BNVB20/oV3+g3STJi8Kc2a/k/Z/LDSmuFOMXZrB3wy7pyhLTsRRaI+R32D9XYGgi FZXiwB2kc+o1QLhWxaAZQGfeu2GkteXsHqNAu5WWDfzrjNYbbrRimRnDE/3qecWxZNBWgwIan+EL bxZRPKAkIb3EbOCSdA2CExGEzS+MCbSfJy3HEUUgElPcXLMKFXAf8sm7DyJ08HH2HxK9wJFrZKoo yu2KEBbvwy9Pdm8FqwC4FdZNsAjs1i5jPrwkT6gkj73IgE54rzU3Sf1LRs9qycx8qFX60bmjcKua I6fHG5+MshNXeuNrWXwFsDO7fg4l3yQZAX3CCHSPd1tkh21lMDKyWt41rGB0rlCPUqs3CiJ6p1GB JSLh1oCz9Y2PT0IZKyJiIwDKxktPY9WmsLMLatpvIsnbtnL3y0Cm00D5IuQ1sIkmcwExZVYpzbfK MidNrNKxn1scYpt1bD9vXKvMMh21JT0K+DPzNlmmitRHvTN6amItDZUgnbCz/TkxTGQ69szT/ciQ 6QPRua93X6dpe5SJgR6knNyvSZXKDWjA/Ol+d4vVViQzHWsIlsVyog9sx3pWME7lRt8m9GqQai7t 3LoCuBqAy7hsQ52V9YVfcK44Q+pRG8LTn5xn/NWvP2tAmFkGQHhbtocADLNmXI6Bny5LBkEpudVg 1D4fkJUBBgSQe2iDVyTtlo0Qs8OAxDFyTHqvqJq1wdf8XG4XS0XxiFUve0ccvF6hUx0YB88SLQ+0 wx+j8tjqqzoW/oyrzmMXi+O289vi3r/CbFkMFmXeX0AiABa5tf84yTjSUoZVivr41nzXYQtRalV+ Clj0sP/w/TOx6UmhhiLxe/ak/SaC9aHusPi5QwWyWjdVBDBCA5eW6azYbw4jYWwjqiuf//Ah9KF2 V57n6/INnRvLMgiVRbBnQlz1aQTf3vsDW7dtQWUU6KhgAMM7aUFZTkBi8N7egOBDYUboBSrbadmF iMdrN21yHXkYfIDuqpJUjiflJln3fmfQ2T7sxr1+pAbffgGvm0Px2uJPjg/ZpNthiB9nOkWWfwDR h0oRerufcw3DgIH3u3CpyVtS6Vdsm/5sh/xGAE2YRr/C8f6BnNwY216IyT+BiAE7EihfAo2MaUfY RIT1IDzbgOl8j/+cNwTresTMXR0i+sZm+q2KBG+OsbnuCyhmV8euudFX/uLoKGkx/A7RbTbaqnBU I+j6vXRJDzWJGzy6vCePkp4cpLtk0sml2eub/Y87Ga5hudHhEtRct3P3bjXtH5NVuhmTO28+e7WZ Fc39xqLXHaVDiLk8xb5lwQJ7aKSUVizAwSi+w+lLPtG27oEb3oOjWjvmPu3VB+pPkzHxLn+jSr2r i2B61Sv6RcsTIqEG5ubppMLjxMCqKxPbzMuzLPwjyygGk4H76lExXFnn2Via66yxO1vgQ0cQ3rOM NZXHEex40hbII39THoI6mNenVfut+WKfUROTp2RAkMsnIvg+dX+zCcyKo703w8DhUHe5iDwwcACM jmejl8ClYMh2L0dHZF78uSYb0WL5Z1KBsNOGLlYazng6OrxazMEcPpscMXWa/wEWVjUkoi98liez 9RCxR2ojD79VESL0tMPFSarP+IbfCpwhf9cSqLpAg7G2PmAFOe8bYuqDHf6mvxYzvULI0xLzmnrB 9q9QH6oFa3CowelRDRvpMjvTE1aGeUIEXh0zkYqdn3YlNj/6Uy6lRolXMfr5CTu1PA4pgKr56bOc +D+RaXZ0KDk5MoswHUHujJ38RBcUEfXfkAQLlS51jPTij3FTQAsrAU4rm7rSfsm4IZM/7mzmA/fm N0RK3jv9BDAPjJYp7f2KAbfvAksPseojE2ZpSKkZjJwMPVNeXt1Ufd8/00bt9ZOam3NSdPRedJDN ifTMD4MADemPdd8QfFJztUMKUt2f1z6MEHFtA2aeh7T8YZk2ETOhojeLtSBOaWVvORXbYMFBI+6m grseteZ5LhPQ+4g0g9SnFe9dqNTYUZdnhRtyVUpUYeVUd7Fy1Zf2LhsZpp9cEEXjpnxp/pYeXNwU k+C7VZ5Zc8qO5F2yZKfL5HRRgmcVKmbxBEpbv1u8yvI3NAAh1Br6wnG1SUcgzHLguT1I0zsfm/qe IHowV4aS3LyjrVS+FsaVILr40tJAcOvlx5o1fcCC9DEAZyiTkHcgsUY1NRnjF4C2NhuFh/rSotCI 075Y8cwa0tmOa6Z9rffNXPhemxhY1a1LsMqJY039ZJxOuX+yCcu8vRfSj223m/aphAj6exFby+c9 nF5Bb9DigDd1AJzDrj3AuyT2L+Aho0nALPzYUe9Ex+cQ5F1YQXBHU9czk/EwpXUz8SD5hULcNg8o vYjzq6x/YjH7r8JVKqcuUnBMax2oSRyohb1N/C184j9lcW+S705qcQtN7YIxRQLF29bsFScVM3lb YcNyR1T2HzALgzwmNoY4LEQ4sEX/hexbbV+01eldyjfbAAiFFaoSJlziuCkjFk4NDfZ5EckKbcec iTeuYoQbOUJjzmfq1T+KOT9u6V8CMzpohAJ1xq7t3BaDTXucRRHFByBwh5wMp7QPCilUtuSXsjlt oJxAvDgsHcZ1fxdPVio/CqPg38vWvhROZceM45TEWRcwHmumWfr+/12WUhO3GAocfnkYSpeHFJV+ rI3xkQ03XcSMJHHg1sQzIfEGKWyXjH8PY6IDrAjI7UykByBeD/m3ADJdDJaUKlOYou7V9bv3NY6d QQEy1CgpDb0OPrvdw8iH6AT9GXuerUuAMsIFMChNZE0/2j+pr9k6XBCqZG94xjwINVu5mG4tTZ6P 9fl3QnUIQi7nKZ9nDs/p+8mb6j/qUdXKZTipdUWngpTPJEYO6uTSd7g5X0n7c9i8Nc9QgHHPKR3K OuPjFR+jOCYn4OJLo+QsJ8NxhC8LGeAnrzDBFJUkfKEkVY/mMv//u50xYzK3UnRSk4XbO43xxMnG 6xw5gfrVrjkwjUSBoNx2/g3to67VZhgQCADwDard44y0tdBci7qNRLcQ7IdGusy45jS1vP4yWX/9 7vaS736iVQeHiYFtKNjgjrA2TiE2nFba34xgUVQOl04T243fcMXY36QsPPHBi5EF4u18wnMYZ78M /QMjPWvd6cHmji/J5HqUY2qBr6QyRXwdEUZXIhopE8TdQAmjn/Y5+fSCPW1YjHmNPuDSAQigpHrB +5XgTALdgDsA1+9Bbr2guIRqhyW1qCwQrQJ5r5gLwXC1OByQjBxKgRxjELWLlmNzfMHVScf0CSc+ uGE1+I4h5YOYpnpySXK4TWjtUfEzdS+EzKCbsxhXvee74cyJTam13B2zuxOet28mnKchhIruJZOb TetowPk27Iaw4CEAslGP74k2ZCVFUoaHhPDZVK3DjUVvXuEeZkGO1Ba6wRrnbZQc3yST7d+lFL6E rmVv5zG7Aw7m4J4/WD8rPAit2NQQ8QpeIKCn2/d40vBggnaCq38iA7HoZG3+mDt+7e1NdrxvxNX5 2DL9n0tA0FCeBlt9yuKfhfGAH0UBXfl/ePE9UqW+cysocfgukE3to/aBGYtBRYQmTFSzLFWOImmn hthklXKMXMOx/ArQRfLDsbExEXf5ltUuDUOsn8T3JVxVmTIssqzv1lrjRiKFjbg9z1K/2rJB7m4B 2jQmP8MFkwSaBjKiS4TQbSf6lZvq1cz1pHPQgcfn3IjWeeYgoJT1PtePWJBksHjtl1J2mEF8AoRc HLHUmePighsavjSZrkIDqPVrnYa4iTXJxypuQj8G200UzwTVPkcOexAUPKBQPLpbEGEhbikdvRLr EYYavNe59lYe65fiF8R96wWdWZ8fTS5I1ICx+8+dLXIU3Gdb4vAd+xuT5MyvltWpMsWgu/zkEaaB jr3zG+uI7P9w2+ygvqvGPsDE7h0cmxWzWqJlFj34bwuiI45BEhYNI9+gSIWdUqNhpW6RdiMxcFKz GJGsf49fqs6EK74Zfp++qO4Z64alhmRYhaCWtZ5r2WFzth+wCWQ/g8JVXULqcJyiA7wZt5GJfylI ekrAZ43NsU42zc+ZykymP9Skl4k7AuEh2cnBXU10EhXDgdYv37GAzecn5GV69OzZp/khHRtFfu+/ Iq08g9S4zFmz3feNQE/DKcG2cVupb7KmG31NJxp9r38lerjl1Xpo/JPGSWhR1AAwOyiSnwnUFiqH Gvevs+CV/bw1BfkSoFCXSUPcykT6+0zBhJxWlrzcSvvVQ3Yp25pB0UfsOFu4L2GkvlpH8oNCU65G dAoJoJBsOt6XHMkI0uTRKqy9ywOjTZi0h8zowfkGLQ6tf4F/8ynQ7AiKLvC4s2uFTVoNNsuR7ICb k9yNfeFiVGroCAELrz9rd891s47MOhbvWXdCVO6Pkdi1763X3gpyuan2qtyMUK/1PkcZcCWvqoii z0RlJX/MrtpjEd+Y+BIK6CNasOT41w3JEBgdUoVAU4ppbeIsjkP3t67M+UPucTOQgNwAYlyGIa+F ThbZOblMnKq+mBho6EfV+ZXo8wl6hdhwMOT4pAkF5CiWRO5R3hBRFwARFPdYcBfHLlRB/tcy2qIl cucgqhB700VlKXoUmwrbZJ/p3oFCVNEHAjyMCt/4zrEvTmzM2ZnBRyzxbdrN9SlIaaDGIrpcq6E1 OewQUq2bGLHzMtZEn2SjvoYARVhh1n5uxOoz7489OuXiqQhhS31M4aeE6E+3RpCt5mx+EhOsGizV fdGPcjoifw28jzs676qbW8kf6fUz/K6gee+HBuv0FtF8Q47k0yIaND1eWo2v66EuvqC36H/1ukaX XaqrYiFbwlhxp9YLQsj3PyGUV/FIpZ3mo9cvLXopwwEVpqj3krmf0wjSTVhCHMbhG/w40HkSNZG4 cyWqsnzU0L6N7/tlkz0IED6jbGlJO1udphNwH1D1iWz6KNxA3U38L8mCzqB/JgUfQY0tbd7FuVdJ pU6Fa2K1yOVR+zer9ia5K9/ifIQykAIEkigRemAhYwMfY5gi8xf42Z+oi28FwniB1+B3ylDpb1Or kq9r3LL5mx8YXRQfPU46fZSfoNPyqmxMkOLWcfI4xY0Vp/+schZeXmmTktRxXiAugbRM7bYYNqfw nHwqSEMDrMJpIDrjH8Ofc22PEqJcPoDvxCIxmBDlnXEilRE8nMFUgLYTKuEReZyAcW1RdliZwOY1 SDrrcXKmhN26kNxcJXL5pZ17N1k2sPOe/Vml7XgGoPyYSC6Rui9RbDhOj5UhbWCtpv4EhVDwXZiW KZwvB1xbmOOsftMMaJ7+PP7ZR1McCH7FO2zKFGpeq3qJdhIcWaJwpL7PTbJdHMYDPqBn+B7ipHAD FXQjkiXPG8q7skNxxXhRuhn0970c/ZaMSiOkwxG7HMzfzW8SYlQztKvVERQO8HrZQ5/BXZeSNheK MI2AWwHN4e1qPF/jQ7/5/ENDw6+/koiXKML8lPg0dEy2Q6e1cHvtTeyOWFd94XD0Pglmo74fdkTS 1C+JqheK39UZWYWHlXQ8nFyIYHQ7dF6Bx0P3mpLTuOdUVkKTq/ZtypzdtyWfEI3tQx0jt/ERxVo7 P6ulQ9x7Xm1ipI4nt43VoEytNp2PK25Oh37IgQ3CeuA8hJm4k0f5PuHPEwcM7rewCNpOwAZHm77t aDv+18t9geFI7pIX8Qhrslm6EIMoYZrOdZRfGMlZF9AG5c6CwSqRjHd109O4hAdwUNiIYzGEgoTe tCapolTaTKYoh9FZKC2+2peDY5BPNcAN20BDPftJmoTT13L7WLAKubxDarM9RxaWeAl1bFbTMdp4 d7YbXfYHYkBjPZVcL41He5HSq5hDayid1I11wChnGX3adxkNn55wcs0nie66zq+VXz1pCS9aoCAh rrs9hb31iaVRj8TdSyjn/XUv9DqT8X/NdvPQ5OiMjtFrsB7yK5LL8MNZbHD3PgRM+W7DFJkhAVY9 36o8vCsWhzwUR/mBmnhNZcOOlhGvFWM9LgxBv3qGMu3UJaP4EOAQxAUWWqIFEqC8XUkfe66eANdo K1zq3cCwhBYP/2MXQDfi79kl4K1zUt0uE1CJj+dX+xGy1yhf+NjL/e5Ei3FLJcp3MMSy3xQhPOAw PDXzTO4qKyh5q9YKS4ZqPkvvg5GWErgFbda47o19ayETjEegLq6UqNaXb3BuG8TzmCCIwsDJ34Ck +Dj2mWyt9RuiBTZ8pj9UDzHidLIi/Uy8b8biIJL5nuzNmw4VUzzkai3mP8tGFUT5Qfr7HVoRGX5e 8mmoenfLUqnaS961r0jGLa60GZGZ9fKMwQaExF5Gb4YZkFNi3mM+tZNwbDvMnJCOkaUoMxfScXAB 6NGqk3RD1/e/miTDtyi9eeHcXNx0UPT+flSeMX8zyWODv15NHZAaRuqSpyGqofg2Vy7ukb09ZEuA EsJnRUzo6slOQQtlce3GVCTud2dpjeJOSchPZz1IXk0L4LXUDtAPvO8f0xPNbbZEElESIW+Yz3NX c0tVY4VV6ooEfkIuOzIGWLVmwKScZlfvPlvRg+NgapbEXjAsbH+GDNlqdafaQeigqArTpkAIN45l enKsl1oRFEMWm/QvpHT7uRKK0qD0N6R6IWhRp9A289AOFfOuuWCIOUpq47wpAdO6bMU6c6u3hhjQ wu04JO9v2WuiKELFKi/FCfchAcN32MW0IyiG2KRpPOyZVnjzHEMiaWGek5ZZIJU8ZglKwHRzMjuW 8CIW3VWBVkiCeMgZAFZJ+biU/JgwDmmdvLqeeZyaK2Cl3VDawhCQ6ReK2KsUuj/X0ZZlEbOSGmnr AXQ4/i6NhPNU4Asxa4lWv5Rd5mBLwrS+St/qwFKtcwALJrN8IuNDbFAjNYHnkIfYwzIc5wnKvqsU NcZq2/BczaFo3osmQoeIU2xEu0nvThAyKIFRkCDyoZdy49cIsen0wnRGH+OY7u4K7fvin73zjKZY MuWe3sBfN9gjBh7NfJCuXl1fhdaz6sY+OP5pm571sksa6993p6Ng/V8yYvYk+k+tmPmkmwcMmPrm 8AkAvEaCC6wU7BZpf6qS6353uAcssSIyIfszd/n+b2S780bMdmWKdbRww5Dw7YGvHUi3HgH/7+4f wfaxWo6UA9eWHgZabgF56+46B1rFir8WsdWxu5gWiiVqOqqpGWcBnWy2odKg/Y7Y772WzUQFXcmf N/KM3pcI0x2FvvP8B0HYdZWUGr7YQXbwNpYRgRq6azWwrbHK+VcvxqfFNW51qEsOvVy5/d92fVt0 BWPKmMplSDi3F0kZjSyQ5DlcxdVr/8W6/mX4HUa+Vj0RPI1KtN4I7a4k7lN31r1RUkgSr3HNI5Mk vDZEgkbmWn81aEMkuoPZthPtIms74o5NU88oPtzKnQ+TtucYnUPkqGf6u4nZangNpOt11izFhKcP 5fAzYX+Rl47Pffj9T9dr2/JgixsTcRUJNngAm+/f9mc7BTw7O2t618n1WXGZHon3EDI7KBXX8dvA tWxi6BeL/VXsyoaWZzjExSlGp4lJ6wCiakBUtlCo+wAzD2TbiAdW3SVJQEIdykaYtqdUDosJqQB5 dCKSm0PXkw6ttMhug81xDTm4/UtHGKhi9CIgQSSLWNxjX1/JdOdR9zUaNQqvIKFfQa4X8PzFKnSu Mv8poMwVNu4tEwV/5KFD8dmt+rLsTxFy2kt64/HA5k+mnKrKQGPPAKMdZKPrS6zGSpydaTFEpypI 2yV7Xbq3lUJMSjT3uDsE1jrI90diq76dqiCI3EFNj39VlLi41jJI88urMFD99ZrNk25vU9j7I3jf kwz3G7Bx6IgxK/+hFh0UU4r6mhpX13Io6vrVuQen3tScml/oEAlUGKZY8D1AV3rClPHHlylUTfMS ZK2JxdIwM6ONQILxB8ys1tkV0WRe/BzmL8MsdbSm5SzSVJ5rYLav2FKnoD+r0W5jfo6soalzsbcl TvughnwLYH7RngfZl6Z4tRRZMlF9Erf+Ky4S0YeDdeUPF6Q8hctUlzRMpGPZ6Kw8weJbifQ43vI+ eDa64z2JR3hSDAgey8FhdD2olQFU3KIwnrCFQ/r1+svJerzmy1hX1GfWfHsqkJ1epyqkBOYTxeZK ybMjiM6PtudyXaJp+xQWwK+gU5oWbipX/TN4wGIi21kHHHvVOdZ+FpkPkvmXkuy6Xb7bFbPl97gG r79X4c2DGbovOc90YHt5nbV49K1kMMAYLW/JgimaHButf5rkomPCcnCFgpq2m63SyHDOyfMLy82Z VDqq/rGqopHXa4IFYGejwIveqoanhbCnQrmu1gSLWY8tXFbGwX9ZMqvkG7ogyp4PUiJLkMswg2JI rLetck+EpcdPYGwW3RNhYyBgRrXHdi/p2fSj5wDXIMdbkSlYXqigng/YJ2prMBoBA98+Q8FuCxNA nlY457APqoKe/0V9V0ZYO5neI1uTJlIVWS47mIsC5w7bnVaYIX5GAbuJHxRieVCws3GU/jXEhm/N /k/tjHszmAt4iKDhaWzQ21OXqOjX7u8TMr/HgbYH9uwDfj8WNr+/Zvhac4kQHKxQrNu5ws4523Ok bVSpXTgFiLu/0VKmYJBmwpku0DV17Ajea58PGQk1eCZENQGoDH+u2F1N4oJtXFayeBd7Zv+YgKwp zuRmKM7FuKYE+BS58EyEH5xzva+sJZ2nAf+3Ms4F0ySRMu/Enq1cJZlHLOavZfAZKDJ+okqOfPvP 1gTISnmx2x4JdGhf6/y85CboDcHR+ORhPO9Y+88oFHGFvIk/L/kXONJ+Y43lXhdVj8qPrwJlZLGd LcKKLaC6f/GRqTFRKbiANBe0xK84YTiYsAqSOgUT5p6lTuKGm9qFcnwyGtg1PNYjReys5AJc5Iql 1OEwRsmOXRhqF7cidlpRCfffbWA0q/M8Wh6aKv3rcfQXB7wW3kridk9Si9R00l+KBjpI30fF8LTk 69d3J8tjEgtEX4RO5kpZUUWF+fhhuLPcCJw+sQDCilCR+5PlZwtfgm2OJu0jYJrBF++DK3EzIvYh xZ2lxokGdyOm9m9p1KbUnjJuw/hsmP6PUS8xT82tEZFOsp9CHkX82AWa9M4Vw3+GKHVjoeNAKlOW sg7W77HH/V+zdNV9ofbysl2K3Gp4Afq3oiQzcnaTWF6QnE1cIdmzI894utsUheAQOBIt1VXMcJrc W6e89CivS+3X9dPH3tAaDgYel6pk3PWSguk5FLXLOdAx077O8X+ZX+xkC24kMYcjX9cX3UVbZtxW rfCvn+lnlQL9Lrpx7CbIYd+hG3O/9F6mbGRrU5pbHQg4xHXEBDG6HZf/9HB15XcChzpB8SS+JKKm 4Tb3r/5yZqQAYb865KbD+yHQYIm3Jb+I6UGc3q+2uOIlktXrw+eiCvqGzDH016TtRi0B90D/mV5r yHKXKi+9hYp7k54EcZ68ExjsglfBGccAY+zr6tSR4bcUM0wHwehjvsXJsfo0lMMJ40bVu5xuI/0z iLsUnsk6gzgdru+fXVS7C5FiL6zexz4hA9ExzF+kefKBY3bAguZvHTe7JYE3B49SrJQ93ccLaV1U Q3xGv6HQZjqB65BtSVMc+g4D3yM7LeC4fQSQExwCaiu3AOgYupaWijoyT2vKfreEetNnYJs3M5sm dWnlBf4GExru2CCTO8w0JRTSvWvvMqvzDMbl/cCKPDxzNyyK2cbxlyb/PiG3jQtSxWwVrtXSAUso LByZ0l3GhPjGSZXvDwVbvJ9e6UmTAKYzt/k2uw5zlGc9CUqMR0+xQnHiXav65cc86o+EjVap59y4 IvAp6dh/Ie7ISFazh1q9Kej/RGQ3gHwSdoYIs4qQd3KlG8fXMOkvo8hcJJnM8mVMaxIkmpljDOwY iOAywO0oTThvzSwzrLcEyUVnCXfsaOw9P+38TnrHNB2HMvYTaQ8TDMmYC/oUs7ZXyH6baSQFnM06 kFM7zg0c7NJ4bdVicZbQm5uosJ1FoQffbV1hkpkzaMwWSbEQscfZrCfXEqyg+qFVghX8AsY44rz+ y8dF6rni5PuKqno/x97Vi4zBsHWWyBIWVDQWp3Umx3i1PeG77Z7fVz1liHeygIfSrHc5ERNkK0VF 5jKFDPmZGhn54s2UghUM9gvy2Ih8T2Q0QiZ2D9o/91rE6XgfBbn7zNxt+0TL/jhwKTRoNtCgkafy wm5fjGjRoXUTAHPmTfje21zzsaCpnlJ8U1Sh5gZ2J7fdT2YbZgiGSnDbbWjKZq+etdte0HT/RiuA gPi6aV2hwJprDu6ei2lMHO3/57ic88fdNFyNozXLpD8Jh1LTAYNM9rFd5L1uantXJguD1ob74Niz S7cMtK9Blh4I1+rP2oWnKeQ8wVAlLp1+AD1MPT3v3PV3z8031Vqx1Putw8LecFC7KXopJJxSRDHa XKTSSjOmMNWc7RXmKv/11TxzPaSO4YtPuBzztcf03OxRjcooHte3Hbcjhmag1hIHRm4EtIIg+sHG dDXxsoZeqAUjqqPWybFnjY6TArIDYb/ocU+3+EUVP9G6xwcEcEbCmcmUhfDwN9rNhs3G3X8H+4IQ 4MqPiLW+ulsoxJxWknLN/fgpcOCl9xSuMnRBdCBKlHf07HrRzwJzIV+k9Xi+6n6QrpgmKEpp3BsH fFZ1hq6vDnzIYiPHdGmIwJTzzAeU1ntOd77gFaK656a4fJaNxG5tSunLCpRPR7iBMIU7B30w9UHj qTCzlcNwle9nbs+PBZFUInuKIm0V7iRRe98yPVk+bb9oxssWd1TQ09R0hM6w/wPEuhMI7ONZXUdA ovofz2iu417fdF0Eeu0VV+WxkoM1g033JWGMXrsoFGPi8d0qCZV0B/C3zA6oRq/eSXu9eTVvMu8r rOcxdcxYh0rH/ZmRAi9pkZQeDIeo/1XZ3Hq9UXiURXGNWKXSDvwfD4FtfC9rW9ZYqvv6GmqF+QNQ 0dxEI5yAyqi0YAKLZnzdzVeuuWjMmlpTFW+cuylrbn5ttW8sjLRPIyzxAfZPRa46M80BnASLUfzy 77dsQHC9R+RSb69ODWOe4947R3VbaiK+9W2E5Ts/4mnGY+IxTKl2vDrgBzzWCNwPRLqWY9hA8oZb qcmSzliruAzMCM0AgD98lkvzISiD2wANay+5I8jKSvtyIFqYYK2f6QgwBVd/uOLX/mLxD6FlZJI5 MveoG1v1Ml3xM37RZf7MaeNFL/YdH7hL0cHqzgZZJ7JtsWDMDp5aKDa53SwmzGYKojDT9HrgLU6A wsZ9iQIUiR6ubx3QePCXLCryvTw7gx8Goc8sxCxUkXC2UTe1du6LFLV2JRXLCCP5yM+AfYJ6GMxC 5nZw84MI23PqIHH6mzaS8OT4isy/5DgsIZbr/hWiHPS/TX6dlQZtwY749LuZ6FHRJmacvqy+GpAb 0+sFL7vlWROKHfwuQM3Tfn5z4k1bwD91/5OCAsUM2eMuTOSskZqmr5SHSem0yywWy2Q08GG1tqN+ LiPQ7wP9gQ5DWc18IDKDfDAHDR3pH2z6ifkjwqkLmdKFRclzSqqvKU2kIS1DtuDaDjkDp3lzd1rP mABglToaRrh0iJh8hoMjije+JjaZzT//qf85e4uD5DWRcHM/qDQyke+hKyePXN6FSof3aSPeIBqs WUU7l44BCm7uAsW9xT9tRkHMjqyBsNudAqZeAtrQ1Q8VSy+/hcWE45MGdVS0t6cmL2/0sIX4JKhv DqzIAM2PEBbRFkdSp7DHOhZdo0KmbUeri8+8hRQGYwhGCMGVRVIcWCDrlqs/goRFP6Zk4AE441Ay EvO+Yz0WDq2Yx7L2+vOJKYyq5JVhXVDH2nnKUIvMOcoJ+Oskq0r46Zxd2HorBoqDAz0l1XnptnQR VuWZcbFy7yYKGCWZqOOsNpYQf7gXtNWHKTNmvP7fXM4YHR/UBSSwF8aKaA4MFyF6ue7CMdK/PvtA NihwGr0nyg0A23Zz6CDNO4s2Bov9iFU+IlCoi73uHw1SrTXodAxpom1KZSeBX1a0qE7T2fqXRbtX PVEnmVE1RMJ9sVFzppQkK9L5Yy+cKRR0J1SGTvsBHEKzeizsbTyNskK3huQCv2prVbHKOAsHl2oJ hCXXCXdAFDyfEfJQ0YTpYpAZsvuZDhQ1U+SAiZ8ziAAtUH+8D6TBFpfO0Fhk5KQrh7FMt3LhLs/o SZ+TXjLbHUgMcty7JT8sfoCs4jNtsxI0VNyNDkx1QOOF4j7WXYnNVWw20tUceNTjk/UxY9fHezsY E7bGg7B+N47ZBtQ0WLmOyWKcFDP8s9h24Tx/WLFGP+aQZCTU9YxS8Bq/C02JjndfsEVl9BJFs8iA 6EM1YPbOG195KbRBLza2MxKqZvX0EIS2XsX+9+48PL/7/4ufOc7eONIeO7NNbIgYo2a8gSQJTJln x4FEMwQQjM5dbXGNuhwBidAT/YQfUD5TygTsCnDjh6ob7dmbZybgoVQ7caPL7uSXGO6gr6SHMSXA J1LVCbwXmYuK9XuibMtDBGXJ/Y5YP+135cKP+YuoX2HfmzweSWzUCl/c2zEityq3pjy2B+OiX5kT d7AgD2C9Xmta7EOYGJmURv3gQL5ivs31OJyRKjzH4b2nx/lsKcxDXq8TiOPQ+RJCEoD9NZGcgWnn ffixkiCWdD3h1pqHy4Awpe2J8HHx1o62JB+XROrNmDWPwUhmXc56NdRJt5ad683H4df62oRaewRD sqRMw3DX5s5LIuWdXkc8hNnSRpMQsdWhuIlQPRrM5/h9qmt5xmhG6mMI3xDAOswBouQifps65OVM u1c0tc7poWEHMRPAvptxy6sxJybaWJlUUaE++CUq44NLoKGkdGNKIzIF4Re3h7m/pfgAH/VY4so/ pbzZ3fNMCCaVNKmrJLX6HQyjK2GvJUzP5InI+2d/89GT4GpqlkbacNmNcALzvERu+K3troLdAVSP Kav3jfnNAvjLnNyNRJ55ZGzGoZVxV+DsAn38IV+g26U6nrz8LtKXa3Dbx62sXqF3Ob5E5Kow0v2j hdi6sDj/BWR/zKC4BJsJgdZ4LAhAGvWce0tJlfakwxK19JxOYPFRDkHXgDsoSu3ve4JhE9LfonF7 wSzU9vlxDOVhxgGKUJ0RVeFMyUIdQ0JvK31QXl4akiguX8ZDRYaZDd2gh1C43LrZlnah5qArCGTv nBdX7AP2lKO4hjKVIpOtAgg9W4GJG9B9VRFtv2p8x4smiS+lZJn8TfBtEJtoC5sX7EaLFZm1VIa6 3XHMPsl77nuB4nW74q4IoZjIjIoUfb68ITKyD4+l2TdXJh482WHHCgxNC/79oVmWqC2ETgaIgg9W zzthZEW8rrYV5ZEe0nlpbbz1Mf5onOZreC/Sn6kYqx0/EH69x9V771tOjPqcEjVpDstUXGG6OUDC yDiJ2hDYfmZU+Iqz6wocGXOiE1+j6xzndP7LF3Nbl3M3WUJLl+7ZTzb7oW2oNY08bXQoHmHXck7G /ZK2zsWPZZXAGdwHnR4oSAQ7pmmLIAkZDuXMmc/snpCf0Zm67AhpWyjZJdaFXKg/l0qQLOvW5U4X iu5bokX4QQEbSvOld3w5ZSUyivrmUnIXEUY/eMdvzNLle/FU+IpWEYMaqGp0fwcipNX9wKskxOgW OUIMwwXnjzk+5eb25Q5dBsQE/KawYggEunOlp1lDRCVKKcjdNP2VnzgEPdSgvqt7PafQhIVSD9b9 /yBKAFDr79PZ+Zd0m20mqrjcrC8V6jrrOIfFT495l37FJq1f1BE+xCTdNFREYp4Z3cEhyleP0Cug 8ArI2/nobO2rT+wNDrMIiVYcKVNCMRJcoBCnekXxu15YpCvWQBeMpOheHrjxj9Ivoneu5B5cdbyx g8HCJVwIhllBe/ChDvG3Y+5Z6FQtwjGZmADiK2szoQgGXea/Bf3W8nkVVI+Obh76tFTAronZIXor j4mOqTJZ2H82dq2+uVDELy0OnjXOkmOxDQfJiuQqNGBG/X66Sshq7bpSQ92kMYP9F8H2d1+s9x2V 2Wflm54gepuJwtXKRNjRmlKNpGZfvWjphuYzJBRq/qpp4oCeVQFBC47PabW9a2hT42V5RVqbIXNF STE0GPldLVhdF8eQbZLwZz8e2fM3KYkOWeFyn8T+iHVMM9BWbzpadfFfVgnyeFe30XK83RIoJP3r dPmNw/KbEPJJNEUQSc1ihbDty9DjhXyQ6/APHIVStj+UuqS2+XhG7JJVOz2TjM9PJJmGoeBFUB4G qcR1efcPrxALSZM4GGyonNIegV7Zmald3hBFpLO69uAl/FPjvzK/P2eUL6JU4S9+2K4Y09Arp2dM YNXp0yLBHy33GNgEirB9IiLoTDbzTAwzKnoh01OjeitWf9xRgMgd0+oxnl76zOxYwlxP0RV3drqk P7mTdd9oJkx3bLR9DOo09tJ4wLbvIU7VmDhmwypyXwldPwcIpuckKQAoPnZdEo/XvBOGJRYZs8Vr 0kfq/a70KSxvLeDyBBNa8PyfuvtyrC3gbdnpdqdltkH6+P+GGMPkfq3eRlr8tDneO3cM8SRuIBHx twp9uoNDIffx+2F2dtBRpmXYDhe8webUNbBQDnNBy6cHkUhRi3PgQL2ObPHevvOznxPk+zYUgZkP RMdEkq3Gqs9v1kQGFvk6B+wNn5IcY5/ndwM15EswxEDk1ZWEcy77H4T+fqvfCRkwDqDHJLGC49i1 0qUopGKSDHRLJoZg+7HS9ST7Ik61ANos6pbC3vRk/CJe/764k5V+R4NY6u1jC9qu+z+h+prUWRex 6LgYEjpXRpbk+ZgSxsLZ40wgMiE2G0fK1FSOFr5X0EyNQ7QGz8aVeP81bXLu7CaK0ca1lMaJP/Ga S2iYRtbMS/RHAXvT9RMTeQKAGxMdaOIbnKnCoqUzKx01xJVAIJSS+wDb+zD23PLBpOnWyAcpImjO 5/mi/r0M49Tq0PbyF4rQDHdwzrfGWW52+GXGh8neElRB06f2dA+SLsJH12Vudi6wHbf/mGOzQHPY 2xHWvUlZaj/CB6V58hgLcoF7lW7gTlrmEbFdT3+Utv3r57hr+wztlZdOFRxqatJ8loL7L+PmspoZ MWSVoka38DDjpTzW7lzej/+TUd08nJzTgJSBFxW+UTkDAk3IkGy6xsFwMve5c1+rOoWTnUqhbf34 jiYYY4Bbo4MhujgOAwn0/2rW7wEcyXYcGgNrUPK8KPF1WDbrugItrGN8GNKvharj/9IIvxarauPY 9hVgcYXoX9kG0Jf4OGbGeeERw2rx9foCe0q1Xp+Z0tUrQqAJ4aNhNL4m/6YzypuJIQrGa4RAjQdu V6jmT2norn/X0FDrHN/zpVIc99Z64Gr81HPkd+JTdi/exNjt7xck0ygP2JsGMKmXdMQbNnuwP00V 7BkrlZNyzQ57DNS/lscoFJBrWfiA8A2ZA7Mi4KGUdQ57GKSZiOKPFTtFl2GrLn2zmMe5182DLuWJ wAh3wCX1hcjXCvL1QskVIoFyVeNdhjig0RvnVqpb7bc5iKv9jfaD2i5FrFM/CtZmd/4xeQjVqA1+ NGE2Fvs51p2+GDGTjYpN55mbLnxkzpIWG+WsVPbB4DRGCByITOSOtXsMkzcsLPNVyjJQdfIFDvEs exBq4D8Km/x6THdnLaWpVKCLdjCvFZ21iSHEZab1tppO+Os7x4AFfbafnLU7TQsgS7tqyT0yPDtE hVGG9lYi0SfcGJw4ddVhIHRC5h262GxfMocEJ5SiYa5DZVwQVKKZyqK3F89uAKrPj0uB73VNxmNy aYmAv3j/UlQiB0q6acwg47ylwuDmaPyoU+VjODBVNKep05zaNQIG48jLib/U431vyIIoFodEv3MY q6NEpr756EA7m62vIFnU5msKjUqoNqDaKzcxNC79AV7g2vWcsVQZ+4gW8dxf3pLJOPnj7eueHjbU IDYEckyLTMwpQt7HqIdbsE6urHNMxBUaZ9uC4DcB45VAWdoAGJTsS9rcPvFCF9/wWtOG5LGDA4Vh I6+bWJC4D0SvmGr1UcGxc6KsSGLEpyJMe1wRUaKiKNbCywIp4vOoGPr/5hHpnd1GMjbH6yYaCSNs T6dxvSdp08fKwAeL+Cwwyexf7lrpCkhUtuJEVe/phw409pQBNrUMoZMLuyqdR5DrV/fBasQxmPUF IXrYoosVvmU8uafYMi5xkvoqVeuAfpo4APv9foUTMTfaXVRiSg2B9P2K+yMPr/whxGuu+sMtgBj+ vD94B2bswo2wa3HK98WZrIVA7ydOEGIm+7R/RWO7EjhEfoDlXFYcTomYtxpukDQkU5gS0hkUAtnV WgwalePu41nVk9vssYB8Ubq6Ahv2j1FBwV6Obe+3YfRA3iJzP0TKoZICQLnjEzZrUSE6oUniJzwo tjwPBlHjQeD1/Vc2k4IjajAiMUgwvqR4c67IldwoaguuuYd19ibj8Fmu/gZRYNqwBDKVS+RBinrn 0qc8zXmKaB+iWpjD1rYie9gE8TvtJ7bjGZCCTddko5DbKdPWcrxiCRc0GK34PDgbpadWTXhMZ7Bh MCAZvv7jw02LQFAodof/QKrO9DC/9rLwmQDRsDNaFodeTDJZxWURsOPSuptPZWkX8KiG0zNXteYV 3lY68Pw2OW2VZPrz0E/qA5FCt3tkjLdcbNnof54K/XzK0q6HEwCnzZscMp1J4GzBM64G2UKdH5eW 32dbDGg6M1FEEwduvtGMfU/YaScT6FpWiZhfoyRCK20td/eLP5XiMgwR8FYUV17DwN1FXASDlDg7 vgSnxnPOuPhiC4ymiaJC55dFh/0VNo9YKwaGKpN+i5NilJgD/b135aGw4x5g9jNsZazBRI5t13pH 1sqTQUkgT/OHTYxZD04TlgtuA30/wEYWGgx/3LQ4W/EDGKqdBU5uLCAPpsnWXOIoXKwSZY9h/Zl5 MWQN9uBwxxIBU3ETbsBcNRWND4AiSQGqLdn5W1GBDyIBkkm1xGt11oRxtbZrjHWLUCso85VJbom/ yz3ApgGYMPs2PNtYOWkZksHmGfLMAG/zo9vMHpFXIXlriWWGds1RqyQpQBkHzbzVyiraw1tR/gl8 Lhp8HxjTpA6EGuAD3DrlrmqXq3UXnEL4AgcCNsK2bBegk7ypcBQ5o9GgGUmcZubHuG50YP1HsmZc VPmQ/1gtbMFE0PTJy0uzyxH83uzNOqjtn/7KL3qyu5Fl1E+p+Ig3eJFy7uUgJUDpkXIPTIYPTw2R PmFo30WHcs89xkdry51gmKllak0hzGIG58iDOgbLBKZdHa7xs4ikzhzPrEvBFjXc8nUAz5dVtSzT tJtIP/tIQ/8gdmnS9lCB8nCD3+g0dbtSCnPENZ8vDKMlydi8Ttv+mpjgldx1DioNU5ynOdZ0JXGN zln/CPwUAHyFd7jYP6FKNN1ardEqtbuBXKR9kgDe37t8viEsCqFd6UZ0r/5cMlLY6qOCrrbB+p3b bv61+HwsAfP/Id62DUtmaANetqROQZPXHXeTUwKsML+FBP6PbZO8v572rN3VsZU3lBqBjlujMaCE 1KGkqxnqT4nmVrQH4UmKfQsQrpUvgJ6okBayEqycLSomDjDqqwY5SJZkOthb5eKMCB04RRXyI1PP 7Yw67IhK3fNh9SINqDfXzifDwKKZSFzFkPHNuHQYqsDQc/nuPbGdjqtsEj2IRJX3p7i6FlNz7Vfr 0VgaLoVjdxcNRgFx/bBrkOM2sjupNW9503dPBlCQxBDwVGYMuDctParoYogYEf3AzvGyNnzWwK9P mFabsokOyRAQd/BB75f1wuRGlWDujO7fYOWyvDtXtpxaNOyKFJJruUCNO4FIxRx15kOoRnYvMcPE KvHP9usfn+NQeaY0Eq0n8xDyQes0iIkuSRtEDVuCDrH3h32UckdZ441E644f64E2spxS+Qnj2+rc efRNR0x2ulxtnPRxnBbxVKVaE4Ubg1F3bp7U9mWDm/Jm4WuvVSTmDQrEO8ko9UCdyAME7AdJ7OTe U3dayrxA2leACL4WWQG6kKbgGvTczqlO9HZrGY70s8OG9U4LV53IX+9E+9iw/8y6XiTyAUHRHEII 8NntGd4OCMOig/SWUypO3KFElms8BDKXRoiskFnLmjMo+ociZfo4u5pz6D++bGkXqHUd8SG9yyMB GhwBBpPrWcZsbxD0RmISiPXzZzvAXkAar8sUM+MyL9DDQvsP2R2PXBer6KYUaOGUkE+RQXnygTVI Lmrf8WBAl4ffatdEKaJUQqZ2LlmmLIwfD7XD3tNsB1+rQBoRiYqNYO4iZpxkcA8kEfSEN7AsJQME XvimG29NNM9VZl+hgXH3jY+iOKbjWUZ9m0MmTVnpVhDds3D/MBx41ye8oBu/dji7DN/yI6FFapOl 6PPKw6Tbnlm3rm1ECFJlGpjoFiXBph5WC9T+qo31OdyKGABulV239SZJxX/PIQMaLGjoPR7hOr3W 46egvUvstbqHDJE+tlBxIFLH3TTEJpLRgBGtMj8gVyEpmuJhYb/7p98Aj336UAq94DuK5Qy84SgZ JlvQmJQBT+TS9JawLE0ryctE9d5V74TGAnMxmJT346NOlBkNupE6WfaBBO9R0Auj58qe/0JP3xrY WSOOeJWCs3IPmDgFspJG8MyY3hea+lT39vC8jivqhW0ANbprMvbFAQH8x/50jbBXBX9TzYdedN4p L/vDixbD6DBJOL+zB/f5wy4VQoaYIgtaOjDCoMOtQfHu0J3C3DQcf8PtPpNef6ip7o/UkKWO/4ik lV9QkWxvGkd4NgqtMtmm1fv/o/wMEkAa9LyO6mq46DuoM1zmcN3g0Q68+Q6JnFvF+0tB0gjb2d0t Vul4Crx7Iz9WnX1mq+jA/9jPA8LQyitUVJCgLLf8WuHyFfGXWscGMDTwW814RFlFE2/w6t4NZtL0 9W1PclxHPn+COikqwHOb4OdFXTr6nyPLtLJCvDuOl0R9NCTyF43nVXhK/foj4c+SEDV80J/SUGql jtsDs6rhTRP2RbQEWFLO5KK9tbLvEgoxhdNmQLNWI1Fnlpw0GS6airOcsRHlxD1LOdzh6lzilUhI UYIDBy0c5MSLgEAdKbjEzsWICgsoRwbO7E7tEHAzAkXFXK/TMKo8WydoGNwmFlNLfZB5hVvi0wsE Hgly43IHeRAU3ed5HFgQPkJseDOIFs50Y032y11cHxFn1+PZDzPyF0LhEEj3kCUddr/i3FQFwq02 2OkudSx8Vn+Yl6zBFLOyxsPXcmzvHT8wTZ3dXP1L/gq81uYWvgQqCDUD+A/jJ/E97DS5O8y8k3SJ l5ATKBd+rTcmobvBHWk5PaF0Pr/Y3RMOlSJkKrKVJiqhDpZGKbd/ykCruIu75l2JhGNrRQREUMq8 60w9fZa4GmpwdrnQkTHaIMP+mqX/Szr8naqQ9archj/QTuWbUU2S5t65oAPY63HdczT5ue+toD9m vsXqrxyiOon/Jx8VeyMFkjnEhB08clzuuYzi9bt3JcSmJu/qpqwTXyzaKgTzSz7v7sq+SovQSq5D 8yJrKSuUQxy03X0X5kPHj4S6u6yun44d3hrpzJvzRJvxS1EppcY7lxIw+iR9qzLd/jk6y0unSHuR 4dTw5UQ4EOWvKFQFc3MEbFjf5Byu1HLB5c9Y6rbQQ2BTpLD/r5vlW9jFo0A+xj7YVYr40+YdTZRs piEbhB7XpE12Y9Qx7PsjKcZ1TrxeOqB9mgrH/43xndOq/M6laLbC+oDz9KHY1BpwS1A43vsJSkBY XSU0SOdM68kZazXhHMzAYTyJ1aCGZ9BGRUQm0licn11a0xIic0IZpHyDyVglNxAE4a7aqDLvnR7v v2Ddx1lpnEG8BJZbNsbun+tA5fe0+a6uHLevH1cWkDnpudAMMZwxegtiPi5qIKgnQ+iULDhXESi9 lEebwleWgNJcgvSHor4Ae/L+dwRCAvd7C7c3j1L1852Qyl2IJZwJx/ENhSps57xfqe761k2K1PPD dvggC03vp1n6q+5u0Yj3oes+4HLCjDfkjqBTld9CYCS0xr4/hxthrcaJZn23IBg1BrAWuoJ1vX4D CZHvR5YViLxQGsvqRzJuNmE+lba1D8GvpllLqL6SIyb2JxQLbWXOsnnvXf+Ad7a6wthyhodpa+UE A0dwEEpfJc1SbcFES9Ki6HPG10CRpk2WcFhNsrU2Z9AW3sQ7g6vCjS7dekXfCipR0k7PxlfqTHQR eQi2OnsjRJaG21+hv9qWbOlFccbgRbUhLvxmqdYdmnI29wUz4J2ZuDfpXsUxnub1UXFD/gmmz/ez /qKKDRB7BCku1dOZuJnGtXd/FNawL76IPYj9iQoZ7gr6uG8d3Uxw1GgiyZA/1rB9uoCEIqJjK9cH pD/oQoynO2TW17sAj9/+VZGPmQsalPLKbqOZB6T8e1ZTvZJAwbMLsNvZNVz9CVgl8A4G5eE0ekLj NVM588FmGNHdx/6PoY6BNmymHe+zx95ab6b9PM5V4BmzzKiuuCf5FzczPRquRjRnb52+RjsOwlyU X9dsH+o6L9OMDIPBA1iJnoxN3RPVoEWFHJRwzdirCftzGuKfJM+IhuHbWPYWoe3V1fmNU5fVyszq gWKh04t0Q+4aDIkFPess0AOO3Dy5hRRM9mgWNNWcElkphTZz9sPSaqb862Bm7IUSFjA5W9XLz7MY 7wVxUUF1ZkmoOTTUOb9KjUtBNjCHYh2wCjSX/ty5GuE+63u30n+HGK4A6TIW1HfP5usIWMFY3KVL yZYC3VGuDyTvBCJS6xt1c+EYg0bT3/ltDOUarJQsnt+f2iXBHS0C+dhwrv0MVjeG+O407ZkVQDyk MTJ2hdj5jyLdzWB17Y6w5Yndr7uiyYqydx92VAns3FThtYVFiDWEtaOm2NJXqOQSPH1PWsHoKhSF a6/nzP9afSt2fQp31VqP0UGhZJWBHsY5OTGfDGNrm206CAowa6nQI3a+7zXbiQs10S3gWI2HlPYu rO4IPtCOMPwFR+Bx4p1dZOMidjA2sOm8ehx0z9xfn9/ks0F6RSHgviL+8w1r2Z114X3CcPoBlBb4 xRKv1P8hoClMCG66/7DRUi5OBUV1RZq5c/rD5h7K/K33bCRoes0adnJKOAP4mJ8y5fLowp82mGbY 7gbuRMJgxuzw95JXW0ciJasRZSIlp3gMCt6v1zsa3nHnqoUDrzr7N05SARtKH2uojpLs1jjVtDp+ 8L1xxz8kVVMzSnDZb/VP2zuZRPJq0yoWfcvUNk1FU/6IUV8L1GempnXnAPvVm7E++pMkiFHZXGdZ zjkYF5YydyKj1ls09hp1/lYKvz25MDWpPeDz5UD/roCSeUdajun4Qsboc0fzEb8xsRnXF1OINdMZ HxFI0tGG2evziyH7HS1M1g0PZ7DNs7s1Lu5GgC4Zs1UhLXQ7ZascnqE9njEoBRMW5cRg3x0dOa2K KZ8lU++sOM6VpiBTTQBRBqC91CJvUPv9YLcBtGEU7yOaGrGaI7RvDRI1uEQ9+YlvsMD0weUcO4cn ZJB0OH6mTEVTBFykxQRqe4UfiyzLJKrUMLWO2smaoHS6I82h23kWUJ8HcYPc2EB8zd5Y8Pwsu7EK VkwufJx30I7Dg7iCGgRhhD0lmvQkJ+V1x/xRvjtZunrMo+J+aX1eHXOfxAWBvCkdAiVxqs2BMShl U9JXLprizFblVltrh7HkYiRdWm2gJeacFgRsGC5pTkIe30ADtdZxAWIgeZmkM/Zf7kD7BSvncyqN opZIc56c4ppw/xNVlIezO197iWg2yPHToAgSog3ciZ6nZZQ73ueMEryrDYWdnrI413ehkG8qpPWv hzKN9GZPosz7272B9GP9qDI/vBjcAjxVnkbgvVXn25Jotvs180phLNAAlu7vVZxCpEET5duc56id beEuRKISRxedOTO8/S3IV/Tpj1jOy1nVZd3UMo54HtezAK1Eh+egB+YJBUpslMUWsx1cYSiEeloz Lix0ybZBu9aWitqTYHFDNFmDBc8EGsb9ajFdRfKp+gadX+jpbWQpjdRxgrKwXPG0GKdhGhFSHa4F wuFwX+vSUv/iMfvk0rSxB6ZkYmIgGJlBpdDDGKbGv3RM3YBI5L/AMjQsp12gjEh2/DXM9qFgJC/o t5hTdP6Ywb8bjwIDMlh+/diFVlErjq4C/dDGLiFN9CmSenn7FqTjPp7wkUHf3CmCpNbzvG81/3hF zigW+2x0Kcbu2BL48NM1W+Z8pt7oSAC53wkOnNv01tR1fE9JYoTDc8OB6hM+9uQtzyBJRX4ibKou 93ToDFnOlvykiEsz/xfac2bbKVoMA0paUmXLWvNAjmUtAbRik5QJP5h9+Yg/TnWnq0dxpTwi8+5L rhT0REYJGOusBp7vxMdswqH6cwmPB9mlRp3MMWXRQptWjadjR/STmVu1yFN93dUPcqsm5ms1wv79 Yq9RVLIR8LuvhbNmuRY/ssEnLCaLiSQDW6hjGwLKcyLKDB7PuWugl50RUvJy8gfqqe1O0VdXefMD 4nwiaCHLFupQcH+i8i9Jd1E/6ZMQTW3LlcFRNAbWqCl5TJf6Oe8Zsq5KX2Ol3JQ1b1sEOgMJOSVQ wns3J4gbm0u+vWuqaJJ0v+z9CGlyXVtJTf8t8jgAQijDhVKIogCRcKRCfc6wIDsw+3FywwrW0R7M yvEzEX0b7O3L41UBg9heW08cSw/7vkadzCvIi77GH1oqhL0bmi/zEgtu1lF7jtBWGCaF0z88RH1+ +pamsE77W3mHiQLOp539hefQjTMC8W5SsE8G08KALs08OAAqiw5D4jY/pQvSLc1XUgQCTYz1swQZ RekSyUF79WsiX2yoR1msTZmjAIZh2DdySNBQCct55fOV+NENu6v/NHIhj0MoALgVZjwT7I7scuO1 09wISZpTYRO5ILSkMMChQctUUWmEepMLacc+8Cue0gVcKEf2gVom1pGvwyIz7EcUQLM8KmtYliCs KAUvffLu95mscJO3vUSCCEClwiOR3Zmcy+hIYeInEOIlrR9TaspiD+4D4rE72aUytP8TGmVEAZFE CZbvTdcLw30OV3amakNdlsLwhuYO0mZYW1WEszVrs2lxyRNCxhvk1tOpUrLS4Dy80Ukh5AicU1RU bK05lBvAkybdDaVGOqB3+u61aNcvArdpiTOrImdEg57+MW+2mUh76gpp1UphTNaj9nf0/AfSdBWc lecJLXF133pR4kWBT5EIbwcaNv3jEmw8lne2fpPkNBagOvJYy0MdfdGXUl9uI9itLGuPtkdAtHVv NN3TiT3wy8YjUkLYooU+OduqOgc3PoKKVzcqf8tc2jYIdI+YnQFnUm7m3mgMMMU5ScQJVz48xgcy zP0yTtpzfWS8WfiLZ6B3SeoiqqPK478pkYilO/csoAjPW7CXLR/U834seFoUiPRQxiiNK3gwX4kn 8OpWYoqkkJo5pizyjsu2wD01TMM1mPglhXREjhedxnSdA2nzHkdKCqXnibevKmOD4KCU99hxtk1E UERvTQUwrUi6cXzO97sps5HMc3FigTZlWXVELFDYQu9iNywVXM4pfJk450DOZELNA40/Th/Gxrp/ uvrVLh4rS5oou1CLVs7bnPmgOdwRWIFjQIzQXtZzdmgvtMDCpOCSusZ3EhvJuVJsbywZvFMi/8TL wavcb5OyZLLIc7KfpySYpN4ZT9StF6b6lKQGuu7dJ9DIt77ywEsliH7uzuooTk3kpslRcsZgssJi 9wEckr3wKNwxXepHO6OigE7RE+GuGeHwk9PrNOOrleMhJ2ttpygLhgSZXdYZAXhtX+60zfYwCNwK 1Mtl3G6dIHXfKL0O3dO2wI1VPMczMAuYK3PLSBv9TDBSIGpkhJWAXI43ZbYFW8jnoXraNCQv5aMo cpwl+AVnR0jROyASn2U7v7SHdm6T39aPBx6YSNbeyp6m2LRHo1LnGDhROV/jpJKeOPnFzoNyZFLJ MbVkgKyeSpvlNeDGkYOIXEORIZshQbnDMLPVh3rOOmU1NrXwbY4JilY9WW6G6gK/RhXIi6S6BjYP sm28ARFP17hlU4/HrDi/UurXTTMuR2hsWKV6sJ6QyMxIwu0Djfe3LabYuM4B/X1PaF+7NCFS7h4z iP0tIneZRqjlW1ekCLAxGq1oT/ThHzAj9Zqyp7uhvQXJ1Cx91jPREZDlxSitn0Pb1EgjYgLVBp4q NiVfS9UvUwhS0yoPnvWF4gzlaomPFuOebndtrCdX7qkbDl2BcyRXQlHi6k6/92VBIKmazgILWxh0 mSjfHd2CxEZ9Gp99XCkvr9eyMxY6BTrn4SANeTtMpYmXXrbWeYC+I1lUXUJYQ0aOyPYE2lSUqrf1 /8kxZtJoyIHN+xIFXFbpxScAG55zR45WJtxmyFi3J3WlV86KUdxm4ff0REkH68C5yZ4snV9QRRux b8tlIqOzrV+4mD+F9148IrVgMNYJrL3eE5lW63ECXXY0h9yaVXS6Vr1SYqM0iYSwoVkDomRdBx4c gFL3K/zYxntEm+aRYonsLizDItepf/OvmWADTV65as+qIvGZorio74uLFQvfs0bRre367jR0Ur9F 0aaFjgLRDLDgFdiW5Yao6d0QoAQoFBeSSDJC8pVGSpNawJ3VQ5tWJwt3j5/q+5z8UerktbRRoCo2 iJY1bxh3lbBrlewSKWqjnU4V4FL/QfRymU5xKa4nAzl0eWIB23KvpcpLGjDAMrUcBioTj1RVQlw7 Ope69j6ioyxFK2cnU5Z4tn08Ozh5j7dkFWVwSdL03Qgseqlg7aXVqYyxCJwBOLZA6CsG0fP/SQ5T DqqBshlDHhbGMn6YKuJisvRVE7oKBg/4bwcBj+LTlZymhwXoJ0xl1hF2zUpqNnbCt/Q6C6fE9WU3 UCxRSP3RUG1TZn66rsMsT8TJsq26lkHALEI/faZf3EaXpBr26KROilEgZNFYijRGIrxU+MPfQDOY zQPro33C0JqYCI64O+Cg7j9GJfklzl7/iMTidUH4RHGgewRsRCiPAr+Sy9EX+XOZS94MoBVFAT2v PS/YCJqDIW29vw8xK4ULjjP1rkqPV5kXuuZH4Oh1AIOblzzD/TemC58OsynOBHeFaCiQvqGFo7Do x2Y+MzLhNuIf+qmdGbZzmbtogkVlqYEOEB6FnXMgU6ILh1qyUb6HccEwtmhiKG08a/aWKH0qcZsb jjAu0fs8HIyZ6L2aU8NJZ7mZY+1hp49Ec9rzAmewViivWnMJtt3A3sqxS3E1hohaEtW83bmnq7zc 5OVLYgSW0j/rHWoBgztGQ7sFUZbh44I8r0dTsLPUXmiz+VD5997tww/z/Me5cAQGA/QI0k0JAeyd ue3zZbTyomcxO5xQNpMmZnDUSnUnCaiU3M+J+ScPuhUSaOFa/TSVO2ePhB58nJBL2mkMhLg1Ldrr 5ZY6sBYo32kNZmtZG7n+Wzgnil10r917m0DexHg9gM/s+KLDlcP7wtP/+VsE6PIHKhblfKKuWwh+ EzlkRFn8V1bd/SzsdpVqjzP6/NCCu+zuSG0+k/H+ACbOEDZ+5x77bAGpelmbCiJ8qy6Otk+vvGBV hC8RMBNu2GCtOQP7w7LdiMdRcXC3U4QwlrFoHHRth1tgncOt9SRWr6C0EYWDtQE7l0gHs/DcgaRP EfEAjLk1+6XksOdciIzUS0Qgzqtti9iHaLJnFTdGJa5Z2YkdZu4zporv1Gom0HvQh4YvMzWP6v12 04VR0KSXguJq2TE8dBAE6R311lp1C8FabGp4pjYO+S7hiRhz+HZnZvBnrQkj3GcOhxxNa8Tru8cV 8fUZxi1enIq+4qBeiF9PCSMLkuhbJS0gPVlI+19v3lhGdgOmOLD6vGf6q6O/3YRaffdLkjSPNjwS 1dZedrC63xBr6fFwHfp8RERBtLnrfQGO/WWvkRpfh1D393d3vRT1NTe8VBuS1EGmtcdsiGHLpoC+ WC4A91EyS17Pb1QKA+QEWlHr7ipVMmw2kCIE32OKARwpptj/SEy7T9hS6lXXWAMEdguot/ODlDOK FM9ol4wvb3/wattOkJXfXlQZjJeIizIQKqF29EvHbiz4K/JsJSODc3gKHRdp9PcyiiHKUTPNLO+R yLtt7ZUfcjNOQJ/QXCk0iiBDaNz99IKGCJO2Wbi73umuvzqFut6MGv+s1tGrw6OA4ZssN2rzpQ5g fT/Ou+TKsWRkNQUkmB3lNTxOgJ02AfkISSE+/Y9EHI6B7tQi2rSP9rH3+GtSY0ylK3YQG9FobIAG F7VotgdYMq5xBM2bCoOe2VhuYwk8Wrc7iy8cVKLQsuYOv17IVaGnO95t5NSGRZBo5OZ9nlggk//V BMpwVrinagaYN4aTS3jOarXHeuq5CLw3APXuD2UzlFBDaFyDKikgYL3tJsgaa7pIiOPLO5VmjlCM +ec/NwOk5ogmeJK6WxPL0uP+KModYbrhd+7PP4FaTo3mntI1tApoAjl1IHhnm7tLjBlwyTlbBVMG vLzM1JS3rv0FKqqHv0J3Erwo8hmL87OGbewguKeihMJDS5VC8z6v7OvWJuYSi28kn38aa40L/cTw Hz+agEyaKE5sfHi2RHm0dv0ZrI0uHAjw6Yi1uP/0CpaLRz6yj9MAv0ezuPsVpwxwUSOoDDR7vVZZ k2MJ9k8Fh8kDpJUNI78RfApSbrSgjgnCFaFr7QtgTMoZqBwv4RJYwPjC0MbIA9O/o11p51998E7h r1c5dVMR8HArGUKULRBIQL+X3/J2KdTmVw1jScbn3ByyS15s1UN7D/70i4aC/VEe6yYMyR/HMuWW BltQa06kxH/K9DbSbCc8XyJIl1e81KrnbyHuiyXANp9CkvESNUT8eiT+sKmsPUkLKuaMmNKZGdBk 61HPvkO++AL0tdVP0n8bEKoZBwDaT9iTXmN6SZWT+Q8wo9ae2mx1zVSPMp7sD4bN028hERtmp+eW HMCPWZpk18jtjIkILcgGbJzSTCD9I2fUWRcR4OKJnk+AiOyqxLCd/JhF4uBnHgFvLx+FdZYEEFZz oC+oCTzPznJOdrIwWoNbSDXLIVNJTtEI63ugscP3DVYclskO+ta0oMVWhDUqeUCpJIZXZ3tLVt1h lAWaPIPGDdtIW3x5Av5pgQwLoDtdmi9IT3y0j/dW8QNy+k2UYlLki/0iUPbVYbqJbZ+YwoXO24su +TF4BjM2NVc5QI/FzFP1mU9V6vZ383ytkGMBzLOsg6jATLvNUpY5FvmvrTp0SmzvahnR2IhZNpGc 7Z1/SuNrbLEmXXQfQpwUQjja7UT9blc2cMJSzuSfR1wDAc9bUng83rQVyjhb1UGUKP+IwsFYwepq PPprJs+wbSUXNmrZalhSCuTHziD8rnZuNkPHhl74Q8i6FYTBKOkQfFvOrjMrI56trh+4DvSQL+wL 7RareiCfta+IAHWciz/LZK7gIwAgQPrVyJhlKHtqppBgpsWK5KbAdgahpEmdNn95jGGTnts49dcJ 4o6vy2uTNqVPQYaIi8JQktKJfjc+gNtO8n5wqIW9uJaXQSXJNUZCGQ80J1BzfCKiqOuWl/fTMGV6 vrvtBWYLYoeTC7SzjKLgLu2o1Ax5wTceI6O23eDRrlkSfZEn9r7yLlIUx5zORqEs7NPMN8oNzsRK tDvG2OZnr+3/ALDuM7rnCrJ/peBxJO4MTZr6NGJdfllICP5CkW6xkPABtTV8JrnXPxBXb2cyEUX4 0VN478v3dBWKE3V1A9QS2pSdqLiNQfYlITppQhhSRHlqRcbCyIy/EXbeZi7q35nxJxPEUs2E46Ws n0xn79pdbFPUaYSxPSsl5DirbhCXGfQERTeJKI8vrJ5XUFfXB7csF1jdvivKu59UalcO/eSfFPh/ 34hVL0Wf7KP/RgUI2LN36NvQ/IEZix6EQCWlHG/4x8haGjW47f3WuK8hnr1o+yC7MdW+HqUxVksV ZZxII/bc1397fE3ERYfJJIOqUrlJ7xg9Lc9o9TTCeNpOpqsJITfgnIaLvvSBwGxscK4nOWzmF5YZ hwYgjC4A9quyYB560s/NY7+fM8WuV+NVrw8JbCKZdK8ip77R1NcSLwo8tTyEsVFtOSydxRvKam6p y9woXo32Z5n298a4bkDQFSCZGYZpkrFr4h45a0QNUS70ihb6B+yGeHYfoCqrpnFb2OUR42z8WTn/ AJT/fKM8VX3PyjPPi8DEUurwQ43VT1qzn5reV0JjQ+sRcyhiAdLN5XYRBgLzqD/xAjpmlTFcFjDj tKzRP5NiIbAyNfxSTlENcxG8XFicdsuK0swmROVct0uuqi22GmfeVoH9BTt7moOPtqL/MG6mSYwX 1AlSPe6UeMiBwNLLsMYYvLLtHxoILDLtfmt9u2JLkQvOr5G+CShyMCoV9vGFnbHw4AkpVsxSWl8B YazcBFIei+T60MhyWV0R5NjNul4z05M0TsWVTT+dU8a5rp4ZbbBqPvAqhSmCfM3Z2HrNkeQ2KXLM bdVH7WD6HRC8oSoDniRfRUrSsDHo4Rs1cVdQSlgj8DVPWaAfYfag/0c0D+b0xoTjOQaStydaLiZu Kp/uLrDE4lM9oMUdpGEZQBQHzZZGfSEbRac6knurXYl91iiP/EoU/m+uLIhMnqCIOylky4IwjFxl dL6xAaqxJc5pW9XBPyOZQ6+MCvJNpLWWvyG3Sjwn0vUeZEEq1lz1uthOnh/l+d3Sdsc1pHKFH/YJ fVJx+kC48Q3Lp07fXrHauldtrVv/dTTuzKLM9VVqf03YFq22zd08qbDduLi95lFPDJWAF/vRH5tz DAwBTjJGP3HvRoqvDIGMQwytOoIl/SgQQx5eEyY51DfwcQjdOlYfgue59+Yqq1bBUmwaKYy1ZPRR AuIj+hGygVZsvyqWIvCKF3bbARVsdKEnv+tNvibHC7Km+A3xvOBnDTbwOfTaEDPRG6GpZ4oHRbtL Q7RQmuinJwW415WImCG9xYjEerNj3ey9O3hLmpzC1ykd2S2WmKC8Z3ylFzfVcitrGNecH/kgcWhF RKQ9vHgxE6fpys3N8ZnpEwq/XysVEfXnQiecrZ6A3fRMwvf325pJlfFmaFGonlEDOq9tLWwLCTYK d+RkDf9VOituyCv2EwuaVTVz9VVgZbwuYAKfI/kUIDsWZA3ifoUJ2Gfd7js87OmnSj/KmKWfAJS4 FijHD18b58KFj1+4iXKGhzQfpM46GLzRgTDmcl3HexWUz0HtBN7bq+YSXWYIY9SLW0qmHh9utQBi IiLgj2/oS3Perc9fxqzho24ulUNiqmKFOAch+Oywty2Cb9yMybnR65rJO/8s2Ul9XQ8qjwXM7bni BZ15eLJvCkefOFTstYLFsE/NceU8n2SYv9DcdRr4m6uxwyzBSYrLqaM+UkJojFuztbevJObY/wCo W1C9uLJtM2VZc3aEm6/2Qr77Vx6+UhmIfujaw6uMrdUuGjOq96MkZiQWF02fBOy0Tk4tIStoHH8+ XVgAyJF00LeoAqD9v9SxTyOd1FbiLRhYOwwXgyDorUuhl65LOt8BxAy6Ch1ilFIyitNQLqJI3hr0 osPx/chwqzVQTf6CdrduBr16Z9s4L2zUSiRV+ykis/6WkLCyjjBbJ8MqZ95Eq4xc60dG0NYY5cor luxpbQUE25FOhtVSfkeN1pAEdDvV1rMc71DFvc6p0TURkLnSXbfeajwcJvw1qC0mDneF730xDGYK MiiRZvdrJZXxFtq2e8uNcOvvMnlRuda/2veVqCmTUy1WXFQibXydkzyG1aNKHfEoiRw76TJPev3r WN78eWkkS2vFBNOih4DfMIbClOJ0E8l5KazXhuUKDGiB0qJSuYf4jMIJdl+xEvl1f51nlsj/S52R ac4Fo5ALr4G7NyvrzMjsEGDLG80qmgBykZc5Kqma+qyq4i7WC67d9PiuTpRfc2U8AfoBddsFudNj jLNJa+sceElgDN41TK1sNjAnSkEbCuZapW4weyP/yGU9ITGvrpPxK1WK/nS6uldOOYJA7vvw6L6b eDt5YnvemDcOnf4sWMxfytb5k+gwTpe8CUpr+Mal7scl0UNhDLv+RE/Un568lAmqgLQcn2KlmUiW ESddGBzop6pprEaz+/BjWjVqLL7nHBquwurUQtbMlvLqg7LtN/SKsHz4nuDRqj2ueoawa+qVRO/D 5BU3GtHAtUSNZ9aD6sM89H1apzUHuxaWZQRWIhMa1t8PuFpHMOEecBIP1Z6UgabMiNZev+iFnQs5 kWDhK7gl+iw5AZJvIcIGOgaR/hb4F4UuOZlYepfjmdFd92LeqrV1vl55aL7y0UcOvwGmGg5kCvup UokAwSJpIgIwJuT2XEJsIoyxeFg24fRoSk4A+YFANYWNIipKMpIC7IjJH5c1sV02PN3LJKUNEpAJ 2R9DddR5J7xlwowKoyNm4CgS8QGWO7AnAvGca/k86R7jGyDu9/SaPR/4AaY7L5/zyUmvvmYTTxph VOFF3dhaeZu40lQjJsYb0xTXFKkVnP6pIB+vRH1ja2xzU/KNRryQGBVeqNqVGM/a8+j+GoaUj3jl UdcSVXvVA3bAtphVQbdmThZihjEb5xIajHzArGXmqg7J78GTGMOqSiaQR7CN6L6ZUmokkJIi1IZ0 Zn5Y7uxALwiJc8NDwm4b/oFIJRu5YCT+plVNW1cQzKKox4ZDFSwTMmFbWCRnyK3QI1CCATxbwGrB vcF34taFPoN9tENez+goocaUkQf8aiWqk91pfhOxJ8TmIPmkzevHehKnqfLdpllc3qvFhSiWZWZn rPfoKWL8MnNf5ES4PHb1mhGUHE1PmhMcNywwPtaTu9bgqA8JxeB5WjkHzrp5S0XEaytMuYLAqEkH cEmsKVCK+YOhKNT6PBu57PQfR2bgC/O+8GcxSBA/O/5mPjxLoyvg90WCz/OpBlcIgHnfims2xZ0f i4DPMBViHm/6EojmKtA1efifzb2uOOE5MSHLbj0J50co7sswbDg8SteJNsAgoqGy3QK/GJM+Gm8I xL891R/dK9PrRX9/7w0Z5UcY+T3kC/ocxVw0s4BT/kORD6PLN84954HtMpWuptJ8tsExkVRDQNmj 65ZOZjrITkErHJNg/Gv+FMF/mELb5vd71TdZGfGK9q7AXuVhj4IAMjoHR+zyNDcDP/5w39xYp0RD e10+hDSEYNHeBvvjK1Par0alXNe5DjDTf6Iu9kTdz0Ushu49GMovO79UoJ2Ud+4CfsivotzzT12L SaZuMgWB4kGsBEpVP9iNi9kR0rD9vdPSbyBLPsNr14mQ+yJbYjqyw3s16rlaFujQVE+gEGcwfUO5 R1eAhIYjmKdwmeWc9Z7GHcNw5Nm8NM3leAQIEb9HtSYLpIqifFQCddHgKhVCZu+/Pl0Ssz02Nszb d1J9bTP7h0vxBGIiLzwjqsmiF/8zgw+cCy959cZOQjuzXYRvedtuPt/tfb8NzE4QhHOTWGFBmB3e DTABDAbAQbPXAJ44AAwQc/gs96td7uy63Ubnt8KB4tmOXEFkJLb6bHdyFwyCpCuU1Ttt1pNj7l81 tGZhx3DUxVcJlFYzLN5kONPuLvrWYJfig1AJ/VydRPX3Ty33LKU4+5vO2NF/uCqER4SLQzoUwL/n 9DWhTTMpTICfalF2OmrGH1DNlQzNtWCXlaiMVaLWTtL523UmgliErs+onLPTZoxRqvExoQp/4UAW eLx2THhs2KdUsgdfS6Gbh9qxedfdEH22WWRmGvDHZ/Ls0Iki4OTE70LOetx/4jtCGsCaWIYVtcjx ag6cwrCUkM2mS1VRQANVEcLgWA3coiAy4YHdRYvb/FwJDpN9uJ7s/PbWoZoDsHnbp9mgQ9mhkImU 2zZ5GxVkFJsHuJn3Niz5V15vESkvK+kl6kgSCT2URj1kAGNT/Yq+6roa3saK//kw5p1iS9bgEWVM wOlQCvlGi/Xxup1AAfaIp4BNB6Lh5/623k9/HBoX9c7wD7UOU+h80Ii2azn1qWnVysl+qRUL8EbK JWxeZKTNAQVfUkcwOuJp7Bic2NSYNSR57nDkozfgLvNpW1dxQ42/SXA34UoJPCwFtpRssFOWusib X3xOAH4NQyLpBwK2EGQlN6JMxs0Bjpb+HKu6oue/w7EiQqpdVfJlGKrCr9aGVlicXE+vWxeZL3sO /qsmNj13nY3aw07lkqpyNZWzhcjQQfyN6X/YnHI5g+s+9EOyY4YCXgBfaNO3QFNWNW2IrijVbOhp baZd3X1Vl/WdfLSGzn/hjaq6zdL+l6KZ0eraWXzYeFEP6n20n0XJ0o1TvX0J3+PvlwMzss1qSywv 0XLuQk0Ou6K6HnMFyqo4tNHyfytjrWTT1+12+EQ35say2mkM2OtF+TXipsH475AhQ5SFXSqZ9PAp IKACc259AQtAUxrjq2EblhQfnD0A/LxZYdwcL694q+84hD1qlDHYL15mglKc8mE2ZFa//L3b1trp YQIvro/scqF3ykwhuO0IwIux4lUuACWJhht5HmTgUDp47BkU2FAY87omhpPW9x/pPtx38bNiPKtC HG5vF/BHw+IXSCOGjybN4ZGmX9K07hYXrQhpA3BrWbwdMoVIPzx6fJCGA/nK1w8XJZzOTgn0fQzw l8Bln66qBCkPSIStoiExeyMyoLqjthT5BFlgN3760zSz7eq7T4pKXVgWh5ddMCWMNPs85/mhZOgz tlDTU0CgfuOaA/JxEvVHhBq5Thp8BDMVGsP11Zm/isbFCZIstcr6sX5gTg4lDb4kTgfj2VCjYECv iKUDmhvPgqrZvZHhlVQvCin3dYHpBfudbSopm44Wbbfibfr5IdZnDYcZwP8pH1TiYFGj/tZd4kF9 6rniaA//wilPsFvTlzMJcG3wkh7843NuD7X2bQx1ZX7UkQ+UCjhfUOPFTwgDQ7mtTb4h1zp7YZaE 6fMnUL62w1RKTSDuVA5dxOFQoavwdR863FU31ZJ2HIMaK5hBuw93SzCQUHqmd29zxka5QfOoBn+R BqHDI2MaP9tNV0xqmhl1iz8hu2H6ahwa5Zvgci8cz+OucrPAv1k1CKcTdUY93bOdhIBaPlfeVAlr Ag/AFJOC+IYQLHKcxvKBW9KK2QwYm4dYecCt9Sxmt1+gaiK2Czm4mk4LC45yY1vBCYFr6tgzarei F/BXqUboDSjdTjNsSmEIlIiVyA2bR2pycAJSrvXPxEYbSXW+FdiAu4Gv+qmln4jJvQsVfHuJOLjZ O+EwxtZ4ua7a0rskLHNv7pnpBlV2p9zjA09uKA75k73ncwCVTvz1spNhJhSj9lApseYe8tQWn3eE RqnllXcRQR1AjIN+ARGNYUqHlgP1Nuormh+jQBBZnDSo5tCOTUsHgDR4MUqdNcsIruRMrqctCBDW Kv5ic0Udl77s+mTdCRy0bDlUp5L0/8rjZKuYLedO53jZsYaEUxxATmZ1eYQM6ZdkMsrJrZJ/hleP v3ypYvU5/B87YbujboLOOuxrj4N9xVZqI1yvQizd/a3RfHT5hzjHJJCTw6xWz3WoqEBHO/bX5jVv az1lLgPqeLQxULQ7R6AKi+DdmL1N+S4p+Q6Qkg8Kl2xHe7OXGak6pTYOQvoGiQTmX0rJlyf8LH3z HKhZBoubak/TndskNaheH97vUfvA4xf7Irqv5GgTMcs2vj/s36i80Sviacsf2vGuWxHhxzMoRZoY Yl8uCc7rUOjeNICDiBogYyD2cen+DysyNdprkJ69wImoSJJcYwfPl15Xd2NPm55O/eBuqmvCvmQ2 Ev250pcW2bSxnz4zJpqMItGXPzYDxstx58KKdLppY5CT9P53Pnj3Isl1H0XyLavBff8zfZYPMZfP Ywrv7qvkq69Khr7buF+aHbFJZdUZAL1Er1bgFVqwTBVC9LXtU4UPEtd1/wkVx6HLT1Z9KTUWCCsU VTjN2m9eQxzecE7WjWCxZtgwDPmdlCshBSOwCiY/ySpa7KYg9iGD/gk148NJkkc61Nrm455tfesf P67r2oQR5UcTBIw60lSqlD01riK6fw/OI9OyO2oojXlF+rUIPZL5UWa8HdKl43x3Sh6e+yOmMPhR NTwFDTX1ZD26IZBJLYZN91CRP+B3UkyHoSKaA7vTykt3dW35bZyaQ/C/I7cdCu//yRhxWsPPBrr9 jONQ/PL0ASFXdxShwh+ArXesVw0W/DUHN3yex3TZCFZ80K1xJS5lziqXQkUIRmkvgPdpT/zLtWwb KNjOhsV3//Hx6hQO80Ns4xd/mdzOEiZo329gxi+5nLIrArV2MBlOk9MJHF0OvoZHIgz8/BWHep53 rPscMuxGj3wnZ5bVpBBYeOeFFXPKgdkx9nhKzFCiTPQWn/HC47Y/B4GqxcF4dfx0qZZTst0KGK3g XVaL5dEg05zzNUYvAmymki/5HWZw+4bloM5eGwR5wDrgdnkmR2/gTlIvpXa0PrUnWTBWVoGPvR/f O0zPlagY0ItOv7GyUJpLAzlw61DCFCAb4SqQG6izqgLmoyPElQ+RMkV9PXh5MHHPGO8Z9VGVd+mH 4LhdzDI/wDV9oK5iL+dV6nPIKvmgb1GRlh50HBxlDLLxBqa+QJGHlFsj3LeyKFfXZJm5lbqv2UWJ pTRTaPKmxUiN3C0kVftd43IuvupQ3i0A6V0IdzMo5OCkT1Fb1+9tqoVad8FAq+YI/GqHyIv5ZuF5 yuW9ccIiEMdWeIGCg8VIGQf36E4E2JW9nViqyMcWiUTy0DAF/xqGopA2nWw3GfZehyxG8dfMKl/v T/dkSyqeVgFCb/HyfDslMdjyo6lgP3gVvx6cJPCXZWuMo24teYiTq2gJfy8dWFpTy+kXhHAIvqgl VK6ekUzfJbeBLGjmePv2hYuP2QZ0kv1lzyTqWM4sjpa4bdBuFSvRP2X1cniHXs6muV6k0az2hC4a EHCNz33JTjvmEvjbOeBH7MzsFj+skEzSYu46UrN+Jq5JQj6qsQTfb62SFupdE9OUU8IxSJntW/B4 q2n8uL4UBnGvVkNpfsZIawYaODLdb9Frg7LUU4p/tnJ0T7+ZRS5lcVDQ1TzgHL2fDQbvmxI2xduO 2aanIcy8d5MLm3VbnyKuVlZ+NY8+g9Pyjyq09D4Zj1p5OJeTPuKoIur154XJ7nJdnWKgcPb3avc/ fm6zdJ5/riNisKKOSoswvZwwEo669ulfyoZgFuh3vEnuUOpxcQX+q2vf/4E1wH2zzJds+HifXmSr Vms4dHHZb0uzYtlMer/pyz20yck8vkmxLu4GzjmbsZe07dGH6+H/wmFZvV1gM21CXA4MQpsIIAPi gSruaIgkUwrLPiGP5VZ7A+cdM3Ls+gTv4Lxrl0+nrtRwn/82kJRU0e4pNDcbd8naVy4mt5FuYV8c J6Yo0m8TxOLr5aTNaTrq5oHPXhknk31pb24ymDXtHpahOA31AvX4vAFR4RZ1Nqt5WHTZ/Rg0LiCg YlAVmA333n6z42clxY7q5EU3bIXRaeoVNV2hCUMZbyyIseZa3S+5+CMMvnhkaUWpKtmSV+VMCeI/ xzTcHLdNv0RqMvqaODqk3sb2k10XSrZy+OTHA2WzwCRrQCqTrOfn9Hm5HjmVqeC31/+2Odr/xrAf QTlUwNooMA6oYfFzMt8UrQxoh3COWlNhwWiT57vqy1QM3upVPEOxX7q3zdaYMpxEpiPdjP22EBls PRXWyXGsy8nSneHHTgEvCwyCG1IjhzqYcuZYKVGlFBuKiC4lCsnXF7uHoRJPvrKT5202yEIOPsuY A5sMXqh4h1wwugr1m+wV7WDak630qjWDYsmNYYdH5UTnTDxqdFSX0bU8xpjZyU6PUTLzt9cg8GlI WgLeYQ0JOagPy8kt9iKlvORiao7LjxpA2nqlZLsqGG5PhKdU+lAa/yxNfyEwLfE5htqARupg1MQG +BDV0DShSftjXTkEecXgmqHwaMgaT1VCyulk5K6C9VLf1rtYaZ0oW2rCon8tZOGHrME5yM2F+IC6 Lco7fkxMxV6ImF8I+Kv4hH3ec6S8JeRXo7+ODTORIYPbxndKZYTHhNGCjsU+KdUwZr/BomK6MD/q oVyJWZFVxvH3Ezp38YNfRNc2NWBlvq/3EiYDmlXtgicb/qs5VJvh96nvZtkcsP/S0rnuPlC/6J84 1rHTUT/6oyvXJTXhIKHidU+8Am2KCyzli03QlItFkqxeAHgUzcgBgHYOfQjSF3KhfPp5eluINeKs XkWXs6zYLWCzyr+9ZIflSgzUf6/v1hlvPUS2vz5q9zor02uIeHsideLdQmlf7YtCIgW8bhaYT/IU vLdztzO+tSQLCAh3GacFvjcE5hvKFaRfbQWinuPWt/hF2koIpkdS9n5JMex/VnPqz4dQU9F+P7Yh +X3G85cWGpFDazKvwNshQuqcrWcZJ5zeDgkbSFmS4XSq5cf/UHtRQVar6qnSv8wOcO+F9UZuVMC0 YN4bWzC6FapSCLcTl4FbkcSlG0HgJzIr0aPjSMfAL86Y2gS6hqLlk1HxkjQUfRGV3REOCrvWVuqe 6FEthh0cGW5vvK+A6GevgedxJ4ylzZAbNGL2lZJGQK2X/fpH7WNhHFI6XVAVFXbbY7/Mj2hoJP63 gy9+SJal5SPrwDM68ntkjbVOcYG1AX2j9NzGLXn6rw5FmsCBdj4yHNLoyU9KRojlfQ1P6I5q7Etl OqD4zIzeGotJo20rQnZPz1a+j5+TLpBnCfRp96350Gb26uVGoZOhgWXxW/AffR1G1N6LLRCv45E7 0VzCzxgyjOCvPSF9mZ92G+fzEh24iQHBuVcIlE1T6hhp2vXgmQFuMcRJ8pdYqfnT19+Yn2npyeae xqEu3g2O1FXT84F/V46wkCHyDgdP8CjS2TNi3Yqvoamedt2WM/NMhYrNNl98EA2FNkqZWFmedLro fOXOAE20VfQvLs6sZL0PLCn177ewHGlFr2G3m/U9v8zGe8x/o5GvgePe6xbpo6g3ZaqfAnY07mxP UkFNBSlq+X/L83mHVqq6G9LhKAmVn9X4WMKXok/ZGW0kZunCfqkGpWqmBN4IFLxlBKAQsngTYcut GBjGjKzl7n8/bU6FVaAe5DWkwvKVHUy+vhsUs7bM+NGmMvzKGfS6qSPPQbAdSJwCX3UZh+HuZF6N 7rBvyiG4JLBhiHsVk/dXDgUN8x5XS8ZoeP7loovp8/uEjc9Zp/1UfODDqjHw1fbpBFMXBX6Sww2z VxMdLvrA1RW2Uptq4trLUjuXB2G6LrgkRnzcwAQ8boKk1e58IY3uPCwSxLynOdV+6fdBVaFM1b8j j6vqeeinKCf6wvf+mtXYpXpOVtnp4wIZ5b56wmAQ0s7tAH19v+Z35viZk9bELEMAIXhZ1KjjCNfY vDwG29HDBeYTE+HBI9H7i/psp3Kk9W3l20As9UlUK1p2DZu5gixNHvgrmHRzpu/kuG7E6bTgOxB8 jOYY4x020FuEN6Lbn66tCmCcyHlwMlVH/JXDIgbA2cmN7HI50j9Sm6WzkNzopoFKkpDXSzJreJtv xC9u+iiNuQMESKvdFa5WimSmRKY8IKwjGmefspj5/nKOX5hH4nfvn8yeu8aznTTaNarmC4hnl72j PFWRJajYCPIUkLMZcEuX4xWmmcZjH4KJCJLyK2y4OWTivCpj1F17xs/rl/0r/21eKwiR5sUc+eze i0F2KHwkOnQ5+Qo4WkiUFskVZBFIYtm5QeCbMi6xkg2VH4/4Ih78xPy7UNxknMbzTCd+LB2h0pGA kw1p6N/wQyqrTh6obNgKrTDaK+DcXfXlvPFoS82CGhd4hWXGVkzIkJXfwPdP2BpnOrYtiqGupkfq hR2RkzTpDfoeP1mFkZFyC8QERq1yHsSio48NsyuSFRATtQSBgK0MRxlkrDxY0U6CmsLArFjNSFee Qq9uVDjf8PuM0P1L/T01yEzytnQ+d1hMpLouuY4q4GkAG6EHQM6sN4XfXQx4X02s1IBax08uBgFj xQmErVlTcC6QkBW8iPgzuzxWYQmgwPFlxX8VEhh8KEawyPVG2mNpaNSsMTipON3GODViHvaj2hGY lmP24hyuRCO72hQshYM/Scy3Sj4MPy4x45PGspTbXax8MUdZguVa1Q7B6p5sRLDmCCTPnhKThDFn 7uu3U36o5h66T0Cffdn85R3gnxvH0cNzwC9g2gT+1fEcjLlpaJAl0pxyr7W+knKwQDaW7UuT4tGi z92dYyGL/OUCKVFuKOubMup0UrEj9uYYYhaZSN+XrjkkThBHw3hMSLAIxXqQrIQaBPw9ML2++Bao f975HqWacRbQ2xKIpEHWjsu0bmOcPwcoF8bp7PETwWlCSIgqp1ER0XP8djHv1V8rERb+VxLK8i2i F7AErP74EYdOiRj2XmyXnDnYx5EwcI1abgfMpnHCBBBdUlVkwF270Ww/u2Hmyz+bDYlzit7IlccN I5rWQhgPUKyfopf882jgbN00NazUcjbtxj5YuRyS9+6cJDY124K7tByO9jUr7RpZjD2jIRhLtRWx C2O4sI+P6+BB6cVJDKnUgA++7Id/M/n6H40K6FNUL5ymWYVzNXcsKUJKPw/jZ4dXRTOHBfo6Q773 MLFl7X7CKaCLDNyBbqFxgNrH4G/F5FTZAIwcePECFNWbXN/4VYJhigWET7Wgmf+oRRngeYBX5Nmm 0T6ecKi3zGSzYnfAsmKHaYRuUT2PJl3+SB45DVhSQBpFbaO/HK7qjrFKcEt/+x/I/NXJggYDZWu2 ySn+Mk/TilmoK+QO9zOardNqS0ZFfE07qeznqZLmniEs/mgRO1HfuFXjPPA+w7AedRI/6y5q2V/j Z0b3FN4L6AZksGKAsRkNWrdnZGsPhalu9r3Jn8RJRGF9uobW4Mg5OBE9l5U2hn53gVXNN8XeJbDC YowtWkQHrv4N/6ZKSE9rh3ie7mgS/szM6aZX6NWxecjdDZs/hdE1g6tjX1yR/sYEa+V4fTCMkrxj 3pqMVnLIxbMgrqWFrlVKK/xRoafpjs1FjpSVa0yQQyb3+tIwmvcwWSd2ATkdMWMvBJL13Aji7oUv atXENU413yCUpaUOvkuabBIZztCru6y5XRK3WboSf32+nr1UaI+MdYHslX6ZnbZPFM+0Kay4W4v5 y05JEAVFZ+mzGRmrr+nn9wHMsbFh77sSNM4IHPmKa5ipJUfbADDewEtpq7V9LUqSAgqEqWN0dekx AYPlpszpMNYdXwvgHPs9SgMZ30/HQG98Nqw3A/szQJnpWdKC/+65dEdSSgi6MMeJgQFrbXdNDApN E1EKdcxKspRoBtclD0eEhgRwGNkeh/WQhN0P+iig9FTFJkj7t5ByuQbg0QJPPqWD3uI6gQYIGy/5 own+wEe28fs0QnmJw2CCpTxrP0jJgjZfcKKV5hSca+j5wCT+S8rnwL4h8QlliK3zIX5izWSbEDwp ALecVLKSguxpjyAcnk5ryM1QuneGRTtbJjXVCLHZxsGfPAHmq3+5Naf2657h5ChfHvveia2VqMMG JxU3mWbsyLq8/HcestiQLxgwSHDwwvg7gnGPPTeg5M4W4PA/Ftmjf76Wj0jBrpA9eBn3diNaX4FN s6HMFHAZ0JU32ZicowtDMi6T5saOJLlxM8a72QGiErBrCDrq6ssfc+sEQE9eTscU3wcPSCFNUhJ8 sAdZjZOU5l2919dnoQczT2B5L0fdZMuh7xpbAli5uGGtDo4x+uh90/IaT8c5xUdx/am57LEdHHE8 uOOz3RBncn+Rl05jP3MqJVuTd24zJj99Py9uWaUMc1CWAIG2VmtyGmnN/iWa1wVG1bqLBBnw3pQj aCZS1PCKGuWGvDzvXBHHThasotOJ36zMOkZuFSOCE7MYpDNTwZ9EasHZl5drEJ84fFT1eGmI9oK3 Ak9X9sdejv/HcHqWDI7xMUAZUzu5xGudD+pXd4GahUoF1TPySqehyCOu2yo9iVC2nkupcME9OWn1 TtSRfalAff4S6SY+59Sn/GTpfdo9KFzjZSw33MIVkzvV3eX00BXDSvpGba8cwDTDaQ0oXnCDZkVe 9Q/LsYaGEdoz2L7m7gWeNjb0UDPpx1qowi4r3wNOLuBNgebn0ko0YpEYp9eS3RTYwWPjAqIZrboQ G5lBhfGxVTf3U1d97N5eK4+N651+OfpiIURN5kB46iUU9O/tSq10VV8DjDC3n5Xk2J3hgF769U5b hrNW5P+7v2NZL4iHBEGGNXYTc+KbCRkoJWavh89/oF+dSxros1jy9Sdhbps1ds/GYVisw1WRNxa7 QAzkKKrZwaTCbWRdpSyl1amPF9vCBAD7oZvmc8nannyQFyf8hWEplRW9ATIF7Vpv2vjfI3R7dw/d +ekDs+OSMOWmU5UuPIr+v/4AksrRTswaSAD3W1trW0ne8NazUAbpxx84r9bR3xMU40MMiwi/7dhj 8c8wFA//wPiWflQIm+0bH9wE1D8SAq5sEuAbih8f2POMuM+GmpAEOMRU8NWjfm1d8F042TA7oavr I/M3SXIyP4Ah8DJzlRpzoUeqsmJEA/ZDIFYMgEj6OhsgYUiuPWyfUTsVj4qmJkPTd0jYpBsCkeOC xszoT9RTqydd+//U4SW1MYSB3pSgZtxL72nEP0UdKxjNQx9NlqInMBRDAvOZBGpAEVKRahZ/9BVd KaEMDjguiwV0fhvUFfrLkuuJSjd3YBgyQiu/0QnnBRcTbACT0Fyfy/RBTX334hDuTUKRgdnu2tqT tJOp4cTRYmvHVuMu3U5VD6x9GWZYUQ6urzw6mKGofApQQamnQyOa5+ZfT1umQwbhtFVkiMvbb189 N/f/0yVLOaoUWFMOrhxa2h06n2O5n/Rxzc0VUZyVkrxEApaWIalde3G0u8o4agf040M4sTiwfaWy 3r3X7gKmozXZG3Aa9OWQFODgLiWQ8RrPv7qJKTX3fCn4sOvc6HcJdgkbAlFJEXCn9orh+bP0kaJP yRkBdCGlJvjqraWJVYvlh4I+VkCiTJjevoNfc15CG/woVjWGsAe9Kxqhh653DeMALEtyCgWED/Bi hLdtC8osYiroTMyzq83VY4XNT3AInXVfzJz5SJn7JhKDf8z47q3NtZDxc0PLx239+KPDJH1HkMaz evNWvFq8rzICMOOqJRawwDpEbWxsQdNNxTyg+2WscWCqV/CE2tAuGssCggOo/7yPgHm7g5F6Jwuh 7UgZKn3w+mP6qUHb3QQu8PditEq7vKpdd6e8oy5E/N+xyjA3nEcstBT7MeW27+HKaxYUjSjcZHPh CD4b42sCc0UGqZksRoEtvJcKOaWw41agMgMyxfRkbgiyxKBuQZP+CMhUL4aKhmeOmi9qFr7u3ral nZj8KDeQPsNUmxXFPKaAugCQUTPqd41tTLXVO4DDTRivMY3nD7xKnButf3WnCxEMQsRTUcYXrlF0 paVgzyMzCFaaUUn16UhBtbS0B3sI6VjneMYj63jP7v0rfyGOOSeex1Jy8XtXFINucGzdkyLBTHxF BtiYqIX/14sTGgGxteftEkBKB49ZgQHR5OrK7vO6DfUp8xXcb5437UMzC0mZjZN5Gp6Ny1CQUK73 cR5D6xM59LtBp1ALlbDfYhiTgVBvTGOIoGcWwE2DTd2G2XcqzuntBWPTiDUqcL6fm6YXWHI+BcTG 06Fb+tj6OS8+Cb6ebzexNRCXkt+Buo4Egq1UhK2mtChouxVHobliAFNWnh3XToRp9+TXGw+gk1WB jHhRgXjZIK0ZpAhc3aY6mlXW7Fv6HM3NLn/itThy8NgsPEedWqu8bzWkFp6PdgFzs+ij+YJ6JG5W 6cWxSmkbA4V9YZ2fCSi3K0AKxHgZkqNQ9erplBl5uBVBDxVbudvfMC8wCpSOYpxTheuRbHTYNFwK l5xZEUaODN/iw8S9dFr5o8ag66xPyje/KDKbNA5nITW7jlZ7wzaV+EVWsk8gjhqh10Cihi39ItQ8 IXqmlZ1YTqeBYk8RROy1NAC6M/jqHKi8LUPE704CGXkPCHMucGqY8Wt1XqQ/VnH4RAOCI4y1sMsr 6uzNeelKOTfSLpDYSG4vlua4il8hF9mETDR1QSAbuKzVfU9BJ0iYDL2E5TpEUpUu5n/cIKKm5g1g 4n7Pju/iHMwwheBzQAZZpZLln7UGXnwmYn1+5vH35IovCaXxJz9OVQj6icRbERnRFvp+A0S8sJNa dcv1ZhlXQFmM8+M7hTWm/JtoOCWadPvQdAkQuhDn0jQLd2muq42q670+whGAjKQsCBnTsu7HPtqh chhm3nAwiWf33I6KP4uGvMJyPXwxTDfc+bGQILeyKAY04zJewY0uMGzVobZGq7HI5SjZBHWSNf5Y Nzk5VUBwnsbd6XEoN6Q/mh1AAGDZGXUZBpf8xUgH0qdedS+7mtR1nHAEK0reIvAEoDYnKsi40gF7 54X4S444tyu7cP/rWTF5/rz3xohVId3cH1d9sUcY9dCndln2vSnLTUfQB1E26J8Zdq/ssSJygnQ5 jQkExabGCRXHBjqFloRyvGEJ8a8yRDI+qBddlRr/dUThTAGmci0ITGhvYo1bLgCz3LcUdaTaLnEH wlGMRE3ZIXxrFgtdibWM/PVvgHAIzblUc1PF1XmcVqzlJrA4QzahfOJysrY+XtZhWWJtpCG/NID2 j+dc17H49TUMaJy7qITTQy9wKeiCGXQW0Umzw5+6R7C/L+ScVOB5Z6tZgRS+nRHoxSszMDLjyLxc j42xPYbgpRws8r5zibhxlH/vXwDa9eszZMkkqsdQ1wBf1ioZ1Yu7jtt3343W0EJd452oTE5qLSmb U3JX2WhWk1XT9ZgcVTLfLAflksHqel+auQitOlhG4rynY+x9NuqPtPqxpQ4aYjyYkX5D+XK44PMl F/mlvyZiTDwmO9C0NmS9w2EREEWKGWo/3luI4RQyfRYCdkoBhEENmhm+11DGwRZ1lqlL15Fi9JRN mR3E29DGb20dC3LSCPEGQUhhERFLyHxEdmSOPnB18FSdCJXJhYmgLA6WlmSUuzeQNxMmAiNAY99V 6CS6hHnPWZle/G2QiUxZD0rLpGphErYUIHGCqUyTKv6Mh8s/7OlE0LAciQuhnr/gMYd4Ucu5VgKl RUY1hZZBY9Sg4nhmDPowTha/s23Th4mGlyuM5fAsvdGKqDIlELC9Lxj1YcyFT0QyTEPmkhWIezvJ 8J7t9WMyGF7HOc+BZJsWoTyoJKqklcnIEbhWhDOp8D0XsCgmljY3mT5lTTxyVv3QIsHOq+PvzBC6 VepQWXcitI2zs3MjWJAtqoz4A/aCWlnlVIjYlFouDkyecP4S7S8tGNJr4/f28n0YwuILa7+5BjDA QTx+uBnZeQFpK/nyNtfJlWGImfu7QYC0+jDrX0GeAbkkEVjGMY9Q/LxON/oWS/mj7RNUilDdPkdG 0JptuxaKJz5DEYIxZTqh64qfO0wJnaaMt9NF3ustMo3bAopzts2qYRwxfIvMJJ20F9yaE7rLg2z2 0FjtX1rb/eVrt5Uz+blMkNnvVJJ4ignKqxuKY17+h7y5iHJbH1d76wj+g3T4mXLG4YEtPZmRhMFE mFzVXJU8OFfkncD5OfnjmQEGexye6UNHg3xbVNO6BeS4hY/Zfp4M3AQ9IvYBhIQhqAFzxIetcfBk 53J7tGgWMTT1Ccp/nubV+eYIDxfvs05PhuoL5xBRwP/Mhnl9oGiBuI79efx18KNhVOJwDfRHS6ho ee0tN8LARFJA8BQ6Wm8uvROXz9Av2J1p+a/Jw2vMk0g6I4MzZOvmvOo9/I7ARune6ip32cYvpRgG OJnQdmA6BkJRSK1S2I1hbHH3IL9+u4RPZ1X3tjuSsRvuKh4Ervg+zsOanOEF8YU9O2cVqjcmzcxl 983/Ba8mGH9rMulh5Lp8yeT/cfsrG3054qqwStWHzUXdXeUqdx5mQ+YiV+OM1PULbiP1T4u6EcLZ nJWjH+d2l74QNmxWx3PXGmqgl1994sJqa6wECqd1zpWkoZJuRB4TNmqWXT1ZAvc4MclCM311YjtL ztMosiqf4+YECe0caeGYUY+5/z2cRbbCOShmEYY2UbW6VwFk0m+TKKiof76kPvI8jUjMp9cVNxBN +u4lWGme8qKikJliFOlJH6sTPp7+wX2wpWf12v8NtIVtHct4ND9IzL5DZLMq5fdzAhErrZYBPVKS UfFbYzR2cIp5c/mDQbrYZYw8BOkWQ1Z+o4F999Om57GilzVLxMZWSg02wtmNesd8CD1FPxJ67D0t Md4dxIZpAoGtgI/OkpJKXU+HAEWPEfOGbZXrN2TgO2DzNVIzyBNglHJcClju4NlUQ6IzM/pavOj6 d+RqRMbgjbez3S3JM33knqJ2CdQyTKg2yyBnBbVB/H3TLzZpOam3HjLxw81cLQLVO0yqXRFWM2vx ny2fWGFhDu+2UzA7JnheD0sE7ibacgQ10t7InSwCVbD7Ikbq6+/F9Ns4FHu3AGKrcPXL/VMX6STO +qQWD+JoB3h4ia6E7zei/F/Na94szl06nYA1hcPE1/T4np8h95DY/Dd4IWOwfNg6CaVg7exnsjKZ Dm7PLEIRjelUe5hvekPpuQ9L8u7PwvoyMi35rVjbdZbyGEeHNwcHbsFGirB5x/luAhSNe7OwR/Fs rn8ozIYoccwVE7kYK2p9jOLS3KyM7QsjWu7vSpT/iJuQdt2u8qGAwP08xvP+XbJ3fLVOaxq8ToX+ /HZ3BneKAklXThAOsn9z7aRQiJWScwixtLiN9xY0ec/VRMdm632DaNn1s9YzmklaaWZomxoJP6jT +2Ix7m9iYGMws2fz6GEQB+WYG5xybSQs+9tls3C/3iUOfKMM/KeK+Bw3VT2mx+0GSboaCCfqiUJD 7zZT+mOb8zmJ6hxz3OsUfNF1T+Yz0J55kKU5P2zpebUpkckc1HfgpIRTczxP1tL3/Nbb+lSbGFm8 Gqa23ijrWghU2+uF5TdoUxh4eo2kGaCjB9RDqo1ysNwRQ7FpwhgDRDXDmzY767S9f3f6VBrjkpj2 tdpn2xjoPf68jSoaWbUWIzWq8gtWamHqUMDZJ5ZKtjm3wy+jrkLGFkXnk1v6vqp01uqqUfHscKfd qXkfc4Kx2rTFc/0GKRxGaFFK98vX3oKEIwxc7sOqX67xLVjwqj+YVh7LNzENQ9f8ZNk0lBScq3Wf rSeFL7kL0O2rZtxuF8MV8HOAlnba1sQY/Mr7I4UUksn+GxlusA53huU3RSPZU7KCu/zDvovpmbSB 8hT3JiZnQqXkyR0kNbZzOn9QsTL6sWiq/2CA0/vj5dOaAQZPMyAlimcATiQc7v5reJShWm0gZfFe bmT1emsXYgEJqnxQ9ByXOdJi0tXPQSoOpsM6Vi4e7I0UZ6AQMk09IdLw5icZ5GAp38goM5N20gMK ngJcupHmuX1VXrgh+eJQyvQi8eV2jOvYtqAOutklrP+T/mnQjc6ECxbD4ltmIVRzfNCyZhj2Ou2F bC1KNx9/gsAOdS4d8GxvB612smcUgwpHFkUB4zUmLZ+QDEDPVTsKntv/Fi1IxOQhkfGVNwUd4vX8 +W4Lpz/CA41+i4DpnwRFzuovakpTPUWcxWL6QbodwA5wAKD5A8N9yQ1o7+9v/NOQyBu5VxyPDAZG SZO+iaMjRCNrGEyT+ZnMRsK36A6X/ZUFJZ62SAcAODdLiQeodgu5lu+BPKoey973RnI130I3Qsxg Zt3HyCsxb2lbgKTwIBXlC4P3fqQCWHW4sD3EV6kp2lIv7wls8tFL/xRFbRB4qgaUAWFb7jK5N7FP Txd1sqmdr7F0VDFQ9Y9H5h2wxyFT1iYl2F3wG/o+DJNCGQRkV64rAUAHIk6LG+MjtKnMcjB3NCeL ALr8hB/iYl6ISp5kdN83bPW03Kb8TvgxZmBM7pAlIn3g9AgObujM4oTHHrTOo0iqubVo9w5aSa2e WQnHhsYL1tz6AZFeXsMh1kLg4lStgQlM2/N3rBSUaOm9T6+57EvayezR52g0gSp/Z3HrCYDrL9gy HYteEcgmMiZFKGE+B2wBZVWXNKhtY9U3fFKGd+ncOoPT1h/Cd4+lxgecSvKbV+W3XRPz+klvRfPk hDTzXgcrUBfbmSyb78xl9FXvnJsEJLyO2zwKNWRQdQRwK86Ke6bsdvYI6i0S3nVKnp8Jx3SEYtlk wiwubJl+/yMzqlSbVFkMq5nQAEFpdPLLx3ajzPQtxTkBtlp87P9UXgN4Wt61jEaonXtVmdJfK+RR ipxSOaeETvAVASCQrVWLz7wmgLHXoWjpcTIEnT+cm/xFmWSioasrbaPhEjf+Tru4+mL5mmK9SsjN wvUeAj8ZqbgDec4fXRsIAmrqnykCHsIHW6UbJrZvUGNuOycwby31IDLo9RLBXNhiZD3D/uPyAfx3 OXKhtYtGopXPMviEMPrkSLQmi7jzPc/pCksDukmRQ6bNtLyQMYk8aMhGqtBpgzkWEqAFwNhLAFl8 HTarlFmyftDOHRZnUtErc9n36ugeCpHVwGMd/75EBznyhtgk6omVeoRhcswlVS1n0TaB4MnkbHlQ Mx9QAWg6OiUH81nyMXGsoFXGBrGQw6ebihMkUz2FmrMY5XvmU50LvY2sAzffX+qNHTW8leIzHrAS BVH9DNQHBZ1BCJNWiThgwbp1zLM1qo0BxPzKZv/d/lAZUaX9b4dNfIcZfADaidRzjRjdMqFctCVz lHhIVSFZol9Cht/PPaZpMK6qAPdv14kVy6WCtL6Lg30YFhQPwB2zeD2zKxhgObl0qkBdl/48jh60 39ApXbibAfKDstWYZ21b/jbWr0gyre2NAvfeYQvmR5w61OfkVeozx1hqYVdLhuzdil65r1+koaJe 9b6lDVO7I3BuFasdB5KWyQEnLLDIQ8rSz5cGkaWekFZQsG2q43lsdhj+2XJh3OMnCm1LWiM480E4 rIwKaMymbEK1klGCemZ4o3l0WgRxmzIWptvA3QY722XoQY3ZrliHEQY8ZkvE063M9g0AVYNWgSDb 5wv6hxwAvLWtEO2RtnHUxHlAr3MiRBFzkgQDmDydc6zEJ53lk68p6HkM4DYlwl8T4/l+rfufTL19 ZTNRowFx29LFsovZpl/gBNw66k2qjRuU7vRTxIz+WgNWm3OyEa/ccHV4G/gXujyp2lFsaRgbXx0N vtz505NL7OAZB7N7dfj2oF306VVTP5/d7VZyilsPQ5p3vxYt37RZvsvjirbSHZQ3i9W4nLzzeAFN paBXuXzsQTv5wqbpGRls53F952IbTdcysq3Smoyzbim8KL7JbIZlzOIR3+L/G0lUms8rf0ksX5YI Fqs4Bxom+6+y/AlQG80l5RcysgDnk1iCYKXSiN3JV0MR37iqXfRmTy9iRoYNVAR6SYbI89OEfWUZ LvnbKroyZEWS5Fdw/AQ6E8ag6d833Pr7D0sqc5EKX8f9VMbyU1kIsf5tsi4bUVYV/a2DHxH6LkFa gAckfYACk/WSt3P2sFLP1ScgYUOmmak/Gg2dpuo0DzuDHPwXASesWBbP9SCUq0YD9T6dKOhmzOAU JXR0CEpO2JGRFl44qD+d/9WpcQgIe5Pvfz60BMeMcP3UCyLj5hCYVrUortpmg5GSil/3/I64jIPC c1RpgRKghh7bAvMKx51wxxlqiAtpdFWMWIaLBEawDeDphz350LY/EV1juvMHfbUZMzV7mw+3C7k5 KXtP4y+lMuQatzlf7wS468tdMTkTIP5tdPLA2pYO+Q1WJo5QNn8irRFBq2MI7IwEYTjqMPijNyfa zDXerKVTVK713pl25b711hQigm9Q/KhjPmEYatDpM/4IuLFSsQ7Et84zOi/7EoZeKGuMYyCC4bVh jPwAT7tGX6bYlJE7IM9l+JA+dQUczzmRdE5raPRS5wM+eAAXG4gMM4XyCXsNeaCzq2Zxq+LxHABt gN2vujzOKzyktyz+6HoEOk8/TUk/jl5r2d2KH7i3qhyyX+PE38YtmFJdUHqwIhOXQliK7wJ6Ji3d SIonm5Xlg7hmXim8ArfFrsDdttFVh+WVpgR+9FieFVYFXKKCBkPMtiJhGL5Fjkz5mTG9hmMu0CzK DD8NTPVIwk4Kk9JXfhUtyPTJr4DO+h7wCM8iFqChdtptnqiEkXSwgbyBzZo7iXwmhPFWbM2dhsBX BAFyZXEYQuBHLkzyJmwT2dw5W6/SvikpId8q5yDy7QRJxxp7PC8sBy5+wj23Va902fYs/xlLE87A QJJbRSlWCWSBxCU3isxPabpuMWGlwr+yQLtgjBjYv9IFGp3To+/NCQEKYMBL1ewAyRab5lzdhOX3 65flKR4fmGBAAZyC1hxI+0DztMRR9DlH9F77wmvHPJ6s428X2KbUJYPcUCPo7pyJhLQn6dIBm/VI L3Jhrp2EepCh6TiwGCKNv7Df2DpQ1EvHGLmmF43mdz0mAjhwG0zmPs5sU+uZRr2/jvEE3tkV4Cg2 cW9bEG/KvTmZphTtlWX4LscHWD/7wPh4ZVyxwQy6v6HJpD9oCpEMOE2NRUR/wrrfmezM26P/PVmP p3kY+A/+EpQ4ShTIQCFk76aGViZXAFqP3F0YYjC4gadA6JoqEbnE2DqTCK7I/BYKgsctzApJwRzw mGY3uDy27yCADfrXRiZ8ZAF6OuGRPoP/TcYj/FWoIRHFEHKrurgmcYFE7wvRltBRrj+avwMKTRvK wAvZjH9aX2wQAyBQWTxndVuIBy8EeL+EwtWYdrA27Y+2KuRhkCurF/dYEypv3KCmxJQ4BaC75JIp 3z1zDF0CklVL6CZ6rfWfAGRWHBZN+MiH7uFSbjiUaQNThnC5y5bleHTichVxIVSdfSpWumcFMFi+ tlVAGjIOAZUWXyKEwoa9ULB6U6By1aPMGEGyTERBlT8hrv0Pe5DZ1lbC90iJsZG2aAbP05x0PqBC 6PYnIwrGT7yE9RnzJ3Y9IonQj/ysktYz6Wbj7mDNcsE1V/e1dI+4sQnGq/cFu6Tare8bO5RjH7tp KI1mfxZmFarC7hqRoP1RjNKY2YwV0r8UqgprCSPgiZ9niqUZCwzfvBAc2r8+dr8SYzZjXiG87cJa 36YSFgexDjkwOaFau7D03Ds79eW8bI40OdDQQMI0uUFDKdwnho/Dw83a2tGVfG3JX2hb1ZEFWmjM 79qP79Vcu0J6QdOGD2ufwfkLvhs17SIXPjtebAZir3Br6nEtI0+Mt/Si0wYE8eUCGw9IgTwlTFQB eA4ZYBWvp14EAeqs060Ll4zmvT9YrwB+VmXMtlSMyv2fGer5bvfzFDrnb+24hxCtKATfZI8eq0iB C2lPhawFySOQhPwSqwvfoPu3tGej7zY18486/DEidn4XxD0Vlaqgq7uF30Ct0mFgb4tQth/l+fEO 9/Kx458VH1In2Qskbl+ZE2eY6fwIEh0x82Jj1ls278Pdq6SZOOTST5rVYaM/dvVQlncn7xosGIUk lVrNKqtamkXnj7sD9seroLrfE3ooQ/qtDHKNE1TcPKPNvnFw0wOOazqN0N+HSiBi3FQd47C1FemI 7ipRtf3KEb2ZtSHhN5knLYJm3M77UGuw376587C9p875BONJ+LCaM2ps0XOJI9+sRXugmoq5AYfS MqIksIZuaPcNtRQlNeKSdv7qA/VZe9lwZuZfD3x5+MNr/0r4pqXdT6e3qDr37Y0Zjx8zGFNvkYt2 8/yuX8TR9iGgZh0jTSr/4LbRsfNce7GXMuOVJ6z8UFuweUElnjAmF0QVWZkjnej3Dmkv3+XtnHsj 3R2M/oAHWDKGJarbV4MqYH9twbx6nLLg5OGS1S8iYWX3IKY5I/bkwrRC5yrbUHGLuDhRwZZG7/LV BdGjCsJwjZDDdeVA3qgxMIR0Rz09qnREYX0zUWyLcjOjMWDCPGnBYpsCi4w/5cPpFV6k45IcAXNt qKVjSssbH8fYqjwtMpXl39L1H/3KcVL39VzbAJfwPzBN8qEuWSwqWgbn4iyMQdU/RUKvrbIYS7EI marAdnE6rJnjUxKnrwczCqlcXdiasWdZEmI2SjaQQs4EjsBb1GOJh3bcqa6PfqMNSeS/m2W9vt8m FjWzYMCRACy2Z+DoV161Y7TkUvtU73zu8j3EEY+fiA8PUQ2+GGZoJZKkRx+GnBOkH+oemq9Unh9d XUXRcoHr+rsIUpZT77Q1v6OvYNnz5QOUHgIxRy6Jj9J565eCUxBcNTZE7CQQsCbmxPkym2MMDRx7 okRYEiODROiMtc1UJ/Xa1UERhVQE3WwmDsAP6QTcXoXBBwNOXD6Zzm0PBMslK6+S44iPgLQ2jBy2 3NcKON43up6RrCmyWuS6JbGbq6ESYBWU88vNRGvffkXFkjA3hbldpla1onDbUBxgCmQEijnmX1a7 Ztky8TV3EU2UKnRd5BTCuS1NHVOKMPfN66xJQj8+Vv1siApv+LC1586T1bEBo5p/4/wwQm0TsV+b NWxBnDj4U5Y48wE3A7+p9Ud+M6CFuIiOES5LPdD+LvUldXoD26kbHWBSLP6NsyL/ynK9K3Ybupif XPSjykBHLcKFgdIYxWtLDYCuILaOnesp1i/orxxQTAlWgIeEPBg8nVpjn8kP/K7XCnTFhvM5pso2 iwhYmKkukD8B7vlTf/h/SA== `protect end_protected
gpl-2.0
90e91bac88a28ff32afc2526fcd73784
0.953711
1.812654
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_log/flt_log_single_one_detect.vhd
2
9,764
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j1ZD26PIbIus97QyQUAaKF0jTRo2PZbonpeBmufioojp8Lau+N9sjP7XerYtuOSKNFKtn0KR6PRR eZWlxktlXA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LOGcakx6/aOMzuak8roC8LHUAyWUa7oCL38ifGEkrL9GmMXrDL6AgqXKpRuEV9BnaXmlhHGZA3iP 3SLzldWGMFTst2tHD3PazS7dhnWxYA64TTKzcC135i74aPzppn61KumG5pFjfFRUPAe1q0ApMu62 LdJnlCN1MZtnvg71V68= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JxoFmJiUxLAJETpNvQGkDooidaSl7AZjro5P3B+iOtPdGvh9WpdFCzJ4D57G9r58N2B0Ens9lE57 /en2E0EobdIS3JkrEnxA43jXB7DA4xIY77mj9yYq+qxcawRTmbjQe+MupHwNXE2DD2q+s6F3P1w1 KTqS9YVd4cD8456J1TdGWOO1IDfd0ZCsGLYmcJwB+4a0QHQaUlDRcz/rzXgYXIwh3i862yE6gmG/ tAUXIbIPSWVMe1FPJG+dzvMwrjReg91D5a1QxMahHM2fnGwQ4FVxrh//Ex2lPSV4+gz03zuy5CPC PzIhLAaG4l+kCb4+muzt90bS1UrGmncVVNX6Ag== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2xngIQUpnn+/V5pnL7f27JL7HWLASv7JWnHBR9QvwXQaXFzhtcEAHzDDZK27Oe2hDZyn7BevVAea yVOAhi2Zgd2CfxzvXYcWnJAvLKn9GwgXhDWpB+0Fb8as1YReco1MweGEFYbDxHttrlPbxlkbYywN 5SIALFBpjADo4zuSai8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZmiTdD3V8OLNRYOMjA12zbZZ1DCJSvU/3T1trD0nSlSwwXNmvofe4UTQBWQwwUWiUrYywmF2mVZ0 8LRHoUMvEcGw/ChfhPaExgvUVMpF8/kW1mhUqRqP/j9rti5QsN4BuWIa5PTnWgAWlzw5o1xft05F 7VRQ69FJfwSSdAbLTaa3jG1lkN9O76S/ZmNsl85MtqW3S53j37RO90SGqIpQqrQ+rE0vCDdS6nVJ etMj1FKToDmgmoxWudMML/xqG0+KPaKeMQc2bDqE94Hm0/H6DkTJ2EIeh5f37BD4vm7tt1fye3v8 eVOMkJVooyLVBkP/xQWWxRAei1EdpIyBygNurw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block jEhXavsYK6BzbFK8rtFq/DHXXX3vahhs0Q2gtgkBt0H1Bo4DlSyvDAKmzQiiKXrKk0NzEDhQzGJR lFswzDLVuBPQjQtgz2QP6ro0Lz2ZMpRYYVyxd+H3Lt4fsxu3VuMq0ilVEOUayVpv6Av8b8aWW0Nh Sd5WKk+zC2tOlriq0Rtb0M8L6ypCGK9KyzywZ+b6mnsW1YphiRbSWOwzJJ5xP8oagkfjnhPnMvQP o8zGLGHQFLyshzEq08vlTnTc0dRdJl/iQpLqqIM+fqz0bdsWsxMxxrOX7pv7yrFpN7P4541Ufy5F DhdQXeRpjPD9/cQq4SZtGGY5qoUV1zY61c4AmTURUyPO9PlBxSzzCoE87uDuFzbJ9L8xR1EnTuhK bxygrqu9KMBMlWWaMyL0RtrN/IT8WQyzMJZm/vnaRUDc57mgqqWj/ayq/lDVHHtmnXv5I11ATFhO 1Qg2KF9DoHMWx8tuqtwqGuncUOGtwagVkw0Vbmg+UsI7pX/kXNcCWaSWMaL1HXMrx4YBIUZCu5Xc yJse6WlnRRa0aejPa+o9n3w6DRt2fT4DVyHvvLSQ/w+Wx1bCGKxQYKSxgH0opbPtu97GaJbULJY6 q9NEuDv/qJ6Fp2hJ2sURBXl3wqU7cFBkwX+dkI5jIEX9STvvU1afsAfaaVZGX7wEeG8BUjj3pvul XpeNHM8KHZZ2doVmPtaks37YfDKwdnWV2hheQjZXroNlauLz9XyaSjNVYlKLjT7FNNjjhr+N8UeP 4MKYcns361u+Bcu07/40PAl+8CPLrZoV6WVW9TIAlh9bovCHd4masdQT6fiRfnuVnOXk0+WrvOis is0wOJ+VRvhqeCWwG9fn3PKOi4mwyWVOr/nQJICqoFPC20pdbgAQmnyt3s287FtipncrPBkypSL0 ongkIJuMK8IJ2TZrwl2skiS2+VLtyl2rEdsgdsp/ooxu7937MT1LkCIWkTJksy2UjhF5xqwVSwtv Xuxs0gSuBNU4VoJRHMNC8u6uymcTrL/7Ewal0uZsvT/718JMgGravdqGRIWnM2JeKy+fSdpTu6xv HHrGIeCTjpDq4jCy8iypbop6E2EIzB29uiI/PaMt+dUzcvMGw1VPFvzppVjLjRIEx3fI0MErY7rb Zq2gBxO788M+q8x2TXsGheIcPuFjXsgXPy2bDH+iy19aGWX3gQwbR7gj0lpxHBkfFCgIzLUoMIMk t36XadDHl/d08fBucNOxNNNRwFUl0VTloFPTQB+GnTsxPVWmTlqD/ln2WiE1BMA2iqW1SyiPP/bg KkQ/RPjXxHY9rvvkLPnxyQ+KVMD4lNYfaFSmw/5reqtyCq9or3aPyY87sAbI1sFq71qLVJ68Owgf i9KifoednDH0IWzAZLqRRMrRH7GJhIUT7ibR557gj2Cib67rYLoflVe16aDHcE0LuzJARNcPFJ+5 sVbHjO/5XMA0e/Mh7u3gyqzLftxlzPS67vip79mkgcFyaagFHFy/OKM/SsKThZgbtMnaqPYmtp4n uxlQYbP6C1i6BwJNE45/LyKTh6qw/03fbUfo+74pFr+9KiY2AjoxfLOWVYyP5JLMLyKCmFy4jH+E aYZNjNCL6XZ01lCAoOaBZ2500JkisktPEylzZnhJyKK4AiSpSaYGkVq/9rf/53g8cqUAGv152Fsg Do8voKXU7H6tPXV9FLnGXa3F/A9BzrUaHjRtyaP1mAoG1h+e5IJmtVGGubmIQP+nRQr63vcGa7F7 3Jr+nn7vU0osikQEescW/OS4LEE24yPxYyyZEre5ndAAqYj8ASkcMc+YprLumU80E83zc253Hc9l yHhs7SzIfStUKGO12vbceuDL/39SdeOTrpf1VuXfQhMdK94V3zdyB/K4OfHIBGdl84OQng8cpxWa GGfM+KrVoNqi1C/DtNo6O6KNNeSUCPKnLDF7ExLelggYBo+9isDu/O2vZ4LAlgLgAhjKbRlg0f9e XAfWpvuWbwe23RmQg84Uyb40H33sOcTt1IfiokEKsYtiq8Er6exkQxKeNJd+Rw9BBhXqKIR09DUZ n2od4x8rkXkALy7m8QsHO5t1RZs8QmBdvWiulZTJ3xIFbWAAE60X5ASRR8+87TLLS5ykCIO7Hks+ fUOJyVy33RErpt8ChRoPQHr9RPd7VArDcQKeWnvOG2w06QJNvKOIfClIlvJQW1oIq16PQyczwZKU d9vVMW4zbNg/9+VC2GpUn0ez9gm3UHl0JDIlK7DJJyCah1qyMV9ZdnkvQe1Fejf0v+M8jA3LJpTb 5bbH5EFJcnydlMPijx9i4pyuvCbVAKLWfYttRm/Dzx/mTe19D4kYcC44FrI/YqG60Xq4veDiNRkQ pQkRLe8sJnnxbgTWw9fvtWxB0GPlvyis8Y5YY1uiI57RNftr0hrYQRsJxt6xlQR8BNh0OBTIEk06 6QiWRMPKYZV2RW2MXCiEmrHmvj4WxSzF9mwE+ERequB+bcUqr0rEtxryIy/4gWf1ivGiv/LWdSp6 F2g5HHyNSPJMyt+KdY08uNZJJ3VnZPtWCwwkPo/BQC7HAPoIOGerAg5LrlOlYFEp7AEwCJFEB6fK a++jJqLft5bn2YvT5nuKlg5yXin+jM7dmiDxTClB0E8bY3dppRSo9L95G3a+/9x8jNp0VsebmltF uC4g9M3lrqsuXBkW/Mwm8hqwy5vbkza0vNvhQhpZiUpK5pQ9KY+NAPBEOAl0lGi4t3q5vh5+0LbM P6z61nNFciGoT/Wp/E4CSiMEqD5rB2cYx5gs0Z9gLKJA+ykznYkT1c9nWnHpHkYnXFIquExl1us1 3f+LUaECJ6Mr8KvrM6UIccFCXp5qF37HzuEcrONTbKXLuJVst6KJJHx0BuwfTdi9YLPq1lLJjVfS 2XTdo7A6Ay8DjOTgQS93jNrlZbdGtGVe6u3vcB5sALPaOt1VchdPJN0/tZpJf8pvgaowTKd6MFzG pr2cRT3+jaGEwvQhTmCC7a8Jyqkx3p2gXiVz1nljSfbPA76jPPxIQ0ylwMapfQ33/8nr14Emi2rc r1tsMxmWz0nzJHuITEqeZnkpCIHKUULW9Lri/vJ7KlvvfGx7Fjj/NP5792i3iSv6RsnIzwPTp2r7 14GHFyiJ5y0GW+Y1pf4Swkh8PNZbVEXCNnmtNwgE0WdJZyxIDbXElv/HX7ObFETG4MawOnqlfdya aK5Jj0T2vzfuP/xOfkdh5LjZD/4rmrz/R8OhGHltbJDKPAdlR94khxyH/oTGo9jPyTbDhbbf3n/m uWDYCUjwzZgOOLrx6GqYe+CBTUOPhMbXwppkChimSp+t5tY/BaBrNN4jpHDEwj87FU/oogfozKOi 5+Dw9WUfyQ6z/0d5UUdiVKc3/1VOw/QhgSiied3sOgR3ZK0IPyNKvI9eaIA1hN2ApeA/yD4l+bNZ WRNPy0muQdDmA0Tu2JX8cbVhXyWAxQjwdokueSGFYOywvOjlkMZL5q+SiuneZjh7lYDemH7P7cb1 wMV9pI7bem9TaxLhyxTdQrz6c6r9h8utkjmngFtFXbILmOQR9yw3s5LItAUGGjNYcP4B13IDzGCY SS9grRNU5pUV4LH0dBsReUvrFEvtL2M12xOafs/6ae0wN428UrUKONfR00CgA5KOA4nqx3OZB+aO iWgKHzDHHgjtbqbRQEva5GRD6++qmcFLV7LC4yoVYiSeLH6d8JfJR8fJ3Gism6DfitfsL+RN/Xsg +T/7M0AK/426W4t8q58qkiBgLqBnZp/0AhS/QdmDWReIMpiCxsgA+A9VXzcd2uGlZf+13n12nXMq 0vbEGw696l2jFXzOdVbtVcvHeu0Gt3cjhMEzif/sR9LzV+lKiAwB35rSzrhUI/f/+gHu+zbHDjPt QTwLDnQc92+Yxd4togxd1f+ZX3xNqd2Yb4csyetdytgMC+xP7dCpKB5N3njnsvPnv2TgT+/J2Tgm ppi89XbcO+tPQ+3fjqxOTUkKgyEiPc4a3Q5b0RdO+fAwFo2nlKHyr9NxLBA9G9y+KzKzb3ZErjUm IS8BYvacneHSKCV86dpe2YUCjUE6G4ZtzeMSeBpqW/nmp5e9/oAU13Nkdk8CG9Kd5J1jVD6KErlk w40J2vTlAWHwTHky81s9fKdYbJthxZPMxqQWu7jshCI7ZLYNTBGPAQeGf3g+ryILYWXB0g9JHWHk 9G7zOY8sZXG6savkBQ79q4520hxrJL06AyaLIn56+PY6kSodXb04VnSqr1GOySEryXWudIPE/el3 JxsHBvhuus5zoZcQgC1piB/1CAvhktl6ZkqaQk9HPTrdz0weFzAUs16koZhlHCJNbrjhayBYMcA0 5PkJ4U3Owh/6pT0RlNBvX8OIxLV9CvstvC1o5IcFz6ybXsxiaFHUR3E0klvZGTqJanzOL5tOEndW sjdir6dVdIqmHvHbSNgzN3YjCv4ZJaeYEjm2fmw1PTkVOX91shMg5i+cXA5bz+dO9IMt3RkDJWH7 1o3DNSUCbJRyhtWlVwJff1Dg//P+T0H4UJcMz/n897adSAIhTsqNIGDZeWGTgmHxTsx+w4BCpkJl Z/ZDTt7SOBuyk401mx4wpqxzFSgryqFI0lQhdi4q/qT+TTpmLGceD5dkJ88f81T3mBrdRW2U/iCx IkQsJyLF8vDDbct6go6wt0BYuHYCwzUBBpyIt+gqNvH4pCbqZ4zOjEMdPfzQGT/WslOx45xxJ9qJ vPR6olwZKroo0ovfzJH+yoye4K6j7sk8QrqlY6sacK5KBsuiMm5MX44azjVdHKrM5lry15bfDzlC o5UQ2NoVe5BOzQ0JgeyVcgL5blpRF+HrZuOKG5RJh3ttrey2tWeiT2P/eV6GgvBK2gPSuE0TruHD 6L0wmHLvvCvlwk6aKhN563q/JDpFqXviWWkRFOMSr3+cgQSnxBd5iG7Z2OlkvADfllNF7d5nbNIz Bamk6CksEWA5wtymSBPEPSSj9K4mhiqZ8zCK74NYweuR2wtG2E4GH96S72yLtBqkyX+Gh3/gJLdX ZrVWEUDyecPNLB+L7wTvb4SGtwzwyd3ClS2NFNODoN+XQQGSOQba4E6QrdSHUX5WCfc9+p4vksKH skPrGY+/yQuGXB4fq+lq6gqD5jTvCiOYsXo94XPAKJZx/Xqzc35oXYleRvV7gL1oA/ciGW40pkZf AE/aeC2Fg+nGtuT7Kn6DAEJ/Npaja7IMJOMD2mCO0mT+eW84QP0sPuZ0dbeBU04qyun54fzAMMG5 iCkn16BxDGieOqJBaCpo7cm9QBmLvGPGnSDRpXKJcabYjyTNM4HhYjL6OTjPz9af6IWyOXhmJEnj 9vlALcJlkWHjE43Yo5UZ7soIfqbbiPw7UvLmlw5UxLw+DVlcgrwNGzkKsm0r8UFeJV3NfsoloNzn lUtjzoI4GjcNBS0KI0mXrxAp1KTQV88P58eEE2AVLQVtfMU/m9afgRYoIaj5IUegyNsIcNHUnZuR hji/A1K9XRsj7usxkF67B2+fLc/VqZK3h2B7VFsPYnyASszI6OdQ3Z+uocc5dP9zhcwgWB1wWpOk l0n0fh2Xf8C07SFFFDzAfpi8VFCOT97aBCm3qNIrJqwH4QPqdUTngFR0gGHZJOvMJWGD4n6CBHGi UwvIjb4ooTvrE8KlbLIqrX8iUzI14ZdZzHK3uVboqzlZceVV2rdshLjg0xJkU5YaqqQBnfzWxlW0 OlmVRy7CuuDECg6B3yg4Lg+GwK17TipKhuDgb4iH3SMmoNnFx6jFcRLJVs/p9pmWe5Ni6qcCbCpX W3j5D2jEa4nJlC2gfKyqOkw+E+R+HPY1KpbqVyxYZ+Mdu7we4N5m8DTQFKnlKWBFe+/gNEkbdS7L Ek3790GYhr0tNtCsRxy8VkpnL2j12nAMXK0wB7bq0nFemV8vPiGa5SX7PUYeQ7wSmuHErHP++MyI yDsDA1jeFGSs1HS4HxNKrOCOuQxEokqF+ZK1TL+yjkuDAIaijoMzczupzwtiK/eBkeUA560IxQMY J2BS9DWa5dc4pUsHkL4HLnMMJjO2pYWFHb9K0qQTzHoU8IwS5s9VcMkQ6HMUsmjKTsls7k8Cs70G Su83+ftLbi7lkoTJ60NMaIXRZPLIdhLbLZJhk0Nmzd4g+Xjf1O0DvE8T24uj86tmuJwmojYrcqOg IutOdV0ME+R4iH0M6pGO5+3jcmW4QuJoouN6Iv/EiIXysW4eE9+Z4xmpwXv6+PwUwy5FFWvs5A1i P90zwentFKMJRAL5GjjO9q6oxhENv6cc4KKP/+GEaxeoeX5u+pkQFl6Dl41xSAbqHavlivtGkrIY 0YH4pYSGVESzz27zre/hrpwzCKub8OHM/XzXATyoBsvU2SfECVrjEqtt2gkwSzmkb4I00UUycoOU JGpivQaCNfsD4t/NV8loV0J79n8l0Sisnm/LjFIuzLaiS6xDUW8Qehe/QRruiODG4isTyb7Mxnvl lrIfOWBQ3UVestkjCcd95daXHWelt8E3CD9XVfzYHAozi9l6Ei+3du4ZMSyk29jw8RogyxCXUi+1 m4T53B1UcN4f4SkDvDqWeoaiBnoiw4C/0L1Uu72RH1fOGfJEAzHgKettI994AY/PiYTAptu0kRaI YDnoBM8qByAXr92Myp22+nmWEesySepagVhzrk072aggivlPc7OkP+jhTYQFOliSfSnhujUvKLXf TWt1b5Y3f1YYJn3SBqbZ1NhmfZYMz/qHtEdGYo4btQW4PKB4MHUZ7ppWnIKk+gU7m91Fxx8hs4t2 qnUNvHr8jD4iJEv4tXI56Y0zgDW6kAUVHY9/OK7iZEsKIfnFJUfT6rZH8hNqnd8thO4cLSyFKRph yWYxF2+j4kdrGvYngQG3M8yKJvNN2s4IJukqC8rl61m7AFuFeRWzMBHMqOIo1u8gXpBs4YipnbnB p/ol+Z5pN4XpVxzTCx+/frbJIrCRhKpk3jZEc6iGWzkOXEq/08wUGcpGvuHS5JgjpubRY2DTBwjH 7KihuAsl18MxI2QUncHposvB9QdrC+p5szzD9OBr8CO5d0RaFmyWo24Ksuxdyy2vPwXFirx3Sj0q e5u9BWjX/w+VuxMzXTvEhQR5pnnd7SetVSyZuqDasDaw2pifimOhxmEYHlh3rjgD2TvpnaJjL503 yb4S+04BldFmEfIlghfDJKcf52JZPNSJdCLnanox8pg6Jv0UasKmTbgHlNdtTle9zkZxjbYJ8UJI 3gXOSaUMVDoZMJkQUieAjX7T7PipEbnHEvRyLjovyLXnXD3KB7yiZ02NtHAwMRXtIG0CpbyOKIrs cBgeGxfxDawhTo45fGMchw== `protect end_protected
gpl-2.0
52ca021741901f3c72b0d79ecf1eacc5
0.923699
1.91978
false
false
false
false
mcoughli/root_of_trust
experiments/secure_filesystem/secure_filesystem_hls/solution1/syn/vhdl/filesystem_encrypt.vhd
1
563,411
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity filesystem_encrypt is generic ( C_M_AXI_BUFFER_V_ADDR_WIDTH : INTEGER := 32; C_M_AXI_BUFFER_V_ID_WIDTH : INTEGER := 1; C_M_AXI_BUFFER_V_AWUSER_WIDTH : INTEGER := 1; C_M_AXI_BUFFER_V_DATA_WIDTH : INTEGER := 128; C_M_AXI_BUFFER_V_WUSER_WIDTH : INTEGER := 1; C_M_AXI_BUFFER_V_ARUSER_WIDTH : INTEGER := 1; C_M_AXI_BUFFER_V_RUSER_WIDTH : INTEGER := 1; C_M_AXI_BUFFER_V_BUSER_WIDTH : INTEGER := 1; C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 6; C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32; C_M_AXI_BUFFER_V_CACHE_VALUE : INTEGER := 3; C_M_AXI_BUFFER_V_USER_VALUE : INTEGER := 0; C_M_AXI_BUFFER_V_PROT_VALUE : INTEGER := 0; C_M_AXI_BUFFER_V_TARGET_ADDR : INTEGER := 0 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; m_axi_buffer_V_AWVALID : OUT STD_LOGIC; m_axi_buffer_V_AWREADY : IN STD_LOGIC; m_axi_buffer_V_AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ADDR_WIDTH-1 downto 0); m_axi_buffer_V_AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ID_WIDTH-1 downto 0); m_axi_buffer_V_AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0); m_axi_buffer_V_AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_buffer_V_AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_buffer_V_AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_buffer_V_AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_buffer_V_AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_buffer_V_AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_buffer_V_AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_buffer_V_AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_AWUSER_WIDTH-1 downto 0); m_axi_buffer_V_WVALID : OUT STD_LOGIC; m_axi_buffer_V_WREADY : IN STD_LOGIC; m_axi_buffer_V_WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_DATA_WIDTH-1 downto 0); m_axi_buffer_V_WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_DATA_WIDTH/8-1 downto 0); m_axi_buffer_V_WLAST : OUT STD_LOGIC; m_axi_buffer_V_WID : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ID_WIDTH-1 downto 0); m_axi_buffer_V_WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_WUSER_WIDTH-1 downto 0); m_axi_buffer_V_ARVALID : OUT STD_LOGIC; m_axi_buffer_V_ARREADY : IN STD_LOGIC; m_axi_buffer_V_ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ADDR_WIDTH-1 downto 0); m_axi_buffer_V_ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ID_WIDTH-1 downto 0); m_axi_buffer_V_ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0); m_axi_buffer_V_ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_buffer_V_ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_buffer_V_ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); m_axi_buffer_V_ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_buffer_V_ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0); m_axi_buffer_V_ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_buffer_V_ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0); m_axi_buffer_V_ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ARUSER_WIDTH-1 downto 0); m_axi_buffer_V_RVALID : IN STD_LOGIC; m_axi_buffer_V_RREADY : OUT STD_LOGIC; m_axi_buffer_V_RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_DATA_WIDTH-1 downto 0); m_axi_buffer_V_RLAST : IN STD_LOGIC; m_axi_buffer_V_RID : IN STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ID_WIDTH-1 downto 0); m_axi_buffer_V_RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_RUSER_WIDTH-1 downto 0); m_axi_buffer_V_RRESP : IN STD_LOGIC_VECTOR (1 downto 0); m_axi_buffer_V_BVALID : IN STD_LOGIC; m_axi_buffer_V_BREADY : OUT STD_LOGIC; m_axi_buffer_V_BRESP : IN STD_LOGIC_VECTOR (1 downto 0); m_axi_buffer_V_BID : IN STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_ID_WIDTH-1 downto 0); m_axi_buffer_V_BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_BUFFER_V_BUSER_WIDTH-1 downto 0); key_V : IN STD_LOGIC_VECTOR (127 downto 0); s_axi_AXILiteS_AWVALID : IN STD_LOGIC; s_axi_AXILiteS_AWREADY : OUT STD_LOGIC; s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_WVALID : IN STD_LOGIC; s_axi_AXILiteS_WREADY : OUT STD_LOGIC; s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0); s_axi_AXILiteS_ARVALID : IN STD_LOGIC; s_axi_AXILiteS_ARREADY : OUT STD_LOGIC; s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0); s_axi_AXILiteS_RVALID : OUT STD_LOGIC; s_axi_AXILiteS_RREADY : IN STD_LOGIC; s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0); s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_AXILiteS_BVALID : OUT STD_LOGIC; s_axi_AXILiteS_BREADY : IN STD_LOGIC; s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0) ); end; architecture behav of filesystem_encrypt is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "filesystem_encrypt,hls_ip_2017_1,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=1,HLS_INPUT_PART=xczu9eg-ffvb1156-1-i-es1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.750000,HLS_SYN_LAT=78850,HLS_SYN_TPT=none,HLS_SYN_MEM=116,HLS_SYN_DSP=0,HLS_SYN_FF=7073,HLS_SYN_LUT=17302}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000001"; constant ap_ST_fsm_pp0_stage0 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000010"; constant ap_ST_fsm_pp0_stage1 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000100"; constant ap_ST_fsm_pp0_stage2 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000001000"; constant ap_ST_fsm_pp0_stage3 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000010000"; constant ap_ST_fsm_pp0_stage4 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000100000"; constant ap_ST_fsm_pp0_stage5 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000001000000"; constant ap_ST_fsm_pp0_stage6 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000010000000"; constant ap_ST_fsm_pp0_stage7 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000100000000"; constant ap_ST_fsm_pp0_stage8 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000001000000000"; constant ap_ST_fsm_pp0_stage9 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000010000000000"; constant ap_ST_fsm_pp0_stage10 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000100000000000"; constant ap_ST_fsm_pp0_stage11 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000001000000000000"; constant ap_ST_fsm_pp0_stage12 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000010000000000000"; constant ap_ST_fsm_pp0_stage13 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000100000000000000"; constant ap_ST_fsm_pp0_stage14 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001000000000000000"; constant ap_ST_fsm_pp0_stage15 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000010000000000000000"; constant ap_ST_fsm_pp0_stage16 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000100000000000000000"; constant ap_ST_fsm_pp0_stage17 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000001000000000000000000"; constant ap_ST_fsm_pp0_stage18 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000010000000000000000000"; constant ap_ST_fsm_pp0_stage19 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000100000000000000000000"; constant ap_ST_fsm_pp0_stage20 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000001000000000000000000000"; constant ap_ST_fsm_pp0_stage21 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000010000000000000000000000"; constant ap_ST_fsm_pp0_stage22 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000100000000000000000000000"; constant ap_ST_fsm_pp0_stage23 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000001000000000000000000000000"; constant ap_ST_fsm_pp0_stage24 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000010000000000000000000000000"; constant ap_ST_fsm_pp0_stage25 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000100000000000000000000000000"; constant ap_ST_fsm_pp0_stage26 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000001000000000000000000000000000"; constant ap_ST_fsm_pp0_stage27 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000010000000000000000000000000000"; constant ap_ST_fsm_pp0_stage28 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000100000000000000000000000000000"; constant ap_ST_fsm_pp0_stage29 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000001000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage30 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000010000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage31 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000100000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage32 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000001000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage33 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000010000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage34 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000100000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage35 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000001000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage36 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000010000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage37 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000100000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage38 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000001000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage39 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000010000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage40 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000100000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage41 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000001000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage42 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000010000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage43 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000100000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage44 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000001000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage45 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000010000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage46 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000100000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage47 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000001000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage48 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000010000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage49 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000100000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage50 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000001000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage51 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000010000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage52 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000100000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage53 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000001000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage54 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000010000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage55 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000100000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage56 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000001000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage57 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000010000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage58 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000100000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage59 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000001000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage60 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000010000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage61 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000100000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage62 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000001000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage63 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000010000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage64 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000100000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage65 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000001000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage66 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000010000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage67 : STD_LOGIC_VECTOR (78 downto 0) := "0000000000100000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage68 : STD_LOGIC_VECTOR (78 downto 0) := "0000000001000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage69 : STD_LOGIC_VECTOR (78 downto 0) := "0000000010000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage70 : STD_LOGIC_VECTOR (78 downto 0) := "0000000100000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage71 : STD_LOGIC_VECTOR (78 downto 0) := "0000001000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage72 : STD_LOGIC_VECTOR (78 downto 0) := "0000010000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage73 : STD_LOGIC_VECTOR (78 downto 0) := "0000100000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage74 : STD_LOGIC_VECTOR (78 downto 0) := "0001000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage75 : STD_LOGIC_VECTOR (78 downto 0) := "0010000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_pp0_stage76 : STD_LOGIC_VECTOR (78 downto 0) := "0100000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_ST_fsm_state80 : STD_LOGIC_VECTOR (78 downto 0) := "1000000000000000000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_boolean_1 : BOOLEAN := true; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100"; constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001"; constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010"; constant ap_const_lv32_13 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010011"; constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100"; constant ap_const_lv32_15 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010101"; constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110"; constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001"; constant ap_const_lv32_1A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011010"; constant ap_const_lv32_1B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011011"; constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100"; constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101"; constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110"; constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111"; constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000"; constant ap_const_lv32_21 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100001"; constant ap_const_lv32_22 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100010"; constant ap_const_lv32_23 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100011"; constant ap_const_lv32_24 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100100"; constant ap_const_lv32_25 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100101"; constant ap_const_lv32_26 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100110"; constant ap_const_lv32_27 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100111"; constant ap_const_lv32_28 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101000"; constant ap_const_lv32_29 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101001"; constant ap_const_lv32_2A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101010"; constant ap_const_lv32_2B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101011"; constant ap_const_lv32_2C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101100"; constant ap_const_lv32_2D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101101"; constant ap_const_lv32_2E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101110"; constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111"; constant ap_const_lv32_30 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110000"; constant ap_const_lv32_31 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110001"; constant ap_const_lv32_32 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110010"; constant ap_const_lv32_33 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110011"; constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100"; constant ap_const_lv32_35 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110101"; constant ap_const_lv32_36 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110110"; constant ap_const_lv32_37 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110111"; constant ap_const_lv32_38 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111000"; constant ap_const_lv32_39 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111001"; constant ap_const_lv32_3A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111010"; constant ap_const_lv32_3B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111011"; constant ap_const_lv32_3C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111100"; constant ap_const_lv32_3D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111101"; constant ap_const_lv32_3E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111110"; constant ap_const_lv32_3F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111111"; constant ap_const_lv32_40 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000000"; constant ap_const_lv32_41 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000001"; constant ap_const_lv32_42 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000010"; constant ap_const_lv32_43 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000011"; constant ap_const_lv32_44 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000100"; constant ap_const_lv32_45 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000101"; constant ap_const_lv32_46 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000110"; constant ap_const_lv32_47 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001000111"; constant ap_const_lv32_48 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001000"; constant ap_const_lv32_49 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001001"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant C_M_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_4D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001101"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_lv64_1 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000001"; constant ap_const_lv64_2 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000010"; constant ap_const_lv64_3 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000011"; constant ap_const_lv64_4 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000100"; constant ap_const_lv64_5 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000101"; constant ap_const_lv64_6 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000110"; constant ap_const_lv64_7 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000111"; constant ap_const_lv64_8 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001000"; constant ap_const_lv64_9 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001001"; constant ap_const_lv64_A : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001010"; constant ap_const_lv64_B : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001011"; constant ap_const_lv64_C : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001100"; constant ap_const_lv64_D : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001101"; constant ap_const_lv64_E : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001110"; constant ap_const_lv64_F : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000001111"; constant ap_const_lv64_10 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010000"; constant ap_const_lv64_11 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010001"; constant ap_const_lv64_12 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010010"; constant ap_const_lv64_13 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010011"; constant ap_const_lv64_14 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010100"; constant ap_const_lv64_15 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010101"; constant ap_const_lv64_16 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010110"; constant ap_const_lv64_17 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000010111"; constant ap_const_lv64_18 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011000"; constant ap_const_lv64_19 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011001"; constant ap_const_lv64_1A : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011010"; constant ap_const_lv64_1B : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011011"; constant ap_const_lv64_1C : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011100"; constant ap_const_lv64_1D : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011101"; constant ap_const_lv64_1E : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011110"; constant ap_const_lv64_1F : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000011111"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv16_FFFF : STD_LOGIC_VECTOR (15 downto 0) := "1111111111111111"; constant ap_const_lv15_1 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000001"; constant ap_const_lv15_2 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000010"; constant ap_const_lv15_3 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000011"; constant ap_const_lv15_4 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000100"; constant ap_const_lv15_5 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000101"; constant ap_const_lv15_6 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000110"; constant ap_const_lv15_7 : STD_LOGIC_VECTOR (14 downto 0) := "000000000000111"; constant ap_const_lv15_8 : STD_LOGIC_VECTOR (14 downto 0) := "000000000001000"; constant ap_const_lv15_9 : STD_LOGIC_VECTOR (14 downto 0) := "000000000001001"; constant ap_const_lv15_A : STD_LOGIC_VECTOR (14 downto 0) := "000000000001010"; constant ap_const_lv15_B : STD_LOGIC_VECTOR (14 downto 0) := "000000000001011"; constant ap_const_lv15_C : STD_LOGIC_VECTOR (14 downto 0) := "000000000001100"; constant ap_const_lv15_D : STD_LOGIC_VECTOR (14 downto 0) := "000000000001101"; constant ap_const_lv15_E : STD_LOGIC_VECTOR (14 downto 0) := "000000000001110"; constant ap_const_lv15_F : STD_LOGIC_VECTOR (14 downto 0) := "000000000001111"; constant ap_const_lv15_10 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010000"; constant ap_const_lv15_11 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010001"; constant ap_const_lv15_12 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010010"; constant ap_const_lv15_13 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010011"; constant ap_const_lv15_14 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010100"; constant ap_const_lv15_15 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010101"; constant ap_const_lv15_16 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010110"; constant ap_const_lv15_17 : STD_LOGIC_VECTOR (14 downto 0) := "000000000010111"; constant ap_const_lv15_18 : STD_LOGIC_VECTOR (14 downto 0) := "000000000011000"; constant ap_const_lv15_19 : STD_LOGIC_VECTOR (14 downto 0) := "000000000011001"; constant ap_const_lv15_1A : STD_LOGIC_VECTOR (14 downto 0) := "000000000011010"; constant ap_const_lv15_1B : STD_LOGIC_VECTOR (14 downto 0) := "000000000011011"; constant ap_const_lv15_1C : STD_LOGIC_VECTOR (14 downto 0) := "000000000011100"; constant ap_const_lv15_1D : STD_LOGIC_VECTOR (14 downto 0) := "000000000011101"; constant ap_const_lv15_1E : STD_LOGIC_VECTOR (14 downto 0) := "000000000011110"; constant ap_const_lv15_1F : STD_LOGIC_VECTOR (14 downto 0) := "000000000011111"; constant ap_const_lv16_20 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000100000"; constant ap_const_lv32_4E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001001110"; signal ap_rst_n_inv : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (78 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal iv_V : STD_LOGIC_VECTOR (127 downto 0); signal length_r : STD_LOGIC_VECTOR (31 downto 0); signal length_r_preg : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; signal length_r_ap_vld : STD_LOGIC; signal length_r_in_sig : STD_LOGIC_VECTOR (31 downto 0); signal length_r_ap_vld_preg : STD_LOGIC := '0'; signal length_r_ap_vld_in_sig : STD_LOGIC; signal buffer_V_blk_n_AR : STD_LOGIC; signal ap_CS_fsm_pp0_stage1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage1 : signal is "none"; signal ap_enable_reg_pp0_iter0 : STD_LOGIC := '0'; signal ap_block_pp0_stage1_flag00000000 : BOOLEAN; signal tmp_reg_1932 : STD_LOGIC_VECTOR (0 downto 0); signal buffer_V_blk_n_R : STD_LOGIC; signal ap_CS_fsm_pp0_stage8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage8 : signal is "none"; signal ap_block_pp0_stage8_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage9 : signal is "none"; signal ap_block_pp0_stage9_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage10 : signal is "none"; signal ap_block_pp0_stage10_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage11 : signal is "none"; signal ap_block_pp0_stage11_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage12 : signal is "none"; signal ap_block_pp0_stage12_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage13 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage13 : signal is "none"; signal ap_block_pp0_stage13_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage14 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage14 : signal is "none"; signal ap_block_pp0_stage14_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage15 : signal is "none"; signal ap_block_pp0_stage15_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage16 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage16 : signal is "none"; signal ap_block_pp0_stage16_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage17 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage17 : signal is "none"; signal ap_block_pp0_stage17_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage18 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage18 : signal is "none"; signal ap_block_pp0_stage18_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage19 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage19 : signal is "none"; signal ap_block_pp0_stage19_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage20 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage20 : signal is "none"; signal ap_block_pp0_stage20_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage21 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage21 : signal is "none"; signal ap_block_pp0_stage21_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage22 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage22 : signal is "none"; signal ap_block_pp0_stage22_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage23 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage23 : signal is "none"; signal ap_block_pp0_stage23_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage24 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage24 : signal is "none"; signal ap_block_pp0_stage24_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage25 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage25 : signal is "none"; signal ap_block_pp0_stage25_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage26 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage26 : signal is "none"; signal ap_block_pp0_stage26_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage27 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage27 : signal is "none"; signal ap_block_pp0_stage27_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage28 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage28 : signal is "none"; signal ap_block_pp0_stage28_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage29 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage29 : signal is "none"; signal ap_block_pp0_stage29_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage30 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage30 : signal is "none"; signal ap_block_pp0_stage30_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage31 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage31 : signal is "none"; signal ap_block_pp0_stage31_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage32 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage32 : signal is "none"; signal ap_block_pp0_stage32_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage33 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage33 : signal is "none"; signal ap_block_pp0_stage33_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage34 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage34 : signal is "none"; signal ap_block_pp0_stage34_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage35 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage35 : signal is "none"; signal ap_block_pp0_stage35_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage36 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage36 : signal is "none"; signal ap_block_pp0_stage36_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage37 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage37 : signal is "none"; signal ap_block_pp0_stage37_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage38 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage38 : signal is "none"; signal ap_block_pp0_stage38_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage39 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage39 : signal is "none"; signal ap_block_pp0_stage39_flag00000000 : BOOLEAN; signal buffer_V_blk_n_AW : STD_LOGIC; signal ap_CS_fsm_pp0_stage40 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage40 : signal is "none"; signal ap_block_pp0_stage40_flag00000000 : BOOLEAN; signal buffer_V_blk_n_W : STD_LOGIC; signal ap_CS_fsm_pp0_stage41 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage41 : signal is "none"; signal ap_block_pp0_stage41_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage42 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage42 : signal is "none"; signal ap_block_pp0_stage42_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage43 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage43 : signal is "none"; signal ap_block_pp0_stage43_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage44 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage44 : signal is "none"; signal ap_block_pp0_stage44_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage45 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage45 : signal is "none"; signal ap_block_pp0_stage45_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage46 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage46 : signal is "none"; signal ap_block_pp0_stage46_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage47 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage47 : signal is "none"; signal ap_block_pp0_stage47_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage48 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage48 : signal is "none"; signal ap_block_pp0_stage48_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage49 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage49 : signal is "none"; signal ap_block_pp0_stage49_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage50 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage50 : signal is "none"; signal ap_block_pp0_stage50_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage51 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage51 : signal is "none"; signal ap_block_pp0_stage51_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage52 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage52 : signal is "none"; signal ap_block_pp0_stage52_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage53 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage53 : signal is "none"; signal ap_block_pp0_stage53_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage54 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage54 : signal is "none"; signal ap_block_pp0_stage54_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage55 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage55 : signal is "none"; signal ap_block_pp0_stage55_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage56 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage56 : signal is "none"; signal ap_block_pp0_stage56_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage57 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage57 : signal is "none"; signal ap_block_pp0_stage57_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage58 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage58 : signal is "none"; signal ap_block_pp0_stage58_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage59 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage59 : signal is "none"; signal ap_block_pp0_stage59_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage60 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage60 : signal is "none"; signal ap_block_pp0_stage60_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage61 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage61 : signal is "none"; signal ap_block_pp0_stage61_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage62 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage62 : signal is "none"; signal ap_block_pp0_stage62_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage63 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage63 : signal is "none"; signal ap_block_pp0_stage63_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage64 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage64 : signal is "none"; signal ap_block_pp0_stage64_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage65 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage65 : signal is "none"; signal ap_block_pp0_stage65_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage66 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage66 : signal is "none"; signal ap_block_pp0_stage66_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage67 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage67 : signal is "none"; signal ap_block_pp0_stage67_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage68 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage68 : signal is "none"; signal ap_block_pp0_stage68_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage69 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage69 : signal is "none"; signal ap_block_pp0_stage69_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage70 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage70 : signal is "none"; signal ap_block_pp0_stage70_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage71 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage71 : signal is "none"; signal ap_block_pp0_stage71_flag00000000 : BOOLEAN; signal ap_CS_fsm_pp0_stage72 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage72 : signal is "none"; signal ap_block_pp0_stage72_flag00000000 : BOOLEAN; signal buffer_V_blk_n_B : STD_LOGIC; signal ap_CS_fsm_pp0_stage0 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage0 : signal is "none"; signal ap_enable_reg_pp0_iter1 : STD_LOGIC := '0'; signal ap_block_pp0_stage0_flag00000000 : BOOLEAN; signal length_r_blk_n : STD_LOGIC; signal buffer_V_AWVALID : STD_LOGIC; signal buffer_V_AWREADY : STD_LOGIC; signal buffer_V_WVALID : STD_LOGIC; signal buffer_V_WREADY : STD_LOGIC; signal buffer_V_WDATA : STD_LOGIC_VECTOR (127 downto 0); signal buffer_V_ARVALID : STD_LOGIC; signal buffer_V_ARREADY : STD_LOGIC; signal buffer_V_ARADDR : STD_LOGIC_VECTOR (31 downto 0); signal buffer_V_RVALID : STD_LOGIC; signal buffer_V_RREADY : STD_LOGIC; signal buffer_V_RDATA : STD_LOGIC_VECTOR (127 downto 0); signal buffer_V_RLAST : STD_LOGIC; signal buffer_V_RID : STD_LOGIC_VECTOR (0 downto 0); signal buffer_V_RUSER : STD_LOGIC_VECTOR (0 downto 0); signal buffer_V_RRESP : STD_LOGIC_VECTOR (1 downto 0); signal buffer_V_BVALID : STD_LOGIC; signal buffer_V_BREADY : STD_LOGIC; signal buffer_V_BRESP : STD_LOGIC_VECTOR (1 downto 0); signal buffer_V_BID : STD_LOGIC_VECTOR (0 downto 0); signal buffer_V_BUSER : STD_LOGIC_VECTOR (0 downto 0); signal i_op_assign_reg_732 : STD_LOGIC_VECTOR (15 downto 0); signal reg_752 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state10_pp0_stage8_iter0 : BOOLEAN; signal ap_block_pp0_stage8_flag00011001 : BOOLEAN; signal ap_block_state13_pp0_stage11_iter0 : BOOLEAN; signal ap_block_pp0_stage11_flag00011001 : BOOLEAN; signal ap_block_state16_pp0_stage14_iter0 : BOOLEAN; signal ap_block_pp0_stage14_flag00011001 : BOOLEAN; signal ap_block_state19_pp0_stage17_iter0 : BOOLEAN; signal ap_block_pp0_stage17_flag00011001 : BOOLEAN; signal ap_block_state22_pp0_stage20_iter0 : BOOLEAN; signal ap_block_pp0_stage20_flag00011001 : BOOLEAN; signal ap_block_state25_pp0_stage23_iter0 : BOOLEAN; signal ap_block_pp0_stage23_flag00011001 : BOOLEAN; signal ap_block_state28_pp0_stage26_iter0 : BOOLEAN; signal ap_block_pp0_stage26_flag00011001 : BOOLEAN; signal ap_block_state31_pp0_stage29_iter0 : BOOLEAN; signal ap_block_pp0_stage29_flag00011001 : BOOLEAN; signal ap_block_state34_pp0_stage32_iter0 : BOOLEAN; signal ap_block_pp0_stage32_flag00011001 : BOOLEAN; signal ap_block_state37_pp0_stage35_iter0 : BOOLEAN; signal ap_block_pp0_stage35_flag00011001 : BOOLEAN; signal ap_block_state40_pp0_stage38_iter0 : BOOLEAN; signal ap_block_pp0_stage38_flag00011001 : BOOLEAN; signal reg_756 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state11_pp0_stage9_iter0 : BOOLEAN; signal ap_block_pp0_stage9_flag00011001 : BOOLEAN; signal ap_block_state14_pp0_stage12_iter0 : BOOLEAN; signal ap_block_pp0_stage12_flag00011001 : BOOLEAN; signal ap_block_state17_pp0_stage15_iter0 : BOOLEAN; signal ap_block_pp0_stage15_flag00011001 : BOOLEAN; signal ap_block_state20_pp0_stage18_iter0 : BOOLEAN; signal ap_block_pp0_stage18_flag00011001 : BOOLEAN; signal ap_block_state23_pp0_stage21_iter0 : BOOLEAN; signal ap_block_pp0_stage21_flag00011001 : BOOLEAN; signal ap_block_state26_pp0_stage24_iter0 : BOOLEAN; signal ap_block_pp0_stage24_flag00011001 : BOOLEAN; signal ap_block_state29_pp0_stage27_iter0 : BOOLEAN; signal ap_block_pp0_stage27_flag00011001 : BOOLEAN; signal ap_block_state32_pp0_stage30_iter0 : BOOLEAN; signal ap_block_pp0_stage30_flag00011001 : BOOLEAN; signal ap_block_state35_pp0_stage33_iter0 : BOOLEAN; signal ap_block_pp0_stage33_flag00011001 : BOOLEAN; signal ap_block_state38_pp0_stage36_iter0 : BOOLEAN; signal ap_block_pp0_stage36_flag00011001 : BOOLEAN; signal ap_block_state41_pp0_stage39_iter0 : BOOLEAN; signal ap_block_pp0_stage39_flag00011001 : BOOLEAN; signal reg_760 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state12_pp0_stage10_iter0 : BOOLEAN; signal ap_block_pp0_stage10_flag00011001 : BOOLEAN; signal ap_block_state15_pp0_stage13_iter0 : BOOLEAN; signal ap_block_pp0_stage13_flag00011001 : BOOLEAN; signal ap_block_state18_pp0_stage16_iter0 : BOOLEAN; signal ap_block_pp0_stage16_flag00011001 : BOOLEAN; signal ap_block_state21_pp0_stage19_iter0 : BOOLEAN; signal ap_block_pp0_stage19_flag00011001 : BOOLEAN; signal ap_block_state24_pp0_stage22_iter0 : BOOLEAN; signal ap_block_pp0_stage22_flag00011001 : BOOLEAN; signal ap_block_state27_pp0_stage25_iter0 : BOOLEAN; signal ap_block_pp0_stage25_flag00011001 : BOOLEAN; signal ap_block_state30_pp0_stage28_iter0 : BOOLEAN; signal ap_block_pp0_stage28_flag00011001 : BOOLEAN; signal ap_block_state33_pp0_stage31_iter0 : BOOLEAN; signal ap_block_pp0_stage31_flag00011001 : BOOLEAN; signal ap_block_state36_pp0_stage34_iter0 : BOOLEAN; signal ap_block_pp0_stage34_flag00011001 : BOOLEAN; signal ap_block_state39_pp0_stage37_iter0 : BOOLEAN; signal ap_block_pp0_stage37_flag00011001 : BOOLEAN; signal buffer_temp_out_V_q1 : STD_LOGIC_VECTOR (127 downto 0); signal reg_764 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_q0 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state43_pp0_stage41_iter0 : BOOLEAN; signal ap_sig_ioackin_buffer_V_WREADY : STD_LOGIC; signal ap_block_state43_io : BOOLEAN; signal ap_block_pp0_stage41_flag00011001 : BOOLEAN; signal reg_770 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state44_pp0_stage42_iter0 : BOOLEAN; signal ap_block_state44_io : BOOLEAN; signal ap_block_pp0_stage42_flag00011001 : BOOLEAN; signal reg_775 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state45_pp0_stage43_iter0 : BOOLEAN; signal ap_block_state45_io : BOOLEAN; signal ap_block_pp0_stage43_flag00011001 : BOOLEAN; signal reg_780 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state46_pp0_stage44_iter0 : BOOLEAN; signal ap_block_state46_io : BOOLEAN; signal ap_block_pp0_stage44_flag00011001 : BOOLEAN; signal ap_block_state1 : BOOLEAN; signal tmp_fu_809_p3 : STD_LOGIC_VECTOR (0 downto 0); signal ap_block_state2_pp0_stage0_iter0 : BOOLEAN; signal ap_block_state79_pp0_stage0_iter1 : BOOLEAN; signal ap_block_pp0_stage0_flag00011001 : BOOLEAN; signal tmp_66_fu_821_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_66_reg_1936 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_98_fu_826_p1 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_98_reg_1940 : STD_LOGIC_VECTOR (14 downto 0); signal ap_block_state3_pp0_stage1_iter0 : BOOLEAN; signal ap_sig_ioackin_buffer_V_ARREADY : STD_LOGIC; signal ap_block_state3_io : BOOLEAN; signal ap_block_pp0_stage1_flag00011001 : BOOLEAN; signal tmp_1_fu_830_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_1_reg_1974 : STD_LOGIC_VECTOR (63 downto 0); signal buffer_V_addr_reg_1979 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_fu_841_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_4_reg_1985 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_5_1_fu_861_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_1_reg_1991 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_6_fu_866_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_6_reg_1995 : STD_LOGIC_VECTOR (14 downto 0); signal ap_CS_fsm_pp0_stage2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage2 : signal is "none"; signal ap_block_state4_pp0_stage2_iter0 : BOOLEAN; signal ap_block_pp0_stage2_flag00011001 : BOOLEAN; signal tmp_5_2_fu_884_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_2_reg_2001 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_8_fu_889_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_8_reg_2005 : STD_LOGIC_VECTOR (14 downto 0); signal ap_CS_fsm_pp0_stage3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage3 : signal is "none"; signal ap_block_state5_pp0_stage3_iter0 : BOOLEAN; signal ap_block_pp0_stage3_flag00011001 : BOOLEAN; signal tmp_5_3_fu_907_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_3_reg_2011 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_s_fu_912_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_s_reg_2015 : STD_LOGIC_VECTOR (14 downto 0); signal ap_CS_fsm_pp0_stage4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage4 : signal is "none"; signal ap_block_state6_pp0_stage4_iter0 : BOOLEAN; signal ap_block_pp0_stage4_flag00011001 : BOOLEAN; signal tmp_5_4_fu_930_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_4_reg_2021 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_11_fu_935_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_11_reg_2025 : STD_LOGIC_VECTOR (14 downto 0); signal ap_CS_fsm_pp0_stage5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage5 : signal is "none"; signal ap_block_state7_pp0_stage5_iter0 : BOOLEAN; signal ap_block_pp0_stage5_flag00011001 : BOOLEAN; signal tmp_5_5_fu_953_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_5_reg_2031 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_13_fu_958_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_13_reg_2035 : STD_LOGIC_VECTOR (14 downto 0); signal ap_CS_fsm_pp0_stage6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage6 : signal is "none"; signal ap_block_state8_pp0_stage6_iter0 : BOOLEAN; signal ap_block_pp0_stage6_flag00011001 : BOOLEAN; signal tmp_5_6_fu_976_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_6_reg_2041 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_15_fu_981_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_15_reg_2045 : STD_LOGIC_VECTOR (14 downto 0); signal ap_CS_fsm_pp0_stage7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage7 : signal is "none"; signal ap_block_state9_pp0_stage7_iter0 : BOOLEAN; signal ap_block_pp0_stage7_flag00011001 : BOOLEAN; signal tmp_5_7_fu_999_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_7_reg_2051 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_17_fu_1004_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_17_reg_2055 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_5_8_fu_1022_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_8_reg_2061 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_19_fu_1027_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_19_reg_2065 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_5_9_fu_1045_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_9_reg_2071 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_21_fu_1050_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_21_reg_2075 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_5_s_fu_1068_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_s_reg_2081 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_23_fu_1073_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_23_reg_2085 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_25_fu_1082_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_25_reg_2091 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_27_fu_1091_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_27_reg_2097 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_29_fu_1100_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_29_reg_2103 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_31_fu_1109_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_31_reg_2109 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_33_fu_1118_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_33_reg_2115 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_35_fu_1127_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_35_reg_2121 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_37_fu_1136_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_37_reg_2127 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_39_fu_1145_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_39_reg_2133 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_41_fu_1154_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_41_reg_2139 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_43_fu_1163_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_43_reg_2145 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_45_fu_1172_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_45_reg_2151 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_47_fu_1181_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_47_reg_2157 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_49_fu_1190_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_49_reg_2163 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_51_fu_1199_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_51_reg_2169 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_53_fu_1208_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_53_reg_2175 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_55_fu_1217_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_55_reg_2181 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_57_fu_1226_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_57_reg_2187 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_59_fu_1235_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_59_reg_2193 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_61_fu_1244_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_61_reg_2199 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_63_fu_1253_p2 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_63_reg_2205 : STD_LOGIC_VECTOR (14 downto 0); signal tmp_5_10_fu_1271_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_10_reg_2211 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_11_fu_1276_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_11_reg_2215 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_12_fu_1281_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_12_reg_2219 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_13_fu_1286_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_13_reg_2223 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_14_fu_1291_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_14_reg_2227 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_15_fu_1296_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_15_reg_2231 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_16_fu_1301_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_16_reg_2235 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_17_fu_1306_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_17_reg_2239 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_18_fu_1311_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_18_reg_2243 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_19_fu_1316_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_19_reg_2247 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_20_fu_1321_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_20_reg_2251 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_21_fu_1326_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_21_reg_2255 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_22_fu_1331_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_22_reg_2259 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_23_fu_1336_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_23_reg_2263 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_24_fu_1341_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_24_reg_2267 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_25_fu_1346_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_25_reg_2271 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_26_fu_1351_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_26_reg_2275 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_27_fu_1356_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_27_reg_2279 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_28_fu_1361_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_28_reg_2283 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_29_fu_1366_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_29_reg_2287 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_30_fu_1371_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_5_30_reg_2291 : STD_LOGIC_VECTOR (0 downto 0); signal i_fu_1389_p2 : STD_LOGIC_VECTOR (15 downto 0); signal i_reg_2295 : STD_LOGIC_VECTOR (15 downto 0); signal buffer_temp_out_V_lo_4_reg_2300 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_5_reg_2305 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_6_reg_2310 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_7_reg_2315 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_8_reg_2320 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_9_reg_2325 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_10_reg_2330 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_11_reg_2335 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_12_reg_2340 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_13_reg_2345 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_14_reg_2350 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_15_reg_2355 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_16_reg_2360 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_17_reg_2365 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_18_reg_2370 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_19_reg_2375 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_20_reg_2380 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_21_reg_2385 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_22_reg_2390 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_23_reg_2395 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_24_reg_2400 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_25_reg_2405 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_26_reg_2410 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_lo_27_reg_2415 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_state42_pp0_stage40_iter0 : BOOLEAN; signal ap_sig_ioackin_buffer_V_AWREADY : STD_LOGIC; signal ap_block_state42_io : BOOLEAN; signal ap_block_pp0_stage40_flag00011001 : BOOLEAN; signal ap_block_pp0_stage0_flag00011011 : BOOLEAN; signal ap_condition_pp0_exit_iter0_state2 : STD_LOGIC; signal ap_block_state78_pp0_stage76_iter0 : BOOLEAN; signal ap_block_pp0_stage76_flag00011011 : BOOLEAN; signal ap_CS_fsm_pp0_stage76 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage76 : signal is "none"; signal buffer_temp_out_V_address0 : STD_LOGIC_VECTOR (4 downto 0); signal buffer_temp_out_V_ce0 : STD_LOGIC; signal buffer_temp_out_V_we0 : STD_LOGIC; signal buffer_temp_out_V_d0 : STD_LOGIC_VECTOR (127 downto 0); signal buffer_temp_out_V_address1 : STD_LOGIC_VECTOR (4 downto 0); signal buffer_temp_out_V_ce1 : STD_LOGIC; signal buffer_temp_out_V_we1 : STD_LOGIC; signal buffer_temp_out_V_d1 : STD_LOGIC_VECTOR (127 downto 0); signal grp_aestest_fu_744_ap_start : STD_LOGIC; signal grp_aestest_fu_744_ap_done : STD_LOGIC; signal grp_aestest_fu_744_ap_idle : STD_LOGIC; signal grp_aestest_fu_744_ap_ready : STD_LOGIC; signal grp_aestest_fu_744_ap_ce : STD_LOGIC; signal grp_aestest_fu_744_inptext_V_read : STD_LOGIC_VECTOR (127 downto 0); signal grp_aestest_fu_744_ap_return : STD_LOGIC_VECTOR (127 downto 0); signal ap_predicate_op143_call_state3 : BOOLEAN; signal ap_predicate_op307_call_state13 : BOOLEAN; signal ap_predicate_op152_call_state4 : BOOLEAN; signal ap_predicate_op370_call_state14 : BOOLEAN; signal ap_predicate_op162_call_state5 : BOOLEAN; signal ap_predicate_op392_call_state15 : BOOLEAN; signal ap_predicate_op173_call_state6 : BOOLEAN; signal ap_predicate_op414_call_state16 : BOOLEAN; signal ap_predicate_op185_call_state7 : BOOLEAN; signal ap_predicate_op436_call_state17 : BOOLEAN; signal ap_predicate_op198_call_state8 : BOOLEAN; signal ap_predicate_op458_call_state18 : BOOLEAN; signal ap_predicate_op212_call_state9 : BOOLEAN; signal ap_predicate_op480_call_state19 : BOOLEAN; signal ap_predicate_op227_call_state10 : BOOLEAN; signal ap_predicate_op502_call_state20 : BOOLEAN; signal ap_predicate_op243_call_state11 : BOOLEAN; signal ap_predicate_op524_call_state21 : BOOLEAN; signal ap_predicate_op260_call_state12 : BOOLEAN; signal ap_predicate_op546_call_state22 : BOOLEAN; signal ap_predicate_op324_call_state13 : BOOLEAN; signal ap_predicate_op568_call_state23 : BOOLEAN; signal ap_predicate_op387_call_state14 : BOOLEAN; signal ap_predicate_op590_call_state24 : BOOLEAN; signal ap_predicate_op409_call_state15 : BOOLEAN; signal ap_predicate_op612_call_state25 : BOOLEAN; signal ap_predicate_op431_call_state16 : BOOLEAN; signal ap_predicate_op634_call_state26 : BOOLEAN; signal ap_predicate_op453_call_state17 : BOOLEAN; signal ap_predicate_op656_call_state27 : BOOLEAN; signal ap_predicate_op475_call_state18 : BOOLEAN; signal ap_predicate_op678_call_state28 : BOOLEAN; signal ap_predicate_op497_call_state19 : BOOLEAN; signal ap_predicate_op700_call_state29 : BOOLEAN; signal ap_predicate_op519_call_state20 : BOOLEAN; signal ap_predicate_op722_call_state30 : BOOLEAN; signal ap_predicate_op541_call_state21 : BOOLEAN; signal ap_predicate_op744_call_state31 : BOOLEAN; signal ap_predicate_op563_call_state22 : BOOLEAN; signal ap_predicate_op766_call_state32 : BOOLEAN; signal ap_predicate_op585_call_state23 : BOOLEAN; signal ap_predicate_op788_call_state33 : BOOLEAN; signal ap_predicate_op607_call_state24 : BOOLEAN; signal ap_predicate_op810_call_state34 : BOOLEAN; signal ap_predicate_op629_call_state25 : BOOLEAN; signal ap_predicate_op832_call_state35 : BOOLEAN; signal ap_predicate_op651_call_state26 : BOOLEAN; signal ap_predicate_op851_call_state36 : BOOLEAN; signal ap_predicate_op673_call_state27 : BOOLEAN; signal ap_predicate_op869_call_state37 : BOOLEAN; signal ap_predicate_op695_call_state28 : BOOLEAN; signal ap_predicate_op886_call_state38 : BOOLEAN; signal ap_predicate_op717_call_state29 : BOOLEAN; signal ap_predicate_op902_call_state39 : BOOLEAN; signal ap_predicate_op739_call_state30 : BOOLEAN; signal ap_predicate_op917_call_state40 : BOOLEAN; signal ap_predicate_op761_call_state31 : BOOLEAN; signal ap_predicate_op931_call_state41 : BOOLEAN; signal ap_predicate_op783_call_state32 : BOOLEAN; signal ap_predicate_op943_call_state42 : BOOLEAN; signal ap_predicate_op805_call_state33 : BOOLEAN; signal ap_predicate_op955_call_state43 : BOOLEAN; signal ap_predicate_op827_call_state34 : BOOLEAN; signal ap_predicate_op969_call_state44 : BOOLEAN; signal i_op_assign_phi_fu_736_p4 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_grp_aestest_fu_744_ap_start : STD_LOGIC := '0'; signal ap_predicate_op143_call_state3_state2 : BOOLEAN; signal ap_predicate_op152_call_state4_state3 : BOOLEAN; signal ap_predicate_op162_call_state5_state4 : BOOLEAN; signal ap_predicate_op173_call_state6_state5 : BOOLEAN; signal ap_predicate_op185_call_state7_state6 : BOOLEAN; signal ap_predicate_op198_call_state8_state7 : BOOLEAN; signal ap_predicate_op212_call_state9_state8 : BOOLEAN; signal ap_predicate_op227_call_state10_state9 : BOOLEAN; signal ap_predicate_op243_call_state11_state10 : BOOLEAN; signal ap_predicate_op260_call_state12_state11 : BOOLEAN; signal ap_predicate_op324_call_state13_state12 : BOOLEAN; signal ap_predicate_op387_call_state14_state13 : BOOLEAN; signal ap_predicate_op409_call_state15_state14 : BOOLEAN; signal ap_predicate_op431_call_state16_state15 : BOOLEAN; signal ap_predicate_op453_call_state17_state16 : BOOLEAN; signal ap_predicate_op475_call_state18_state17 : BOOLEAN; signal ap_predicate_op497_call_state19_state18 : BOOLEAN; signal ap_predicate_op519_call_state20_state19 : BOOLEAN; signal ap_predicate_op541_call_state21_state20 : BOOLEAN; signal ap_predicate_op563_call_state22_state21 : BOOLEAN; signal ap_predicate_op585_call_state23_state22 : BOOLEAN; signal ap_predicate_op607_call_state24_state23 : BOOLEAN; signal ap_predicate_op629_call_state25_state24 : BOOLEAN; signal ap_predicate_op651_call_state26_state25 : BOOLEAN; signal ap_predicate_op673_call_state27_state26 : BOOLEAN; signal ap_predicate_op695_call_state28_state27 : BOOLEAN; signal ap_predicate_op717_call_state29_state28 : BOOLEAN; signal ap_predicate_op739_call_state30_state29 : BOOLEAN; signal ap_predicate_op761_call_state31_state30 : BOOLEAN; signal ap_predicate_op783_call_state32_state31 : BOOLEAN; signal ap_predicate_op805_call_state33_state32 : BOOLEAN; signal ap_predicate_op827_call_state34_state33 : BOOLEAN; signal counter_V_fu_855_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_1_fu_878_p2 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_pp0_stage2_flag00000000 : BOOLEAN; signal counter_V_0_2_fu_901_p2 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_pp0_stage3_flag00000000 : BOOLEAN; signal counter_V_0_3_fu_924_p2 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_pp0_stage4_flag00000000 : BOOLEAN; signal counter_V_0_4_fu_947_p2 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_pp0_stage5_flag00000000 : BOOLEAN; signal counter_V_0_5_fu_970_p2 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_pp0_stage6_flag00000000 : BOOLEAN; signal counter_V_0_6_fu_993_p2 : STD_LOGIC_VECTOR (127 downto 0); signal ap_block_pp0_stage7_flag00000000 : BOOLEAN; signal counter_V_0_7_fu_1016_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_8_fu_1039_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_9_fu_1062_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_s_fu_1265_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_10_fu_1383_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_11_fu_1402_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_12_fu_1415_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_13_fu_1428_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_14_fu_1441_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_15_fu_1454_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_16_fu_1467_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_17_fu_1480_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_18_fu_1493_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_19_fu_1506_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_20_fu_1519_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_21_fu_1532_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_22_fu_1545_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_23_fu_1558_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_24_fu_1571_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_25_fu_1584_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_26_fu_1597_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_27_fu_1610_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_28_fu_1623_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_29_fu_1636_p2 : STD_LOGIC_VECTOR (127 downto 0); signal counter_V_0_30_fu_1649_p2 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_5_fu_1376_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_7_fu_1395_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_9_fu_1408_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_10_fu_1421_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_12_fu_1434_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_14_fu_1447_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_16_fu_1460_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_18_fu_1473_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_20_fu_1486_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_22_fu_1499_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_24_fu_1512_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_26_fu_1525_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_28_fu_1538_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_30_fu_1551_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_32_fu_1564_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_34_fu_1577_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_36_fu_1590_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_38_fu_1603_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_40_fu_1616_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_42_fu_1629_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_44_fu_1642_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_46_fu_1655_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_48_fu_1659_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_50_fu_1663_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_52_fu_1667_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_54_fu_1671_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_56_fu_1675_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_58_fu_1679_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_60_fu_1683_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_62_fu_1687_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_64_fu_1691_p1 : STD_LOGIC_VECTOR (63 downto 0); signal ap_reg_ioackin_buffer_V_ARREADY : STD_LOGIC := '0'; signal ap_block_pp0_stage1_flag00001001 : BOOLEAN; signal ap_reg_ioackin_buffer_V_AWREADY : STD_LOGIC := '0'; signal ap_block_pp0_stage40_flag00001001 : BOOLEAN; signal ap_reg_ioackin_buffer_V_WREADY : STD_LOGIC := '0'; signal ap_block_pp0_stage41_flag00001001 : BOOLEAN; signal ap_block_pp0_stage42_flag00001001 : BOOLEAN; signal ap_block_pp0_stage43_flag00001001 : BOOLEAN; signal ap_block_pp0_stage44_flag00001001 : BOOLEAN; signal ap_block_state47_pp0_stage45_iter0 : BOOLEAN; signal ap_block_pp0_stage45_flag00001001 : BOOLEAN; signal ap_block_state47_io : BOOLEAN; signal ap_block_pp0_stage45_flag00011001 : BOOLEAN; signal ap_block_state48_pp0_stage46_iter0 : BOOLEAN; signal ap_block_pp0_stage46_flag00001001 : BOOLEAN; signal ap_block_state48_io : BOOLEAN; signal ap_block_pp0_stage46_flag00011001 : BOOLEAN; signal ap_block_state49_pp0_stage47_iter0 : BOOLEAN; signal ap_block_pp0_stage47_flag00001001 : BOOLEAN; signal ap_block_state49_io : BOOLEAN; signal ap_block_pp0_stage47_flag00011001 : BOOLEAN; signal ap_block_state50_pp0_stage48_iter0 : BOOLEAN; signal ap_block_pp0_stage48_flag00001001 : BOOLEAN; signal ap_block_state50_io : BOOLEAN; signal ap_block_pp0_stage48_flag00011001 : BOOLEAN; signal ap_block_state51_pp0_stage49_iter0 : BOOLEAN; signal ap_block_pp0_stage49_flag00001001 : BOOLEAN; signal ap_block_state51_io : BOOLEAN; signal ap_block_pp0_stage49_flag00011001 : BOOLEAN; signal ap_block_state52_pp0_stage50_iter0 : BOOLEAN; signal ap_block_pp0_stage50_flag00001001 : BOOLEAN; signal ap_block_state52_io : BOOLEAN; signal ap_block_pp0_stage50_flag00011001 : BOOLEAN; signal ap_block_state53_pp0_stage51_iter0 : BOOLEAN; signal ap_block_pp0_stage51_flag00001001 : BOOLEAN; signal ap_block_state53_io : BOOLEAN; signal ap_block_pp0_stage51_flag00011001 : BOOLEAN; signal ap_block_state54_pp0_stage52_iter0 : BOOLEAN; signal ap_block_pp0_stage52_flag00001001 : BOOLEAN; signal ap_block_state54_io : BOOLEAN; signal ap_block_pp0_stage52_flag00011001 : BOOLEAN; signal ap_block_state55_pp0_stage53_iter0 : BOOLEAN; signal ap_block_pp0_stage53_flag00001001 : BOOLEAN; signal ap_block_state55_io : BOOLEAN; signal ap_block_pp0_stage53_flag00011001 : BOOLEAN; signal ap_block_state56_pp0_stage54_iter0 : BOOLEAN; signal ap_block_pp0_stage54_flag00001001 : BOOLEAN; signal ap_block_state56_io : BOOLEAN; signal ap_block_pp0_stage54_flag00011001 : BOOLEAN; signal ap_block_state57_pp0_stage55_iter0 : BOOLEAN; signal ap_block_pp0_stage55_flag00001001 : BOOLEAN; signal ap_block_state57_io : BOOLEAN; signal ap_block_pp0_stage55_flag00011001 : BOOLEAN; signal ap_block_state58_pp0_stage56_iter0 : BOOLEAN; signal ap_block_pp0_stage56_flag00001001 : BOOLEAN; signal ap_block_state58_io : BOOLEAN; signal ap_block_pp0_stage56_flag00011001 : BOOLEAN; signal ap_block_state59_pp0_stage57_iter0 : BOOLEAN; signal ap_block_pp0_stage57_flag00001001 : BOOLEAN; signal ap_block_state59_io : BOOLEAN; signal ap_block_pp0_stage57_flag00011001 : BOOLEAN; signal ap_block_state60_pp0_stage58_iter0 : BOOLEAN; signal ap_block_pp0_stage58_flag00001001 : BOOLEAN; signal ap_block_state60_io : BOOLEAN; signal ap_block_pp0_stage58_flag00011001 : BOOLEAN; signal ap_block_state61_pp0_stage59_iter0 : BOOLEAN; signal ap_block_pp0_stage59_flag00001001 : BOOLEAN; signal ap_block_state61_io : BOOLEAN; signal ap_block_pp0_stage59_flag00011001 : BOOLEAN; signal ap_block_state62_pp0_stage60_iter0 : BOOLEAN; signal ap_block_pp0_stage60_flag00001001 : BOOLEAN; signal ap_block_state62_io : BOOLEAN; signal ap_block_pp0_stage60_flag00011001 : BOOLEAN; signal ap_block_state63_pp0_stage61_iter0 : BOOLEAN; signal ap_block_pp0_stage61_flag00001001 : BOOLEAN; signal ap_block_state63_io : BOOLEAN; signal ap_block_pp0_stage61_flag00011001 : BOOLEAN; signal ap_block_state64_pp0_stage62_iter0 : BOOLEAN; signal ap_block_pp0_stage62_flag00001001 : BOOLEAN; signal ap_block_state64_io : BOOLEAN; signal ap_block_pp0_stage62_flag00011001 : BOOLEAN; signal ap_block_state65_pp0_stage63_iter0 : BOOLEAN; signal ap_block_pp0_stage63_flag00001001 : BOOLEAN; signal ap_block_state65_io : BOOLEAN; signal ap_block_pp0_stage63_flag00011001 : BOOLEAN; signal ap_block_state66_pp0_stage64_iter0 : BOOLEAN; signal ap_block_pp0_stage64_flag00001001 : BOOLEAN; signal ap_block_state66_io : BOOLEAN; signal ap_block_pp0_stage64_flag00011001 : BOOLEAN; signal ap_block_state67_pp0_stage65_iter0 : BOOLEAN; signal ap_block_pp0_stage65_flag00001001 : BOOLEAN; signal ap_block_state67_io : BOOLEAN; signal ap_block_pp0_stage65_flag00011001 : BOOLEAN; signal ap_block_state68_pp0_stage66_iter0 : BOOLEAN; signal ap_block_pp0_stage66_flag00001001 : BOOLEAN; signal ap_block_state68_io : BOOLEAN; signal ap_block_pp0_stage66_flag00011001 : BOOLEAN; signal ap_block_state69_pp0_stage67_iter0 : BOOLEAN; signal ap_block_pp0_stage67_flag00001001 : BOOLEAN; signal ap_block_state69_io : BOOLEAN; signal ap_block_pp0_stage67_flag00011001 : BOOLEAN; signal ap_block_state70_pp0_stage68_iter0 : BOOLEAN; signal ap_block_pp0_stage68_flag00001001 : BOOLEAN; signal ap_block_state70_io : BOOLEAN; signal ap_block_pp0_stage68_flag00011001 : BOOLEAN; signal ap_block_state71_pp0_stage69_iter0 : BOOLEAN; signal ap_block_pp0_stage69_flag00001001 : BOOLEAN; signal ap_block_state71_io : BOOLEAN; signal ap_block_pp0_stage69_flag00011001 : BOOLEAN; signal ap_block_state72_pp0_stage70_iter0 : BOOLEAN; signal ap_block_pp0_stage70_flag00001001 : BOOLEAN; signal ap_block_state72_io : BOOLEAN; signal ap_block_pp0_stage70_flag00011001 : BOOLEAN; signal ap_block_state73_pp0_stage71_iter0 : BOOLEAN; signal ap_block_pp0_stage71_flag00001001 : BOOLEAN; signal ap_block_state73_io : BOOLEAN; signal ap_block_pp0_stage71_flag00011001 : BOOLEAN; signal ap_block_state74_pp0_stage72_iter0 : BOOLEAN; signal ap_block_pp0_stage72_flag00001001 : BOOLEAN; signal ap_block_state74_io : BOOLEAN; signal ap_block_pp0_stage72_flag00011001 : BOOLEAN; signal grp_fu_786_p2 : STD_LOGIC_VECTOR (127 downto 0); signal grp_fu_794_p2 : STD_LOGIC_VECTOR (127 downto 0); signal grp_fu_802_p2 : STD_LOGIC_VECTOR (127 downto 0); signal i_op_assign_cast1_fu_817_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_2_fu_851_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_4_cast_fu_847_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_cast_6_fu_875_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_6_cast_fu_871_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_1_cast_fu_898_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_cast_fu_894_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_2_cast_fu_921_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_cast_fu_917_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_3_cast_fu_944_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_11_cast_fu_940_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_4_cast_fu_967_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_13_cast_fu_963_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_5_cast_fu_990_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_15_cast_fu_986_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_6_cast_fu_1013_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_17_cast_fu_1009_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_7_cast_fu_1036_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_19_cast_fu_1032_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_8_cast_fu_1059_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_21_cast_fu_1055_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_9_cast_fu_1262_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_23_cast_fu_1078_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_25_cast_fu_1087_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_27_cast_fu_1096_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_29_cast_fu_1105_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_31_cast_fu_1114_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_33_cast_fu_1123_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_35_cast_fu_1132_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_37_cast_fu_1141_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_39_cast_fu_1150_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_41_cast_fu_1159_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_43_cast_fu_1168_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_45_cast_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_47_cast_fu_1186_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_49_cast_fu_1195_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_51_cast_fu_1204_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_53_cast_fu_1213_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_55_cast_fu_1222_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_57_cast_fu_1231_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_59_cast_fu_1240_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_61_cast_fu_1249_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_63_cast_fu_1258_p1 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_8_10_cast_fu_1380_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_11_cast_fu_1399_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_12_cast_fu_1412_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_13_cast_fu_1425_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_14_cast_fu_1438_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_15_cast_fu_1451_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_16_cast_fu_1464_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_17_cast_fu_1477_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_18_cast_fu_1490_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_19_cast_fu_1503_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_20_cast_fu_1516_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_21_cast_fu_1529_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_22_cast_fu_1542_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_23_cast_fu_1555_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_24_cast_fu_1568_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_25_cast_fu_1581_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_26_cast_fu_1594_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_27_cast_fu_1607_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_28_cast_fu_1620_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_29_cast_fu_1633_p1 : STD_LOGIC_VECTOR (127 downto 0); signal tmp_8_30_cast_fu_1646_p1 : STD_LOGIC_VECTOR (127 downto 0); signal ap_CS_fsm_state80 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state80 : signal is "none"; signal ap_NS_fsm : STD_LOGIC_VECTOR (78 downto 0); signal ap_block_pp0_stage1_flag00011011 : BOOLEAN; signal ap_block_pp0_stage2_flag00011011 : BOOLEAN; signal ap_block_pp0_stage3_flag00011011 : BOOLEAN; signal ap_block_pp0_stage4_flag00011011 : BOOLEAN; signal ap_block_pp0_stage5_flag00011011 : BOOLEAN; signal ap_block_pp0_stage6_flag00011011 : BOOLEAN; signal ap_block_pp0_stage7_flag00011011 : BOOLEAN; signal ap_block_pp0_stage8_flag00011011 : BOOLEAN; signal ap_block_pp0_stage9_flag00011011 : BOOLEAN; signal ap_block_pp0_stage10_flag00011011 : BOOLEAN; signal ap_block_pp0_stage11_flag00011011 : BOOLEAN; signal ap_block_pp0_stage12_flag00011011 : BOOLEAN; signal ap_block_pp0_stage13_flag00011011 : BOOLEAN; signal ap_block_pp0_stage14_flag00011011 : BOOLEAN; signal ap_block_pp0_stage15_flag00011011 : BOOLEAN; signal ap_block_pp0_stage16_flag00011011 : BOOLEAN; signal ap_block_pp0_stage17_flag00011011 : BOOLEAN; signal ap_block_pp0_stage18_flag00011011 : BOOLEAN; signal ap_block_pp0_stage19_flag00011011 : BOOLEAN; signal ap_block_pp0_stage20_flag00011011 : BOOLEAN; signal ap_block_pp0_stage21_flag00011011 : BOOLEAN; signal ap_block_pp0_stage22_flag00011011 : BOOLEAN; signal ap_block_pp0_stage23_flag00011011 : BOOLEAN; signal ap_block_pp0_stage24_flag00011011 : BOOLEAN; signal ap_block_pp0_stage25_flag00011011 : BOOLEAN; signal ap_block_pp0_stage26_flag00011011 : BOOLEAN; signal ap_block_pp0_stage27_flag00011011 : BOOLEAN; signal ap_block_pp0_stage28_flag00011011 : BOOLEAN; signal ap_block_pp0_stage29_flag00011011 : BOOLEAN; signal ap_block_pp0_stage30_flag00011011 : BOOLEAN; signal ap_block_pp0_stage31_flag00011011 : BOOLEAN; signal ap_block_pp0_stage32_flag00011011 : BOOLEAN; signal ap_block_pp0_stage33_flag00011011 : BOOLEAN; signal ap_block_pp0_stage34_flag00011011 : BOOLEAN; signal ap_block_pp0_stage35_flag00011011 : BOOLEAN; signal ap_block_pp0_stage36_flag00011011 : BOOLEAN; signal ap_block_pp0_stage37_flag00011011 : BOOLEAN; signal ap_block_pp0_stage38_flag00011011 : BOOLEAN; signal ap_block_pp0_stage39_flag00011011 : BOOLEAN; signal ap_block_pp0_stage40_flag00011011 : BOOLEAN; signal ap_block_pp0_stage41_flag00011011 : BOOLEAN; signal ap_block_pp0_stage42_flag00011011 : BOOLEAN; signal ap_block_pp0_stage43_flag00011011 : BOOLEAN; signal ap_block_pp0_stage44_flag00011011 : BOOLEAN; signal ap_block_pp0_stage45_flag00011011 : BOOLEAN; signal ap_block_pp0_stage46_flag00011011 : BOOLEAN; signal ap_block_pp0_stage47_flag00011011 : BOOLEAN; signal ap_block_pp0_stage48_flag00011011 : BOOLEAN; signal ap_block_pp0_stage49_flag00011011 : BOOLEAN; signal ap_block_pp0_stage50_flag00011011 : BOOLEAN; signal ap_block_pp0_stage51_flag00011011 : BOOLEAN; signal ap_block_pp0_stage52_flag00011011 : BOOLEAN; signal ap_block_pp0_stage53_flag00011011 : BOOLEAN; signal ap_block_pp0_stage54_flag00011011 : BOOLEAN; signal ap_block_pp0_stage55_flag00011011 : BOOLEAN; signal ap_block_pp0_stage56_flag00011011 : BOOLEAN; signal ap_block_pp0_stage57_flag00011011 : BOOLEAN; signal ap_block_pp0_stage58_flag00011011 : BOOLEAN; signal ap_block_pp0_stage59_flag00011011 : BOOLEAN; signal ap_block_pp0_stage60_flag00011011 : BOOLEAN; signal ap_block_pp0_stage61_flag00011011 : BOOLEAN; signal ap_block_pp0_stage62_flag00011011 : BOOLEAN; signal ap_block_pp0_stage63_flag00011011 : BOOLEAN; signal ap_block_pp0_stage64_flag00011011 : BOOLEAN; signal ap_block_pp0_stage65_flag00011011 : BOOLEAN; signal ap_block_pp0_stage66_flag00011011 : BOOLEAN; signal ap_block_pp0_stage67_flag00011011 : BOOLEAN; signal ap_block_pp0_stage68_flag00011011 : BOOLEAN; signal ap_block_pp0_stage69_flag00011011 : BOOLEAN; signal ap_block_pp0_stage70_flag00011011 : BOOLEAN; signal ap_block_pp0_stage71_flag00011011 : BOOLEAN; signal ap_block_pp0_stage72_flag00011011 : BOOLEAN; signal ap_block_state75_pp0_stage73_iter0 : BOOLEAN; signal ap_block_pp0_stage73_flag00011011 : BOOLEAN; signal ap_block_pp0_stage73_flag00011001 : BOOLEAN; signal ap_block_state76_pp0_stage74_iter0 : BOOLEAN; signal ap_block_pp0_stage74_flag00011011 : BOOLEAN; signal ap_block_pp0_stage74_flag00011001 : BOOLEAN; signal ap_block_state77_pp0_stage75_iter0 : BOOLEAN; signal ap_block_pp0_stage75_flag00011011 : BOOLEAN; signal ap_block_pp0_stage75_flag00011001 : BOOLEAN; signal ap_block_pp0_stage76_flag00011001 : BOOLEAN; signal ap_idle_pp0 : STD_LOGIC; signal ap_enable_pp0 : STD_LOGIC; signal ap_condition_7926 : BOOLEAN; signal ap_condition_7931 : BOOLEAN; signal ap_condition_7969 : BOOLEAN; signal ap_condition_7973 : BOOLEAN; signal ap_condition_7977 : BOOLEAN; signal ap_condition_7981 : BOOLEAN; signal ap_condition_7985 : BOOLEAN; signal ap_condition_7989 : BOOLEAN; signal ap_condition_7993 : BOOLEAN; signal ap_condition_7997 : BOOLEAN; signal ap_condition_8001 : BOOLEAN; signal ap_condition_8005 : BOOLEAN; signal ap_condition_8008 : BOOLEAN; signal ap_condition_8011 : BOOLEAN; signal ap_condition_8014 : BOOLEAN; signal ap_condition_8017 : BOOLEAN; signal ap_condition_8020 : BOOLEAN; signal ap_condition_8023 : BOOLEAN; signal ap_condition_8026 : BOOLEAN; signal ap_condition_8029 : BOOLEAN; signal ap_condition_8032 : BOOLEAN; signal ap_condition_8035 : BOOLEAN; signal ap_condition_8038 : BOOLEAN; signal ap_condition_8041 : BOOLEAN; signal ap_condition_8044 : BOOLEAN; signal ap_condition_8047 : BOOLEAN; signal ap_condition_8050 : BOOLEAN; signal ap_condition_8053 : BOOLEAN; signal ap_condition_8056 : BOOLEAN; signal ap_condition_8059 : BOOLEAN; signal ap_condition_8062 : BOOLEAN; signal ap_condition_8065 : BOOLEAN; signal ap_condition_8068 : BOOLEAN; signal ap_condition_8071 : BOOLEAN; component aestest IS port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; ap_ce : IN STD_LOGIC; inptext_V_read : IN STD_LOGIC_VECTOR (127 downto 0); key_V_read : IN STD_LOGIC_VECTOR (127 downto 0); ap_return : OUT STD_LOGIC_VECTOR (127 downto 0) ); end component; component filesystem_encrypbkb IS generic ( DataWidth : INTEGER; AddressRange : INTEGER; AddressWidth : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR (4 downto 0); ce0 : IN STD_LOGIC; we0 : IN STD_LOGIC; d0 : IN STD_LOGIC_VECTOR (127 downto 0); q0 : OUT STD_LOGIC_VECTOR (127 downto 0); address1 : IN STD_LOGIC_VECTOR (4 downto 0); ce1 : IN STD_LOGIC; we1 : IN STD_LOGIC; d1 : IN STD_LOGIC_VECTOR (127 downto 0); q1 : OUT STD_LOGIC_VECTOR (127 downto 0) ); end component; component filesystem_encrypt_AXILiteS_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; iv_V : OUT STD_LOGIC_VECTOR (127 downto 0); length_r : OUT STD_LOGIC_VECTOR (31 downto 0); length_r_ap_vld : OUT STD_LOGIC ); end component; component filesystem_encrypt_buffer_V_m_axi IS generic ( USER_DW : INTEGER; USER_AW : INTEGER; USER_MAXREQS : INTEGER; NUM_READ_OUTSTANDING : INTEGER; NUM_WRITE_OUTSTANDING : INTEGER; MAX_READ_BURST_LENGTH : INTEGER; MAX_WRITE_BURST_LENGTH : INTEGER; C_M_AXI_ID_WIDTH : INTEGER; C_M_AXI_ADDR_WIDTH : INTEGER; C_M_AXI_DATA_WIDTH : INTEGER; C_M_AXI_AWUSER_WIDTH : INTEGER; C_M_AXI_ARUSER_WIDTH : INTEGER; C_M_AXI_WUSER_WIDTH : INTEGER; C_M_AXI_RUSER_WIDTH : INTEGER; C_M_AXI_BUSER_WIDTH : INTEGER; C_TARGET_ADDR : INTEGER; C_USER_VALUE : INTEGER; C_PROT_VALUE : INTEGER; C_CACHE_VALUE : INTEGER ); port ( AWVALID : OUT STD_LOGIC; AWREADY : IN STD_LOGIC; AWADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0); AWID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); AWLEN : OUT STD_LOGIC_VECTOR (7 downto 0); AWSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); AWBURST : OUT STD_LOGIC_VECTOR (1 downto 0); AWLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); AWCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); AWPROT : OUT STD_LOGIC_VECTOR (2 downto 0); AWQOS : OUT STD_LOGIC_VECTOR (3 downto 0); AWREGION : OUT STD_LOGIC_VECTOR (3 downto 0); AWUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_AWUSER_WIDTH-1 downto 0); WVALID : OUT STD_LOGIC; WREADY : IN STD_LOGIC; WDATA : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0); WSTRB : OUT STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH/8-1 downto 0); WLAST : OUT STD_LOGIC; WID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); WUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_WUSER_WIDTH-1 downto 0); ARVALID : OUT STD_LOGIC; ARREADY : IN STD_LOGIC; ARADDR : OUT STD_LOGIC_VECTOR (C_M_AXI_ADDR_WIDTH-1 downto 0); ARID : OUT STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); ARLEN : OUT STD_LOGIC_VECTOR (7 downto 0); ARSIZE : OUT STD_LOGIC_VECTOR (2 downto 0); ARBURST : OUT STD_LOGIC_VECTOR (1 downto 0); ARLOCK : OUT STD_LOGIC_VECTOR (1 downto 0); ARCACHE : OUT STD_LOGIC_VECTOR (3 downto 0); ARPROT : OUT STD_LOGIC_VECTOR (2 downto 0); ARQOS : OUT STD_LOGIC_VECTOR (3 downto 0); ARREGION : OUT STD_LOGIC_VECTOR (3 downto 0); ARUSER : OUT STD_LOGIC_VECTOR (C_M_AXI_ARUSER_WIDTH-1 downto 0); RVALID : IN STD_LOGIC; RREADY : OUT STD_LOGIC; RDATA : IN STD_LOGIC_VECTOR (C_M_AXI_DATA_WIDTH-1 downto 0); RLAST : IN STD_LOGIC; RID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); RUSER : IN STD_LOGIC_VECTOR (C_M_AXI_RUSER_WIDTH-1 downto 0); RRESP : IN STD_LOGIC_VECTOR (1 downto 0); BVALID : IN STD_LOGIC; BREADY : OUT STD_LOGIC; BRESP : IN STD_LOGIC_VECTOR (1 downto 0); BID : IN STD_LOGIC_VECTOR (C_M_AXI_ID_WIDTH-1 downto 0); BUSER : IN STD_LOGIC_VECTOR (C_M_AXI_BUSER_WIDTH-1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; I_ARVALID : IN STD_LOGIC; I_ARREADY : OUT STD_LOGIC; I_ARADDR : IN STD_LOGIC_VECTOR (31 downto 0); I_ARID : IN STD_LOGIC_VECTOR (0 downto 0); I_ARLEN : IN STD_LOGIC_VECTOR (31 downto 0); I_ARSIZE : IN STD_LOGIC_VECTOR (2 downto 0); I_ARLOCK : IN STD_LOGIC_VECTOR (1 downto 0); I_ARCACHE : IN STD_LOGIC_VECTOR (3 downto 0); I_ARQOS : IN STD_LOGIC_VECTOR (3 downto 0); I_ARPROT : IN STD_LOGIC_VECTOR (2 downto 0); I_ARUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_ARBURST : IN STD_LOGIC_VECTOR (1 downto 0); I_ARREGION : IN STD_LOGIC_VECTOR (3 downto 0); I_RVALID : OUT STD_LOGIC; I_RREADY : IN STD_LOGIC; I_RDATA : OUT STD_LOGIC_VECTOR (127 downto 0); I_RID : OUT STD_LOGIC_VECTOR (0 downto 0); I_RUSER : OUT STD_LOGIC_VECTOR (0 downto 0); I_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); I_RLAST : OUT STD_LOGIC; I_AWVALID : IN STD_LOGIC; I_AWREADY : OUT STD_LOGIC; I_AWADDR : IN STD_LOGIC_VECTOR (31 downto 0); I_AWID : IN STD_LOGIC_VECTOR (0 downto 0); I_AWLEN : IN STD_LOGIC_VECTOR (31 downto 0); I_AWSIZE : IN STD_LOGIC_VECTOR (2 downto 0); I_AWLOCK : IN STD_LOGIC_VECTOR (1 downto 0); I_AWCACHE : IN STD_LOGIC_VECTOR (3 downto 0); I_AWQOS : IN STD_LOGIC_VECTOR (3 downto 0); I_AWPROT : IN STD_LOGIC_VECTOR (2 downto 0); I_AWUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_AWBURST : IN STD_LOGIC_VECTOR (1 downto 0); I_AWREGION : IN STD_LOGIC_VECTOR (3 downto 0); I_WVALID : IN STD_LOGIC; I_WREADY : OUT STD_LOGIC; I_WDATA : IN STD_LOGIC_VECTOR (127 downto 0); I_WID : IN STD_LOGIC_VECTOR (0 downto 0); I_WUSER : IN STD_LOGIC_VECTOR (0 downto 0); I_WLAST : IN STD_LOGIC; I_WSTRB : IN STD_LOGIC_VECTOR (15 downto 0); I_BVALID : OUT STD_LOGIC; I_BREADY : IN STD_LOGIC; I_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); I_BID : OUT STD_LOGIC_VECTOR (0 downto 0); I_BUSER : OUT STD_LOGIC_VECTOR (0 downto 0) ); end component; begin filesystem_encrypt_AXILiteS_s_axi_U : component filesystem_encrypt_AXILiteS_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH) port map ( AWVALID => s_axi_AXILiteS_AWVALID, AWREADY => s_axi_AXILiteS_AWREADY, AWADDR => s_axi_AXILiteS_AWADDR, WVALID => s_axi_AXILiteS_WVALID, WREADY => s_axi_AXILiteS_WREADY, WDATA => s_axi_AXILiteS_WDATA, WSTRB => s_axi_AXILiteS_WSTRB, ARVALID => s_axi_AXILiteS_ARVALID, ARREADY => s_axi_AXILiteS_ARREADY, ARADDR => s_axi_AXILiteS_ARADDR, RVALID => s_axi_AXILiteS_RVALID, RREADY => s_axi_AXILiteS_RREADY, RDATA => s_axi_AXILiteS_RDATA, RRESP => s_axi_AXILiteS_RRESP, BVALID => s_axi_AXILiteS_BVALID, BREADY => s_axi_AXILiteS_BREADY, BRESP => s_axi_AXILiteS_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, iv_V => iv_V, length_r => length_r, length_r_ap_vld => length_r_ap_vld); filesystem_encrypt_buffer_V_m_axi_U : component filesystem_encrypt_buffer_V_m_axi generic map ( USER_DW => 128, USER_AW => 32, USER_MAXREQS => 5, NUM_READ_OUTSTANDING => 16, NUM_WRITE_OUTSTANDING => 16, MAX_READ_BURST_LENGTH => 16, MAX_WRITE_BURST_LENGTH => 16, C_M_AXI_ID_WIDTH => C_M_AXI_BUFFER_V_ID_WIDTH, C_M_AXI_ADDR_WIDTH => C_M_AXI_BUFFER_V_ADDR_WIDTH, C_M_AXI_DATA_WIDTH => C_M_AXI_BUFFER_V_DATA_WIDTH, C_M_AXI_AWUSER_WIDTH => C_M_AXI_BUFFER_V_AWUSER_WIDTH, C_M_AXI_ARUSER_WIDTH => C_M_AXI_BUFFER_V_ARUSER_WIDTH, C_M_AXI_WUSER_WIDTH => C_M_AXI_BUFFER_V_WUSER_WIDTH, C_M_AXI_RUSER_WIDTH => C_M_AXI_BUFFER_V_RUSER_WIDTH, C_M_AXI_BUSER_WIDTH => C_M_AXI_BUFFER_V_BUSER_WIDTH, C_TARGET_ADDR => C_M_AXI_BUFFER_V_TARGET_ADDR, C_USER_VALUE => C_M_AXI_BUFFER_V_USER_VALUE, C_PROT_VALUE => C_M_AXI_BUFFER_V_PROT_VALUE, C_CACHE_VALUE => C_M_AXI_BUFFER_V_CACHE_VALUE) port map ( AWVALID => m_axi_buffer_V_AWVALID, AWREADY => m_axi_buffer_V_AWREADY, AWADDR => m_axi_buffer_V_AWADDR, AWID => m_axi_buffer_V_AWID, AWLEN => m_axi_buffer_V_AWLEN, AWSIZE => m_axi_buffer_V_AWSIZE, AWBURST => m_axi_buffer_V_AWBURST, AWLOCK => m_axi_buffer_V_AWLOCK, AWCACHE => m_axi_buffer_V_AWCACHE, AWPROT => m_axi_buffer_V_AWPROT, AWQOS => m_axi_buffer_V_AWQOS, AWREGION => m_axi_buffer_V_AWREGION, AWUSER => m_axi_buffer_V_AWUSER, WVALID => m_axi_buffer_V_WVALID, WREADY => m_axi_buffer_V_WREADY, WDATA => m_axi_buffer_V_WDATA, WSTRB => m_axi_buffer_V_WSTRB, WLAST => m_axi_buffer_V_WLAST, WID => m_axi_buffer_V_WID, WUSER => m_axi_buffer_V_WUSER, ARVALID => m_axi_buffer_V_ARVALID, ARREADY => m_axi_buffer_V_ARREADY, ARADDR => m_axi_buffer_V_ARADDR, ARID => m_axi_buffer_V_ARID, ARLEN => m_axi_buffer_V_ARLEN, ARSIZE => m_axi_buffer_V_ARSIZE, ARBURST => m_axi_buffer_V_ARBURST, ARLOCK => m_axi_buffer_V_ARLOCK, ARCACHE => m_axi_buffer_V_ARCACHE, ARPROT => m_axi_buffer_V_ARPROT, ARQOS => m_axi_buffer_V_ARQOS, ARREGION => m_axi_buffer_V_ARREGION, ARUSER => m_axi_buffer_V_ARUSER, RVALID => m_axi_buffer_V_RVALID, RREADY => m_axi_buffer_V_RREADY, RDATA => m_axi_buffer_V_RDATA, RLAST => m_axi_buffer_V_RLAST, RID => m_axi_buffer_V_RID, RUSER => m_axi_buffer_V_RUSER, RRESP => m_axi_buffer_V_RRESP, BVALID => m_axi_buffer_V_BVALID, BREADY => m_axi_buffer_V_BREADY, BRESP => m_axi_buffer_V_BRESP, BID => m_axi_buffer_V_BID, BUSER => m_axi_buffer_V_BUSER, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, I_ARVALID => buffer_V_ARVALID, I_ARREADY => buffer_V_ARREADY, I_ARADDR => buffer_V_ARADDR, I_ARID => ap_const_lv1_0, I_ARLEN => ap_const_lv32_20, I_ARSIZE => ap_const_lv3_0, I_ARLOCK => ap_const_lv2_0, I_ARCACHE => ap_const_lv4_0, I_ARQOS => ap_const_lv4_0, I_ARPROT => ap_const_lv3_0, I_ARUSER => ap_const_lv1_0, I_ARBURST => ap_const_lv2_0, I_ARREGION => ap_const_lv4_0, I_RVALID => buffer_V_RVALID, I_RREADY => buffer_V_RREADY, I_RDATA => buffer_V_RDATA, I_RID => buffer_V_RID, I_RUSER => buffer_V_RUSER, I_RRESP => buffer_V_RRESP, I_RLAST => buffer_V_RLAST, I_AWVALID => buffer_V_AWVALID, I_AWREADY => buffer_V_AWREADY, I_AWADDR => buffer_V_addr_reg_1979, I_AWID => ap_const_lv1_0, I_AWLEN => ap_const_lv32_20, I_AWSIZE => ap_const_lv3_0, I_AWLOCK => ap_const_lv2_0, I_AWCACHE => ap_const_lv4_0, I_AWQOS => ap_const_lv4_0, I_AWPROT => ap_const_lv3_0, I_AWUSER => ap_const_lv1_0, I_AWBURST => ap_const_lv2_0, I_AWREGION => ap_const_lv4_0, I_WVALID => buffer_V_WVALID, I_WREADY => buffer_V_WREADY, I_WDATA => buffer_V_WDATA, I_WID => ap_const_lv1_0, I_WUSER => ap_const_lv1_0, I_WLAST => ap_const_logic_0, I_WSTRB => ap_const_lv16_FFFF, I_BVALID => buffer_V_BVALID, I_BREADY => buffer_V_BREADY, I_BRESP => buffer_V_BRESP, I_BID => buffer_V_BID, I_BUSER => buffer_V_BUSER); buffer_temp_out_V_U : component filesystem_encrypbkb generic map ( DataWidth => 128, AddressRange => 32, AddressWidth => 5) port map ( clk => ap_clk, reset => ap_rst_n_inv, address0 => buffer_temp_out_V_address0, ce0 => buffer_temp_out_V_ce0, we0 => buffer_temp_out_V_we0, d0 => buffer_temp_out_V_d0, q0 => buffer_temp_out_V_q0, address1 => buffer_temp_out_V_address1, ce1 => buffer_temp_out_V_ce1, we1 => buffer_temp_out_V_we1, d1 => buffer_temp_out_V_d1, q1 => buffer_temp_out_V_q1); grp_aestest_fu_744 : component aestest port map ( ap_clk => ap_clk, ap_rst => ap_rst_n_inv, ap_start => grp_aestest_fu_744_ap_start, ap_done => grp_aestest_fu_744_ap_done, ap_idle => grp_aestest_fu_744_ap_idle, ap_ready => grp_aestest_fu_744_ap_ready, ap_ce => grp_aestest_fu_744_ap_ce, inptext_V_read => grp_aestest_fu_744_inptext_V_read, key_V_read => key_V, ap_return => grp_aestest_fu_744_ap_return); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_enable_reg_pp0_iter0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_enable_reg_pp0_iter0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_condition_pp0_exit_iter0_state2))) then ap_enable_reg_pp0_iter0 <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = length_r_ap_vld_in_sig))))) then ap_enable_reg_pp0_iter0 <= ap_const_logic_1; end if; end if; end if; end process; ap_enable_reg_pp0_iter1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_enable_reg_pp0_iter1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_condition_pp0_exit_iter0_state2) and (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0)) or ((ap_block_pp0_stage76_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage76))))) then ap_enable_reg_pp0_iter1 <= (ap_condition_pp0_exit_iter0_state2 xor ap_const_logic_1); elsif ((((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0)) or ((ap_block_pp0_stage76_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage76)))) then ap_enable_reg_pp0_iter1 <= ap_enable_reg_pp0_iter0; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = length_r_ap_vld_in_sig))))) then ap_enable_reg_pp0_iter1 <= ap_const_logic_0; end if; end if; end if; end process; ap_reg_grp_aestest_fu_744_ap_start_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_grp_aestest_fu_744_ap_start <= ap_const_logic_0; else if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op143_call_state3_state2)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op152_call_state4_state3)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op162_call_state5_state4)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op173_call_state6_state5)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op185_call_state7_state6)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op198_call_state8_state7)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op212_call_state9_state8)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op227_call_state10_state9)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op243_call_state11_state10)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op260_call_state12_state11)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op324_call_state13_state12)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op387_call_state14_state13)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op409_call_state15_state14)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op431_call_state16_state15)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op453_call_state17_state16)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op475_call_state18_state17)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op497_call_state19_state18)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op519_call_state20_state19)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op541_call_state21_state20)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op563_call_state22_state21)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op585_call_state23_state22)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op607_call_state24_state23)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op629_call_state25_state24)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op651_call_state26_state25)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op673_call_state27_state26)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op695_call_state28_state27)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op717_call_state29_state28)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op739_call_state30_state29)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op761_call_state31_state30)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op783_call_state32_state31)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op805_call_state33_state32)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op827_call_state34_state33)))) then ap_reg_grp_aestest_fu_744_ap_start <= ap_const_logic_1; elsif ((ap_const_logic_1 = grp_aestest_fu_744_ap_ready)) then ap_reg_grp_aestest_fu_744_ap_start <= ap_const_logic_0; end if; end if; end if; end process; ap_reg_ioackin_buffer_V_ARREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_buffer_V_ARREADY <= ap_const_logic_0; else if ((ap_condition_7926 = ap_const_boolean_1)) then if ((ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0)) then ap_reg_ioackin_buffer_V_ARREADY <= ap_const_logic_0; elsif (((ap_const_logic_1 = buffer_V_ARREADY) and (ap_block_pp0_stage1_flag00001001 = ap_const_boolean_0))) then ap_reg_ioackin_buffer_V_ARREADY <= ap_const_logic_1; end if; end if; end if; end if; end process; ap_reg_ioackin_buffer_V_AWREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_buffer_V_AWREADY <= ap_const_logic_0; else if ((ap_condition_7931 = ap_const_boolean_1)) then if ((ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)) then ap_reg_ioackin_buffer_V_AWREADY <= ap_const_logic_0; elsif (((ap_const_logic_1 = buffer_V_AWREADY) and (ap_block_pp0_stage40_flag00001001 = ap_const_boolean_0))) then ap_reg_ioackin_buffer_V_AWREADY <= ap_const_logic_1; end if; end if; end if; end if; end process; ap_reg_ioackin_buffer_V_WREADY_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_reg_ioackin_buffer_V_WREADY <= ap_const_logic_0; else if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_block_pp0_stage45_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_block_pp0_stage46_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_block_pp0_stage47_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_block_pp0_stage48_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_block_pp0_stage49_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_block_pp0_stage50_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_block_pp0_stage51_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_block_pp0_stage52_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_block_pp0_stage53_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_block_pp0_stage54_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_block_pp0_stage55_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_block_pp0_stage56_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_block_pp0_stage57_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_block_pp0_stage58_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_block_pp0_stage59_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_block_pp0_stage60_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_block_pp0_stage61_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_block_pp0_stage62_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_block_pp0_stage63_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage64) and (ap_block_pp0_stage64_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage65) and (ap_block_pp0_stage65_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage66) and (ap_block_pp0_stage66_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage67) and (ap_block_pp0_stage67_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage68) and (ap_block_pp0_stage68_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage69) and (ap_block_pp0_stage69_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage70) and (ap_block_pp0_stage70_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage71) and (ap_block_pp0_stage71_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage72) and (ap_block_pp0_stage72_flag00011001 = ap_const_boolean_0)))) then ap_reg_ioackin_buffer_V_WREADY <= ap_const_logic_0; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage41_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage42_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage43_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage44_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage45_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage46_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage47_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage48_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage49_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage50_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage51_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage52_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage53_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage54_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage55_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage56_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage57_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage58_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage59_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage60_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage61_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage62_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage63_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage64) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage64_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage65) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage65_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage66) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage66_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage67) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage67_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage68) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage68_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage69) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage69_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage70) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage70_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage71) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage71_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage72) and (ap_const_logic_1 = buffer_V_WREADY) and (ap_block_pp0_stage72_flag00001001 = ap_const_boolean_0)))) then ap_reg_ioackin_buffer_V_WREADY <= ap_const_logic_1; end if; end if; end if; end process; length_r_ap_vld_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then length_r_ap_vld_preg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_CS_fsm_state80)) then length_r_ap_vld_preg <= ap_const_logic_0; elsif (((ap_const_logic_1 = length_r_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then length_r_ap_vld_preg <= length_r_ap_vld; end if; end if; end if; end process; length_r_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then length_r_preg <= ap_const_lv32_0; else if (((ap_const_logic_1 = length_r_ap_vld) and not(((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))))) then length_r_preg <= length_r; end if; end if; end if; end process; i_op_assign_reg_732_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then i_op_assign_reg_732 <= i_reg_2295; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = length_r_ap_vld_in_sig))))) then i_op_assign_reg_732 <= ap_const_lv16_0; end if; end if; end process; reg_764_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0))) then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0))) then reg_764 <= buffer_temp_out_V_q0; elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0))) then reg_764 <= buffer_temp_out_V_q1; end if; end if; end if; end process; reg_780_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0))) then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00011001 = ap_const_boolean_0))) then reg_780 <= buffer_temp_out_V_q1; elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0))) then reg_780 <= buffer_temp_out_V_q0; end if; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0))) then buffer_V_addr_reg_1979(15 downto 0) <= tmp_1_fu_830_p1(32 - 1 downto 0)(15 downto 0); tmp_1_reg_1974(15 downto 0) <= tmp_1_fu_830_p1(15 downto 0); tmp_4_reg_1985(14 downto 1) <= tmp_4_fu_841_p2(14 downto 1); tmp_98_reg_1940 <= tmp_98_fu_826_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_10_reg_2330 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_11_reg_2335 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_12_reg_2340 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_13_reg_2345 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_14_reg_2350 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_15_reg_2355 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_16_reg_2360 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_17_reg_2365 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_18_reg_2370 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_19_reg_2375 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_20_reg_2380 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_21_reg_2385 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_22_reg_2390 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_23_reg_2395 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_24_reg_2400 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_25_reg_2405 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_26_reg_2410 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_27_reg_2415 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_4_reg_2300 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_5_reg_2305 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_6_reg_2310 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_7_reg_2315 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_8_reg_2320 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0))) then buffer_temp_out_V_lo_9_reg_2325 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0))) then i_reg_2295 <= i_fu_1389_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)))) then reg_752 <= buffer_V_RDATA; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)))) then reg_756 <= buffer_V_RDATA; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)))) then reg_760 <= buffer_V_RDATA; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)))) then reg_770 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00011001 = ap_const_boolean_0)))) then reg_775 <= buffer_temp_out_V_q0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0))) then tmp_11_reg_2025(1) <= tmp_11_fu_935_p2(1); tmp_11_reg_2025(14 downto 3) <= tmp_11_fu_935_p2(14 downto 3); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0))) then tmp_13_reg_2035(0) <= tmp_13_fu_958_p2(0); tmp_13_reg_2035(14 downto 3) <= tmp_13_fu_958_p2(14 downto 3); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0))) then tmp_15_reg_2045(14 downto 3) <= tmp_15_fu_981_p2(14 downto 3); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0))) then tmp_17_reg_2055(2 downto 0) <= tmp_17_fu_1004_p2(2 downto 0); tmp_17_reg_2055(14 downto 4) <= tmp_17_fu_1004_p2(14 downto 4); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0))) then tmp_19_reg_2065(2 downto 1) <= tmp_19_fu_1027_p2(2 downto 1); tmp_19_reg_2065(14 downto 4) <= tmp_19_fu_1027_p2(14 downto 4); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0))) then tmp_21_reg_2075(0) <= tmp_21_fu_1050_p2(0); tmp_21_reg_2075(2) <= tmp_21_fu_1050_p2(2); tmp_21_reg_2075(14 downto 4) <= tmp_21_fu_1050_p2(14 downto 4); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0))) then tmp_23_reg_2085(2) <= tmp_23_fu_1073_p2(2); tmp_23_reg_2085(14 downto 4) <= tmp_23_fu_1073_p2(14 downto 4); tmp_25_reg_2091(1 downto 0) <= tmp_25_fu_1082_p2(1 downto 0); tmp_25_reg_2091(14 downto 4) <= tmp_25_fu_1082_p2(14 downto 4); tmp_27_reg_2097(1) <= tmp_27_fu_1091_p2(1); tmp_27_reg_2097(14 downto 4) <= tmp_27_fu_1091_p2(14 downto 4); tmp_29_reg_2103(0) <= tmp_29_fu_1100_p2(0); tmp_29_reg_2103(14 downto 4) <= tmp_29_fu_1100_p2(14 downto 4); tmp_31_reg_2109(14 downto 4) <= tmp_31_fu_1109_p2(14 downto 4); tmp_33_reg_2115(3 downto 0) <= tmp_33_fu_1118_p2(3 downto 0); tmp_33_reg_2115(14 downto 5) <= tmp_33_fu_1118_p2(14 downto 5); tmp_35_reg_2121(3 downto 1) <= tmp_35_fu_1127_p2(3 downto 1); tmp_35_reg_2121(14 downto 5) <= tmp_35_fu_1127_p2(14 downto 5); tmp_37_reg_2127(0) <= tmp_37_fu_1136_p2(0); tmp_37_reg_2127(3 downto 2) <= tmp_37_fu_1136_p2(3 downto 2); tmp_37_reg_2127(14 downto 5) <= tmp_37_fu_1136_p2(14 downto 5); tmp_39_reg_2133(3 downto 2) <= tmp_39_fu_1145_p2(3 downto 2); tmp_39_reg_2133(14 downto 5) <= tmp_39_fu_1145_p2(14 downto 5); tmp_41_reg_2139(1 downto 0) <= tmp_41_fu_1154_p2(1 downto 0); tmp_41_reg_2139(3) <= tmp_41_fu_1154_p2(3); tmp_41_reg_2139(14 downto 5) <= tmp_41_fu_1154_p2(14 downto 5); tmp_43_reg_2145(1) <= tmp_43_fu_1163_p2(1); tmp_43_reg_2145(3) <= tmp_43_fu_1163_p2(3); tmp_43_reg_2145(14 downto 5) <= tmp_43_fu_1163_p2(14 downto 5); tmp_45_reg_2151(0) <= tmp_45_fu_1172_p2(0); tmp_45_reg_2151(3) <= tmp_45_fu_1172_p2(3); tmp_45_reg_2151(14 downto 5) <= tmp_45_fu_1172_p2(14 downto 5); tmp_47_reg_2157(3) <= tmp_47_fu_1181_p2(3); tmp_47_reg_2157(14 downto 5) <= tmp_47_fu_1181_p2(14 downto 5); tmp_49_reg_2163(2 downto 0) <= tmp_49_fu_1190_p2(2 downto 0); tmp_49_reg_2163(14 downto 5) <= tmp_49_fu_1190_p2(14 downto 5); tmp_51_reg_2169(2 downto 1) <= tmp_51_fu_1199_p2(2 downto 1); tmp_51_reg_2169(14 downto 5) <= tmp_51_fu_1199_p2(14 downto 5); tmp_53_reg_2175(0) <= tmp_53_fu_1208_p2(0); tmp_53_reg_2175(2) <= tmp_53_fu_1208_p2(2); tmp_53_reg_2175(14 downto 5) <= tmp_53_fu_1208_p2(14 downto 5); tmp_55_reg_2181(2) <= tmp_55_fu_1217_p2(2); tmp_55_reg_2181(14 downto 5) <= tmp_55_fu_1217_p2(14 downto 5); tmp_57_reg_2187(1 downto 0) <= tmp_57_fu_1226_p2(1 downto 0); tmp_57_reg_2187(14 downto 5) <= tmp_57_fu_1226_p2(14 downto 5); tmp_59_reg_2193(1) <= tmp_59_fu_1235_p2(1); tmp_59_reg_2193(14 downto 5) <= tmp_59_fu_1235_p2(14 downto 5); tmp_61_reg_2199(0) <= tmp_61_fu_1244_p2(0); tmp_61_reg_2199(14 downto 5) <= tmp_61_fu_1244_p2(14 downto 5); tmp_63_reg_2205(14 downto 5) <= tmp_63_fu_1253_p2(14 downto 5); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081))) then tmp_5_10_reg_2211 <= tmp_5_10_fu_1271_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2))) then tmp_5_11_reg_2215 <= tmp_5_11_fu_1276_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2))) then tmp_5_12_reg_2219 <= tmp_5_12_fu_1281_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2))) then tmp_5_13_reg_2223 <= tmp_5_13_fu_1286_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2))) then tmp_5_14_reg_2227 <= tmp_5_14_fu_1291_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2))) then tmp_5_15_reg_2231 <= tmp_5_15_fu_1296_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2))) then tmp_5_16_reg_2235 <= tmp_5_16_fu_1301_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2))) then tmp_5_17_reg_2239 <= tmp_5_17_fu_1306_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2))) then tmp_5_18_reg_2243 <= tmp_5_18_fu_1311_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2))) then tmp_5_19_reg_2247 <= tmp_5_19_fu_1316_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1))) then tmp_5_1_reg_1991 <= tmp_5_1_fu_861_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2))) then tmp_5_20_reg_2251 <= tmp_5_20_fu_1321_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2))) then tmp_5_21_reg_2255 <= tmp_5_21_fu_1326_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2))) then tmp_5_22_reg_2259 <= tmp_5_22_fu_1331_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2))) then tmp_5_23_reg_2263 <= tmp_5_23_fu_1336_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2))) then tmp_5_24_reg_2267 <= tmp_5_24_fu_1341_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2) and (ap_const_lv1_1 = tmp_5_24_fu_1341_p2))) then tmp_5_25_reg_2271 <= tmp_5_25_fu_1346_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2) and (ap_const_lv1_1 = tmp_5_24_fu_1341_p2) and (ap_const_lv1_1 = tmp_5_25_fu_1346_p2))) then tmp_5_26_reg_2275 <= tmp_5_26_fu_1351_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2) and (ap_const_lv1_1 = tmp_5_24_fu_1341_p2) and (ap_const_lv1_1 = tmp_5_25_fu_1346_p2) and (ap_const_lv1_1 = tmp_5_26_fu_1351_p2))) then tmp_5_27_reg_2279 <= tmp_5_27_fu_1356_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2) and (ap_const_lv1_1 = tmp_5_24_fu_1341_p2) and (ap_const_lv1_1 = tmp_5_25_fu_1346_p2) and (ap_const_lv1_1 = tmp_5_26_fu_1351_p2) and (ap_const_lv1_1 = tmp_5_27_fu_1356_p2))) then tmp_5_28_reg_2283 <= tmp_5_28_fu_1361_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2) and (ap_const_lv1_1 = tmp_5_24_fu_1341_p2) and (ap_const_lv1_1 = tmp_5_25_fu_1346_p2) and (ap_const_lv1_1 = tmp_5_26_fu_1351_p2) and (ap_const_lv1_1 = tmp_5_27_fu_1356_p2) and (ap_const_lv1_1 = tmp_5_28_fu_1361_p2))) then tmp_5_29_reg_2287 <= tmp_5_29_fu_1366_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0) and (tmp_5_1_reg_1991 = ap_const_lv1_1))) then tmp_5_2_reg_2001 <= tmp_5_2_fu_884_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2) and (ap_const_lv1_1 = tmp_5_11_fu_1276_p2) and (ap_const_lv1_1 = tmp_5_12_fu_1281_p2) and (ap_const_lv1_1 = tmp_5_13_fu_1286_p2) and (ap_const_lv1_1 = tmp_5_14_fu_1291_p2) and (ap_const_lv1_1 = tmp_5_15_fu_1296_p2) and (ap_const_lv1_1 = tmp_5_16_fu_1301_p2) and (ap_const_lv1_1 = tmp_5_17_fu_1306_p2) and (ap_const_lv1_1 = tmp_5_18_fu_1311_p2) and (ap_const_lv1_1 = tmp_5_19_fu_1316_p2) and (ap_const_lv1_1 = tmp_5_20_fu_1321_p2) and (ap_const_lv1_1 = tmp_5_21_fu_1326_p2) and (ap_const_lv1_1 = tmp_5_22_fu_1331_p2) and (ap_const_lv1_1 = tmp_5_23_fu_1336_p2) and (ap_const_lv1_1 = tmp_5_24_fu_1341_p2) and (ap_const_lv1_1 = tmp_5_25_fu_1346_p2) and (ap_const_lv1_1 = tmp_5_26_fu_1351_p2) and (ap_const_lv1_1 = tmp_5_27_fu_1356_p2) and (ap_const_lv1_1 = tmp_5_28_fu_1361_p2) and (ap_const_lv1_1 = tmp_5_29_fu_1366_p2))) then tmp_5_30_reg_2291 <= tmp_5_30_fu_1371_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = tmp_5_2_reg_2001))) then tmp_5_3_reg_2011 <= tmp_5_3_fu_907_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = tmp_5_3_reg_2011))) then tmp_5_4_reg_2021 <= tmp_5_4_fu_930_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = tmp_5_4_reg_2021))) then tmp_5_5_reg_2031 <= tmp_5_5_fu_953_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = tmp_5_5_reg_2031))) then tmp_5_6_reg_2041 <= tmp_5_6_fu_976_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = tmp_5_6_reg_2041))) then tmp_5_7_reg_2051 <= tmp_5_7_fu_999_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051))) then tmp_5_8_reg_2061 <= tmp_5_8_fu_1022_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061))) then tmp_5_9_reg_2071 <= tmp_5_9_fu_1045_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071))) then tmp_5_s_reg_2081 <= tmp_5_s_fu_1068_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_0 = tmp_fu_809_p3))) then tmp_66_reg_1936 <= tmp_66_fu_821_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0))) then tmp_6_reg_1995(0) <= tmp_6_fu_866_p2(0); tmp_6_reg_1995(14 downto 2) <= tmp_6_fu_866_p2(14 downto 2); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0))) then tmp_8_reg_2005(14 downto 2) <= tmp_8_fu_889_p2(14 downto 2); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then tmp_reg_1932 <= i_op_assign_phi_fu_736_p4(15 downto 15); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0))) then tmp_s_reg_2015(1 downto 0) <= tmp_s_fu_912_p2(1 downto 0); tmp_s_reg_2015(14 downto 3) <= tmp_s_fu_912_p2(14 downto 3); end if; end if; end process; tmp_1_reg_1974(63 downto 16) <= "000000000000000000000000000000000000000000000000"; buffer_V_addr_reg_1979(31 downto 16) <= "0000000000000000"; tmp_4_reg_1985(0) <= '1'; tmp_6_reg_1995(1) <= '1'; tmp_8_reg_2005(1 downto 0) <= "11"; tmp_s_reg_2015(2) <= '1'; tmp_11_reg_2025(0) <= '1'; tmp_11_reg_2025(2) <= '1'; tmp_13_reg_2035(2 downto 1) <= "11"; tmp_15_reg_2045(2 downto 0) <= "111"; tmp_17_reg_2055(3) <= '1'; tmp_19_reg_2065(0) <= '1'; tmp_19_reg_2065(3) <= '1'; tmp_21_reg_2075(1) <= '1'; tmp_21_reg_2075(3) <= '1'; tmp_23_reg_2085(1 downto 0) <= "11"; tmp_23_reg_2085(3) <= '1'; tmp_25_reg_2091(3 downto 2) <= "11"; tmp_27_reg_2097(0) <= '1'; tmp_27_reg_2097(3 downto 2) <= "11"; tmp_29_reg_2103(3 downto 1) <= "111"; tmp_31_reg_2109(3 downto 0) <= "1111"; tmp_33_reg_2115(4) <= '1'; tmp_35_reg_2121(0) <= '1'; tmp_35_reg_2121(4) <= '1'; tmp_37_reg_2127(1) <= '1'; tmp_37_reg_2127(4) <= '1'; tmp_39_reg_2133(1 downto 0) <= "11"; tmp_39_reg_2133(4) <= '1'; tmp_41_reg_2139(2) <= '1'; tmp_41_reg_2139(4) <= '1'; tmp_43_reg_2145(0) <= '1'; tmp_43_reg_2145(2 downto 2) <= "1"; tmp_43_reg_2145(4) <= '1'; tmp_45_reg_2151(2 downto 1) <= "11"; tmp_45_reg_2151(4) <= '1'; tmp_47_reg_2157(2 downto 0) <= "111"; tmp_47_reg_2157(4) <= '1'; tmp_49_reg_2163(4 downto 3) <= "11"; tmp_51_reg_2169(0) <= '1'; tmp_51_reg_2169(4 downto 3) <= "11"; tmp_53_reg_2175(1) <= '1'; tmp_53_reg_2175(4 downto 3) <= "11"; tmp_55_reg_2181(1 downto 0) <= "11"; tmp_55_reg_2181(4 downto 3) <= "11"; tmp_57_reg_2187(4 downto 2) <= "111"; tmp_59_reg_2193(0) <= '1'; tmp_59_reg_2193(4 downto 2) <= "111"; tmp_61_reg_2199(4 downto 1) <= "1111"; tmp_63_reg_2205(4 downto 0) <= "11111"; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, length_r_ap_vld_in_sig, ap_enable_reg_pp0_iter0, tmp_fu_809_p3, ap_block_pp0_stage0_flag00011011, ap_block_pp0_stage76_flag00011011, ap_block_pp0_stage1_flag00011011, ap_block_pp0_stage2_flag00011011, ap_block_pp0_stage3_flag00011011, ap_block_pp0_stage4_flag00011011, ap_block_pp0_stage5_flag00011011, ap_block_pp0_stage6_flag00011011, ap_block_pp0_stage7_flag00011011, ap_block_pp0_stage8_flag00011011, ap_block_pp0_stage9_flag00011011, ap_block_pp0_stage10_flag00011011, ap_block_pp0_stage11_flag00011011, ap_block_pp0_stage12_flag00011011, ap_block_pp0_stage13_flag00011011, ap_block_pp0_stage14_flag00011011, ap_block_pp0_stage15_flag00011011, ap_block_pp0_stage16_flag00011011, ap_block_pp0_stage17_flag00011011, ap_block_pp0_stage18_flag00011011, ap_block_pp0_stage19_flag00011011, ap_block_pp0_stage20_flag00011011, ap_block_pp0_stage21_flag00011011, ap_block_pp0_stage22_flag00011011, ap_block_pp0_stage23_flag00011011, ap_block_pp0_stage24_flag00011011, ap_block_pp0_stage25_flag00011011, ap_block_pp0_stage26_flag00011011, ap_block_pp0_stage27_flag00011011, ap_block_pp0_stage28_flag00011011, ap_block_pp0_stage29_flag00011011, ap_block_pp0_stage30_flag00011011, ap_block_pp0_stage31_flag00011011, ap_block_pp0_stage32_flag00011011, ap_block_pp0_stage33_flag00011011, ap_block_pp0_stage34_flag00011011, ap_block_pp0_stage35_flag00011011, ap_block_pp0_stage36_flag00011011, ap_block_pp0_stage37_flag00011011, ap_block_pp0_stage38_flag00011011, ap_block_pp0_stage39_flag00011011, ap_block_pp0_stage40_flag00011011, ap_block_pp0_stage41_flag00011011, ap_block_pp0_stage42_flag00011011, ap_block_pp0_stage43_flag00011011, ap_block_pp0_stage44_flag00011011, ap_block_pp0_stage45_flag00011011, ap_block_pp0_stage46_flag00011011, ap_block_pp0_stage47_flag00011011, ap_block_pp0_stage48_flag00011011, ap_block_pp0_stage49_flag00011011, ap_block_pp0_stage50_flag00011011, ap_block_pp0_stage51_flag00011011, ap_block_pp0_stage52_flag00011011, ap_block_pp0_stage53_flag00011011, ap_block_pp0_stage54_flag00011011, ap_block_pp0_stage55_flag00011011, ap_block_pp0_stage56_flag00011011, ap_block_pp0_stage57_flag00011011, ap_block_pp0_stage58_flag00011011, ap_block_pp0_stage59_flag00011011, ap_block_pp0_stage60_flag00011011, ap_block_pp0_stage61_flag00011011, ap_block_pp0_stage62_flag00011011, ap_block_pp0_stage63_flag00011011, ap_block_pp0_stage64_flag00011011, ap_block_pp0_stage65_flag00011011, ap_block_pp0_stage66_flag00011011, ap_block_pp0_stage67_flag00011011, ap_block_pp0_stage68_flag00011011, ap_block_pp0_stage69_flag00011011, ap_block_pp0_stage70_flag00011011, ap_block_pp0_stage71_flag00011011, ap_block_pp0_stage72_flag00011011, ap_block_pp0_stage73_flag00011011, ap_block_pp0_stage74_flag00011011, ap_block_pp0_stage75_flag00011011) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = length_r_ap_vld_in_sig))))) then ap_NS_fsm <= ap_ST_fsm_pp0_stage0; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_pp0_stage0 => if (((ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and not(((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (tmp_fu_809_p3 = ap_const_lv1_1))))) then ap_NS_fsm <= ap_ST_fsm_pp0_stage1; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (tmp_fu_809_p3 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state80; else ap_NS_fsm <= ap_ST_fsm_pp0_stage0; end if; when ap_ST_fsm_pp0_stage1 => if ((ap_block_pp0_stage1_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage2; else ap_NS_fsm <= ap_ST_fsm_pp0_stage1; end if; when ap_ST_fsm_pp0_stage2 => if ((ap_block_pp0_stage2_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage3; else ap_NS_fsm <= ap_ST_fsm_pp0_stage2; end if; when ap_ST_fsm_pp0_stage3 => if ((ap_block_pp0_stage3_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage4; else ap_NS_fsm <= ap_ST_fsm_pp0_stage3; end if; when ap_ST_fsm_pp0_stage4 => if ((ap_block_pp0_stage4_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage5; else ap_NS_fsm <= ap_ST_fsm_pp0_stage4; end if; when ap_ST_fsm_pp0_stage5 => if ((ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage6; else ap_NS_fsm <= ap_ST_fsm_pp0_stage5; end if; when ap_ST_fsm_pp0_stage6 => if ((ap_block_pp0_stage6_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage7; else ap_NS_fsm <= ap_ST_fsm_pp0_stage6; end if; when ap_ST_fsm_pp0_stage7 => if ((ap_block_pp0_stage7_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage8; else ap_NS_fsm <= ap_ST_fsm_pp0_stage7; end if; when ap_ST_fsm_pp0_stage8 => if ((ap_block_pp0_stage8_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage9; else ap_NS_fsm <= ap_ST_fsm_pp0_stage8; end if; when ap_ST_fsm_pp0_stage9 => if ((ap_block_pp0_stage9_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage10; else ap_NS_fsm <= ap_ST_fsm_pp0_stage9; end if; when ap_ST_fsm_pp0_stage10 => if ((ap_block_pp0_stage10_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage11; else ap_NS_fsm <= ap_ST_fsm_pp0_stage10; end if; when ap_ST_fsm_pp0_stage11 => if ((ap_block_pp0_stage11_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage12; else ap_NS_fsm <= ap_ST_fsm_pp0_stage11; end if; when ap_ST_fsm_pp0_stage12 => if ((ap_block_pp0_stage12_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage13; else ap_NS_fsm <= ap_ST_fsm_pp0_stage12; end if; when ap_ST_fsm_pp0_stage13 => if ((ap_block_pp0_stage13_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage14; else ap_NS_fsm <= ap_ST_fsm_pp0_stage13; end if; when ap_ST_fsm_pp0_stage14 => if ((ap_block_pp0_stage14_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage15; else ap_NS_fsm <= ap_ST_fsm_pp0_stage14; end if; when ap_ST_fsm_pp0_stage15 => if ((ap_block_pp0_stage15_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage16; else ap_NS_fsm <= ap_ST_fsm_pp0_stage15; end if; when ap_ST_fsm_pp0_stage16 => if ((ap_block_pp0_stage16_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage17; else ap_NS_fsm <= ap_ST_fsm_pp0_stage16; end if; when ap_ST_fsm_pp0_stage17 => if ((ap_block_pp0_stage17_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage18; else ap_NS_fsm <= ap_ST_fsm_pp0_stage17; end if; when ap_ST_fsm_pp0_stage18 => if ((ap_block_pp0_stage18_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage19; else ap_NS_fsm <= ap_ST_fsm_pp0_stage18; end if; when ap_ST_fsm_pp0_stage19 => if ((ap_block_pp0_stage19_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage20; else ap_NS_fsm <= ap_ST_fsm_pp0_stage19; end if; when ap_ST_fsm_pp0_stage20 => if ((ap_block_pp0_stage20_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage21; else ap_NS_fsm <= ap_ST_fsm_pp0_stage20; end if; when ap_ST_fsm_pp0_stage21 => if ((ap_block_pp0_stage21_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage22; else ap_NS_fsm <= ap_ST_fsm_pp0_stage21; end if; when ap_ST_fsm_pp0_stage22 => if ((ap_block_pp0_stage22_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage23; else ap_NS_fsm <= ap_ST_fsm_pp0_stage22; end if; when ap_ST_fsm_pp0_stage23 => if ((ap_block_pp0_stage23_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage24; else ap_NS_fsm <= ap_ST_fsm_pp0_stage23; end if; when ap_ST_fsm_pp0_stage24 => if ((ap_block_pp0_stage24_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage25; else ap_NS_fsm <= ap_ST_fsm_pp0_stage24; end if; when ap_ST_fsm_pp0_stage25 => if ((ap_block_pp0_stage25_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage26; else ap_NS_fsm <= ap_ST_fsm_pp0_stage25; end if; when ap_ST_fsm_pp0_stage26 => if ((ap_block_pp0_stage26_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage27; else ap_NS_fsm <= ap_ST_fsm_pp0_stage26; end if; when ap_ST_fsm_pp0_stage27 => if ((ap_block_pp0_stage27_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage28; else ap_NS_fsm <= ap_ST_fsm_pp0_stage27; end if; when ap_ST_fsm_pp0_stage28 => if ((ap_block_pp0_stage28_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage29; else ap_NS_fsm <= ap_ST_fsm_pp0_stage28; end if; when ap_ST_fsm_pp0_stage29 => if ((ap_block_pp0_stage29_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage30; else ap_NS_fsm <= ap_ST_fsm_pp0_stage29; end if; when ap_ST_fsm_pp0_stage30 => if ((ap_block_pp0_stage30_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage31; else ap_NS_fsm <= ap_ST_fsm_pp0_stage30; end if; when ap_ST_fsm_pp0_stage31 => if ((ap_block_pp0_stage31_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage32; else ap_NS_fsm <= ap_ST_fsm_pp0_stage31; end if; when ap_ST_fsm_pp0_stage32 => if ((ap_block_pp0_stage32_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage33; else ap_NS_fsm <= ap_ST_fsm_pp0_stage32; end if; when ap_ST_fsm_pp0_stage33 => if ((ap_block_pp0_stage33_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage34; else ap_NS_fsm <= ap_ST_fsm_pp0_stage33; end if; when ap_ST_fsm_pp0_stage34 => if ((ap_block_pp0_stage34_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage35; else ap_NS_fsm <= ap_ST_fsm_pp0_stage34; end if; when ap_ST_fsm_pp0_stage35 => if ((ap_block_pp0_stage35_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage36; else ap_NS_fsm <= ap_ST_fsm_pp0_stage35; end if; when ap_ST_fsm_pp0_stage36 => if ((ap_block_pp0_stage36_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage37; else ap_NS_fsm <= ap_ST_fsm_pp0_stage36; end if; when ap_ST_fsm_pp0_stage37 => if ((ap_block_pp0_stage37_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage38; else ap_NS_fsm <= ap_ST_fsm_pp0_stage37; end if; when ap_ST_fsm_pp0_stage38 => if ((ap_block_pp0_stage38_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage39; else ap_NS_fsm <= ap_ST_fsm_pp0_stage38; end if; when ap_ST_fsm_pp0_stage39 => if ((ap_block_pp0_stage39_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage40; else ap_NS_fsm <= ap_ST_fsm_pp0_stage39; end if; when ap_ST_fsm_pp0_stage40 => if ((ap_block_pp0_stage40_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage41; else ap_NS_fsm <= ap_ST_fsm_pp0_stage40; end if; when ap_ST_fsm_pp0_stage41 => if ((ap_block_pp0_stage41_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage42; else ap_NS_fsm <= ap_ST_fsm_pp0_stage41; end if; when ap_ST_fsm_pp0_stage42 => if ((ap_block_pp0_stage42_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage43; else ap_NS_fsm <= ap_ST_fsm_pp0_stage42; end if; when ap_ST_fsm_pp0_stage43 => if ((ap_block_pp0_stage43_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage44; else ap_NS_fsm <= ap_ST_fsm_pp0_stage43; end if; when ap_ST_fsm_pp0_stage44 => if ((ap_block_pp0_stage44_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage45; else ap_NS_fsm <= ap_ST_fsm_pp0_stage44; end if; when ap_ST_fsm_pp0_stage45 => if ((ap_block_pp0_stage45_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage46; else ap_NS_fsm <= ap_ST_fsm_pp0_stage45; end if; when ap_ST_fsm_pp0_stage46 => if ((ap_block_pp0_stage46_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage47; else ap_NS_fsm <= ap_ST_fsm_pp0_stage46; end if; when ap_ST_fsm_pp0_stage47 => if ((ap_block_pp0_stage47_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage48; else ap_NS_fsm <= ap_ST_fsm_pp0_stage47; end if; when ap_ST_fsm_pp0_stage48 => if ((ap_block_pp0_stage48_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage49; else ap_NS_fsm <= ap_ST_fsm_pp0_stage48; end if; when ap_ST_fsm_pp0_stage49 => if ((ap_block_pp0_stage49_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage50; else ap_NS_fsm <= ap_ST_fsm_pp0_stage49; end if; when ap_ST_fsm_pp0_stage50 => if ((ap_block_pp0_stage50_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage51; else ap_NS_fsm <= ap_ST_fsm_pp0_stage50; end if; when ap_ST_fsm_pp0_stage51 => if ((ap_block_pp0_stage51_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage52; else ap_NS_fsm <= ap_ST_fsm_pp0_stage51; end if; when ap_ST_fsm_pp0_stage52 => if ((ap_block_pp0_stage52_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage53; else ap_NS_fsm <= ap_ST_fsm_pp0_stage52; end if; when ap_ST_fsm_pp0_stage53 => if ((ap_block_pp0_stage53_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage54; else ap_NS_fsm <= ap_ST_fsm_pp0_stage53; end if; when ap_ST_fsm_pp0_stage54 => if ((ap_block_pp0_stage54_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage55; else ap_NS_fsm <= ap_ST_fsm_pp0_stage54; end if; when ap_ST_fsm_pp0_stage55 => if ((ap_block_pp0_stage55_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage56; else ap_NS_fsm <= ap_ST_fsm_pp0_stage55; end if; when ap_ST_fsm_pp0_stage56 => if ((ap_block_pp0_stage56_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage57; else ap_NS_fsm <= ap_ST_fsm_pp0_stage56; end if; when ap_ST_fsm_pp0_stage57 => if ((ap_block_pp0_stage57_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage58; else ap_NS_fsm <= ap_ST_fsm_pp0_stage57; end if; when ap_ST_fsm_pp0_stage58 => if ((ap_block_pp0_stage58_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage59; else ap_NS_fsm <= ap_ST_fsm_pp0_stage58; end if; when ap_ST_fsm_pp0_stage59 => if ((ap_block_pp0_stage59_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage60; else ap_NS_fsm <= ap_ST_fsm_pp0_stage59; end if; when ap_ST_fsm_pp0_stage60 => if ((ap_block_pp0_stage60_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage61; else ap_NS_fsm <= ap_ST_fsm_pp0_stage60; end if; when ap_ST_fsm_pp0_stage61 => if ((ap_block_pp0_stage61_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage62; else ap_NS_fsm <= ap_ST_fsm_pp0_stage61; end if; when ap_ST_fsm_pp0_stage62 => if ((ap_block_pp0_stage62_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage63; else ap_NS_fsm <= ap_ST_fsm_pp0_stage62; end if; when ap_ST_fsm_pp0_stage63 => if ((ap_block_pp0_stage63_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage64; else ap_NS_fsm <= ap_ST_fsm_pp0_stage63; end if; when ap_ST_fsm_pp0_stage64 => if ((ap_block_pp0_stage64_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage65; else ap_NS_fsm <= ap_ST_fsm_pp0_stage64; end if; when ap_ST_fsm_pp0_stage65 => if ((ap_block_pp0_stage65_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage66; else ap_NS_fsm <= ap_ST_fsm_pp0_stage65; end if; when ap_ST_fsm_pp0_stage66 => if ((ap_block_pp0_stage66_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage67; else ap_NS_fsm <= ap_ST_fsm_pp0_stage66; end if; when ap_ST_fsm_pp0_stage67 => if ((ap_block_pp0_stage67_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage68; else ap_NS_fsm <= ap_ST_fsm_pp0_stage67; end if; when ap_ST_fsm_pp0_stage68 => if ((ap_block_pp0_stage68_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage69; else ap_NS_fsm <= ap_ST_fsm_pp0_stage68; end if; when ap_ST_fsm_pp0_stage69 => if ((ap_block_pp0_stage69_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage70; else ap_NS_fsm <= ap_ST_fsm_pp0_stage69; end if; when ap_ST_fsm_pp0_stage70 => if ((ap_block_pp0_stage70_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage71; else ap_NS_fsm <= ap_ST_fsm_pp0_stage70; end if; when ap_ST_fsm_pp0_stage71 => if ((ap_block_pp0_stage71_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage72; else ap_NS_fsm <= ap_ST_fsm_pp0_stage71; end if; when ap_ST_fsm_pp0_stage72 => if ((ap_block_pp0_stage72_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage73; else ap_NS_fsm <= ap_ST_fsm_pp0_stage72; end if; when ap_ST_fsm_pp0_stage73 => if ((ap_block_pp0_stage73_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage74; else ap_NS_fsm <= ap_ST_fsm_pp0_stage73; end if; when ap_ST_fsm_pp0_stage74 => if ((ap_block_pp0_stage74_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage75; else ap_NS_fsm <= ap_ST_fsm_pp0_stage74; end if; when ap_ST_fsm_pp0_stage75 => if ((ap_block_pp0_stage75_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage76; else ap_NS_fsm <= ap_ST_fsm_pp0_stage75; end if; when ap_ST_fsm_pp0_stage76 => if ((ap_block_pp0_stage76_flag00011011 = ap_const_boolean_0)) then ap_NS_fsm <= ap_ST_fsm_pp0_stage0; else ap_NS_fsm <= ap_ST_fsm_pp0_stage76; end if; when ap_ST_fsm_state80 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_pp0_stage0 <= ap_CS_fsm(1); ap_CS_fsm_pp0_stage1 <= ap_CS_fsm(2); ap_CS_fsm_pp0_stage10 <= ap_CS_fsm(11); ap_CS_fsm_pp0_stage11 <= ap_CS_fsm(12); ap_CS_fsm_pp0_stage12 <= ap_CS_fsm(13); ap_CS_fsm_pp0_stage13 <= ap_CS_fsm(14); ap_CS_fsm_pp0_stage14 <= ap_CS_fsm(15); ap_CS_fsm_pp0_stage15 <= ap_CS_fsm(16); ap_CS_fsm_pp0_stage16 <= ap_CS_fsm(17); ap_CS_fsm_pp0_stage17 <= ap_CS_fsm(18); ap_CS_fsm_pp0_stage18 <= ap_CS_fsm(19); ap_CS_fsm_pp0_stage19 <= ap_CS_fsm(20); ap_CS_fsm_pp0_stage2 <= ap_CS_fsm(3); ap_CS_fsm_pp0_stage20 <= ap_CS_fsm(21); ap_CS_fsm_pp0_stage21 <= ap_CS_fsm(22); ap_CS_fsm_pp0_stage22 <= ap_CS_fsm(23); ap_CS_fsm_pp0_stage23 <= ap_CS_fsm(24); ap_CS_fsm_pp0_stage24 <= ap_CS_fsm(25); ap_CS_fsm_pp0_stage25 <= ap_CS_fsm(26); ap_CS_fsm_pp0_stage26 <= ap_CS_fsm(27); ap_CS_fsm_pp0_stage27 <= ap_CS_fsm(28); ap_CS_fsm_pp0_stage28 <= ap_CS_fsm(29); ap_CS_fsm_pp0_stage29 <= ap_CS_fsm(30); ap_CS_fsm_pp0_stage3 <= ap_CS_fsm(4); ap_CS_fsm_pp0_stage30 <= ap_CS_fsm(31); ap_CS_fsm_pp0_stage31 <= ap_CS_fsm(32); ap_CS_fsm_pp0_stage32 <= ap_CS_fsm(33); ap_CS_fsm_pp0_stage33 <= ap_CS_fsm(34); ap_CS_fsm_pp0_stage34 <= ap_CS_fsm(35); ap_CS_fsm_pp0_stage35 <= ap_CS_fsm(36); ap_CS_fsm_pp0_stage36 <= ap_CS_fsm(37); ap_CS_fsm_pp0_stage37 <= ap_CS_fsm(38); ap_CS_fsm_pp0_stage38 <= ap_CS_fsm(39); ap_CS_fsm_pp0_stage39 <= ap_CS_fsm(40); ap_CS_fsm_pp0_stage4 <= ap_CS_fsm(5); ap_CS_fsm_pp0_stage40 <= ap_CS_fsm(41); ap_CS_fsm_pp0_stage41 <= ap_CS_fsm(42); ap_CS_fsm_pp0_stage42 <= ap_CS_fsm(43); ap_CS_fsm_pp0_stage43 <= ap_CS_fsm(44); ap_CS_fsm_pp0_stage44 <= ap_CS_fsm(45); ap_CS_fsm_pp0_stage45 <= ap_CS_fsm(46); ap_CS_fsm_pp0_stage46 <= ap_CS_fsm(47); ap_CS_fsm_pp0_stage47 <= ap_CS_fsm(48); ap_CS_fsm_pp0_stage48 <= ap_CS_fsm(49); ap_CS_fsm_pp0_stage49 <= ap_CS_fsm(50); ap_CS_fsm_pp0_stage5 <= ap_CS_fsm(6); ap_CS_fsm_pp0_stage50 <= ap_CS_fsm(51); ap_CS_fsm_pp0_stage51 <= ap_CS_fsm(52); ap_CS_fsm_pp0_stage52 <= ap_CS_fsm(53); ap_CS_fsm_pp0_stage53 <= ap_CS_fsm(54); ap_CS_fsm_pp0_stage54 <= ap_CS_fsm(55); ap_CS_fsm_pp0_stage55 <= ap_CS_fsm(56); ap_CS_fsm_pp0_stage56 <= ap_CS_fsm(57); ap_CS_fsm_pp0_stage57 <= ap_CS_fsm(58); ap_CS_fsm_pp0_stage58 <= ap_CS_fsm(59); ap_CS_fsm_pp0_stage59 <= ap_CS_fsm(60); ap_CS_fsm_pp0_stage6 <= ap_CS_fsm(7); ap_CS_fsm_pp0_stage60 <= ap_CS_fsm(61); ap_CS_fsm_pp0_stage61 <= ap_CS_fsm(62); ap_CS_fsm_pp0_stage62 <= ap_CS_fsm(63); ap_CS_fsm_pp0_stage63 <= ap_CS_fsm(64); ap_CS_fsm_pp0_stage64 <= ap_CS_fsm(65); ap_CS_fsm_pp0_stage65 <= ap_CS_fsm(66); ap_CS_fsm_pp0_stage66 <= ap_CS_fsm(67); ap_CS_fsm_pp0_stage67 <= ap_CS_fsm(68); ap_CS_fsm_pp0_stage68 <= ap_CS_fsm(69); ap_CS_fsm_pp0_stage69 <= ap_CS_fsm(70); ap_CS_fsm_pp0_stage7 <= ap_CS_fsm(8); ap_CS_fsm_pp0_stage70 <= ap_CS_fsm(71); ap_CS_fsm_pp0_stage71 <= ap_CS_fsm(72); ap_CS_fsm_pp0_stage72 <= ap_CS_fsm(73); ap_CS_fsm_pp0_stage76 <= ap_CS_fsm(77); ap_CS_fsm_pp0_stage8 <= ap_CS_fsm(9); ap_CS_fsm_pp0_stage9 <= ap_CS_fsm(10); ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state80 <= ap_CS_fsm(78); ap_block_pp0_stage0_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage0_flag00011001_assign_proc : process(tmp_reg_1932, ap_enable_reg_pp0_iter1, buffer_V_BVALID) begin ap_block_pp0_stage0_flag00011001 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_0 = buffer_V_BVALID)); end process; ap_block_pp0_stage0_flag00011011_assign_proc : process(tmp_reg_1932, ap_enable_reg_pp0_iter1, buffer_V_BVALID) begin ap_block_pp0_stage0_flag00011011 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_const_logic_0 = buffer_V_BVALID)); end process; ap_block_pp0_stage10_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage10_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage10_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage10_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage10_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage11_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage11_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage11_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage11_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage11_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage12_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage12_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage12_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage12_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage12_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage13_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage13_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage13_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage13_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage13_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage14_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage14_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage14_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage14_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage14_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage15_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage15_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage15_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage15_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage15_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage16_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage16_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage16_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage16_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage16_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage17_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage17_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage17_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage17_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage17_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage18_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage18_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage18_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage18_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage18_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage19_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage19_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage19_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage19_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage19_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage1_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage1_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage1_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state3_io) begin ap_block_pp0_stage1_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state3_io)); end process; ap_block_pp0_stage1_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state3_io) begin ap_block_pp0_stage1_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state3_io)); end process; ap_block_pp0_stage20_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage20_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage20_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage20_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage20_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage21_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage21_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage21_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage21_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage21_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage22_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage22_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage22_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage22_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage22_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage23_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage23_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage23_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage23_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage23_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage24_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage24_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage24_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage24_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage24_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage25_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage25_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage25_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage25_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage25_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage26_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage26_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage26_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage26_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage26_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage27_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage27_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage27_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage27_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage27_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage28_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage28_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage28_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage28_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage28_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage29_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage29_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage29_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage29_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage29_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage2_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage2_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage2_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage30_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage30_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage30_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage30_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage30_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage31_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage31_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage31_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage31_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage31_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage32_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage32_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage32_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage32_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage32_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage33_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage33_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage33_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage33_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage33_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage34_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage34_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage34_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage34_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage34_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage35_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage35_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage35_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage35_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage35_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage36_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage36_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage36_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage36_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage36_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage37_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage37_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage37_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage37_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage37_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage38_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage38_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage38_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage38_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage38_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage39_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage39_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage39_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage39_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage39_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage3_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage3_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage3_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage40_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage40_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage40_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state42_io) begin ap_block_pp0_stage40_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state42_io)); end process; ap_block_pp0_stage40_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state42_io) begin ap_block_pp0_stage40_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state42_io)); end process; ap_block_pp0_stage41_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage41_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage41_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state43_io) begin ap_block_pp0_stage41_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state43_io)); end process; ap_block_pp0_stage41_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state43_io) begin ap_block_pp0_stage41_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state43_io)); end process; ap_block_pp0_stage42_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage42_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage42_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state44_io) begin ap_block_pp0_stage42_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state44_io)); end process; ap_block_pp0_stage42_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state44_io) begin ap_block_pp0_stage42_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state44_io)); end process; ap_block_pp0_stage43_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage43_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage43_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state45_io) begin ap_block_pp0_stage43_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state45_io)); end process; ap_block_pp0_stage43_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state45_io) begin ap_block_pp0_stage43_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state45_io)); end process; ap_block_pp0_stage44_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage44_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage44_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state46_io) begin ap_block_pp0_stage44_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state46_io)); end process; ap_block_pp0_stage44_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state46_io) begin ap_block_pp0_stage44_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state46_io)); end process; ap_block_pp0_stage45_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage45_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage45_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state47_io) begin ap_block_pp0_stage45_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state47_io)); end process; ap_block_pp0_stage45_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state47_io) begin ap_block_pp0_stage45_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state47_io)); end process; ap_block_pp0_stage46_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage46_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage46_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state48_io) begin ap_block_pp0_stage46_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state48_io)); end process; ap_block_pp0_stage46_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state48_io) begin ap_block_pp0_stage46_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state48_io)); end process; ap_block_pp0_stage47_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage47_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage47_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state49_io) begin ap_block_pp0_stage47_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state49_io)); end process; ap_block_pp0_stage47_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state49_io) begin ap_block_pp0_stage47_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state49_io)); end process; ap_block_pp0_stage48_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage48_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage48_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state50_io) begin ap_block_pp0_stage48_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state50_io)); end process; ap_block_pp0_stage48_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state50_io) begin ap_block_pp0_stage48_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state50_io)); end process; ap_block_pp0_stage49_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage49_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage49_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state51_io) begin ap_block_pp0_stage49_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state51_io)); end process; ap_block_pp0_stage49_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state51_io) begin ap_block_pp0_stage49_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state51_io)); end process; ap_block_pp0_stage4_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage4_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage4_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage50_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage50_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage50_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state52_io) begin ap_block_pp0_stage50_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state52_io)); end process; ap_block_pp0_stage50_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state52_io) begin ap_block_pp0_stage50_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state52_io)); end process; ap_block_pp0_stage51_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage51_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage51_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state53_io) begin ap_block_pp0_stage51_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state53_io)); end process; ap_block_pp0_stage51_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state53_io) begin ap_block_pp0_stage51_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state53_io)); end process; ap_block_pp0_stage52_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage52_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage52_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state54_io) begin ap_block_pp0_stage52_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state54_io)); end process; ap_block_pp0_stage52_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state54_io) begin ap_block_pp0_stage52_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state54_io)); end process; ap_block_pp0_stage53_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage53_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage53_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state55_io) begin ap_block_pp0_stage53_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state55_io)); end process; ap_block_pp0_stage53_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state55_io) begin ap_block_pp0_stage53_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state55_io)); end process; ap_block_pp0_stage54_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage54_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage54_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state56_io) begin ap_block_pp0_stage54_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state56_io)); end process; ap_block_pp0_stage54_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state56_io) begin ap_block_pp0_stage54_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state56_io)); end process; ap_block_pp0_stage55_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage55_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage55_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state57_io) begin ap_block_pp0_stage55_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state57_io)); end process; ap_block_pp0_stage55_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state57_io) begin ap_block_pp0_stage55_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state57_io)); end process; ap_block_pp0_stage56_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage56_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage56_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state58_io) begin ap_block_pp0_stage56_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state58_io)); end process; ap_block_pp0_stage56_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state58_io) begin ap_block_pp0_stage56_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state58_io)); end process; ap_block_pp0_stage57_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage57_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage57_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state59_io) begin ap_block_pp0_stage57_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state59_io)); end process; ap_block_pp0_stage57_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state59_io) begin ap_block_pp0_stage57_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state59_io)); end process; ap_block_pp0_stage58_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage58_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage58_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state60_io) begin ap_block_pp0_stage58_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state60_io)); end process; ap_block_pp0_stage58_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state60_io) begin ap_block_pp0_stage58_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state60_io)); end process; ap_block_pp0_stage59_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage59_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage59_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state61_io) begin ap_block_pp0_stage59_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state61_io)); end process; ap_block_pp0_stage59_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state61_io) begin ap_block_pp0_stage59_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state61_io)); end process; ap_block_pp0_stage5_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage5_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage5_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage60_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage60_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage60_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state62_io) begin ap_block_pp0_stage60_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state62_io)); end process; ap_block_pp0_stage60_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state62_io) begin ap_block_pp0_stage60_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state62_io)); end process; ap_block_pp0_stage61_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage61_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage61_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state63_io) begin ap_block_pp0_stage61_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state63_io)); end process; ap_block_pp0_stage61_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state63_io) begin ap_block_pp0_stage61_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state63_io)); end process; ap_block_pp0_stage62_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage62_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage62_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state64_io) begin ap_block_pp0_stage62_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state64_io)); end process; ap_block_pp0_stage62_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state64_io) begin ap_block_pp0_stage62_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state64_io)); end process; ap_block_pp0_stage63_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage63_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage63_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state65_io) begin ap_block_pp0_stage63_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state65_io)); end process; ap_block_pp0_stage63_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state65_io) begin ap_block_pp0_stage63_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state65_io)); end process; ap_block_pp0_stage64_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage64_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage64_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state66_io) begin ap_block_pp0_stage64_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state66_io)); end process; ap_block_pp0_stage64_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state66_io) begin ap_block_pp0_stage64_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state66_io)); end process; ap_block_pp0_stage65_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage65_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage65_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state67_io) begin ap_block_pp0_stage65_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state67_io)); end process; ap_block_pp0_stage65_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state67_io) begin ap_block_pp0_stage65_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state67_io)); end process; ap_block_pp0_stage66_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage66_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage66_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state68_io) begin ap_block_pp0_stage66_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state68_io)); end process; ap_block_pp0_stage66_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state68_io) begin ap_block_pp0_stage66_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state68_io)); end process; ap_block_pp0_stage67_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage67_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage67_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state69_io) begin ap_block_pp0_stage67_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state69_io)); end process; ap_block_pp0_stage67_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state69_io) begin ap_block_pp0_stage67_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state69_io)); end process; ap_block_pp0_stage68_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage68_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage68_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state70_io) begin ap_block_pp0_stage68_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state70_io)); end process; ap_block_pp0_stage68_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state70_io) begin ap_block_pp0_stage68_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state70_io)); end process; ap_block_pp0_stage69_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage69_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage69_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state71_io) begin ap_block_pp0_stage69_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state71_io)); end process; ap_block_pp0_stage69_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state71_io) begin ap_block_pp0_stage69_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state71_io)); end process; ap_block_pp0_stage6_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage6_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage6_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage70_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage70_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage70_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state72_io) begin ap_block_pp0_stage70_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state72_io)); end process; ap_block_pp0_stage70_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state72_io) begin ap_block_pp0_stage70_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state72_io)); end process; ap_block_pp0_stage71_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage71_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage71_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state73_io) begin ap_block_pp0_stage71_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state73_io)); end process; ap_block_pp0_stage71_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state73_io) begin ap_block_pp0_stage71_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state73_io)); end process; ap_block_pp0_stage72_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage72_flag00001001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage72_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state74_io) begin ap_block_pp0_stage72_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state74_io)); end process; ap_block_pp0_stage72_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, ap_block_state74_io) begin ap_block_pp0_stage72_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_boolean_1 = ap_block_state74_io)); end process; ap_block_pp0_stage73_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage73_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage74_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage74_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage75_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage75_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage76_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage76_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage7_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage7_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage7_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage8_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage8_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage8_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage8_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage8_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage9_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage9_flag00011001_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage9_flag00011001 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_pp0_stage9_flag00011011_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, buffer_V_RVALID) begin ap_block_pp0_stage9_flag00011011 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state1_assign_proc : process(ap_start, length_r_ap_vld_in_sig) begin ap_block_state1 <= ((ap_const_logic_0 = ap_start) or (ap_const_logic_0 = length_r_ap_vld_in_sig)); end process; ap_block_state10_pp0_stage8_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state10_pp0_stage8_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state11_pp0_stage9_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state11_pp0_stage9_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state12_pp0_stage10_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state12_pp0_stage10_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state13_pp0_stage11_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state13_pp0_stage11_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state14_pp0_stage12_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state14_pp0_stage12_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state15_pp0_stage13_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state15_pp0_stage13_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state16_pp0_stage14_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state16_pp0_stage14_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state17_pp0_stage15_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state17_pp0_stage15_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state18_pp0_stage16_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state18_pp0_stage16_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state19_pp0_stage17_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state19_pp0_stage17_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state20_pp0_stage18_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state20_pp0_stage18_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state21_pp0_stage19_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state21_pp0_stage19_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state22_pp0_stage20_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state22_pp0_stage20_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state23_pp0_stage21_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state23_pp0_stage21_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state24_pp0_stage22_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state24_pp0_stage22_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state25_pp0_stage23_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state25_pp0_stage23_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state26_pp0_stage24_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state26_pp0_stage24_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state27_pp0_stage25_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state27_pp0_stage25_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state28_pp0_stage26_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state28_pp0_stage26_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state29_pp0_stage27_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state29_pp0_stage27_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state2_pp0_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state30_pp0_stage28_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state30_pp0_stage28_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state31_pp0_stage29_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state31_pp0_stage29_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state32_pp0_stage30_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state32_pp0_stage30_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state33_pp0_stage31_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state33_pp0_stage31_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state34_pp0_stage32_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state34_pp0_stage32_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state35_pp0_stage33_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state35_pp0_stage33_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state36_pp0_stage34_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state36_pp0_stage34_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state37_pp0_stage35_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state37_pp0_stage35_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state38_pp0_stage36_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state38_pp0_stage36_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state39_pp0_stage37_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state39_pp0_stage37_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state3_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_ARREADY) begin ap_block_state3_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_ARREADY)); end process; ap_block_state3_pp0_stage1_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state40_pp0_stage38_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state40_pp0_stage38_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state41_pp0_stage39_iter0_assign_proc : process(tmp_reg_1932, buffer_V_RVALID) begin ap_block_state41_pp0_stage39_iter0 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_RVALID)); end process; ap_block_state42_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_AWREADY) begin ap_block_state42_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_AWREADY)); end process; ap_block_state42_pp0_stage40_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state43_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state43_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state43_pp0_stage41_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state44_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state44_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state44_pp0_stage42_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state45_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state45_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state45_pp0_stage43_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state46_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state46_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state46_pp0_stage44_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state47_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state47_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state47_pp0_stage45_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state48_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state48_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state48_pp0_stage46_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state49_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state49_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state49_pp0_stage47_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state4_pp0_stage2_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state50_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state50_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state50_pp0_stage48_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state51_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state51_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state51_pp0_stage49_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state52_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state52_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state52_pp0_stage50_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state53_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state53_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state53_pp0_stage51_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state54_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state54_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state54_pp0_stage52_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state55_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state55_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state55_pp0_stage53_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state56_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state56_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state56_pp0_stage54_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state57_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state57_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state57_pp0_stage55_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state58_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state58_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state58_pp0_stage56_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state59_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state59_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state59_pp0_stage57_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state5_pp0_stage3_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state60_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state60_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state60_pp0_stage58_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state61_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state61_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state61_pp0_stage59_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state62_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state62_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state62_pp0_stage60_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state63_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state63_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state63_pp0_stage61_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state64_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state64_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state64_pp0_stage62_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state65_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state65_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state65_pp0_stage63_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state66_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state66_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state66_pp0_stage64_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state67_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state67_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state67_pp0_stage65_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state68_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state68_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state68_pp0_stage66_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state69_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state69_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state69_pp0_stage67_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state6_pp0_stage4_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state70_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state70_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state70_pp0_stage68_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state71_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state71_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state71_pp0_stage69_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state72_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state72_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state72_pp0_stage70_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state73_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state73_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state73_pp0_stage71_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state74_io_assign_proc : process(tmp_reg_1932, ap_sig_ioackin_buffer_V_WREADY) begin ap_block_state74_io <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = ap_sig_ioackin_buffer_V_WREADY)); end process; ap_block_state74_pp0_stage72_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state75_pp0_stage73_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state76_pp0_stage74_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state77_pp0_stage75_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state78_pp0_stage76_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state79_pp0_stage0_iter1_assign_proc : process(tmp_reg_1932, buffer_V_BVALID) begin ap_block_state79_pp0_stage0_iter1 <= ((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_0 = buffer_V_BVALID)); end process; ap_block_state7_pp0_stage5_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state8_pp0_stage6_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state9_pp0_stage7_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_condition_7926_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, tmp_reg_1932) begin ap_condition_7926 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0)); end process; ap_condition_7931_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage40) begin ap_condition_7931 <= ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40)); end process; ap_condition_7969_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_block_pp0_stage1_flag00000000, ap_predicate_op143_call_state3) begin ap_condition_7969 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_block_pp0_stage1_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op143_call_state3)); end process; ap_condition_7973_assign_proc : process(ap_CS_fsm_pp0_stage2, ap_predicate_op152_call_state4, ap_block_pp0_stage2_flag00000000) begin ap_condition_7973 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_const_boolean_1 = ap_predicate_op152_call_state4) and (ap_block_pp0_stage2_flag00000000 = ap_const_boolean_0)); end process; ap_condition_7977_assign_proc : process(ap_CS_fsm_pp0_stage3, ap_predicate_op162_call_state5, ap_block_pp0_stage3_flag00000000) begin ap_condition_7977 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_const_boolean_1 = ap_predicate_op162_call_state5) and (ap_block_pp0_stage3_flag00000000 = ap_const_boolean_0)); end process; ap_condition_7981_assign_proc : process(ap_CS_fsm_pp0_stage4, ap_predicate_op173_call_state6, ap_block_pp0_stage4_flag00000000) begin ap_condition_7981 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_const_boolean_1 = ap_predicate_op173_call_state6) and (ap_block_pp0_stage4_flag00000000 = ap_const_boolean_0)); end process; ap_condition_7985_assign_proc : process(ap_CS_fsm_pp0_stage5, ap_predicate_op185_call_state7, ap_block_pp0_stage5_flag00000000) begin ap_condition_7985 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_const_boolean_1 = ap_predicate_op185_call_state7) and (ap_block_pp0_stage5_flag00000000 = ap_const_boolean_0)); end process; ap_condition_7989_assign_proc : process(ap_CS_fsm_pp0_stage6, ap_predicate_op198_call_state8, ap_block_pp0_stage6_flag00000000) begin ap_condition_7989 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_const_boolean_1 = ap_predicate_op198_call_state8) and (ap_block_pp0_stage6_flag00000000 = ap_const_boolean_0)); end process; ap_condition_7993_assign_proc : process(ap_CS_fsm_pp0_stage7, ap_predicate_op212_call_state9, ap_block_pp0_stage7_flag00000000) begin ap_condition_7993 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_const_boolean_1 = ap_predicate_op212_call_state9) and (ap_block_pp0_stage7_flag00000000 = ap_const_boolean_0)); end process; ap_condition_7997_assign_proc : process(ap_CS_fsm_pp0_stage8, ap_block_pp0_stage8_flag00000000, ap_predicate_op227_call_state10) begin ap_condition_7997 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op227_call_state10)); end process; ap_condition_8001_assign_proc : process(ap_CS_fsm_pp0_stage9, ap_block_pp0_stage9_flag00000000, ap_predicate_op243_call_state11) begin ap_condition_8001 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op243_call_state11)); end process; ap_condition_8005_assign_proc : process(ap_CS_fsm_pp0_stage10, ap_block_pp0_stage10_flag00000000, ap_predicate_op260_call_state12) begin ap_condition_8005 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op260_call_state12)); end process; ap_condition_8008_assign_proc : process(ap_CS_fsm_pp0_stage11, ap_block_pp0_stage11_flag00000000, ap_predicate_op324_call_state13) begin ap_condition_8008 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op324_call_state13)); end process; ap_condition_8011_assign_proc : process(ap_CS_fsm_pp0_stage12, ap_block_pp0_stage12_flag00000000, ap_predicate_op387_call_state14) begin ap_condition_8011 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op387_call_state14)); end process; ap_condition_8014_assign_proc : process(ap_CS_fsm_pp0_stage13, ap_block_pp0_stage13_flag00000000, ap_predicate_op409_call_state15) begin ap_condition_8014 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op409_call_state15)); end process; ap_condition_8017_assign_proc : process(ap_CS_fsm_pp0_stage14, ap_block_pp0_stage14_flag00000000, ap_predicate_op431_call_state16) begin ap_condition_8017 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op431_call_state16)); end process; ap_condition_8020_assign_proc : process(ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000, ap_predicate_op453_call_state17) begin ap_condition_8020 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op453_call_state17)); end process; ap_condition_8023_assign_proc : process(ap_CS_fsm_pp0_stage16, ap_block_pp0_stage16_flag00000000, ap_predicate_op475_call_state18) begin ap_condition_8023 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op475_call_state18)); end process; ap_condition_8026_assign_proc : process(ap_CS_fsm_pp0_stage17, ap_block_pp0_stage17_flag00000000, ap_predicate_op497_call_state19) begin ap_condition_8026 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op497_call_state19)); end process; ap_condition_8029_assign_proc : process(ap_CS_fsm_pp0_stage18, ap_block_pp0_stage18_flag00000000, ap_predicate_op519_call_state20) begin ap_condition_8029 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op519_call_state20)); end process; ap_condition_8032_assign_proc : process(ap_CS_fsm_pp0_stage19, ap_block_pp0_stage19_flag00000000, ap_predicate_op541_call_state21) begin ap_condition_8032 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op541_call_state21)); end process; ap_condition_8035_assign_proc : process(ap_CS_fsm_pp0_stage20, ap_block_pp0_stage20_flag00000000, ap_predicate_op563_call_state22) begin ap_condition_8035 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op563_call_state22)); end process; ap_condition_8038_assign_proc : process(ap_CS_fsm_pp0_stage21, ap_block_pp0_stage21_flag00000000, ap_predicate_op585_call_state23) begin ap_condition_8038 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op585_call_state23)); end process; ap_condition_8041_assign_proc : process(ap_CS_fsm_pp0_stage22, ap_block_pp0_stage22_flag00000000, ap_predicate_op607_call_state24) begin ap_condition_8041 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op607_call_state24)); end process; ap_condition_8044_assign_proc : process(ap_CS_fsm_pp0_stage23, ap_block_pp0_stage23_flag00000000, ap_predicate_op629_call_state25) begin ap_condition_8044 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op629_call_state25)); end process; ap_condition_8047_assign_proc : process(ap_CS_fsm_pp0_stage24, ap_block_pp0_stage24_flag00000000, ap_predicate_op651_call_state26) begin ap_condition_8047 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op651_call_state26)); end process; ap_condition_8050_assign_proc : process(ap_CS_fsm_pp0_stage25, ap_block_pp0_stage25_flag00000000, ap_predicate_op673_call_state27) begin ap_condition_8050 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op673_call_state27)); end process; ap_condition_8053_assign_proc : process(ap_CS_fsm_pp0_stage26, ap_block_pp0_stage26_flag00000000, ap_predicate_op695_call_state28) begin ap_condition_8053 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op695_call_state28)); end process; ap_condition_8056_assign_proc : process(ap_CS_fsm_pp0_stage27, ap_block_pp0_stage27_flag00000000, ap_predicate_op717_call_state29) begin ap_condition_8056 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op717_call_state29)); end process; ap_condition_8059_assign_proc : process(ap_CS_fsm_pp0_stage28, ap_block_pp0_stage28_flag00000000, ap_predicate_op739_call_state30) begin ap_condition_8059 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op739_call_state30)); end process; ap_condition_8062_assign_proc : process(ap_CS_fsm_pp0_stage29, ap_block_pp0_stage29_flag00000000, ap_predicate_op761_call_state31) begin ap_condition_8062 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op761_call_state31)); end process; ap_condition_8065_assign_proc : process(ap_CS_fsm_pp0_stage30, ap_block_pp0_stage30_flag00000000, ap_predicate_op783_call_state32) begin ap_condition_8065 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op783_call_state32)); end process; ap_condition_8068_assign_proc : process(ap_CS_fsm_pp0_stage31, ap_block_pp0_stage31_flag00000000, ap_predicate_op805_call_state33) begin ap_condition_8068 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op805_call_state33)); end process; ap_condition_8071_assign_proc : process(ap_CS_fsm_pp0_stage32, ap_block_pp0_stage32_flag00000000, ap_predicate_op827_call_state34) begin ap_condition_8071 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00000000 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op827_call_state34)); end process; ap_condition_pp0_exit_iter0_state2_assign_proc : process(tmp_fu_809_p3) begin if ((tmp_fu_809_p3 = ap_const_lv1_1)) then ap_condition_pp0_exit_iter0_state2 <= ap_const_logic_1; else ap_condition_pp0_exit_iter0_state2 <= ap_const_logic_0; end if; end process; ap_done_assign_proc : process(ap_CS_fsm_state80) begin if ((ap_const_logic_1 = ap_CS_fsm_state80)) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_enable_pp0 <= (ap_idle_pp0 xor ap_const_logic_1); ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_idle_pp0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter1) begin if (((ap_const_logic_0 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = ap_enable_reg_pp0_iter1))) then ap_idle_pp0 <= ap_const_logic_1; else ap_idle_pp0 <= ap_const_logic_0; end if; end process; ap_predicate_op143_call_state3_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936) begin ap_predicate_op143_call_state3 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1)); end process; ap_predicate_op143_call_state3_state2_assign_proc : process(tmp_fu_809_p3, tmp_66_fu_821_p2) begin ap_predicate_op143_call_state3_state2 <= ((ap_const_lv1_0 = tmp_fu_809_p3) and (tmp_66_fu_821_p2 = ap_const_lv1_1)); end process; ap_predicate_op152_call_state4_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991) begin ap_predicate_op152_call_state4 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1)); end process; ap_predicate_op152_call_state4_state3_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_fu_861_p2) begin ap_predicate_op152_call_state4_state3 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_fu_861_p2 = ap_const_lv1_1)); end process; ap_predicate_op162_call_state5_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001) begin ap_predicate_op162_call_state5 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001)); end process; ap_predicate_op162_call_state5_state4_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_fu_884_p2) begin ap_predicate_op162_call_state5_state4 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_fu_884_p2)); end process; ap_predicate_op173_call_state6_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011) begin ap_predicate_op173_call_state6 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011)); end process; ap_predicate_op173_call_state6_state5_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_fu_907_p2) begin ap_predicate_op173_call_state6_state5 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_fu_907_p2)); end process; ap_predicate_op185_call_state7_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021) begin ap_predicate_op185_call_state7 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021)); end process; ap_predicate_op185_call_state7_state6_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_fu_930_p2) begin ap_predicate_op185_call_state7_state6 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_fu_930_p2)); end process; ap_predicate_op198_call_state8_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031) begin ap_predicate_op198_call_state8 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031)); end process; ap_predicate_op198_call_state8_state7_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_fu_953_p2) begin ap_predicate_op198_call_state8_state7 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_fu_953_p2)); end process; ap_predicate_op212_call_state9_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041) begin ap_predicate_op212_call_state9 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041)); end process; ap_predicate_op212_call_state9_state8_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_fu_976_p2) begin ap_predicate_op212_call_state9_state8 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_fu_976_p2)); end process; ap_predicate_op227_call_state10_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051) begin ap_predicate_op227_call_state10 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051)); end process; ap_predicate_op227_call_state10_state9_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_fu_999_p2) begin ap_predicate_op227_call_state10_state9 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_fu_999_p2)); end process; ap_predicate_op243_call_state11_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061) begin ap_predicate_op243_call_state11 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061)); end process; ap_predicate_op243_call_state11_state10_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_fu_1022_p2) begin ap_predicate_op243_call_state11_state10 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_fu_1022_p2)); end process; ap_predicate_op260_call_state12_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071) begin ap_predicate_op260_call_state12 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071)); end process; ap_predicate_op260_call_state12_state11_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_fu_1045_p2) begin ap_predicate_op260_call_state12_state11 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_fu_1045_p2)); end process; ap_predicate_op307_call_state13_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936) begin ap_predicate_op307_call_state13 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1)); end process; ap_predicate_op324_call_state13_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081) begin ap_predicate_op324_call_state13 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081)); end process; ap_predicate_op324_call_state13_state12_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_fu_1068_p2) begin ap_predicate_op324_call_state13_state12 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_fu_1068_p2)); end process; ap_predicate_op370_call_state14_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991) begin ap_predicate_op370_call_state14 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1)); end process; ap_predicate_op387_call_state14_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211) begin ap_predicate_op387_call_state14 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211)); end process; ap_predicate_op387_call_state14_state13_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_fu_1271_p2) begin ap_predicate_op387_call_state14_state13 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_fu_1271_p2)); end process; ap_predicate_op392_call_state15_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001) begin ap_predicate_op392_call_state15 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001)); end process; ap_predicate_op409_call_state15_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215) begin ap_predicate_op409_call_state15 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215)); end process; ap_predicate_op409_call_state15_state14_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215) begin ap_predicate_op409_call_state15_state14 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215)); end process; ap_predicate_op414_call_state16_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011) begin ap_predicate_op414_call_state16 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011)); end process; ap_predicate_op431_call_state16_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219) begin ap_predicate_op431_call_state16 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219)); end process; ap_predicate_op431_call_state16_state15_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219) begin ap_predicate_op431_call_state16_state15 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219)); end process; ap_predicate_op436_call_state17_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021) begin ap_predicate_op436_call_state17 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021)); end process; ap_predicate_op453_call_state17_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223) begin ap_predicate_op453_call_state17 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223)); end process; ap_predicate_op453_call_state17_state16_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223) begin ap_predicate_op453_call_state17_state16 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223)); end process; ap_predicate_op458_call_state18_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031) begin ap_predicate_op458_call_state18 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031)); end process; ap_predicate_op475_call_state18_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227) begin ap_predicate_op475_call_state18 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227)); end process; ap_predicate_op475_call_state18_state17_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227) begin ap_predicate_op475_call_state18_state17 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227)); end process; ap_predicate_op480_call_state19_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041) begin ap_predicate_op480_call_state19 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041)); end process; ap_predicate_op497_call_state19_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231) begin ap_predicate_op497_call_state19 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231)); end process; ap_predicate_op497_call_state19_state18_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231) begin ap_predicate_op497_call_state19_state18 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231)); end process; ap_predicate_op502_call_state20_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051) begin ap_predicate_op502_call_state20 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051)); end process; ap_predicate_op519_call_state20_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235) begin ap_predicate_op519_call_state20 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235)); end process; ap_predicate_op519_call_state20_state19_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235) begin ap_predicate_op519_call_state20_state19 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235)); end process; ap_predicate_op524_call_state21_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061) begin ap_predicate_op524_call_state21 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061)); end process; ap_predicate_op541_call_state21_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239) begin ap_predicate_op541_call_state21 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239)); end process; ap_predicate_op541_call_state21_state20_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239) begin ap_predicate_op541_call_state21_state20 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239)); end process; ap_predicate_op546_call_state22_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071) begin ap_predicate_op546_call_state22 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071)); end process; ap_predicate_op563_call_state22_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243) begin ap_predicate_op563_call_state22 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243)); end process; ap_predicate_op563_call_state22_state21_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243) begin ap_predicate_op563_call_state22_state21 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243)); end process; ap_predicate_op568_call_state23_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081) begin ap_predicate_op568_call_state23 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081)); end process; ap_predicate_op585_call_state23_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247) begin ap_predicate_op585_call_state23 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247)); end process; ap_predicate_op585_call_state23_state22_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247) begin ap_predicate_op585_call_state23_state22 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247)); end process; ap_predicate_op590_call_state24_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211) begin ap_predicate_op590_call_state24 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211)); end process; ap_predicate_op607_call_state24_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251) begin ap_predicate_op607_call_state24 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251)); end process; ap_predicate_op607_call_state24_state23_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251) begin ap_predicate_op607_call_state24_state23 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251)); end process; ap_predicate_op612_call_state25_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215) begin ap_predicate_op612_call_state25 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215)); end process; ap_predicate_op629_call_state25_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255) begin ap_predicate_op629_call_state25 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255)); end process; ap_predicate_op629_call_state25_state24_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255) begin ap_predicate_op629_call_state25_state24 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255)); end process; ap_predicate_op634_call_state26_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219) begin ap_predicate_op634_call_state26 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219)); end process; ap_predicate_op651_call_state26_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259) begin ap_predicate_op651_call_state26 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259)); end process; ap_predicate_op651_call_state26_state25_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259) begin ap_predicate_op651_call_state26_state25 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259)); end process; ap_predicate_op656_call_state27_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223) begin ap_predicate_op656_call_state27 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223)); end process; ap_predicate_op673_call_state27_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263) begin ap_predicate_op673_call_state27 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263)); end process; ap_predicate_op673_call_state27_state26_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263) begin ap_predicate_op673_call_state27_state26 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263)); end process; ap_predicate_op678_call_state28_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227) begin ap_predicate_op678_call_state28 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227)); end process; ap_predicate_op695_call_state28_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267) begin ap_predicate_op695_call_state28 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267)); end process; ap_predicate_op695_call_state28_state27_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267) begin ap_predicate_op695_call_state28_state27 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267)); end process; ap_predicate_op700_call_state29_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231) begin ap_predicate_op700_call_state29 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231)); end process; ap_predicate_op717_call_state29_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271) begin ap_predicate_op717_call_state29 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271)); end process; ap_predicate_op717_call_state29_state28_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271) begin ap_predicate_op717_call_state29_state28 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271)); end process; ap_predicate_op722_call_state30_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235) begin ap_predicate_op722_call_state30 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235)); end process; ap_predicate_op739_call_state30_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275) begin ap_predicate_op739_call_state30 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275)); end process; ap_predicate_op739_call_state30_state29_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275) begin ap_predicate_op739_call_state30_state29 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275)); end process; ap_predicate_op744_call_state31_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239) begin ap_predicate_op744_call_state31 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239)); end process; ap_predicate_op761_call_state31_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279) begin ap_predicate_op761_call_state31 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279)); end process; ap_predicate_op761_call_state31_state30_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279) begin ap_predicate_op761_call_state31_state30 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279)); end process; ap_predicate_op766_call_state32_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243) begin ap_predicate_op766_call_state32 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243)); end process; ap_predicate_op783_call_state32_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283) begin ap_predicate_op783_call_state32 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283)); end process; ap_predicate_op783_call_state32_state31_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283) begin ap_predicate_op783_call_state32_state31 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283)); end process; ap_predicate_op788_call_state33_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247) begin ap_predicate_op788_call_state33 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247)); end process; ap_predicate_op805_call_state33_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283, tmp_5_29_reg_2287) begin ap_predicate_op805_call_state33 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283) and (ap_const_lv1_1 = tmp_5_29_reg_2287)); end process; ap_predicate_op805_call_state33_state32_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283, tmp_5_29_reg_2287) begin ap_predicate_op805_call_state33_state32 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283) and (ap_const_lv1_1 = tmp_5_29_reg_2287)); end process; ap_predicate_op810_call_state34_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251) begin ap_predicate_op810_call_state34 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251)); end process; ap_predicate_op827_call_state34_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283, tmp_5_29_reg_2287, tmp_5_30_reg_2291) begin ap_predicate_op827_call_state34 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283) and (ap_const_lv1_1 = tmp_5_29_reg_2287) and (ap_const_lv1_1 = tmp_5_30_reg_2291)); end process; ap_predicate_op827_call_state34_state33_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283, tmp_5_29_reg_2287, tmp_5_30_reg_2291) begin ap_predicate_op827_call_state34_state33 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283) and (ap_const_lv1_1 = tmp_5_29_reg_2287) and (ap_const_lv1_1 = tmp_5_30_reg_2291)); end process; ap_predicate_op832_call_state35_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255) begin ap_predicate_op832_call_state35 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255)); end process; ap_predicate_op851_call_state36_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259) begin ap_predicate_op851_call_state36 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259)); end process; ap_predicate_op869_call_state37_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263) begin ap_predicate_op869_call_state37 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263)); end process; ap_predicate_op886_call_state38_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267) begin ap_predicate_op886_call_state38 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267)); end process; ap_predicate_op902_call_state39_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271) begin ap_predicate_op902_call_state39 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271)); end process; ap_predicate_op917_call_state40_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275) begin ap_predicate_op917_call_state40 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275)); end process; ap_predicate_op931_call_state41_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279) begin ap_predicate_op931_call_state41 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279)); end process; ap_predicate_op943_call_state42_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283) begin ap_predicate_op943_call_state42 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283)); end process; ap_predicate_op955_call_state43_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283, tmp_5_29_reg_2287) begin ap_predicate_op955_call_state43 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283) and (ap_const_lv1_1 = tmp_5_29_reg_2287)); end process; ap_predicate_op969_call_state44_assign_proc : process(tmp_reg_1932, tmp_66_reg_1936, tmp_5_1_reg_1991, tmp_5_2_reg_2001, tmp_5_3_reg_2011, tmp_5_4_reg_2021, tmp_5_5_reg_2031, tmp_5_6_reg_2041, tmp_5_7_reg_2051, tmp_5_8_reg_2061, tmp_5_9_reg_2071, tmp_5_s_reg_2081, tmp_5_10_reg_2211, tmp_5_11_reg_2215, tmp_5_12_reg_2219, tmp_5_13_reg_2223, tmp_5_14_reg_2227, tmp_5_15_reg_2231, tmp_5_16_reg_2235, tmp_5_17_reg_2239, tmp_5_18_reg_2243, tmp_5_19_reg_2247, tmp_5_20_reg_2251, tmp_5_21_reg_2255, tmp_5_22_reg_2259, tmp_5_23_reg_2263, tmp_5_24_reg_2267, tmp_5_25_reg_2271, tmp_5_26_reg_2275, tmp_5_27_reg_2279, tmp_5_28_reg_2283, tmp_5_29_reg_2287, tmp_5_30_reg_2291) begin ap_predicate_op969_call_state44 <= ((tmp_reg_1932 = ap_const_lv1_0) and (tmp_66_reg_1936 = ap_const_lv1_1) and (tmp_5_1_reg_1991 = ap_const_lv1_1) and (ap_const_lv1_1 = tmp_5_2_reg_2001) and (ap_const_lv1_1 = tmp_5_3_reg_2011) and (ap_const_lv1_1 = tmp_5_4_reg_2021) and (ap_const_lv1_1 = tmp_5_5_reg_2031) and (ap_const_lv1_1 = tmp_5_6_reg_2041) and (ap_const_lv1_1 = tmp_5_7_reg_2051) and (ap_const_lv1_1 = tmp_5_8_reg_2061) and (ap_const_lv1_1 = tmp_5_9_reg_2071) and (ap_const_lv1_1 = tmp_5_s_reg_2081) and (ap_const_lv1_1 = tmp_5_10_reg_2211) and (ap_const_lv1_1 = tmp_5_11_reg_2215) and (ap_const_lv1_1 = tmp_5_12_reg_2219) and (ap_const_lv1_1 = tmp_5_13_reg_2223) and (ap_const_lv1_1 = tmp_5_14_reg_2227) and (ap_const_lv1_1 = tmp_5_15_reg_2231) and (ap_const_lv1_1 = tmp_5_16_reg_2235) and (ap_const_lv1_1 = tmp_5_17_reg_2239) and (ap_const_lv1_1 = tmp_5_18_reg_2243) and (ap_const_lv1_1 = tmp_5_19_reg_2247) and (ap_const_lv1_1 = tmp_5_20_reg_2251) and (ap_const_lv1_1 = tmp_5_21_reg_2255) and (ap_const_lv1_1 = tmp_5_22_reg_2259) and (ap_const_lv1_1 = tmp_5_23_reg_2263) and (ap_const_lv1_1 = tmp_5_24_reg_2267) and (ap_const_lv1_1 = tmp_5_25_reg_2271) and (ap_const_lv1_1 = tmp_5_26_reg_2275) and (ap_const_lv1_1 = tmp_5_27_reg_2279) and (ap_const_lv1_1 = tmp_5_28_reg_2283) and (ap_const_lv1_1 = tmp_5_29_reg_2287) and (ap_const_lv1_1 = tmp_5_30_reg_2291)); end process; ap_ready_assign_proc : process(ap_CS_fsm_state80) begin if ((ap_const_logic_1 = ap_CS_fsm_state80)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; ap_sig_ioackin_buffer_V_ARREADY_assign_proc : process(buffer_V_ARREADY, ap_reg_ioackin_buffer_V_ARREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_buffer_V_ARREADY)) then ap_sig_ioackin_buffer_V_ARREADY <= buffer_V_ARREADY; else ap_sig_ioackin_buffer_V_ARREADY <= ap_const_logic_1; end if; end process; ap_sig_ioackin_buffer_V_AWREADY_assign_proc : process(buffer_V_AWREADY, ap_reg_ioackin_buffer_V_AWREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_buffer_V_AWREADY)) then ap_sig_ioackin_buffer_V_AWREADY <= buffer_V_AWREADY; else ap_sig_ioackin_buffer_V_AWREADY <= ap_const_logic_1; end if; end process; ap_sig_ioackin_buffer_V_WREADY_assign_proc : process(buffer_V_WREADY, ap_reg_ioackin_buffer_V_WREADY) begin if ((ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY)) then ap_sig_ioackin_buffer_V_WREADY <= buffer_V_WREADY; else ap_sig_ioackin_buffer_V_WREADY <= ap_const_logic_1; end if; end process; buffer_V_ARADDR <= tmp_1_fu_830_p1(32 - 1 downto 0); buffer_V_ARVALID_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_reg_ioackin_buffer_V_ARREADY, ap_block_pp0_stage1_flag00001001) begin if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_block_pp0_stage1_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_ARREADY))) then buffer_V_ARVALID <= ap_const_logic_1; else buffer_V_ARVALID <= ap_const_logic_0; end if; end process; buffer_V_AWVALID_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage40, ap_reg_ioackin_buffer_V_AWREADY, ap_block_pp0_stage40_flag00001001) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_AWREADY))) then buffer_V_AWVALID <= ap_const_logic_1; else buffer_V_AWVALID <= ap_const_logic_0; end if; end process; buffer_V_BREADY_assign_proc : process(tmp_reg_1932, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001) begin if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then buffer_V_BREADY <= ap_const_logic_1; else buffer_V_BREADY <= ap_const_logic_0; end if; end process; buffer_V_RREADY_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage8, ap_CS_fsm_pp0_stage9, ap_CS_fsm_pp0_stage10, ap_CS_fsm_pp0_stage11, ap_CS_fsm_pp0_stage12, ap_CS_fsm_pp0_stage13, ap_CS_fsm_pp0_stage14, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage16, ap_CS_fsm_pp0_stage17, ap_CS_fsm_pp0_stage18, ap_CS_fsm_pp0_stage19, ap_CS_fsm_pp0_stage20, ap_CS_fsm_pp0_stage21, ap_CS_fsm_pp0_stage22, ap_CS_fsm_pp0_stage23, ap_CS_fsm_pp0_stage24, ap_CS_fsm_pp0_stage25, ap_CS_fsm_pp0_stage26, ap_CS_fsm_pp0_stage27, ap_CS_fsm_pp0_stage28, ap_CS_fsm_pp0_stage29, ap_CS_fsm_pp0_stage30, ap_CS_fsm_pp0_stage31, ap_CS_fsm_pp0_stage32, ap_CS_fsm_pp0_stage33, ap_CS_fsm_pp0_stage34, ap_CS_fsm_pp0_stage35, ap_CS_fsm_pp0_stage36, ap_CS_fsm_pp0_stage37, ap_CS_fsm_pp0_stage38, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage8_flag00011001, ap_block_pp0_stage11_flag00011001, ap_block_pp0_stage14_flag00011001, ap_block_pp0_stage17_flag00011001, ap_block_pp0_stage20_flag00011001, ap_block_pp0_stage23_flag00011001, ap_block_pp0_stage26_flag00011001, ap_block_pp0_stage29_flag00011001, ap_block_pp0_stage32_flag00011001, ap_block_pp0_stage35_flag00011001, ap_block_pp0_stage38_flag00011001, ap_block_pp0_stage9_flag00011001, ap_block_pp0_stage12_flag00011001, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage18_flag00011001, ap_block_pp0_stage21_flag00011001, ap_block_pp0_stage24_flag00011001, ap_block_pp0_stage27_flag00011001, ap_block_pp0_stage30_flag00011001, ap_block_pp0_stage33_flag00011001, ap_block_pp0_stage36_flag00011001, ap_block_pp0_stage39_flag00011001, ap_block_pp0_stage10_flag00011001, ap_block_pp0_stage13_flag00011001, ap_block_pp0_stage16_flag00011001, ap_block_pp0_stage19_flag00011001, ap_block_pp0_stage22_flag00011001, ap_block_pp0_stage25_flag00011001, ap_block_pp0_stage28_flag00011001, ap_block_pp0_stage31_flag00011001, ap_block_pp0_stage34_flag00011001, ap_block_pp0_stage37_flag00011001) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)))) then buffer_V_RREADY <= ap_const_logic_1; else buffer_V_RREADY <= ap_const_logic_0; end if; end process; buffer_V_WDATA_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_CS_fsm_pp0_stage43, ap_CS_fsm_pp0_stage44, ap_CS_fsm_pp0_stage45, ap_CS_fsm_pp0_stage46, ap_CS_fsm_pp0_stage47, ap_CS_fsm_pp0_stage48, ap_CS_fsm_pp0_stage49, ap_CS_fsm_pp0_stage50, ap_CS_fsm_pp0_stage51, ap_CS_fsm_pp0_stage52, ap_CS_fsm_pp0_stage53, ap_CS_fsm_pp0_stage54, ap_CS_fsm_pp0_stage55, ap_CS_fsm_pp0_stage56, ap_CS_fsm_pp0_stage57, ap_CS_fsm_pp0_stage58, ap_CS_fsm_pp0_stage59, ap_CS_fsm_pp0_stage60, ap_CS_fsm_pp0_stage61, ap_CS_fsm_pp0_stage62, ap_CS_fsm_pp0_stage63, ap_CS_fsm_pp0_stage64, ap_CS_fsm_pp0_stage65, ap_CS_fsm_pp0_stage66, ap_CS_fsm_pp0_stage67, ap_CS_fsm_pp0_stage68, ap_CS_fsm_pp0_stage69, ap_CS_fsm_pp0_stage70, ap_CS_fsm_pp0_stage71, ap_CS_fsm_pp0_stage72, reg_764, reg_770, reg_775, reg_780, buffer_temp_out_V_lo_4_reg_2300, buffer_temp_out_V_lo_5_reg_2305, buffer_temp_out_V_lo_6_reg_2310, buffer_temp_out_V_lo_7_reg_2315, buffer_temp_out_V_lo_8_reg_2320, buffer_temp_out_V_lo_9_reg_2325, buffer_temp_out_V_lo_10_reg_2330, buffer_temp_out_V_lo_11_reg_2335, buffer_temp_out_V_lo_12_reg_2340, buffer_temp_out_V_lo_13_reg_2345, buffer_temp_out_V_lo_14_reg_2350, buffer_temp_out_V_lo_15_reg_2355, buffer_temp_out_V_lo_16_reg_2360, buffer_temp_out_V_lo_17_reg_2365, buffer_temp_out_V_lo_18_reg_2370, buffer_temp_out_V_lo_19_reg_2375, buffer_temp_out_V_lo_20_reg_2380, buffer_temp_out_V_lo_21_reg_2385, buffer_temp_out_V_lo_22_reg_2390, buffer_temp_out_V_lo_23_reg_2395, buffer_temp_out_V_lo_24_reg_2400, buffer_temp_out_V_lo_25_reg_2405, buffer_temp_out_V_lo_26_reg_2410, buffer_temp_out_V_lo_27_reg_2415, ap_reg_ioackin_buffer_V_WREADY, ap_block_pp0_stage41_flag00001001, ap_block_pp0_stage42_flag00001001, ap_block_pp0_stage43_flag00001001, ap_block_pp0_stage44_flag00001001, ap_block_pp0_stage45_flag00001001, ap_block_pp0_stage46_flag00001001, ap_block_pp0_stage47_flag00001001, ap_block_pp0_stage48_flag00001001, ap_block_pp0_stage49_flag00001001, ap_block_pp0_stage50_flag00001001, ap_block_pp0_stage51_flag00001001, ap_block_pp0_stage52_flag00001001, ap_block_pp0_stage53_flag00001001, ap_block_pp0_stage54_flag00001001, ap_block_pp0_stage55_flag00001001, ap_block_pp0_stage56_flag00001001, ap_block_pp0_stage57_flag00001001, ap_block_pp0_stage58_flag00001001, ap_block_pp0_stage59_flag00001001, ap_block_pp0_stage60_flag00001001, ap_block_pp0_stage61_flag00001001, ap_block_pp0_stage62_flag00001001, ap_block_pp0_stage63_flag00001001, ap_block_pp0_stage64_flag00001001, ap_block_pp0_stage65_flag00001001, ap_block_pp0_stage66_flag00001001, ap_block_pp0_stage67_flag00001001, ap_block_pp0_stage68_flag00001001, ap_block_pp0_stage69_flag00001001, ap_block_pp0_stage70_flag00001001, ap_block_pp0_stage71_flag00001001, ap_block_pp0_stage72_flag00001001) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage68) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage68_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_27_reg_2415; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage67) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage67_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_26_reg_2410; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage66) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage66_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_25_reg_2405; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage65) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage65_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_24_reg_2400; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage64) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage64_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_23_reg_2395; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage63_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_22_reg_2390; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage62_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_21_reg_2385; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage61_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_20_reg_2380; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage60_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_19_reg_2375; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage59_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_18_reg_2370; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage58_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_17_reg_2365; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage57_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_16_reg_2360; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage56_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_15_reg_2355; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage55_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_14_reg_2350; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage54_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_13_reg_2345; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage53_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_12_reg_2340; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage52_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_11_reg_2335; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage51_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_10_reg_2330; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage50_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_9_reg_2325; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage49_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_8_reg_2320; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage48_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_7_reg_2315; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage47_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_6_reg_2310; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage46_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_5_reg_2305; elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage45_flag00001001 = ap_const_boolean_0))) then buffer_V_WDATA <= buffer_temp_out_V_lo_4_reg_2300; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage44_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage72) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage72_flag00001001 = ap_const_boolean_0)))) then buffer_V_WDATA <= reg_780; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage43_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage71) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage71_flag00001001 = ap_const_boolean_0)))) then buffer_V_WDATA <= reg_775; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage42_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage70) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage70_flag00001001 = ap_const_boolean_0)))) then buffer_V_WDATA <= reg_770; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage69) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage69_flag00001001 = ap_const_boolean_0)))) then buffer_V_WDATA <= reg_764; else buffer_V_WDATA <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; buffer_V_WVALID_assign_proc : process(ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_CS_fsm_pp0_stage43, ap_CS_fsm_pp0_stage44, ap_CS_fsm_pp0_stage45, ap_CS_fsm_pp0_stage46, ap_CS_fsm_pp0_stage47, ap_CS_fsm_pp0_stage48, ap_CS_fsm_pp0_stage49, ap_CS_fsm_pp0_stage50, ap_CS_fsm_pp0_stage51, ap_CS_fsm_pp0_stage52, ap_CS_fsm_pp0_stage53, ap_CS_fsm_pp0_stage54, ap_CS_fsm_pp0_stage55, ap_CS_fsm_pp0_stage56, ap_CS_fsm_pp0_stage57, ap_CS_fsm_pp0_stage58, ap_CS_fsm_pp0_stage59, ap_CS_fsm_pp0_stage60, ap_CS_fsm_pp0_stage61, ap_CS_fsm_pp0_stage62, ap_CS_fsm_pp0_stage63, ap_CS_fsm_pp0_stage64, ap_CS_fsm_pp0_stage65, ap_CS_fsm_pp0_stage66, ap_CS_fsm_pp0_stage67, ap_CS_fsm_pp0_stage68, ap_CS_fsm_pp0_stage69, ap_CS_fsm_pp0_stage70, ap_CS_fsm_pp0_stage71, ap_CS_fsm_pp0_stage72, ap_reg_ioackin_buffer_V_WREADY, ap_block_pp0_stage41_flag00001001, ap_block_pp0_stage42_flag00001001, ap_block_pp0_stage43_flag00001001, ap_block_pp0_stage44_flag00001001, ap_block_pp0_stage45_flag00001001, ap_block_pp0_stage46_flag00001001, ap_block_pp0_stage47_flag00001001, ap_block_pp0_stage48_flag00001001, ap_block_pp0_stage49_flag00001001, ap_block_pp0_stage50_flag00001001, ap_block_pp0_stage51_flag00001001, ap_block_pp0_stage52_flag00001001, ap_block_pp0_stage53_flag00001001, ap_block_pp0_stage54_flag00001001, ap_block_pp0_stage55_flag00001001, ap_block_pp0_stage56_flag00001001, ap_block_pp0_stage57_flag00001001, ap_block_pp0_stage58_flag00001001, ap_block_pp0_stage59_flag00001001, ap_block_pp0_stage60_flag00001001, ap_block_pp0_stage61_flag00001001, ap_block_pp0_stage62_flag00001001, ap_block_pp0_stage63_flag00001001, ap_block_pp0_stage64_flag00001001, ap_block_pp0_stage65_flag00001001, ap_block_pp0_stage66_flag00001001, ap_block_pp0_stage67_flag00001001, ap_block_pp0_stage68_flag00001001, ap_block_pp0_stage69_flag00001001, ap_block_pp0_stage70_flag00001001, ap_block_pp0_stage71_flag00001001, ap_block_pp0_stage72_flag00001001) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00001001 = ap_const_boolean_0) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage42_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage43_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage44_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage45_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage46_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage47_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage48_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage49_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage50_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage51_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage52_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage53_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage54_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage55_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage56_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage57_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage58_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage59_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage60_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage61_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage62_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage63_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage64) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage64_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage65) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage65_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage66) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage66_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage67) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage67_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage68) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage68_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage69) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage69_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage70) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage70_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage71) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage71_flag00001001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage72) and (ap_const_logic_0 = ap_reg_ioackin_buffer_V_WREADY) and (ap_block_pp0_stage72_flag00001001 = ap_const_boolean_0)))) then buffer_V_WVALID <= ap_const_logic_1; else buffer_V_WVALID <= ap_const_logic_0; end if; end process; buffer_V_blk_n_AR_assign_proc : process(m_axi_buffer_V_ARREADY, ap_CS_fsm_pp0_stage1, ap_enable_reg_pp0_iter0, ap_block_pp0_stage1_flag00000000, tmp_reg_1932) begin if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage1_flag00000000 = ap_const_boolean_0) and (tmp_reg_1932 = ap_const_lv1_0))) then buffer_V_blk_n_AR <= m_axi_buffer_V_ARREADY; else buffer_V_blk_n_AR <= ap_const_logic_1; end if; end process; buffer_V_blk_n_AW_assign_proc : process(m_axi_buffer_V_AWREADY, ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage40_flag00000000) begin if (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00000000 = ap_const_boolean_0))) then buffer_V_blk_n_AW <= m_axi_buffer_V_AWREADY; else buffer_V_blk_n_AW <= ap_const_logic_1; end if; end process; buffer_V_blk_n_B_assign_proc : process(m_axi_buffer_V_BVALID, tmp_reg_1932, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00000000) begin if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then buffer_V_blk_n_B <= m_axi_buffer_V_BVALID; else buffer_V_blk_n_B <= ap_const_logic_1; end if; end process; buffer_V_blk_n_R_assign_proc : process(m_axi_buffer_V_RVALID, ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage8, ap_block_pp0_stage8_flag00000000, ap_CS_fsm_pp0_stage9, ap_block_pp0_stage9_flag00000000, ap_CS_fsm_pp0_stage10, ap_block_pp0_stage10_flag00000000, ap_CS_fsm_pp0_stage11, ap_block_pp0_stage11_flag00000000, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage12_flag00000000, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage13_flag00000000, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage14_flag00000000, ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage16_flag00000000, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage17_flag00000000, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage18_flag00000000, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage19_flag00000000, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage20_flag00000000, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage21_flag00000000, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage22_flag00000000, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage23_flag00000000, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage24_flag00000000, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage25_flag00000000, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage26_flag00000000, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage27_flag00000000, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage28_flag00000000, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage29_flag00000000, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage30_flag00000000, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage31_flag00000000, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage32_flag00000000, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage33_flag00000000, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage34_flag00000000, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage35_flag00000000, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage36_flag00000000, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage37_flag00000000, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage38_flag00000000, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage39_flag00000000) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00000000 = ap_const_boolean_0)))) then buffer_V_blk_n_R <= m_axi_buffer_V_RVALID; else buffer_V_blk_n_R <= ap_const_logic_1; end if; end process; buffer_V_blk_n_W_assign_proc : process(m_axi_buffer_V_WREADY, ap_enable_reg_pp0_iter0, tmp_reg_1932, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage41_flag00000000, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage42_flag00000000, ap_CS_fsm_pp0_stage43, ap_block_pp0_stage43_flag00000000, ap_CS_fsm_pp0_stage44, ap_block_pp0_stage44_flag00000000, ap_CS_fsm_pp0_stage45, ap_block_pp0_stage45_flag00000000, ap_CS_fsm_pp0_stage46, ap_block_pp0_stage46_flag00000000, ap_CS_fsm_pp0_stage47, ap_block_pp0_stage47_flag00000000, ap_CS_fsm_pp0_stage48, ap_block_pp0_stage48_flag00000000, ap_CS_fsm_pp0_stage49, ap_block_pp0_stage49_flag00000000, ap_CS_fsm_pp0_stage50, ap_block_pp0_stage50_flag00000000, ap_CS_fsm_pp0_stage51, ap_block_pp0_stage51_flag00000000, ap_CS_fsm_pp0_stage52, ap_block_pp0_stage52_flag00000000, ap_CS_fsm_pp0_stage53, ap_block_pp0_stage53_flag00000000, ap_CS_fsm_pp0_stage54, ap_block_pp0_stage54_flag00000000, ap_CS_fsm_pp0_stage55, ap_block_pp0_stage55_flag00000000, ap_CS_fsm_pp0_stage56, ap_block_pp0_stage56_flag00000000, ap_CS_fsm_pp0_stage57, ap_block_pp0_stage57_flag00000000, ap_CS_fsm_pp0_stage58, ap_block_pp0_stage58_flag00000000, ap_CS_fsm_pp0_stage59, ap_block_pp0_stage59_flag00000000, ap_CS_fsm_pp0_stage60, ap_block_pp0_stage60_flag00000000, ap_CS_fsm_pp0_stage61, ap_block_pp0_stage61_flag00000000, ap_CS_fsm_pp0_stage62, ap_block_pp0_stage62_flag00000000, ap_CS_fsm_pp0_stage63, ap_block_pp0_stage63_flag00000000, ap_CS_fsm_pp0_stage64, ap_block_pp0_stage64_flag00000000, ap_CS_fsm_pp0_stage65, ap_block_pp0_stage65_flag00000000, ap_CS_fsm_pp0_stage66, ap_block_pp0_stage66_flag00000000, ap_CS_fsm_pp0_stage67, ap_block_pp0_stage67_flag00000000, ap_CS_fsm_pp0_stage68, ap_block_pp0_stage68_flag00000000, ap_CS_fsm_pp0_stage69, ap_block_pp0_stage69_flag00000000, ap_CS_fsm_pp0_stage70, ap_block_pp0_stage70_flag00000000, ap_CS_fsm_pp0_stage71, ap_block_pp0_stage71_flag00000000, ap_CS_fsm_pp0_stage72, ap_block_pp0_stage72_flag00000000) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage44) and (ap_block_pp0_stage44_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage45) and (ap_block_pp0_stage45_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage46) and (ap_block_pp0_stage46_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage47) and (ap_block_pp0_stage47_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage48) and (ap_block_pp0_stage48_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage49) and (ap_block_pp0_stage49_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage50) and (ap_block_pp0_stage50_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage51) and (ap_block_pp0_stage51_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage52) and (ap_block_pp0_stage52_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage53) and (ap_block_pp0_stage53_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage54) and (ap_block_pp0_stage54_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage55) and (ap_block_pp0_stage55_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage56) and (ap_block_pp0_stage56_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage57) and (ap_block_pp0_stage57_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage58) and (ap_block_pp0_stage58_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage59) and (ap_block_pp0_stage59_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage60) and (ap_block_pp0_stage60_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage61) and (ap_block_pp0_stage61_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage62) and (ap_block_pp0_stage62_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage63) and (ap_block_pp0_stage63_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage64) and (ap_block_pp0_stage64_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage65) and (ap_block_pp0_stage65_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage66) and (ap_block_pp0_stage66_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage67) and (ap_block_pp0_stage67_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage68) and (ap_block_pp0_stage68_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage69) and (ap_block_pp0_stage69_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage70) and (ap_block_pp0_stage70_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage71) and (ap_block_pp0_stage71_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage72) and (ap_block_pp0_stage72_flag00000000 = ap_const_boolean_0)))) then buffer_V_blk_n_W <= m_axi_buffer_V_WREADY; else buffer_V_blk_n_W <= ap_const_logic_1; end if; end process; buffer_temp_out_V_address0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage11, ap_block_pp0_stage11_flag00000000, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage12_flag00000000, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage13_flag00000000, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage14_flag00000000, ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage16_flag00000000, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage17_flag00000000, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage18_flag00000000, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage19_flag00000000, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage20_flag00000000, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage21_flag00000000, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage22_flag00000000, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage23_flag00000000, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage24_flag00000000, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage25_flag00000000, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage26_flag00000000, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage27_flag00000000, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage28_flag00000000, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage29_flag00000000, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage30_flag00000000, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage31_flag00000000, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage32_flag00000000, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage33_flag00000000, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage34_flag00000000, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage35_flag00000000, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage36_flag00000000, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage37_flag00000000, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage38_flag00000000, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage39_flag00000000, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage40_flag00000000, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage41_flag00000000, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage42_flag00000000, tmp_1_reg_1974, tmp_5_fu_1376_p1) begin if ((ap_const_logic_1 = ap_enable_reg_pp0_iter0)) then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_1E(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_1D(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_1C(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_1B(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_1A(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_19(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_18(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_17(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_16(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_15(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_14(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_13(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_12(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_11(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_10(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_F(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_E(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_D(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_C(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_B(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_A(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_9(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_8(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_7(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_6(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_5(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_4(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_3(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_2(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= ap_const_lv64_1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= tmp_5_fu_1376_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address0 <= tmp_1_reg_1974(5 - 1 downto 0); else buffer_temp_out_V_address0 <= "XXXXX"; end if; else buffer_temp_out_V_address0 <= "XXXXX"; end if; end process; buffer_temp_out_V_address1_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage12_flag00000000, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage13_flag00000000, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage14_flag00000000, ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage16_flag00000000, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage17_flag00000000, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage18_flag00000000, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage19_flag00000000, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage20_flag00000000, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage21_flag00000000, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage22_flag00000000, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage23_flag00000000, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage24_flag00000000, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage25_flag00000000, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage26_flag00000000, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage27_flag00000000, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage28_flag00000000, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage29_flag00000000, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage30_flag00000000, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage31_flag00000000, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage32_flag00000000, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage33_flag00000000, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage34_flag00000000, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage35_flag00000000, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage36_flag00000000, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage37_flag00000000, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage38_flag00000000, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage39_flag00000000, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage40_flag00000000, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage41_flag00000000, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage42_flag00000000, ap_CS_fsm_pp0_stage43, ap_block_pp0_stage43_flag00000000, tmp_7_fu_1395_p1, tmp_9_fu_1408_p1, tmp_10_fu_1421_p1, tmp_12_fu_1434_p1, tmp_14_fu_1447_p1, tmp_16_fu_1460_p1, tmp_18_fu_1473_p1, tmp_20_fu_1486_p1, tmp_22_fu_1499_p1, tmp_24_fu_1512_p1, tmp_26_fu_1525_p1, tmp_28_fu_1538_p1, tmp_30_fu_1551_p1, tmp_32_fu_1564_p1, tmp_34_fu_1577_p1, tmp_36_fu_1590_p1, tmp_38_fu_1603_p1, tmp_40_fu_1616_p1, tmp_42_fu_1629_p1, tmp_44_fu_1642_p1, tmp_46_fu_1655_p1, tmp_48_fu_1659_p1, tmp_50_fu_1663_p1, tmp_52_fu_1667_p1, tmp_54_fu_1671_p1, tmp_56_fu_1675_p1, tmp_58_fu_1679_p1, tmp_60_fu_1683_p1, tmp_62_fu_1687_p1, tmp_64_fu_1691_p1) begin if ((ap_const_logic_1 = ap_enable_reg_pp0_iter0)) then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= ap_const_lv64_1F(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_64_fu_1691_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_62_fu_1687_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_60_fu_1683_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_58_fu_1679_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_56_fu_1675_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_54_fu_1671_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_52_fu_1667_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_50_fu_1663_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_48_fu_1659_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_46_fu_1655_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_44_fu_1642_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_42_fu_1629_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_40_fu_1616_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_38_fu_1603_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_36_fu_1590_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_34_fu_1577_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_32_fu_1564_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_30_fu_1551_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_28_fu_1538_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_26_fu_1525_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_24_fu_1512_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_22_fu_1499_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_20_fu_1486_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_18_fu_1473_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_16_fu_1460_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_14_fu_1447_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_12_fu_1434_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_10_fu_1421_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_9_fu_1408_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= tmp_7_fu_1395_p1(5 - 1 downto 0); elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_address1 <= ap_const_lv64_0(5 - 1 downto 0); else buffer_temp_out_V_address1 <= "XXXXX"; end if; else buffer_temp_out_V_address1 <= "XXXXX"; end if; end process; buffer_temp_out_V_ce0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage11, ap_CS_fsm_pp0_stage12, ap_CS_fsm_pp0_stage13, ap_CS_fsm_pp0_stage14, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage16, ap_CS_fsm_pp0_stage17, ap_CS_fsm_pp0_stage18, ap_CS_fsm_pp0_stage19, ap_CS_fsm_pp0_stage20, ap_CS_fsm_pp0_stage21, ap_CS_fsm_pp0_stage22, ap_CS_fsm_pp0_stage23, ap_CS_fsm_pp0_stage24, ap_CS_fsm_pp0_stage25, ap_CS_fsm_pp0_stage26, ap_CS_fsm_pp0_stage27, ap_CS_fsm_pp0_stage28, ap_CS_fsm_pp0_stage29, ap_CS_fsm_pp0_stage30, ap_CS_fsm_pp0_stage31, ap_CS_fsm_pp0_stage32, ap_CS_fsm_pp0_stage33, ap_CS_fsm_pp0_stage34, ap_CS_fsm_pp0_stage35, ap_CS_fsm_pp0_stage36, ap_CS_fsm_pp0_stage37, ap_CS_fsm_pp0_stage38, ap_CS_fsm_pp0_stage39, ap_CS_fsm_pp0_stage40, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage11_flag00011001, ap_block_pp0_stage14_flag00011001, ap_block_pp0_stage17_flag00011001, ap_block_pp0_stage20_flag00011001, ap_block_pp0_stage23_flag00011001, ap_block_pp0_stage26_flag00011001, ap_block_pp0_stage29_flag00011001, ap_block_pp0_stage32_flag00011001, ap_block_pp0_stage35_flag00011001, ap_block_pp0_stage38_flag00011001, ap_block_pp0_stage12_flag00011001, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage18_flag00011001, ap_block_pp0_stage21_flag00011001, ap_block_pp0_stage24_flag00011001, ap_block_pp0_stage27_flag00011001, ap_block_pp0_stage30_flag00011001, ap_block_pp0_stage33_flag00011001, ap_block_pp0_stage36_flag00011001, ap_block_pp0_stage39_flag00011001, ap_block_pp0_stage13_flag00011001, ap_block_pp0_stage16_flag00011001, ap_block_pp0_stage19_flag00011001, ap_block_pp0_stage22_flag00011001, ap_block_pp0_stage25_flag00011001, ap_block_pp0_stage28_flag00011001, ap_block_pp0_stage31_flag00011001, ap_block_pp0_stage34_flag00011001, ap_block_pp0_stage37_flag00011001, ap_block_pp0_stage41_flag00011001, ap_block_pp0_stage42_flag00011001, ap_block_pp0_stage40_flag00011001) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)))) then buffer_temp_out_V_ce0 <= ap_const_logic_1; else buffer_temp_out_V_ce0 <= ap_const_logic_0; end if; end process; buffer_temp_out_V_ce1_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage12, ap_CS_fsm_pp0_stage13, ap_CS_fsm_pp0_stage14, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage16, ap_CS_fsm_pp0_stage17, ap_CS_fsm_pp0_stage18, ap_CS_fsm_pp0_stage19, ap_CS_fsm_pp0_stage20, ap_CS_fsm_pp0_stage21, ap_CS_fsm_pp0_stage22, ap_CS_fsm_pp0_stage23, ap_CS_fsm_pp0_stage24, ap_CS_fsm_pp0_stage25, ap_CS_fsm_pp0_stage26, ap_CS_fsm_pp0_stage27, ap_CS_fsm_pp0_stage28, ap_CS_fsm_pp0_stage29, ap_CS_fsm_pp0_stage30, ap_CS_fsm_pp0_stage31, ap_CS_fsm_pp0_stage32, ap_CS_fsm_pp0_stage33, ap_CS_fsm_pp0_stage34, ap_CS_fsm_pp0_stage35, ap_CS_fsm_pp0_stage36, ap_CS_fsm_pp0_stage37, ap_CS_fsm_pp0_stage38, ap_CS_fsm_pp0_stage39, ap_CS_fsm_pp0_stage40, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_CS_fsm_pp0_stage43, ap_block_pp0_stage14_flag00011001, ap_block_pp0_stage17_flag00011001, ap_block_pp0_stage20_flag00011001, ap_block_pp0_stage23_flag00011001, ap_block_pp0_stage26_flag00011001, ap_block_pp0_stage29_flag00011001, ap_block_pp0_stage32_flag00011001, ap_block_pp0_stage35_flag00011001, ap_block_pp0_stage38_flag00011001, ap_block_pp0_stage12_flag00011001, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage18_flag00011001, ap_block_pp0_stage21_flag00011001, ap_block_pp0_stage24_flag00011001, ap_block_pp0_stage27_flag00011001, ap_block_pp0_stage30_flag00011001, ap_block_pp0_stage33_flag00011001, ap_block_pp0_stage36_flag00011001, ap_block_pp0_stage39_flag00011001, ap_block_pp0_stage13_flag00011001, ap_block_pp0_stage16_flag00011001, ap_block_pp0_stage19_flag00011001, ap_block_pp0_stage22_flag00011001, ap_block_pp0_stage25_flag00011001, ap_block_pp0_stage28_flag00011001, ap_block_pp0_stage31_flag00011001, ap_block_pp0_stage34_flag00011001, ap_block_pp0_stage37_flag00011001, ap_block_pp0_stage41_flag00011001, ap_block_pp0_stage42_flag00011001, ap_block_pp0_stage43_flag00011001, ap_block_pp0_stage40_flag00011001) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage43) and (ap_block_pp0_stage43_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)))) then buffer_temp_out_V_ce1 <= ap_const_logic_1; else buffer_temp_out_V_ce1 <= ap_const_logic_0; end if; end process; buffer_temp_out_V_d0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage11, ap_block_pp0_stage11_flag00000000, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage12_flag00000000, grp_fu_786_p2, grp_fu_794_p2) begin if ((ap_const_logic_1 = ap_enable_reg_pp0_iter0)) then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_d0 <= grp_fu_794_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00000000 = ap_const_boolean_0))) then buffer_temp_out_V_d0 <= grp_fu_786_p2; else buffer_temp_out_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else buffer_temp_out_V_d0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; buffer_temp_out_V_d1_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage13, ap_block_pp0_stage13_flag00000000, ap_CS_fsm_pp0_stage14, ap_block_pp0_stage14_flag00000000, ap_CS_fsm_pp0_stage15, ap_block_pp0_stage15_flag00000000, ap_CS_fsm_pp0_stage16, ap_block_pp0_stage16_flag00000000, ap_CS_fsm_pp0_stage17, ap_block_pp0_stage17_flag00000000, ap_CS_fsm_pp0_stage18, ap_block_pp0_stage18_flag00000000, ap_CS_fsm_pp0_stage19, ap_block_pp0_stage19_flag00000000, ap_CS_fsm_pp0_stage20, ap_block_pp0_stage20_flag00000000, ap_CS_fsm_pp0_stage21, ap_block_pp0_stage21_flag00000000, ap_CS_fsm_pp0_stage22, ap_block_pp0_stage22_flag00000000, ap_CS_fsm_pp0_stage23, ap_block_pp0_stage23_flag00000000, ap_CS_fsm_pp0_stage24, ap_block_pp0_stage24_flag00000000, ap_CS_fsm_pp0_stage25, ap_block_pp0_stage25_flag00000000, ap_CS_fsm_pp0_stage26, ap_block_pp0_stage26_flag00000000, ap_CS_fsm_pp0_stage27, ap_block_pp0_stage27_flag00000000, ap_CS_fsm_pp0_stage28, ap_block_pp0_stage28_flag00000000, ap_CS_fsm_pp0_stage29, ap_block_pp0_stage29_flag00000000, ap_CS_fsm_pp0_stage30, ap_block_pp0_stage30_flag00000000, ap_CS_fsm_pp0_stage31, ap_block_pp0_stage31_flag00000000, ap_CS_fsm_pp0_stage32, ap_block_pp0_stage32_flag00000000, ap_CS_fsm_pp0_stage33, ap_block_pp0_stage33_flag00000000, ap_CS_fsm_pp0_stage34, ap_block_pp0_stage34_flag00000000, ap_CS_fsm_pp0_stage35, ap_block_pp0_stage35_flag00000000, ap_CS_fsm_pp0_stage36, ap_block_pp0_stage36_flag00000000, ap_CS_fsm_pp0_stage37, ap_block_pp0_stage37_flag00000000, ap_CS_fsm_pp0_stage38, ap_block_pp0_stage38_flag00000000, ap_CS_fsm_pp0_stage39, ap_block_pp0_stage39_flag00000000, ap_CS_fsm_pp0_stage40, ap_block_pp0_stage40_flag00000000, ap_CS_fsm_pp0_stage41, ap_block_pp0_stage41_flag00000000, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage42_flag00000000, grp_fu_786_p2, grp_fu_794_p2, grp_fu_802_p2) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00000000 = ap_const_boolean_0)))) then buffer_temp_out_V_d1 <= grp_fu_794_p2; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00000000 = ap_const_boolean_0)))) then buffer_temp_out_V_d1 <= grp_fu_786_p2; elsif ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00000000 = ap_const_boolean_0)))) then buffer_temp_out_V_d1 <= grp_fu_802_p2; else buffer_temp_out_V_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; buffer_temp_out_V_we0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage11, ap_CS_fsm_pp0_stage12, ap_block_pp0_stage11_flag00011001, ap_block_pp0_stage12_flag00011001, ap_predicate_op307_call_state13, ap_predicate_op370_call_state14) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op307_call_state13)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op370_call_state14)))) then buffer_temp_out_V_we0 <= ap_const_logic_1; else buffer_temp_out_V_we0 <= ap_const_logic_0; end if; end process; buffer_temp_out_V_we1_assign_proc : process(ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage13, ap_CS_fsm_pp0_stage14, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage16, ap_CS_fsm_pp0_stage17, ap_CS_fsm_pp0_stage18, ap_CS_fsm_pp0_stage19, ap_CS_fsm_pp0_stage20, ap_CS_fsm_pp0_stage21, ap_CS_fsm_pp0_stage22, ap_CS_fsm_pp0_stage23, ap_CS_fsm_pp0_stage24, ap_CS_fsm_pp0_stage25, ap_CS_fsm_pp0_stage26, ap_CS_fsm_pp0_stage27, ap_CS_fsm_pp0_stage28, ap_CS_fsm_pp0_stage29, ap_CS_fsm_pp0_stage30, ap_CS_fsm_pp0_stage31, ap_CS_fsm_pp0_stage32, ap_CS_fsm_pp0_stage33, ap_CS_fsm_pp0_stage34, ap_CS_fsm_pp0_stage35, ap_CS_fsm_pp0_stage36, ap_CS_fsm_pp0_stage37, ap_CS_fsm_pp0_stage38, ap_CS_fsm_pp0_stage39, ap_CS_fsm_pp0_stage40, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage14_flag00011001, ap_block_pp0_stage17_flag00011001, ap_block_pp0_stage20_flag00011001, ap_block_pp0_stage23_flag00011001, ap_block_pp0_stage26_flag00011001, ap_block_pp0_stage29_flag00011001, ap_block_pp0_stage32_flag00011001, ap_block_pp0_stage35_flag00011001, ap_block_pp0_stage38_flag00011001, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage18_flag00011001, ap_block_pp0_stage21_flag00011001, ap_block_pp0_stage24_flag00011001, ap_block_pp0_stage27_flag00011001, ap_block_pp0_stage30_flag00011001, ap_block_pp0_stage33_flag00011001, ap_block_pp0_stage36_flag00011001, ap_block_pp0_stage39_flag00011001, ap_block_pp0_stage13_flag00011001, ap_block_pp0_stage16_flag00011001, ap_block_pp0_stage19_flag00011001, ap_block_pp0_stage22_flag00011001, ap_block_pp0_stage25_flag00011001, ap_block_pp0_stage28_flag00011001, ap_block_pp0_stage31_flag00011001, ap_block_pp0_stage34_flag00011001, ap_block_pp0_stage37_flag00011001, ap_block_pp0_stage41_flag00011001, ap_block_pp0_stage42_flag00011001, ap_block_pp0_stage40_flag00011001, ap_predicate_op392_call_state15, ap_predicate_op414_call_state16, ap_predicate_op436_call_state17, ap_predicate_op458_call_state18, ap_predicate_op480_call_state19, ap_predicate_op502_call_state20, ap_predicate_op524_call_state21, ap_predicate_op546_call_state22, ap_predicate_op568_call_state23, ap_predicate_op590_call_state24, ap_predicate_op612_call_state25, ap_predicate_op634_call_state26, ap_predicate_op656_call_state27, ap_predicate_op678_call_state28, ap_predicate_op700_call_state29, ap_predicate_op722_call_state30, ap_predicate_op744_call_state31, ap_predicate_op766_call_state32, ap_predicate_op788_call_state33, ap_predicate_op810_call_state34, ap_predicate_op832_call_state35, ap_predicate_op851_call_state36, ap_predicate_op869_call_state37, ap_predicate_op886_call_state38, ap_predicate_op902_call_state39, ap_predicate_op917_call_state40, ap_predicate_op931_call_state41, ap_predicate_op943_call_state42, ap_predicate_op955_call_state43, ap_predicate_op969_call_state44) begin if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op392_call_state15)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op414_call_state16)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op436_call_state17)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op458_call_state18)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op480_call_state19)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op502_call_state20)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op524_call_state21)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op546_call_state22)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op568_call_state23)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op590_call_state24)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op612_call_state25)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op634_call_state26)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op656_call_state27)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op678_call_state28)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op700_call_state29)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op722_call_state30)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op744_call_state31)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op766_call_state32)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op788_call_state33)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op810_call_state34)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op832_call_state35)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op851_call_state36)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op869_call_state37)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op886_call_state38)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op902_call_state39)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op917_call_state40)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op931_call_state41)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op943_call_state42)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op955_call_state43)) or ((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0) and (ap_const_boolean_1 = ap_predicate_op969_call_state44)))) then buffer_temp_out_V_we1 <= ap_const_logic_1; else buffer_temp_out_V_we1 <= ap_const_logic_0; end if; end process; counter_V_0_10_fu_1383_p2 <= std_logic_vector(unsigned(tmp_8_10_cast_fu_1380_p1) + unsigned(iv_V)); counter_V_0_11_fu_1402_p2 <= std_logic_vector(unsigned(tmp_8_11_cast_fu_1399_p1) + unsigned(iv_V)); counter_V_0_12_fu_1415_p2 <= std_logic_vector(unsigned(tmp_8_12_cast_fu_1412_p1) + unsigned(iv_V)); counter_V_0_13_fu_1428_p2 <= std_logic_vector(unsigned(tmp_8_13_cast_fu_1425_p1) + unsigned(iv_V)); counter_V_0_14_fu_1441_p2 <= std_logic_vector(unsigned(tmp_8_14_cast_fu_1438_p1) + unsigned(iv_V)); counter_V_0_15_fu_1454_p2 <= std_logic_vector(unsigned(tmp_8_15_cast_fu_1451_p1) + unsigned(iv_V)); counter_V_0_16_fu_1467_p2 <= std_logic_vector(unsigned(tmp_8_16_cast_fu_1464_p1) + unsigned(iv_V)); counter_V_0_17_fu_1480_p2 <= std_logic_vector(unsigned(tmp_8_17_cast_fu_1477_p1) + unsigned(iv_V)); counter_V_0_18_fu_1493_p2 <= std_logic_vector(unsigned(tmp_8_18_cast_fu_1490_p1) + unsigned(iv_V)); counter_V_0_19_fu_1506_p2 <= std_logic_vector(unsigned(tmp_8_19_cast_fu_1503_p1) + unsigned(iv_V)); counter_V_0_1_fu_878_p2 <= std_logic_vector(unsigned(tmp_8_cast_6_fu_875_p1) + unsigned(iv_V)); counter_V_0_20_fu_1519_p2 <= std_logic_vector(unsigned(tmp_8_20_cast_fu_1516_p1) + unsigned(iv_V)); counter_V_0_21_fu_1532_p2 <= std_logic_vector(unsigned(tmp_8_21_cast_fu_1529_p1) + unsigned(iv_V)); counter_V_0_22_fu_1545_p2 <= std_logic_vector(unsigned(tmp_8_22_cast_fu_1542_p1) + unsigned(iv_V)); counter_V_0_23_fu_1558_p2 <= std_logic_vector(unsigned(tmp_8_23_cast_fu_1555_p1) + unsigned(iv_V)); counter_V_0_24_fu_1571_p2 <= std_logic_vector(unsigned(tmp_8_24_cast_fu_1568_p1) + unsigned(iv_V)); counter_V_0_25_fu_1584_p2 <= std_logic_vector(unsigned(tmp_8_25_cast_fu_1581_p1) + unsigned(iv_V)); counter_V_0_26_fu_1597_p2 <= std_logic_vector(unsigned(tmp_8_26_cast_fu_1594_p1) + unsigned(iv_V)); counter_V_0_27_fu_1610_p2 <= std_logic_vector(unsigned(tmp_8_27_cast_fu_1607_p1) + unsigned(iv_V)); counter_V_0_28_fu_1623_p2 <= std_logic_vector(unsigned(tmp_8_28_cast_fu_1620_p1) + unsigned(iv_V)); counter_V_0_29_fu_1636_p2 <= std_logic_vector(unsigned(tmp_8_29_cast_fu_1633_p1) + unsigned(iv_V)); counter_V_0_2_fu_901_p2 <= std_logic_vector(unsigned(tmp_8_1_cast_fu_898_p1) + unsigned(iv_V)); counter_V_0_30_fu_1649_p2 <= std_logic_vector(unsigned(tmp_8_30_cast_fu_1646_p1) + unsigned(iv_V)); counter_V_0_3_fu_924_p2 <= std_logic_vector(unsigned(tmp_8_2_cast_fu_921_p1) + unsigned(iv_V)); counter_V_0_4_fu_947_p2 <= std_logic_vector(unsigned(tmp_8_3_cast_fu_944_p1) + unsigned(iv_V)); counter_V_0_5_fu_970_p2 <= std_logic_vector(unsigned(tmp_8_4_cast_fu_967_p1) + unsigned(iv_V)); counter_V_0_6_fu_993_p2 <= std_logic_vector(unsigned(tmp_8_5_cast_fu_990_p1) + unsigned(iv_V)); counter_V_0_7_fu_1016_p2 <= std_logic_vector(unsigned(tmp_8_6_cast_fu_1013_p1) + unsigned(iv_V)); counter_V_0_8_fu_1039_p2 <= std_logic_vector(unsigned(tmp_8_7_cast_fu_1036_p1) + unsigned(iv_V)); counter_V_0_9_fu_1062_p2 <= std_logic_vector(unsigned(tmp_8_8_cast_fu_1059_p1) + unsigned(iv_V)); counter_V_0_s_fu_1265_p2 <= std_logic_vector(unsigned(tmp_8_9_cast_fu_1262_p1) + unsigned(iv_V)); counter_V_fu_855_p2 <= std_logic_vector(unsigned(tmp_2_fu_851_p1) + unsigned(iv_V)); grp_aestest_fu_744_ap_ce_assign_proc : process(ap_CS_fsm_pp0_stage1, ap_CS_fsm_pp0_stage8, ap_CS_fsm_pp0_stage9, ap_CS_fsm_pp0_stage10, ap_CS_fsm_pp0_stage11, ap_CS_fsm_pp0_stage12, ap_CS_fsm_pp0_stage13, ap_CS_fsm_pp0_stage14, ap_CS_fsm_pp0_stage15, ap_CS_fsm_pp0_stage16, ap_CS_fsm_pp0_stage17, ap_CS_fsm_pp0_stage18, ap_CS_fsm_pp0_stage19, ap_CS_fsm_pp0_stage20, ap_CS_fsm_pp0_stage21, ap_CS_fsm_pp0_stage22, ap_CS_fsm_pp0_stage23, ap_CS_fsm_pp0_stage24, ap_CS_fsm_pp0_stage25, ap_CS_fsm_pp0_stage26, ap_CS_fsm_pp0_stage27, ap_CS_fsm_pp0_stage28, ap_CS_fsm_pp0_stage29, ap_CS_fsm_pp0_stage30, ap_CS_fsm_pp0_stage31, ap_CS_fsm_pp0_stage32, ap_CS_fsm_pp0_stage33, ap_CS_fsm_pp0_stage34, ap_CS_fsm_pp0_stage35, ap_CS_fsm_pp0_stage36, ap_CS_fsm_pp0_stage37, ap_CS_fsm_pp0_stage38, ap_CS_fsm_pp0_stage39, ap_CS_fsm_pp0_stage40, ap_CS_fsm_pp0_stage41, ap_CS_fsm_pp0_stage42, ap_block_pp0_stage8_flag00011001, ap_block_pp0_stage11_flag00011001, ap_block_pp0_stage14_flag00011001, ap_block_pp0_stage17_flag00011001, ap_block_pp0_stage20_flag00011001, ap_block_pp0_stage23_flag00011001, ap_block_pp0_stage26_flag00011001, ap_block_pp0_stage29_flag00011001, ap_block_pp0_stage32_flag00011001, ap_block_pp0_stage35_flag00011001, ap_block_pp0_stage38_flag00011001, ap_block_pp0_stage9_flag00011001, ap_block_pp0_stage12_flag00011001, ap_block_pp0_stage15_flag00011001, ap_block_pp0_stage18_flag00011001, ap_block_pp0_stage21_flag00011001, ap_block_pp0_stage24_flag00011001, ap_block_pp0_stage27_flag00011001, ap_block_pp0_stage30_flag00011001, ap_block_pp0_stage33_flag00011001, ap_block_pp0_stage36_flag00011001, ap_block_pp0_stage39_flag00011001, ap_block_pp0_stage10_flag00011001, ap_block_pp0_stage13_flag00011001, ap_block_pp0_stage16_flag00011001, ap_block_pp0_stage19_flag00011001, ap_block_pp0_stage22_flag00011001, ap_block_pp0_stage25_flag00011001, ap_block_pp0_stage28_flag00011001, ap_block_pp0_stage31_flag00011001, ap_block_pp0_stage34_flag00011001, ap_block_pp0_stage37_flag00011001, ap_block_pp0_stage41_flag00011001, ap_block_pp0_stage42_flag00011001, ap_block_pp0_stage1_flag00011001, ap_CS_fsm_pp0_stage2, ap_block_pp0_stage2_flag00011001, ap_CS_fsm_pp0_stage3, ap_block_pp0_stage3_flag00011001, ap_CS_fsm_pp0_stage4, ap_block_pp0_stage4_flag00011001, ap_CS_fsm_pp0_stage5, ap_block_pp0_stage5_flag00011001, ap_CS_fsm_pp0_stage6, ap_block_pp0_stage6_flag00011001, ap_CS_fsm_pp0_stage7, ap_block_pp0_stage7_flag00011001, ap_block_pp0_stage40_flag00011001) begin if ((((ap_const_logic_1 = ap_CS_fsm_pp0_stage1) and (ap_block_pp0_stage1_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage2) and (ap_block_pp0_stage2_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage3) and (ap_block_pp0_stage3_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage4) and (ap_block_pp0_stage4_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage6) and (ap_block_pp0_stage6_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage7) and (ap_block_pp0_stage7_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage8) and (ap_block_pp0_stage8_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage9) and (ap_block_pp0_stage9_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage10) and (ap_block_pp0_stage10_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage11) and (ap_block_pp0_stage11_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage12) and (ap_block_pp0_stage12_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage13) and (ap_block_pp0_stage13_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage14) and (ap_block_pp0_stage14_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage15) and (ap_block_pp0_stage15_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage16) and (ap_block_pp0_stage16_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage17) and (ap_block_pp0_stage17_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage18) and (ap_block_pp0_stage18_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage19) and (ap_block_pp0_stage19_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage20) and (ap_block_pp0_stage20_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage21) and (ap_block_pp0_stage21_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage22) and (ap_block_pp0_stage22_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage23) and (ap_block_pp0_stage23_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage24) and (ap_block_pp0_stage24_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage25) and (ap_block_pp0_stage25_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage26) and (ap_block_pp0_stage26_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage27) and (ap_block_pp0_stage27_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage28) and (ap_block_pp0_stage28_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage29) and (ap_block_pp0_stage29_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage30) and (ap_block_pp0_stage30_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage31) and (ap_block_pp0_stage31_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage32) and (ap_block_pp0_stage32_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage33) and (ap_block_pp0_stage33_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage34) and (ap_block_pp0_stage34_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage35) and (ap_block_pp0_stage35_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage36) and (ap_block_pp0_stage36_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage37) and (ap_block_pp0_stage37_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage38) and (ap_block_pp0_stage38_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage39) and (ap_block_pp0_stage39_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage40) and (ap_block_pp0_stage40_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage41) and (ap_block_pp0_stage41_flag00011001 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage42) and (ap_block_pp0_stage42_flag00011001 = ap_const_boolean_0)))) then grp_aestest_fu_744_ap_ce <= ap_const_logic_1; else grp_aestest_fu_744_ap_ce <= ap_const_logic_0; end if; end process; grp_aestest_fu_744_ap_start <= ap_reg_grp_aestest_fu_744_ap_start; grp_aestest_fu_744_inptext_V_read_assign_proc : process(ap_enable_reg_pp0_iter0, counter_V_fu_855_p2, counter_V_0_1_fu_878_p2, counter_V_0_2_fu_901_p2, counter_V_0_3_fu_924_p2, counter_V_0_4_fu_947_p2, counter_V_0_5_fu_970_p2, counter_V_0_6_fu_993_p2, counter_V_0_7_fu_1016_p2, counter_V_0_8_fu_1039_p2, counter_V_0_9_fu_1062_p2, counter_V_0_s_fu_1265_p2, counter_V_0_10_fu_1383_p2, counter_V_0_11_fu_1402_p2, counter_V_0_12_fu_1415_p2, counter_V_0_13_fu_1428_p2, counter_V_0_14_fu_1441_p2, counter_V_0_15_fu_1454_p2, counter_V_0_16_fu_1467_p2, counter_V_0_17_fu_1480_p2, counter_V_0_18_fu_1493_p2, counter_V_0_19_fu_1506_p2, counter_V_0_20_fu_1519_p2, counter_V_0_21_fu_1532_p2, counter_V_0_22_fu_1545_p2, counter_V_0_23_fu_1558_p2, counter_V_0_24_fu_1571_p2, counter_V_0_25_fu_1584_p2, counter_V_0_26_fu_1597_p2, counter_V_0_27_fu_1610_p2, counter_V_0_28_fu_1623_p2, counter_V_0_29_fu_1636_p2, counter_V_0_30_fu_1649_p2, ap_condition_7969, ap_condition_7973, ap_condition_7977, ap_condition_7981, ap_condition_7985, ap_condition_7989, ap_condition_7993, ap_condition_7997, ap_condition_8001, ap_condition_8005, ap_condition_8008, ap_condition_8011, ap_condition_8014, ap_condition_8017, ap_condition_8020, ap_condition_8023, ap_condition_8026, ap_condition_8029, ap_condition_8032, ap_condition_8035, ap_condition_8038, ap_condition_8041, ap_condition_8044, ap_condition_8047, ap_condition_8050, ap_condition_8053, ap_condition_8056, ap_condition_8059, ap_condition_8062, ap_condition_8065, ap_condition_8068, ap_condition_8071) begin if ((ap_const_logic_1 = ap_enable_reg_pp0_iter0)) then if ((ap_condition_8071 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_30_fu_1649_p2; elsif ((ap_condition_8068 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_29_fu_1636_p2; elsif ((ap_condition_8065 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_28_fu_1623_p2; elsif ((ap_condition_8062 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_27_fu_1610_p2; elsif ((ap_condition_8059 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_26_fu_1597_p2; elsif ((ap_condition_8056 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_25_fu_1584_p2; elsif ((ap_condition_8053 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_24_fu_1571_p2; elsif ((ap_condition_8050 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_23_fu_1558_p2; elsif ((ap_condition_8047 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_22_fu_1545_p2; elsif ((ap_condition_8044 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_21_fu_1532_p2; elsif ((ap_condition_8041 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_20_fu_1519_p2; elsif ((ap_condition_8038 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_19_fu_1506_p2; elsif ((ap_condition_8035 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_18_fu_1493_p2; elsif ((ap_condition_8032 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_17_fu_1480_p2; elsif ((ap_condition_8029 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_16_fu_1467_p2; elsif ((ap_condition_8026 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_15_fu_1454_p2; elsif ((ap_condition_8023 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_14_fu_1441_p2; elsif ((ap_condition_8020 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_13_fu_1428_p2; elsif ((ap_condition_8017 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_12_fu_1415_p2; elsif ((ap_condition_8014 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_11_fu_1402_p2; elsif ((ap_condition_8011 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_10_fu_1383_p2; elsif ((ap_condition_8008 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_s_fu_1265_p2; elsif ((ap_condition_8005 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_9_fu_1062_p2; elsif ((ap_condition_8001 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_8_fu_1039_p2; elsif ((ap_condition_7997 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_7_fu_1016_p2; elsif ((ap_condition_7993 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_6_fu_993_p2; elsif ((ap_condition_7989 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_5_fu_970_p2; elsif ((ap_condition_7985 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_4_fu_947_p2; elsif ((ap_condition_7981 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_3_fu_924_p2; elsif ((ap_condition_7977 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_2_fu_901_p2; elsif ((ap_condition_7973 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_0_1_fu_878_p2; elsif ((ap_condition_7969 = ap_const_boolean_1)) then grp_aestest_fu_744_inptext_V_read <= counter_V_fu_855_p2; else grp_aestest_fu_744_inptext_V_read <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; else grp_aestest_fu_744_inptext_V_read <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX"; end if; end process; grp_fu_786_p2 <= (reg_752 xor grp_aestest_fu_744_ap_return); grp_fu_794_p2 <= (reg_756 xor grp_aestest_fu_744_ap_return); grp_fu_802_p2 <= (reg_760 xor grp_aestest_fu_744_ap_return); i_fu_1389_p2 <= std_logic_vector(unsigned(i_op_assign_reg_732) + unsigned(ap_const_lv16_20)); i_op_assign_cast1_fu_817_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_op_assign_phi_fu_736_p4),32)); i_op_assign_phi_fu_736_p4_assign_proc : process(tmp_reg_1932, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00000000, i_op_assign_reg_732, i_reg_2295) begin if (((tmp_reg_1932 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then i_op_assign_phi_fu_736_p4 <= i_reg_2295; else i_op_assign_phi_fu_736_p4 <= i_op_assign_reg_732; end if; end process; length_r_ap_vld_in_sig_assign_proc : process(length_r_ap_vld, length_r_ap_vld_preg) begin if ((ap_const_logic_1 = length_r_ap_vld)) then length_r_ap_vld_in_sig <= length_r_ap_vld; else length_r_ap_vld_in_sig <= length_r_ap_vld_preg; end if; end process; length_r_blk_n_assign_proc : process(ap_start, ap_CS_fsm_state1, length_r_ap_vld) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then length_r_blk_n <= length_r_ap_vld; else length_r_blk_n <= ap_const_logic_1; end if; end process; length_r_in_sig_assign_proc : process(length_r, length_r_preg, length_r_ap_vld) begin if ((ap_const_logic_1 = length_r_ap_vld)) then length_r_in_sig <= length_r; else length_r_in_sig <= length_r_preg; end if; end process; tmp_10_fu_1421_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_s_reg_2015),64)); tmp_11_cast_fu_940_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_11_fu_935_p2),32)); tmp_11_fu_935_p2 <= (tmp_98_reg_1940 or ap_const_lv15_5); tmp_12_fu_1434_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_11_reg_2025),64)); tmp_13_cast_fu_963_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_13_fu_958_p2),32)); tmp_13_fu_958_p2 <= (tmp_98_reg_1940 or ap_const_lv15_6); tmp_14_fu_1447_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_13_reg_2035),64)); tmp_15_cast_fu_986_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_15_fu_981_p2),32)); tmp_15_fu_981_p2 <= (tmp_98_reg_1940 or ap_const_lv15_7); tmp_16_fu_1460_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_15_reg_2045),64)); tmp_17_cast_fu_1009_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_17_fu_1004_p2),32)); tmp_17_fu_1004_p2 <= (tmp_98_reg_1940 or ap_const_lv15_8); tmp_18_fu_1473_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_17_reg_2055),64)); tmp_19_cast_fu_1032_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_19_fu_1027_p2),32)); tmp_19_fu_1027_p2 <= (tmp_98_reg_1940 or ap_const_lv15_9); tmp_1_fu_830_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_op_assign_reg_732),64)); tmp_20_fu_1486_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_19_reg_2065),64)); tmp_21_cast_fu_1055_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_21_fu_1050_p2),32)); tmp_21_fu_1050_p2 <= (tmp_98_reg_1940 or ap_const_lv15_A); tmp_22_fu_1499_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_21_reg_2075),64)); tmp_23_cast_fu_1078_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_23_fu_1073_p2),32)); tmp_23_fu_1073_p2 <= (tmp_98_reg_1940 or ap_const_lv15_B); tmp_24_fu_1512_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_23_reg_2085),64)); tmp_25_cast_fu_1087_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_25_fu_1082_p2),32)); tmp_25_fu_1082_p2 <= (tmp_98_reg_1940 or ap_const_lv15_C); tmp_26_fu_1525_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_25_reg_2091),64)); tmp_27_cast_fu_1096_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_27_fu_1091_p2),32)); tmp_27_fu_1091_p2 <= (tmp_98_reg_1940 or ap_const_lv15_D); tmp_28_fu_1538_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_27_reg_2097),64)); tmp_29_cast_fu_1105_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_29_fu_1100_p2),32)); tmp_29_fu_1100_p2 <= (tmp_98_reg_1940 or ap_const_lv15_E); tmp_2_fu_851_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_op_assign_reg_732),128)); tmp_30_fu_1551_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_29_reg_2103),64)); tmp_31_cast_fu_1114_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_31_fu_1109_p2),32)); tmp_31_fu_1109_p2 <= (tmp_98_reg_1940 or ap_const_lv15_F); tmp_32_fu_1564_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_31_reg_2109),64)); tmp_33_cast_fu_1123_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_33_fu_1118_p2),32)); tmp_33_fu_1118_p2 <= (tmp_98_reg_1940 or ap_const_lv15_10); tmp_34_fu_1577_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_33_reg_2115),64)); tmp_35_cast_fu_1132_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_35_fu_1127_p2),32)); tmp_35_fu_1127_p2 <= (tmp_98_reg_1940 or ap_const_lv15_11); tmp_36_fu_1590_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_35_reg_2121),64)); tmp_37_cast_fu_1141_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_37_fu_1136_p2),32)); tmp_37_fu_1136_p2 <= (tmp_98_reg_1940 or ap_const_lv15_12); tmp_38_fu_1603_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_37_reg_2127),64)); tmp_39_cast_fu_1150_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_39_fu_1145_p2),32)); tmp_39_fu_1145_p2 <= (tmp_98_reg_1940 or ap_const_lv15_13); tmp_40_fu_1616_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_39_reg_2133),64)); tmp_41_cast_fu_1159_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_41_fu_1154_p2),32)); tmp_41_fu_1154_p2 <= (tmp_98_reg_1940 or ap_const_lv15_14); tmp_42_fu_1629_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_41_reg_2139),64)); tmp_43_cast_fu_1168_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_43_fu_1163_p2),32)); tmp_43_fu_1163_p2 <= (tmp_98_reg_1940 or ap_const_lv15_15); tmp_44_fu_1642_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_43_reg_2145),64)); tmp_45_cast_fu_1177_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_45_fu_1172_p2),32)); tmp_45_fu_1172_p2 <= (tmp_98_reg_1940 or ap_const_lv15_16); tmp_46_fu_1655_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_45_reg_2151),64)); tmp_47_cast_fu_1186_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_47_fu_1181_p2),32)); tmp_47_fu_1181_p2 <= (tmp_98_reg_1940 or ap_const_lv15_17); tmp_48_fu_1659_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_47_reg_2157),64)); tmp_49_cast_fu_1195_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_49_fu_1190_p2),32)); tmp_49_fu_1190_p2 <= (tmp_98_reg_1940 or ap_const_lv15_18); tmp_4_cast_fu_847_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_4_fu_841_p2),32)); tmp_4_fu_841_p2 <= (tmp_98_fu_826_p1 or ap_const_lv15_1); tmp_50_fu_1663_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_49_reg_2163),64)); tmp_51_cast_fu_1204_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_51_fu_1199_p2),32)); tmp_51_fu_1199_p2 <= (tmp_98_reg_1940 or ap_const_lv15_19); tmp_52_fu_1667_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_51_reg_2169),64)); tmp_53_cast_fu_1213_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_53_fu_1208_p2),32)); tmp_53_fu_1208_p2 <= (tmp_98_reg_1940 or ap_const_lv15_1A); tmp_54_fu_1671_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_53_reg_2175),64)); tmp_55_cast_fu_1222_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_55_fu_1217_p2),32)); tmp_55_fu_1217_p2 <= (tmp_98_reg_1940 or ap_const_lv15_1B); tmp_56_fu_1675_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_55_reg_2181),64)); tmp_57_cast_fu_1231_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_57_fu_1226_p2),32)); tmp_57_fu_1226_p2 <= (tmp_98_reg_1940 or ap_const_lv15_1C); tmp_58_fu_1679_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_57_reg_2187),64)); tmp_59_cast_fu_1240_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_59_fu_1235_p2),32)); tmp_59_fu_1235_p2 <= (tmp_98_reg_1940 or ap_const_lv15_1D); tmp_5_10_fu_1271_p2 <= "1" when (unsigned(tmp_23_cast_fu_1078_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_11_fu_1276_p2 <= "1" when (unsigned(tmp_25_cast_fu_1087_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_12_fu_1281_p2 <= "1" when (unsigned(tmp_27_cast_fu_1096_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_13_fu_1286_p2 <= "1" when (unsigned(tmp_29_cast_fu_1105_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_14_fu_1291_p2 <= "1" when (unsigned(tmp_31_cast_fu_1114_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_15_fu_1296_p2 <= "1" when (unsigned(tmp_33_cast_fu_1123_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_16_fu_1301_p2 <= "1" when (unsigned(tmp_35_cast_fu_1132_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_17_fu_1306_p2 <= "1" when (unsigned(tmp_37_cast_fu_1141_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_18_fu_1311_p2 <= "1" when (unsigned(tmp_39_cast_fu_1150_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_19_fu_1316_p2 <= "1" when (unsigned(tmp_41_cast_fu_1159_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_1_fu_861_p2 <= "1" when (unsigned(tmp_4_cast_fu_847_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_20_fu_1321_p2 <= "1" when (unsigned(tmp_43_cast_fu_1168_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_21_fu_1326_p2 <= "1" when (unsigned(tmp_45_cast_fu_1177_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_22_fu_1331_p2 <= "1" when (unsigned(tmp_47_cast_fu_1186_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_23_fu_1336_p2 <= "1" when (unsigned(tmp_49_cast_fu_1195_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_24_fu_1341_p2 <= "1" when (unsigned(tmp_51_cast_fu_1204_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_25_fu_1346_p2 <= "1" when (unsigned(tmp_53_cast_fu_1213_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_26_fu_1351_p2 <= "1" when (unsigned(tmp_55_cast_fu_1222_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_27_fu_1356_p2 <= "1" when (unsigned(tmp_57_cast_fu_1231_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_28_fu_1361_p2 <= "1" when (unsigned(tmp_59_cast_fu_1240_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_29_fu_1366_p2 <= "1" when (unsigned(tmp_61_cast_fu_1249_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_2_fu_884_p2 <= "1" when (unsigned(tmp_6_cast_fu_871_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_30_fu_1371_p2 <= "1" when (unsigned(tmp_63_cast_fu_1258_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_3_fu_907_p2 <= "1" when (unsigned(tmp_8_cast_fu_894_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_4_fu_930_p2 <= "1" when (unsigned(tmp_cast_fu_917_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_5_fu_953_p2 <= "1" when (unsigned(tmp_11_cast_fu_940_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_6_fu_976_p2 <= "1" when (unsigned(tmp_13_cast_fu_963_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_7_fu_999_p2 <= "1" when (unsigned(tmp_15_cast_fu_986_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_8_fu_1022_p2 <= "1" when (unsigned(tmp_17_cast_fu_1009_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_9_fu_1045_p2 <= "1" when (unsigned(tmp_19_cast_fu_1032_p1) < unsigned(length_r_in_sig)) else "0"; tmp_5_fu_1376_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_4_reg_1985),64)); tmp_5_s_fu_1068_p2 <= "1" when (unsigned(tmp_21_cast_fu_1055_p1) < unsigned(length_r_in_sig)) else "0"; tmp_60_fu_1683_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_59_reg_2193),64)); tmp_61_cast_fu_1249_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_61_fu_1244_p2),32)); tmp_61_fu_1244_p2 <= (tmp_98_reg_1940 or ap_const_lv15_1E); tmp_62_fu_1687_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_61_reg_2199),64)); tmp_63_cast_fu_1258_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_63_fu_1253_p2),32)); tmp_63_fu_1253_p2 <= (tmp_98_reg_1940 or ap_const_lv15_1F); tmp_64_fu_1691_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_63_reg_2205),64)); tmp_66_fu_821_p2 <= "1" when (unsigned(i_op_assign_cast1_fu_817_p1) < unsigned(length_r_in_sig)) else "0"; tmp_6_cast_fu_871_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_6_fu_866_p2),32)); tmp_6_fu_866_p2 <= (tmp_98_reg_1940 or ap_const_lv15_2); tmp_7_fu_1395_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_6_reg_1995),64)); tmp_8_10_cast_fu_1380_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_23_reg_2085),128)); tmp_8_11_cast_fu_1399_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_25_reg_2091),128)); tmp_8_12_cast_fu_1412_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_27_reg_2097),128)); tmp_8_13_cast_fu_1425_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_29_reg_2103),128)); tmp_8_14_cast_fu_1438_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_31_reg_2109),128)); tmp_8_15_cast_fu_1451_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_33_reg_2115),128)); tmp_8_16_cast_fu_1464_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_35_reg_2121),128)); tmp_8_17_cast_fu_1477_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_37_reg_2127),128)); tmp_8_18_cast_fu_1490_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_39_reg_2133),128)); tmp_8_19_cast_fu_1503_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_41_reg_2139),128)); tmp_8_1_cast_fu_898_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_6_reg_1995),128)); tmp_8_20_cast_fu_1516_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_43_reg_2145),128)); tmp_8_21_cast_fu_1529_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_45_reg_2151),128)); tmp_8_22_cast_fu_1542_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_47_reg_2157),128)); tmp_8_23_cast_fu_1555_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_49_reg_2163),128)); tmp_8_24_cast_fu_1568_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_51_reg_2169),128)); tmp_8_25_cast_fu_1581_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_53_reg_2175),128)); tmp_8_26_cast_fu_1594_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_55_reg_2181),128)); tmp_8_27_cast_fu_1607_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_57_reg_2187),128)); tmp_8_28_cast_fu_1620_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_59_reg_2193),128)); tmp_8_29_cast_fu_1633_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_61_reg_2199),128)); tmp_8_2_cast_fu_921_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_8_reg_2005),128)); tmp_8_30_cast_fu_1646_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_63_reg_2205),128)); tmp_8_3_cast_fu_944_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_s_reg_2015),128)); tmp_8_4_cast_fu_967_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_11_reg_2025),128)); tmp_8_5_cast_fu_990_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_13_reg_2035),128)); tmp_8_6_cast_fu_1013_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_15_reg_2045),128)); tmp_8_7_cast_fu_1036_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_17_reg_2055),128)); tmp_8_8_cast_fu_1059_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_19_reg_2065),128)); tmp_8_9_cast_fu_1262_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_21_reg_2075),128)); tmp_8_cast_6_fu_875_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_4_reg_1985),128)); tmp_8_cast_fu_894_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_8_fu_889_p2),32)); tmp_8_fu_889_p2 <= (tmp_98_reg_1940 or ap_const_lv15_3); tmp_98_fu_826_p1 <= i_op_assign_reg_732(15 - 1 downto 0); tmp_9_fu_1408_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_8_reg_2005),64)); tmp_cast_fu_917_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_s_fu_912_p2),32)); tmp_fu_809_p3 <= i_op_assign_phi_fu_736_p4(15 downto 15); tmp_s_fu_912_p2 <= (tmp_98_reg_1940 or ap_const_lv15_4); end behav;
gpl-3.0
d80f8d49df732fa530b83076a886c663
0.665038
2.538299
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/compare_eq.vhd
2
10,647
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Mq15Uxvq8TpovK0VHOjVIqcterdGjGw0vXf5/CcRbJy8DxHeaX/7+OLue1eHm86CijvgGESbBACz JkIFLWfF6A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nlGlmlWgx2dcArT+YjNR03+AI8xDpAJqs3PUH0dGTVPNy+2me3I6K3/Y+0PUOBmo6ENPSimUygAZ ksy+UgkArErykALj4Yj+1tAP4lzB6LHa6T1YjcCqfl+YO4Vtv7pKTgHSvlFceVOmrabtv0zQJ3EP HibINqN9+2AUxPaRLbw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ieSQPqZte5larMkINq4qdjH3bha3FgNb9uJ1MBMjsdacO5TSkrB90FQF8rKzRUP5KEhBXp8Ak8O8 4U6FS0Ftsd1UXa0SY2UPirL7OTSLjEwtUplMaI2qee2/xsowDi/MytsRBX+Sqm3vecLoVbWcgPTX J7hZi0bEUcvuwONV6+DB6ljvtB2j3MZc95iK/Eu75Z3ZHUNEa1HtkNnIvA0/ZcDLDE72iUO1n3OI iJelh2h+Gyz4q6RWKYNrPkjymYgOtmahINJFA0Bl1XPrpHXYKim9UrBghaNbvlPSma+2iF24qsZ9 RL9JnYAiHDNuX+ayj0G5BysC6e2vNEpglneX7A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block E+rNhZb8j6xyn69nHWjBZWwQXFf+BInEpetRjDfr3osdyGH9Fa8UeEClGLsgCSBviCenskBRx/Kr xmNJYiIjrwPU6oAT08DhzIQAOZOK6Eap1d8wTQt90ZvJWws3m7LI+SDSxuy6oNBuw+OUE/nwyq9K bBwCvFZB2RYiQIrx1x4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mw6I7LOoOLE21IWc9bUtA1vlL06F/sKTv+Fwc9yH/pBYQHzPlsTCRAtKr7poTm6QzuCpwfNq4Vgn WIlkXEoDQmZ2ibKnRITzBvkRC+ISCGddBWICPescIMf67IXz+Ghduy0BmYAodv5+qKiNl0azhp3Q 4IcqV3lQnlMSi8cuqgykUsOBCV+3yIKK/O3WGM9cC1OshmsS9UgweGlh2WdbatNIfpbfkxMp7tBV vH5kcGRBwAdVcCxF6Y7ao6gE1M/UNaDgZxWoIl577FYo2OYhoLLgdSA1LIntwfIn1TShnb55ohKb 2rFmwuNLMhiGCfqe/aSSSir0MA5TrcQj7WKMfg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6144) `protect data_block ySdgngRGY5Div0/OHorL390/ZKU+mFFn7++a2Gu34cEee4RM7cGOQA5qR6Yu1p+HGyuHjdkR2x6o B+18s6OjtGJ7aIsiRRzFmDVvMRDvmceK3xPe+jZ/9TD4lu1b747rLi1I+tCZJ8oYSueM9S1CFPd2 mVN7pO3l8UhJT2AQZxOzUYEZbVG3HUyhQf7hGqJHUCNYN+e8H5EoomoWJYDnDABFycoW8UJ5E8yj 3d7JUyfFJJVRx9ZZX4LEs5wsk+7l7WqqWO3IB+3BDsTsXbOE0a/IPa9mMl8xZP30k5NJ73pcxJWR LYF4FecPFA3YaizvwyFptcu6+h8yMs2HbJoAeGgkSX2nnz3sICeDzm6KSyfBIiAMd4uThgBpHupc o147FmdXfOg2OJVCHyYOV6TKCLWLSIfIMxJJlxTnab9Rd2lAHEm+NTwdnHdvSJqR6ZDBebSuKpVF 6vtdsFW5oLTijB2N2lyJE5Bqzx2YHHm8cfO7wRv2WfgLci/dOjUdH7rY06FXOPfdDXW3tJPPoQce 8Xtz4ftzRmtBsZXssOGwvH3I/EC60NbqLcSG71UWpw7k1U/9lUEpfNaX5QDeNzq4C/vPV4s3pZz1 rRFRT9WHujyssDGOICy/cBQRywjILvopCzROaPHWepD/t/r6fBI8rGsQde6FYdki6FTYQE79xnOB NwNJ5dXGRYlxg7HpMKDdnL3UmomJ5tcojmAiyYe5gv6OgWbOfeAc0fJUPX1k23tyTTX0Wu2sYEZK ye0osKlPM3lW2S2IFXjUotLZTFX/3EFo8ZzIB33ezP1ZZaBsQBXgfueht+H801hnOHQiWOdhEdW5 +4d9HkjYWpuRdh2oWXXsX7Vxvxg+mpEkgmzoZnXgPkCIfAy/qNcSbGjkizY5mEPV3/wj2EN75+0o v0m+6+bVpxaTPNvTbj+koLlofHWpWiEbvP0LuBfSKvLl6QsDqZQetb7apZlom8N/5VwvSfL6h/QC gjn5+PNCiAcG0a81yPPXiXbKajXnaZN/RhgVpYiK3qRxgfPPqcBWSBDoAq5f4H7MsCEgCjldf5Gu MBdNDfKXZCSHsDfBaemQUWh3McE368qft4Bg9HXxBZxv2bv27Tf1lNCudZ5bYEmf+VLmq2LLjoxF 3UL0AQeQcpw63dZKqd1nx3nWOYsITuijbpItZLkn8nHWK1LSFe/ENghM1ZEA1rGCXSDNgaRUKUC3 gpV+MtqjBAAmdFO8XvBoDAEhLYo+XYUlJaKr0M84fktk9EPAOW/DXZPlGctXi3vs3CII2CD8jOsR f0zYZH7tXFfCNaxF28ZSiQFfBe8kG/sjVPM5bhjE7KTkPFrcSaSQ5psUnUh4fRAGJ3v3qMEYrjI7 auwhsU7b2POZVSFuNHVxlpuR8NHUY6obOxEkdbQQypDpk/DaYta5wontlSzqbq4OKeWqlgakmcCk dhtsnJNjkh3ZhKm3W9tc772J+s5Ad12PxLE93eXGZvUYsCGm5XimXO7rPloHnPBicFCyvq3AVHvC uyopYlKmwchbDBSGTpTIb0r43CJGPF8guqAmJhj+R5Rk61OT9VVf0moEoljV1HpWH5mpE27ZGBtK Var7D37irKaHOyplnTrQZgFJJuZi/PliQHb+cNeTQme7dGgdrHZDfZVGo0IXGVfPrd/J0hV3i15s btWhI32RV3eZMb2iW0bI45WonlcTlLC9tdqZAL/TioDCK5eBLPGBCT9qTcg5XfIfhMADl6joAytq yHVjWwa04iS0K0YjcDfNsFQQabATsxaW956V1qQSX7W0iPJsKodImupUu4QR7Q3OSXJvl7OXw/Nl PHSPGmF7m1FFw4M2v4HL9K/oqbtP4p5TfqeY17nMMK7qNhalcIuFDjN+Upy2VnxQf92qTfKbqAjI 78bssScoQ3gcGhVFQR94KJUuPQLDe04cW9oZ3Qgs3iUSc8hlzKEk/k6mf5ukACQHR0ZXceGxmds3 A3JCSvOH1vNOK1ak0wjyMt5PDmU8842wqRVwFBUZx2SRELcc7ZCMiZeBr/qyVqE4YBGxDmpItnTk b7jgm4hg+S3DrLbQkyyGuEdSVSUaqQrDcPWgEksn2ccbzObs76hh+a7DfSr5l3VPor7RdxlSZ3K3 2jVxXery38pzjmR2GfFfPiR2ig3pvHaj3njdh5MXVF3ZUvLxZSZ2d4z0/MIqQtISnKk6JCZ5c/VP 85shjrUsUWDFWovUOiuWt9JlsKJTtheKVKgIG0ZhgK5aDg4xJXlDff/iuDKeo02MNDpT6NS5mfF0 98xv98GqYhn53GVVJQmlYFOnzC36Zn+cxOkla7Ek1BGsAw+BPGavdXIhNbsPGgnwKqccb3xEZrdB COWNG3nQqgfAhH8sSa7FOKEHfdRxpjhJBgoQKRM5SDPU3Cfa5RwpPZmg9uA9r5HZKZT1QQYOgOnH 6XDDG4/BQ9RZ5daYFnuGEhQuChPl32XmBUZlItA/skD/yLqrHYEPE4pp1VgnUPSEv8DDm4CTfOC4 qwyXZKcVGTYi1XFiOs4F/ei8rxPFP6CQ6lZ+oOoJJiHved1aUYUuNm6VsIiTYic6QMX5L7gwepKm mOX9O7UYWyiOxFV4R1YUpHJRZS3qab+A+pCjb9HStJi4xaiV6EYbraV0UeruVit8KbPebexTrYmY hc1u77z1gwt56afgXXdxOIDetF4ZuWCHBTD7FmWXVeE32M9Kj6bUp+0fzHDjIwUv2JXwwTUY0opE r9pH3bvLCDyK53Whd6tx3jpvAH/vCA/UJrPQGEpXcOGBZfZzZgOlKoBVKYnljvM75a+pCR14HkkN RTsbaWFxpONL+HzyzhfTNeG8y23uXbfmBr3XRWjU3WaAMj8W6KgeEOPBiAYdEj5WmAQRf3cNsRI5 I4uNECMRWIKnK2RdS3/H+bR4Hk+lXIVlWurXClhJXl/QixhaQWb2klESM3HYV8AorPGkfWgkBF0p ilA9EW1xbph+SQ/t5WomlUxBgKdzkiqDf8BSprRYEX0Oy25UYT4aClmwKaaT2S+BEeDQm3dF1d8D rYA8ENMn9cV2hi7PXxC9IPvboPIdKxnBr3RKF6SlFhdyXjvFq3JsQmgp9EmM1vzp3IrMToBnTsVO HvLtqxU0leKstcPzdQjY5Q3TmEJG19YHzpxEFPwLjQJmEVFHrkNveBp0XkdHOHeIHJtLXNYh1T/Y KpCtYB3Qg5GLCjpQp6vrnM0zc6GY+3XpKEUyrMi6nUF5FBN3Ogkg2/PafFmMF5i2Vd3NgAyn5h2B jRlQivfUY2NQ75AZ2/kAlxTu29QHfQAM+rOaF+j6REXhR/9/kOOd4S+pv+gKijMTFxbEQGR+h6Mt Xu9QRt+NJDgcp234+8bFFCK0O3uwB0+pVCiLI95KfaR88c23WGOv434sdzyijRMx3njEXpWokMOL m6wZDcuUhE78Xg55MEg2ra0pYawfUzzVb4z0INwaRyA95zNc/mBLqNHdsAC7Ug6EXyBmY8xMMI3Z NCrcPkOwGWJcRqYqzGvy19iq8wLLhXZIaXydi+jj5iRmjVyYIK6BBXJifeUoPWaa+qGBe+uyXOt3 5BmXufp/YZNve5GNFztJycHTkxt5AORcxN90IpBeTHF+otqr1g+HIcrbAw4ITNnF4SF33sxNPRFR j6Dr8Rd+VqAAVkhSuFKwVBEFiDR3ft7K/lO6ufMUAYVJb/X+q68BYyPeoJN0rQiLmNsj4TA9Uko/ ZuwZ8w9SAXdt7gM61kEtAXPl5sQ1LPFjsK/OSmUxOq6M7JUJUFfQJJep17nrd1hlixDnaPJYIxbF EA4r8pUaGoM5mDP5LoRlxY/7HhsIu2r5DlW2kRkjQMca48LIcHWEZAA4ewBDRVqtKyCVoumS9rt5 XF+vnnJXf7Kxa+3Z7MXUsLUJShDL/biiLiPUqyvldfN8XQshW27kxLv8jkkXBmfG19XFRLNIVin+ deHGQg6QTAoPXvL3rB2BjwGYZIhGMxPm14kNMEtKXl2vhQQPhhh52BAx+/2cRn6Pve9eSr+jQDDh DoxEzwfCisnRR1wgtIZpLN3NRbIjA+BVk7yk4XM4105QraU2ccQALyfaAO0/y/l3m4U3ZlWZzVIh qnEZI+7GO3aDOzEeUFFqzKyUYYBJhAbkW/FafgtiMaT2JZCzjARE1iB1NYN3UYw6lfWvkCD/74+J 0dklVoqq/E9VO8D/Z946wThP53Acus9nRYwOycqa3ukXghJx3ljDrSdOjKKdL4Y4mtydngPkcSe1 11xpQJ8ESbLQpGYsLXz6Woy4mBzpzh+Lwr8V4NqRimUUzvSKnOYNRHeUlhpm0CMPn7zEa4mgu4kc JWsvBqP7hN6wg8tA0z/xW40W5lcpJrQDHDuPGmOdQiifiJ6yjzPcfTkFwTXWb2oixUkBVT2YaBe+ +KFzv7+/PN6L8dxvIfuHuu17dELPAWeUSqW/kG7tEwBA9fbeuTo3DCPFZ84zT4X5YiGT0Zoyso0E oFC0vbv9FI4Nal5BdFWK/5pqM4WgrSA1iyF8HG83hTptNer8kJmr37+yvgFd0aA3kwxmLa1ScG5J A3L+YS3LpXzO3lj7MCkyYsWwdm7ddWdOiaKVcTJ5unWhfwbADwsgXI8MMnWfIXsz9kYR+WheY8O+ Aht5e5LETWJRLlWcBRwTPbBN/e7uvb3IqggrQ2vFD+z0IcIRQKeBDO7LhS9OV3SVnOuuf17ppr0d QJWCIxVGPglTQ/unl20iO9BWt9HEOu8wTmpvNxLy07FYRECUyplFybjbs/3MDcLDOi8rchTfUNd3 4tnvgkRxI6ezHMBdPE5KxSo2SG98+Qo67Fp7f86pgRhP4gYAdofsU2Mx5OFC7MjafNi34jWkA5al 0cjWDalssLc38wjxpZycgGO3O98lStojfhw4bLixDmgjyf9UquwurfcXNpL1QLMUm8oELiganchV 1E0qRned1N0Y56c7q3pRGEdwTpln0M+9wL6yT9eWXQRat57FfhI5xbsheUWAB4wp6c/BAUNh8fYc jZk/whBRZW/Vw0gyrEagiKnUoBveockJcmoZ8KmtFKAVXEnW4pFwsPaOcx121lMGyK4GeLQr4P83 QZluzK7ql/N9q9pOb4vprqxHs4v1Uy3n9Agux+g1hzo2oD/QOnTf1rFlXQ2R6Fn3zNKxa2OKdiwk 4CZc0N5UuWg7ooMO8erew65pmkUTgBdpopr4g18oDfX+ShwpFjh5VO4F6IaCeQynozF4SRkANBrw ong4ZRN3JJ421MRJXGzX721ck7Sz2rFFYdJ5LefKOOH3JDZo7JgQpqizf27tSoY4BTZiep6aYn3f 8AagXVRjhdYID15KZSD/ok6uSf/O5rzMI8ip8DeMF3tW5xYtsqjJj/Hes0Kq+nOnd+csLFW0h5cV Hhzn43Sm1Y0cXaDCxy+7mKTuKo00a96FV0yst9BLa3oyIsEwHq5N5IkBDI8pY9rwlGSm6B9qRhVk 1F8Jr0E637t/CTSLO7uEagspGy0pn5lZR33LAz1ydPnrjHVgwhB1Y/wwS8V5bgtiDNdDAPAR6nGy GzxJdN1ZYSpeDMZCNUC4OBejdy6e0vr4xmZMXoC+pyorNUJRHXKyY2YaU1Dx3uZLHXUNnnSquFiq Ndc7E/duiRPfUzinKPKt1T8dDwYhtgvjy+bnOSAU0kZPSqe2krh3uAbRKOmMR5Atpdgoi+xwqTbQ 49ypiyR5MbIu7M8BQeE9ku3Z7Y+uOrobPGAict0og9bsf1YcMOqihvcEMHaqjwiwvOkcJS9oKciV QDRDzPXOekL4hTgDf5SIHreKmTPo1Bxu5oDBhOyu4VAabggwVfut4saRDQ6Pia3/PzPI5AWs2K3m WQsEjgGeemNB5wpfElq1MiO6fo9GUgy1c1vm1+9UGADGl2jtLCzZOlGH+vNk+y0UMfbCaXBj3CbY W6bRf8ZX07zARNWYPKqvwjgHfxShv6Wtr2ggBFKWLHVsLNVITD8l/SzuCB7ETgJRHIZi6aKXgRcj kVyKtVPwNNyPZFY1/V3QHsje4tlkFhOWM2EpHPYkLrnjcwth0Hjr8gsGf1xQwIcxLVULjwffiD/a 3c6diGnvx5OZqoUr+BJypjN1HsnIv/QSqtY9QrkOvACYgRDhh9OG0PdfNorYEV9YsWEXgEkD1KWm wIAPL0bXYIpgqfs1T+aGB2sVsjyA/Y4v/NQZAFYaUuIVKkblMrAvnz5Er5IeNKFKEV45lUOoBl4L NbTR3n+LNg8hAPHM/mRrJwDJKF/T0VIUDtpi1rboSLF3Anz6KcoyYINFMQ61Xa/2qiuOLqWNnZ4Z /yBNb5LHlaQBjBUIrsWMmYHRSLahi71+hSZhVeGQxRcACFOZjSnnfpiJc3XpKB4rcit3SgzKqzjD HmPTiDpaU/efMqPJa/zCLfMzHCziBG8vDEk4Iwyc24w/BVPxRMp6OrakcUNPHNbn02LXqbxtXu0b 28dR0lz1hyJ1a7qcGynkrIyrWvlJTyzviZ+IOuezXmIOKfx6k1OUf78tjAi/BMrmlKBqPXAHXlmV fKIinuj+cBax1eXHvZopvPPC3Ako1QgNOnEySLZApE3dOn8L15YnR9INjjYkvrNwEbWQGLlX2mBL urqvCX3iXcS74MuLGoyMHk+VqMBW6WB0JVQ1OEWejHSzQQu/gTOSA9818o3khXZs+hsOgoEuzwKO h2zaPSXyk10q6nnrchBMN5OpibOzpkEr3pc5xyvLVMSXgEwXyJCYn3JF4cf64Do8PVZgrMhwmANN F2Giuy53hak4s+j0YEYEADzYVLc/OJuG5U1bqgX2U5Irdj3tbmep1zIc5o4tg13afN2WPrUV8mQg tfoJ9addtja+fU37eBf0MZygfIarCFrvt8rRVtQmyzumq3XKwu+HpJhLW4AnFqjMGKuA7jOfCRHS 3kVwXy0945ooP8KVbUiClch6rXQwS0Sa4+Hy8MjLZT4WuagfvbQc57esyc/3GGOVCXkAVieVv1Si rlEl9Oz2jP7mSICL8ky3u3RXN+DTWLcfWb3g58JRqGtOGLAqTMEaE32wChycQ+uXFOEkAxDHEb4H guUUBBs0heGPhcC20pg9oxq1t2ZPSrWgkCVZDccnVUlTZyXkgwdKpngAyIAbKGs4PNjgoakBvkH0 nzoyAkpOVwaV+rBW4czQEOes0qCFqJ7cZZ7wRpajvHihzPWgC1liTrGBd5aNtveQDfYWH9xqaotB bX2aSPP5YEHvN4ctZ4PCajpBimDUeFuoG57tHhdSU2a7ulo96hy1GIq+bQs7aicGMcY7so4XQ4BA MHa6IHYclbhudxWtcUphffbL5LvsRmvIL6i5V9ftgjaYyUat44IKdOFy777rmdLAvenzvTOyrFKW Keh1zJ+zbK/2unW2IMhl4eB+NwoNNRtf4/5D/Gp4lNFXhPlFGEdLgOJ9bFRGoNXCXCNCf/WG7ol2 1ivk3PS+QxJEmIKvIGSuk1kTnvFJdNwZUPvD7gCEy4q4K5dlrT9q5dFwT79KmnbsR68EluBPUzZt UnEkDyT2dhqDPb5H/RfwX57wd9YzKjv6Y0z9SciWoz0xAecBdCSccdaoO7CzFdjrWaSo1Hqhpr9D NtWAgnqpWtijRc70RQKsJg4LBfCVOyd+lgmPlZOav0yQ+/vrXPJJmwxOAQuzP07jlna1+0m7p17M HMFOweyxq82k6alQE2l/bXer6CbDwcMmSXBrhPZGEvq0lMGK7MxacynX3IYfugp+iWBaBznaBWuC lYi0pmeiP5O/RRPYu7HYqLWXKYpeLIC92T8gYIjFNURQWfk2j2F02tx6oq3ogW3hNm6e/2V6CLA0 JQHLTEX2Rwki4dPBtMo1EDu8jWKdzYnfNDVdl4WN2HuSHrFGTfeuVoNbCpoLgPp5LfZpVN7lq8WD 0VdlCD7qz7Prs1auBYQPSDoVFxUKppsu+gcrpiAB/EimmsjPQstPTxn1OhZf6k1veR983/G1bseh 7t+yBscst94w4iDml9f1UOB6UPDvi6IQF2kOSJADfnLyg9VM6gI0gfMiLRMUW+L29HodTtYQpMsu vcNsPe1GraO/1hj+DYj+gzSHLKe0ZC9wpAaQl2bokb+P0GteIy8+6LDSbT5pvUDMLhzPsaVGJPpI jmUXAvrs8TcPl+/76MA+0QmnOr6+72BG9QdIHr1g86x0WeIXhD3IJ1+KDdE3 `protect end_protected
gpl-2.0
ec42bfc18c696a332196f2a9e8c2944e
0.928806
1.907723
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/mux_bus4.vhd
2
20,743
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SdoOPVDsGyWMKw8wWS5ItabN+qcRP+qqyMweC/XoM5P+SKvKMk/V3XiO7E1cKTOq1rTYv6B0dYMW TmLVnRRU/Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ew9Em4DZ4s8CO38SwJs4B4mtbmoGSiODUzxJdM+kKYGAJOeUxAsASaN1wqg16UtZWm3pMPLfG4pQ pyFnSxSwz9KW0SZhx+nXEanKO53CYw/Z7G1ICKhLskBKVGMaQdJfHCV6T7PI/trzxBe4BT0a+vxd XyTpBAMd72QJ2FTGQM4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RfFrVPMioRglSAb+2PBSQNA0sIg7iLQgLZ6U4e8ZHEJ3wU2M8JCvMfa8RMukX5YZyJ5vXi4y+6Tf PEyFNG3cf40dW/g+as/epmh0RwsnZ/OyTkKf0aXomo6JCf5Z4TZZOzs1Y0OhckezffRDoAjJVmO4 NSdL6J7L+9xB9yvYPGNkzQGrTwtzu3QtmGOH4eLqKFESVruNXuJQi9FOT6w21VGqm+aJ2dJy3RZe U9idEUUoDd8ax+pWhbPVJsgAH8mP2lV+Ifvbg1a60lh4z9b7pQLmQbm8TJb3YDrDSmkla9j6z4LU gqE2/vTZBnC9hi3XZ4cr+iw2atX8lwLX5k8edA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UBd5gRLUmMD4HxfYNorIkZuHiEotgdHvH5MQ3jpTaK7KWzne/HX8UJCu0X3a5hA0xRKnmYumygmB dq8aAMoMJKBbgYspkLsnm3nKsR88jnU2PkjpuZORrwtZIyXpNfJJcz8Whu8t1HTdS2M7atIeIiDI knMr4d3CEaxu8shAu64= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MrTEVynAbfR62I8inpMuR3pMqHD5sOyvHRSdfoiNse1zcondIe8C4+5ki8QUJViIL6CR1CdhPVp9 5CiMBzzFUoYivOe85XHTXfqg2zn8qSBmreZwNNK5THqKBDBwz9syNYFPtB3GEZzB/HUWnvyNa+8s 5EDhnGrEajRYjwvwEcXKDo+F1r1OKBuzMlAOXuK9n8DNOmrO9+lUaAkRIhXRQjpXBgn6BueGn1w7 QDCymBv4UQ2Pm4BzfaAYLTgwb+JCJovg/noH7HRTOb93q6l3qkKgPny4PU1xHE+gjejaMtz2BMku Ki24c5sZ4j5FdbeQELrF5zhnkJlwlINiuBNXhg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13616) `protect data_block P2TNpyvjDDcBqfrshoUk4zeCcl6K/dlh/FZrfCrGErV3hYPXYlzoi0tYlNp0c4pd06LKj0JhrpSi o8LEW9JKak2Hs18l+OBJPej3XIX/+k4yaoQbmQexBuKcuS29LygEO6scIgU+TEE5dmfUqyDI8NfJ Tm3iU79qAmY2VmRZN7V9ZrcKbinOdhhtA8VHZd6oekFLJG/fqc+2O1sD5wDoCAULtW9mcQ7mvE81 rMaXSOawV6uMSxoZq2SC/IjE5qHSTiz+jqrADQYA1G2NSaJLOe19wEQQ3TVTCE7479GuqWXNEqkK jJYNcjELx5SHtU9+bXOC8dD8LJ0OKnDvS9pFWSSWBUEZPCg4mfixeQpTZZW+xk+l2hvx7XSdzLJ2 bOF5I66FQ4VxH31+otQtOpycnNzqX2DmX+ePTuOAdtcAJwVC/Fvrr6+Z97NuaSe49QGqT7o9Kgan cHyNyxgNmj9S1D2DQcnccCofa6voV7yTiWAov9O4/tpSpewyP/Jhdmtk99BEBK0VroQ4EL925Wcc T4WEPjC6SuOCC3FwuHCqMQd9pUuoT1ShBeL2Lj9cRxf7kpPsUu34tTq5begC7RA6/ZkZzEB06YEG yUFEkv3+RRzk0ePsugQqkjZ+X+OBkx+N8/t6D9wrbzblz/d1QNg1pbVyESfwN3bUnAQKyVDhwFId oRIcqZJ6XXER9E1BeNmx52XClz2f3cPrEkGAt9z132QP17WvJA6lhTt2PvJU//Ex839lXXz6dNuQ OnW5reM3mrBLGIvMwHqyPWpKrStlJe6zsaka+RrP6p/yhQSV8MpajKWAM98LmYBGMcVYfFEJ/iO2 oVzxvxugw+gl3QvNV1HRuSW6cFWvuloOUPrur5J7jkKIdJk3SNOpRbIJYc22hP2CH5w2FaTls+uM 3Ppzz4Gf0e8L18axMIjrY8FS9kh5O5IbHshw9J3OgPdaesS99DSkX+IGtLshtQn+STMr1easgkf/ WrM/DKwhrErP5CIoSpKT4rRnuy9SmfV6M6W8w1stv2vZ75ae7gT3kfRfEyObFv+ET57oMU+z+biV 7uSzfx7Fi8DAs51Lf6o7Hryg+CubjDXmWjwk+xSeD9guB6AmYVaAYYQ8YEuG4iiWfuUdTDNFa7S/ Wgj/OYpusJmS9tTv5SrYKMqGJ5wzLzcjDJaRvYw432LGabGdgIa8C2I2O2Odf8NIUvZwWj/yJ/x1 ydwCaRpJ1Ce+TZZ1Hro6DSIqT4nXvXnkLk362aPvExnnfhnmsk5S58yxtv4LelRvF9cX4f9UGz4j V7OLa/c+45jmRXtW6fJMIUdjRp9gPBbgYOQLlVMG1UgrazocUP0Cjl7lePKQRNn8DxcoWenkXjhE YxF4GRFbQxxEdUPWEIB0um3+eY2T2X8rCf/cI41Fo2HJdEylvAxwE/imrK5DheMQr79oYpOe//MQ EPDvzsAc/Ik2yImeWaVqBU0/PpYYXd+B3CkiUEGr8d/t76HRVSFKic1B7KwORG+7qGlxg6eVVJ5O B2qGsvB4H7WDXgC5SnYeigrrsJEaoje3Xb0B9OICIbba7j/D1Kj/mVNkThmlZQmN7sSAMFMVTPI7 fN1FGmPEKETIxKNsrF5YNc+8rsfKPNzmVF2LUTocJOdaSJj4EqP5L//KJ/trLC0z4X8sJhJboc7x q7CZ5wUCLzR0OcVXIlmdeG8ohowCA6bOvY+zCaGoSDmW27A7prIXDx9RR9awMMn9WoCUBeMmfYQl Bo3BE8x3QMlYCMmIkRZlK91uOspHEK7Ot9O4btfHC5afVu2Dyzqv37HCyS2FXCi9bveLycgAS7Wk L8hKLogK1dO86SNr2bmTLdAj/MRe7wcRIR8hLkHl0jpa61+0rNZtsSARmcfBfjkgdAxz0yeEvSoA oaMQU5KuBsmJ7LUDuMnWyMWrZiosbyJGmWf3PSu/zmC/arJg4F+cfT/n+wt6KhMCBN55CKQ2XNmG R9+Wg00adgQflGdEqSIMOZvVQxi6GvcpNQQQzgS//AtVbL50lkEv1t2znrJIXtiBekdOZy3wk6d6 GLmAlSMWOYAa2t1WCv/XfivGv4cKcb1Mo63G4dzeQRqgJ8TeL9nM4L4bZqKPhx/mgkG3uhOEgPTV j11qerf5YvUU82j0k0dyGOou7pxEZ3FbAh/JZv7x8Yk4Xlc6G9wh3WLIw0cgu1tOzh1ffqEvr/50 FvfqRGE7if86zmpFGQImGJ2UPZLQDmq5mxvzQ75ey0OLFoBEfpAO0Vze1BRW3p/qRLCWmzIRyPZa jvF2A9iFhwG3/Mqf2f8pPFZhoauuZQg2cMCXod+r+7shHu6h9exIPQuXxbgQ9iQJ+4FBFserjoMD QLDceMK2KNYb1JgMd8eY1ib3i9j10DNwx6R9zCGLTMgRym3F/Hz5ujqFF4SQk/OcFVsijquYhVHm YHk7iXh4vyS01dTXxwKD2RZ+yY39F4C3xI/rXMRdbWBLT5OJbxWrAmtgwwA0CMV227SHASRU6Pom HFFZPY9PJ4iMZ4+ozeSRmxOEGcuutcA0ZxY2b5LfT+uYwuRB7wQ57YjPapcRRCnQ7O8Wm1bgDRLb FE8vlZCU1ouFV62ewFo9l9BB4n3n3ZRFfmO8IeTKYiy3irHDhVjfad5o6BD+vMZq9Lvy7J23bZTv K0PT40zX+0EpS6yBAjNVqsqb2tjUBeTjyBN8LC3qXXcyFfDRZ7oJUrE1qHgZu258aVFDoa3VWcLB QX923oLvVrSxl1asGArr3hp+3xCxi/zfMWW4Td20l3dRSZwXm4jf4l49dj8dwXZA+I3mTKx2S76Q Eyw9bz0b5BbwuRhrshSrvvd9/g5xYN+RGljAT0mNRRG/1wk/wSsSHNlJRAbto7HQu3QjCCcgQR0z 9H7HUltQ0zb4d+pzvMT06S9IqSI7DIzfm53Wjz6bVR+vYlk92cLD/2c+QWKkU9OqahulD3uwdlB2 RXjWOHJs7hV70TPbQmxCgykw3p+pvQRQAvYQZzQsFOQc1cjcxrZb5omzZeoVNelYGbEMc9LZEybQ kR1lU3d0lWwUHr1pEcsYAaTBlouNzWGY0p4T4rgfCGEsCt2ngbEgvYGKyBOeElEDEh4IDp6WsG2s DLGIvmZnWyFVu/c7XcAsvHdq9hRhda5Au1g5XLAJ5V0lroDvK1brp9CKKC6ZkZk8tEEwvKEv4+aN tkAQ95XT9WgmdFTi1xnGB7Uni9mub12NFHlaCRsmmjYqIEHCWv/3g3UDveZ2QcFlK40ToQn6MeyX mSU+99vyxTJ0r0q/dqTqQWC1RBNayAqCgz2AJifSehaukFFBTEQeZx2FyNQAzwa3iTwzc7j8gMIT BTdqm2WsXW5pHvh2ahSEhRpCnxCLJKrf1KynlohlnSWmvwGe/AoAeWsCsjQzoRK/arG4yIudmEfR yIEEbEkqPLWqA2Yf2+cebIpcLjnjgXyNeWG8b9f3+vrhJb/rs/WctiEQLiG1Jq63myHpqVM/EN/j uTgVCc/K2NVOiey5iqXSw7PBbnWeV7CdxbCl4n32c5WUam4KA15IT1E6FgNyQl4Qk7Z7/bc3tFt1 1UcMgi0u0zH1DQJkBh4RmRjMbGRQTZ0Z1QcxhEq44kv1tnwjUeCub831AMJwMcByFAyc1MI+ABtR 3QxtBH+rpa6sINvoaxRm/UG1A8oIvAYltkd+9SOQ/sFBsA/ciiQzJCQbMykrZsFagZHycGI0rMyJ o/DGOf2HmXkTBv4RtYv2T+SA1WKnJvGcguMQrTe3dhmK+O+YZgx0ZnWuYYuR+0n3E21dhuzlDGqy IThRMxmMEHznr4b8lh9PubXz6S0kJRiApaBq6kKqNv+pHe8Tig/2h5cyr5CAluWYhiMp64IaTU4X 8hvw7ZcKDhkWeYL1W9vxnuTUt2ymmEe7vOazW0E6qf3im2gCcaEKx7+ahjRUaoqxDh+iJekQFneW M19cNvlYBB9gkiEvWKPbICF6/tK1wZH/iOKjzLZDHB4363muIJomte97zRDS20ZKW6lkFFJxtQyr QC9ELE52o2Obs4bI8LeeRDaIRIXTPJ2+PR1dGjf6/FWfiKlMwI2bxDL+tKXwqR6EpXqgUWoE7nCN 463EUp7csCKF+YgvZCsMR74DpZhKLuKos/rDeufub4nSai0r3Vi+L0uZhht4tKJagtJWMV6I/vhs ztEJd755exzBGfrEzJYVV3zwRBdk67et3urPnb7upODpzlz2zv5UJJUj18x52ZiSQ03jIc9H1Ms5 k2OXqa6juCjmcJsHtwqIqGvOyqQ+zbSFboasGgg/zv06GMHknz5ILej05wfIgh1aFxR9vgSwLvKx ot08Fk9oQvlzO/46o0MYuZsDd3TUUsba8QD8UH/C7bMsOt7qkVycJJ47fAINUPzlPyPWHynDmn2d 6HRldzBMbyaKvKiiy3nD3U58bAT6+gZfuJghHpt273Fvx8z9batX+hDjBRBVaHSXh/RTu9tz9nrJ q+u+B4YxjXw8BnABO3+czXN5WRCClFLZrhs11TdWnl8gVx1Zp5aNlxX3tTjbH3So/6UBmZdIBqXO rMdiWzIV/OJuVmhU2gDIpc8lZwLOCiz/jzMDPwAOVr0rxWqowW31Q2N1lUn8MGqP87rLAgQR5w5G 8jWiP/tWNwQZVhwkzmzdZ/I2e2uZbwGgjrDlLg8I/3dujLukxwuo92jmXSZGQ486/lBhwWVKaQou l7CU8ocJZBuhXIivs+Qxt9BwKmYHBTtGz1V7ul1BJKKLE4kjZ/sSp8knIi++FFW7rWuYV7w3jFSm PKr7A+SYs7sc9/X2PXh8Kwu86L6mIzzzEELS1fqEqXsiAkSCnv0ccTigRcA1bEBJRW8akAG5+ope JnElTM0yXqfBIJ/iAXjJD3rR3PrFa2ST9BW6xdZ+OgI08kucwONcSrYH0ZaEGTRRO/xDxlaFsgdm n3Bis5COpCvYnXjtkxhxIHTrTfaRyC2yXRTxUwmdX5tJiqxEW/XJgLrV8Sd4TEWwmb3l5315SsWm KC9Zp2RvAYFaHgcV+6HE5YJDkVawbzQZ1hyDqHNfKaGHXCWKm08qC+yzsscXOEL3o9nGSOzRpa06 MhkdEWr/PPrQ+YmTqX53iQoHBA9cgBWEmWN6CrwpLKavVbe9hUxxq+toWUzE03aWRVuUvP30YHEl 8ECOyRnOTJK187DX/PrW9b/frLQkBdSFic7w2HMZ861Jk37qXHKpFJenOG05sH1qCUOrkZ/ezGiK 1LAIw9bBh9SmaCDEZM09qmFA2CkiCCCYUOQFVana92pYzW8nfrAiMkK9sEcAiKWHwPNzS0ws/OWg e9xq3TREnyR8AvIIuQW1HCcQW+HBzMxK3m6lD0Te97crzvYWqXNRQ+SSFMUeeYL5Gq82kQ8qIJso qLDTPLpMlJywlhaDkLeTjEZ5WH1jC0MqDsVcxUJtq1Sypy2OhkFue3M/UtmfH85hGN44Jj9T9iYP Olio9dVykGXHVxTPZ4bJMFHiQ1DhznYdPLhXozgm1ob/Vf3l4DZFpQA5wqCJgDWYZVppGsA+U19E 4IvLqkHe+Kke6XtRiV8yYGTxYpBVP6KimWjJnPx+4TCvmipkPUw6GPU4JI7xwz0/ccXxpUYMLpRo dPcJECvY4a/uHtVzFT49fHYY2Vk3pZhgL2DtCJBbjRdC0vmeniK98DfBD2wi+DAPMbhm0Mzyx7Qq cpbXVMm5y5isQrMe7DvgWvvmmQbPi/N09iGbu79pXX1Ou42lUOE+1gVF0hN+iawqpuAfrkjY2HXZ 7PqO6XWqsf+UowhxzwO7+9tOg+toS/HaF68I+QobA3bJ1ysZIgF4qYX02Rwj5fq37lDfnF3kEGIG MgriA07raSi4BwVWg+dGWRCaL8ha4nGHCp7tPbBTD9fvsMOJ5x7SQoMgGBcSYhnNoftec5cNpBvQ dTDoitKph9k3L0tolW85ZHIuAmFxifmkn8k3eMQr+t8Nsqup4FR5Fb/xWEwRoKVMwbO+3fosg3qA 2idY1rGxUKAwFvapYxEe63iS61PJrlxyA1ADboZENamJd80mBBoDBVBZPgVzB4fZvawD3+d48X+z y6Jb+fg0KPy7HocenXorxcrXa//2dFbSWQ7m/FMK9LoTWV7+EqK9Rn4W8PRnwb6qYmopCHQV2/yy seH28pQRo8E7GxJK7JLR12CpEBValMXVQNRyrxqwkNIgauxMsIXefu8kaI/jSvnGpRAR9iPRDgUN ERpsgaok1VrH7sCBNzcsepPY4CB7B+mpplPM8BMvb063UuMl6sPNXq+M5tUeLCmamkz+HtRse83B G22tkC2n/6DRdwMtQFb9dBFxoYjROT8o7bbw8F7m03++NekdF+J7biPif7jeIZaVP5LnINR3Fe1G uI3w40R145Vfadf/tyfJjZczXreqjMncJd/eZWbdbf4q4W9/HmfcgcO+AkssE1/MYwsF6YXQmpuC 12Xdt+lfOonpmZv/Ooj8yk46EKV2SREPi8fMQQlcSWL/V9/UTI9TBhvuapfY2igeemsUthDq8vZP 0EsTmk7vhhdXIbwe1fr5lhjBPMtMii0+3/hg1b6TOWho8SLBWrmx04oOF7gxWZs1HhOwhunj59// T35v+u0XGYkoWFsOYkwMaP0CJ/70AlPpRHU1MiH8ucS1j2hAW83B5r/lr11RJ2OlZQThjXXot9x3 uicAyNzlKs3ojPCEzxqGRJ2dIynBHVcz/arf+fKb7rrFsTJ82n28mn/YIeqCWZLQWZCvnGpxGjfp 41PSSzPtATnuQ4GZJTfcKRniJ6uz/kLLmSMt+i2fmiuovsnxnGqPcXEI2tDCh8B3efFIl9LQZtNt c7+VF+N9BYtUJrTFSzWxwLvTZcXYPexBlltWZMjKuQZZeLI1dtyCrXsu9WAsVk7gSgT6rw9zCctE H0gycXRZqjNFC2RGJROuuEn7QO6H1MjaJGXQSO96MiW5MRPv7N4BhdaZVt1GhEah8admw39mPiv4 ReQytsc6uPkW0tps2otDgGsfS78yLNguOeax/NbtVvHV4kmgaO01RGjbh4Oheqf1e8HhVOCbn3eQ HZL4yRF49xLlmtk0XoBs04CmupXoRvm8e8AwXJ4kyn5UfhL8kASh6GjtyvpTw4V4wTL3hRneqUaN HIji5S2zMH8/s8S9GYRafPPskf1dgE+sxhnSkR1f+5MyW1vDe3Mgbimg16gOk3ZX9WDe5Lz93qwP OC/a1nE+cC4EBR0V+jJI4OS2tTris2vBcWdUPdTb8yNA3Bfq6PDuiKpWWBqLyARy9EHm1aDGaKgS MNmuMh9ISW5YojYfvJn9OPHG2ETf+8kjtKdkP0Pxehi9QmWXJEtMGoadvTHhIOCCLf0o1geuJiI8 n42aFnhnwWqQWh0k4UHq52vBue5BQV4wcuWfKlJsCkKSfwsxQI314Jsgm9b5wchizP0sXlLQq8AJ mf67xQEewyH/EHME8jrjv7REZbbw6sPz635q4EYgOqfGfAnZ6XIFRfl3cEQ6uRFTmWwup8nF6evF KU8VGomjmjeLmESN9p3drIb5AESgdXeoKpQWK3pp2GPqraT6vUIYLEJW+Y3dxocwyegIt71P37wc sasTcq0y8CxivwtGWHCGMgK7KFQVrHe6q9kp3j6dkatqoe8xIQ2c2lR5HuIfrg+NJ6/JPZ4FPA2e pJ754d7yNZBkKXcosfo0lUaCKCU9pD1xuVQIUtG6Vipip71SLVIP+zNr/4qP/3NUW9PeMn9PzBJQ v2OpwUg3sMep9BhPSJasZUMC9kumPRjYA+mO9OQZmZ1dWOcpkSTmu1VZGIoIQUMhJYMrelpemjkh NwmA0fas9SWDIfjzevIvS59riaDut9C5wjEg5M1fXzp4ixts9uWQJBTNkrDcw2YNmbxJcS0vIxgX TK2Qd1rCBf0o4+bFfoFWNw0NoXV//oUi0SHvmkJPGV15xFfMw5LgpH+ColZNpKdm9Q4A0IWMoJ9q s6Z3bpbUb3G9+xtEolMWCUpXN+99UlGTMguwhwHPH4Dn0jNW/WK0EG7zxe3ToCoAlWjEDcc2Vcab wJaBBUadPGdRgjXDWD3Dr/cMbTmO5QzP6eYLZvimslW0LrBzTTDQeU2V3XYq2WBpflmWMPjrbBO4 UTjbZGuDgwED5mFyeyiEc00DHSdbxtpS9Zt9iY8f258bX/uatAj/CUJHBmiyAvSFu+aYVCsjFYun SkPlH/Nenpt+uOptnwjxOG07PKWL3i2nRvTL1xa61D76nzgw2U7bVkzg/M1y6HOK1CShFrMlXh/w 6hPoPOCFf7UlfuTxbcGB4BZBWKbAYcinq5F5f69SJAAIltoGRE9xCVVRdVu5cKCyTswaffc6F6KE 8tXj6WMjST2W2TZoGEjVMmwTF+IfGcs2VaVKfunCqRUZPDXjTrvT56rfr9Dm3uawm4A+u0/k5FZG eW6Eh8VM8n5Qf2BdT+nTOxcwdWsMZdQaUPLNFBuJgyno12i0Q/3lQhAFdYVk6hP54aQPlcBuYhGI FCT+qBRP43Z2bWKBteoc6Ijr9JT+jXJvJGyFR52Qj/AjW215xiJykqspq6y71xZ+4uf6H769E0xv iHmmgxtgCmrkPkeJNBq96oHVCNAI1NtCxrSEtdPD04/qqi48YfuWCi491vcoJ+L0R7Bd4rEUqiiQ nVGOnnKxPhw1BbU20DcuBPQMEyfpgsfy4ePkh0N9oThPWTsvIrCcS0yC9fQSOA4xyYEEKBJjeUJH U0Fzlv0ty+B7fIt1jUmG6Rp9Oz2eG8t5gN3RLVF7fbox3TKXBFoq1Y/nBI7pWDT2xJL0ngV+aIT9 36+7rMLGvzUrnLcCJ1lBStpCJmBFuWM6msFwj0ppLW5E9b0LL3aYIgqpBc+zFzMjH/CaRKZloqat W/nD33T3G+KhCztyJzo5j6o4lPijFuezIaDlvnevtuNQ8ardZ825FePQcb63qV5vC4zdEVNNldZM DlgEaDOBntHXbDjQX1FYr2VcYZRVS1tBs315cg3LgYD5sguMmXtX/1NcZgRV9r2OoqxrCGijMxRi Fx+afb8us4mqUH5H4wbBaoBaIZ6VKRSw+lfi0XdganSxiY1gZKG25xS89Xp4/K37UDCMzKeEDaNH Y8VcEzNuHbl48zv5eU1NhSdDGS5eaa7E9vtpxu/x45QEq5XoMxZHx9e3vNS9qyZHFK7ki8lyEmb3 O/nSddqD8+1ffHm9a+nff0c4q6BuyakGuOZbK8/abDtRSrcYOiX1EZ2PjOXyE9AOZd1NAUu1LCcz K7BHfPhg7LwRLLydnBRynYXMRUzuVK2u05Ux/aDineqUm+s1dQLQ3CZnDUJxdb5LlJMieOdzOkTJ 447lQktARe23W4U/8AeguU/xwKxRYU1hkztZNEwTcGm4Kb8JrnFNzyC3EOKLFw+r2xC4kg1GKtNM cQbQHEXfHL9DWgMnrwdqQ5eaVBJzspjN6YPjgfRIOyIuPFzIz6LcUbzqCvgA4U4wEdg+omc94dMp /m3pMqYgYIZ+Q3BJaY1nyXr2ikE9KvpwwnJy5icMEXXJBcg/SLBHD6oEn7B5bHo+eaL65hM/oLUP hR1OhKrEMWc0wa3Bs3uew+bJNU1aR8mHOXvLbnlcO7iKqoBReWCSDtTb5zXLQtIPxICil/qlERot 4QTNDJSv5bOnM4EEoBTjzhePxqG3L2gI2ukGDpCgAkqC5LmPWM0qGkYdEpLpqdhX9wYPFyjexo6U JAOrqzM5YOVS5Qk/oDGKb9Wzdz0qWJWbrWQQvOPqGLrsb0VbqaxOQgkoXvTcuFafkoYSUCv0yrKZ NNFVNXRigdqCFWqXM2Ua1wNO5QGbfM+U9/67Xb/gE1eLpnd3E2RgRw79Mojrjz2EL/m7hljG/54w xtSgZFK4hX4+toD2UYeAqJiorhjyGAd8wYESd7gVkmd3N01xgnPzrXlH9iBuC0F1cWQ7PinI1SDw 13z+wUX5fcl42EmIT0CPtCeD2/TUF0xg99KQqThCN3KIwlpGfFGmErcCRjbg57rEdlvx6ErAkTuC Lp7+0sSjvAz0g8a0PaouT46Q8UFpVM2dc9hry1jm0ejSeodZqATizfa9VDpFg8qiWbH+s1AqgCEY dF12vr/LeIbjhLkKUhU5CcPWDBNhsPHqK+433fuzadGEmbIFaMT8LTXOTaVkqfcfmBeMCv7e4upF s51WWlQdQQ30M3MvqC+hPiGveiSa41vakKXS/slHT+BCrVMCQEPZ1gR/ksyAScsI0QaIkINtfs3O XaPwKDfGvpj1ywy3XD9IWjK8us7843eee01uv817FGdRVxq/68FKXWi6mP1q39Pqa4nywHE+6vog G/oTxNYMD4dS8tISnQscEl+wygN+g3dWS2sxK7zKh2Vii+r6ASTYj0S4ycSJ95K8PFPfT4ZdvHAA CgttIu1pfP9duv6hSkfontAKDNyr8jrPLKeklyFS6dobDux4kkp+7Lp01NS8OFzfiOnoSU/P6NVm FO7Z+JId9D4bbDhYhr7Je9V4ALn/oRjJ4WysZ0ZM2g5IX0/WvN0up5sc2lw0q7NfBbpwzhCXufEB azpZ2Si/xvgldydn9peyXgsueyJlPt+dq2YNYhdGrJ7xEtc7wVwHiM0K+lwU2Q0nLm6JU72/7YzK 5IRwlJWVqpFOeDGJqr7Z9UMqo0rikwLLygKJFM5royzMkmDizbD7dbyuuRj8tM6DE8cRjZ4DiOKT 5inKCDJqqNKFf9PprRE616BCOrtVIp+lU4WzYwursfCRav2HafPh0yZNsZVVDd83/sxOcOoagyUw uvDj9PV1Q3rmiSyKC2rIzVhjXg+wyaTJdZiJG+HrsAyeO/PUdYmZ+OTrnkbE+pLCBvEGAJL9ezXP iwge1y4QVAHdD97w/b+wMhW8PvRIu38aeYzEmW+MkNRVnHtnDzmuxjNEM8Pii2OxeR3kAXKAWdPS b0yjjXyE4YtLaAqImZoyYNImLFuPAvA0f1bdD77wXwX+/AtodX2FDmvajpDkLF1u7a65WmjTApax BdP0ycBcOlk/N7+SZbFwzfGmbRwQ4ZDQ/U89/IGPYvJdFjLo8AYiJ1saB8iHpOHEY5AvvSQT9vs7 V+7ZOYQ6exuIr5nzdJy+4jZIuHxZnm9TDHwk/P9TSiv9K2Jb9+UTXSb2d9lPZPjxT7mRj4cke0Or i9BI50ariPfbisgCcUXHhiV4gSzi5eo64TC935FFzsgxA7cobvxou/pfTkgdazRuXel5uvsSZ0pf it3XbaqdKQ2ikzAwGIoYEB7jB3s/pAjMBXSh2Rdm4SaN3AtisR1lGoGi62uQOTsb/Li3hShl34WX s0+AfFz72s+GXPBWvG5JraifA832LARix3WcTtCZ7MR+r6IfTdEepd1CwnanKVVXsPAluVQy94s7 1jtKEfac6wDysoa87FS+J8t+ARRdz9HB98yHy1WeNHxgwXRFmhJQJDqUH0LF+Bqom8rPaEBqJLpN uNF/eHLSJWS/zCBqXEvNhUa4J8ZAktWg8r3aPICyDOXqftlPrF2Onez0sc4CQb1xbD5mmHqLP1o1 rnGzQ1+OPmxKbZOLZ6NlVNdx5MuuGp/0sFDz8C6HRdssPNEUWvTj/Fm15P6Dw57sqL/zDY8UWeDS NnDn+2JhPr4oaLilZvMt+6zVj5txQx0Dg2eG/yJLUfOdj8aEQ6nCOhChKNf/pvG+Fr18lEHdDcRj 4d8PPiE76u/8qI1CKlkzK1ivio2WCbub+uIw3CQtCkp/QafNybpwKePr4eoytR0QljjU/muDUrSM cpDZpBEJzWmTInifin454XdIe2ZEGiJfWe53XvqsVTqCMdYtJcvnIjJge3BC7mhR25hwIlKCWO+r XGFKKdeRVG3dcGmDyfVYDx/JWpMgVqpVkGvSVeg5iZbUfHk1C89XW8Rz97kfBnbkqvyJilBhHDzO 4IJd/q9QzRkG5XpKhmisGCbXeaEPnTXqwPij1RcAzTiUlzXvG6sB3HLE/VDWguE8rOJjBKPdXfx9 +wxTAed7pvH+dl80xJgXoxLwPA2CQw94NnRK8HF+Wk+6hyZ2DbipODZEL+Go9t134xLZd6e4DlTr 3Ts+Iw31E6ud9GHk2fd8UZvqg/wjQWo3UckyKa/rgoU0DWXXIChgUUje1PbKEJ99zP+yR0J/H9yU TT8cSVBVA6bO/PArM+0iLZvmvmUgW8wMoqZAAEOi+CiY62kmHkO5ReO/zENT5TOl1ZNDHCi4m2KE zBe781Xm4KlG7BgVDLc/NYySphz6MpV61rM6vG9CqRPQfHIk8G4b88AEkWZXPoPKFKRS6W8Hgiyu 4WvJL/Zh2bM4gFmORdOUIAf8Q8JAqWOq9LJLhE3CZDhs+aC3OlB4TCFLhyoWGUb5/SXlGGzxUnN8 blAae2HJ2hCc5SxiKRZGbbeyyCFb+h3uSAr1/Jb8k1VkE2Oc4YYbEGk22N5gj1gc+N8sKlCKISIZ hT0Py8m5qgqf+da+Wzz8701MyGcuYhMFV1JjQcra7MgYuyKG+1ICEHmPRZOSBOnSHUbMWYaripWU 28HXbgcSYBePkwSSFgxGid+qq9ArDIm2RSPozfpXS4Ny2W6fTSE/jhdfY+mfEQSNZxHiPDbfCIjq jrCJTo6MIegYjAlIWjnmh+UqsrJSWTaYx51tJaOeGesh2ePpZkeAjuBPOpEyPNlZKpOYuWUDdt/W A+NMRsPGE6Zk7T1t6l1//PS2XLqy9mcmfScAq63iQuGL8W8N/Qte5jsh8ODuAAkmnHzopD7EwMfj emzY/JCstBM3AMutX2FTdgtcsFsd2zmkSwu0uX4/aQlXCK5TYWY8Iyti45lSzS4GKgo0xq5S5vdX /aQVfoncJE0FqjHHmy4YzCCm+w75i2kiK/vC0XR4+stKVvupxMXk5WkpCkikVirQrMfnQAP57dXB R+S7BUJZVm4+vj6ZfsTkVieER/r3Sa6NgFU1JXH0EEBqvNErUzSMJhzWZq4z1iJlCg2T2Z4r8Fk4 bW++3cvXvkxytBu3AbRNz3zyQZSM4+QsMoSBK2DjNDPL0xFL5MK6Uienju3C2w8wJ/F95adc7Bok fmAjo/vMzVpBuM48RhYn8rnC07J0T7+1U2gVBZtdm3S7+phkoiPuuIs/PjSynMgr7SvsoRjpjIe/ IPg1R8zva9Cq9rUf/gsm1TBK+FtgvjHQub9vNbqM/n0DvbHMCSV0iB9ws0JzfghyNTODBkOQqCkj GBi6zuB/C4g+4uEgkLdpjzli1uzNj78xsUsBISLvwgLvSpGW1f0+NT4fHYyOcjs/CRj55uLOkhk2 hglxeiuN6sQgKThCbzEXawDCr4M9lW9pbts+98aeCE4Vl50xxQcGrjWX1MGLdJdO0paWvgxaxPiO 5nqiOkeGq2OrQ6oW2M9B3WTtWqX7bF5DD+wckwzR08jVXNd03eKPxGv/G1CXjUNOV5iG5PxYhwdV rg946fbMIX3WEetHV2TsOVEELqCZ9CJjoNvRFZd4Ts9/Tt4zwfUtW7KPTcT2F9//N5bNpVYUAQ7O sqdFPSUbPg7JoC4V9tfCGKRaNNZFTEhV7kEOuWwzA+lGuC4b5meW4oRUUlsnOBuJdCBuZnjJBwFM ypYcvfJpjXG2EEY1D4fvafeCbnVDx4HLeTVFeLiSNrs7O1tFIx+JTN0r+g6A1xqFOhMSgI0Dsqln KwuyOCW2UCUwzopbRXmToahGE/UXR+2lZLnMl9p3j6Mo39Nt9CFKIL9eDEMuWkhTnczzY27/fArK ECGBP06vrtzCFti9yk2XUNiq51ehGj6U/9zE1odcHc1Kqn9Ul1JEf37kTjb89TBstC+f86O3Q0NA tKlSKQrMY2DA5gTWlLvqphdWIamETfXVkIv5VQgf7Zbx3B9UUIyT+Gvm6Et1fKyaXR9KR7F0QFYP Olt9yq5Z70feLVQWDwQ6BqmLBbd/YqhjwsDCW0v5r/AspfrthSEaSadpbCLXxIFVD2VMU9JFr3uS FNZl4ZUesa/EZs0SX5FU0MMZlju6ISPAMAtliFwvSgZ1P9AkMbeCjEUvQ69mmH71sJx1eyJkzxA9 fn9YOvbJ9DEXLjSjA49Lko9SypGJsd2NITBksqoUQ7h4zSz2UNwRF+X1gSX080VigvELvCK3UwW2 grNsdOG5f+kXTLQZuXM60lbmyL+DtO8iYFq8nMQ6k0F513GvQm6Ki2CQj418evC/Jh16UT5GLHta Z3WeGN/iROONtbLuLrSOpS81p/osiAx8mH+4KxsFx3wAN5No4kAtZBbexZ/dqg41jvQHCOB5HGVM N+VPDbkG3aIOxGzc+KnOcYM+ZAKk9/P/GGUvRTyGBVFu4QC/DKTE1lwB2mo8Ii6nd+Xe0SGJIjqN F7ezFkxO8bowNvDlWcriVEkqp356GxArXO5nqMu2x5CqqekdAgB4XNgErWSfpYpcPkmNJYAY7wm0 dWP17qMWmK+9cEtSVWnSk/qiy7JHLMX2ahJ584OO/lyyJ+bb09FwjgCuCn1ptUE5d8tvTdr8DwN5 GrPKPIXlaafHDXDMkKb5mpr0DE1oF213HbzMNH3+6/pM36jU0b5Z0I6cXE6hx+Ka+92drsrASp5y q4S4XcMGWLl0rWtR082+yTGZ/LXfhBZgUJVgsvo0faYlx4KtG7ulZ+o4t4M7OMENb28ajXcZrZPt ZoLy3HsXCgt1r05Kx4Bb9BAfj16vdbbaUFKvEHwUVoBW2dXXsX5vc/DWfbxKFw44wa/75vM1fDDh s424xIElspjozKsQbpG36WFFBQIhzPO3bqCqRtfQ+tmX2rHoF1on5A2Dsc0z/5CSuzBUuI1OXy2b 7FNx4HVZRkGkzfMJ8r+aznifjWQ5gX3taONMRXiRvQhYr07PwFqrQwyrHVHcNWQKNGLQiZKkrNQc WGuyQnrPULlFW+yAKKKn/v4fZOAdNTSkLhrBjyaoDUWUbcXirdlMrPXTpKi0l7ExphZxy05QglRP i8zrW+MCxk9pzrdSeCZBtswpI0jvcvt+w4BeN5aoh4RfUdYlIawP3jyCnGuQejSNcBNTGsZATWtH q7SEzhm//oTWhtfnEWo/toWhm5Q+mu9GOa4Oi25XlQ7v0Xml2t7npeauUQHJkmJbw/r4Mb88UPlc 5hvGVf0f08oavx4zW9YeLuS57fFh1u5ckEOSL93EsVXmas8L1P4a9aF599wsOrscZl3+kBCInaS0 K7qCQTA2eHkO0xdJvxPpfspJ+/t+g/2bn6kw/MBGacbZrEgCZm7PZ08n2RMDuwZeMTU9TXe54xjw skjMfdaWgken2u0ZjMXMKidI0fLzhQ9HQ4NOLAOK+NcV9Z2l3b06K5p8YTNhsxxPV61TEyY8xtww 3+7pd7sWD+mFAAtK2A5GzMPo5DbVj3ROm4YbcmGPsh3NkdtTrxhG8e5pQh/PpPeQR5kjfPd9+nRV 31sb6hnC/7IHAfn7xhkcuPUvyn5wtjrEl1aS0LE0YVy1XtQyiDT3GvpHMEti75DdYwiUyuIUA1Pv GRjlupv+j9cM5UB5uhrYa/QQPm3uUltC5H9O0Dq5XgP+Q0PQ0/pVS55+aYYbc94PjvquRdgMArpw Bkq9MVHxvXBqJgDmdBf8h6PwHQjoxWxjx3J7P3kfA5OePbvH7IPBCiPE325XF7d2arL5gyD1vLHm B0MA9zfLF1ag4bIrZbEQ7AvdgKKZ+Ny3GvE5UMxUovmV4uciaFXMkJ1YA0JWZLeojgoLOKRZJdJQ uBlUwiInZDZRzkDK9u5dDCbrwrb8ytyN7nDrqtp/Vkj6mS5yJQWspWFtOArjCLT6dvC0yVdbr3D0 S3GkHh1pCaHbhiEgZsjLWWQMXtQrONAmwCWkC/pIt4FGowTSwno0YfNe2df324QcdtjlFtF1rDIr qKgXfG30tGoyX559qPpJtY4HHoeal2SEvuts/kPWetdY93VT9Xr/6snJexoqme2ISGCFyeLQVfLS kzG0bCgN/myHRvh60fZq5wdnwZq12z7mwRMEXvVb80HwqWJR/k0MhXDr/RsayxgBWaH94cUrLVf+ vQeu9QRikkdiY8+Lf61D11srarigwsJgQK4YSMpBSbEOZZ5n9NUshlzMVaclIgpq59cTRUW1FdGz pcL1Yg9mggcdpDuaZ8GVbfKSYRiG9efgNlRNmfupPw2bt4BWpoWbFiAfzSibNFOKewfDy9HyyJno 4wQGvzpFJNpz1ja66t9jsJtT7RbI2R8m4uBT+FRNYxOjsES8aNPXp235GbNhLoAiijbkzAAjtNBW Zh0cDUTnDZMXwjUoibVhHDHF5YEta8GFXKHu1j+zFwF+9BApBImq1yV9mASm9ygBkVLMvg+mVzWB LYTjRvhQJ8ymZEMOwBscFT8bCaHBk3haV4jGYP5nYsiFnJRg5FZTIQyCndwxI8m9eC7EnhW18tho nCqhZRETyGFa1YRde/hJOgWaodmfjhZIxspPv6JNM4sxfSjyxg3H6WLRJ1Pp/NbVcoXTkEDGv39q IEDC5PdM1GXYaIQiga8esOzN7Ul5ra5fsKrjvQo+u7S6S8vtNEHovVXB30cvPk6oJskWQHh+TqyL iVU8FKqzLFk6EM0mYbqwRXW7EujEvxpDRxXiYwLItAiqKbMlmFKIkl7VMQJIePVgLqLMvbaItpus LQ9Zg1r1Hsy/98NF3Ta4l3cycClVhqiNE+9aa/FQn7nVtFsarke1slToKoA7vvbKF4V5eyKaISRw te57o1/uOsTb5pl+MvQoHUa8ClS7vp1ZtvB6zvRqCgS6gNoz+N6qQZ/Hm/st5IS3kh8bejw7utd+ m07iqTgyI8jwUFhDmalb6/VQzzp+LBHh5LsLL+hRpt+NyKPkeuhq4tFxDIq7PCA5vH+gfDkqAeNo LghXKeTNMkHIcUFU3LUHZ6hBOVdKCbreviZW1CSREjZJ/VbFV57E5j7LklNf5k/FHXRgFEOxAakl a7IwwG3BnuU9emYgPEIgk7OYh//nkDCd6T2mTn30RwAlx8xwkyw0UhJaUIQX6+uI9QvX1Ava91eA Spx5L6QG8uDnz1LaybdRCSDkznRBciBL8BqZcZd36Ap4YGLRUP0kIt6sL1zMhr4el7fx4FM1Rf7G PSJ5I1TgVIIZ0EBbfDJtldhQcc/7wZRhy7ZDDEpxJwHxl6kofoY33JUu2zp+zHMGZUzqv4lfOIc2 mhkAqAmb+lPXteog1+ZyOK9Kx5QKyzmwadlJgalyYQsiNVdGh4pW9Sfj6vNDV+WHI2QSeJYuwXBv ZRYC0mP6fXiP2I9wSI6mBOdyqFG6OxnIU0WsdbE55lIIT34ESqXP9lEX+PV+OvLsStd5HWOFZhHg BZTqLG4jMhQq2eCfEqDh8f9c00jB5g5Gf8Eqt9stqZgnkqRkLJsvViH/PqFTRgKyXGSi2NKC+Eom cPT7IHYOlAqfVBw6N3QvmCq4kXc5Z95o9+XiY+2/DOZjWfDdYitgeJk77tTtGLxzY1mNBN8fG1qi WvaCuU/gaWv7VHK01i5KZZD3iZnzLMwg4YLRf74ZfdNnVC289Co01UBjJx/ndEZ4bsa2z4ndTGi6 cF9wSGojEgPqAIphsHXNQwZV2VkTVSClDpw8fEmlsX8u6simcqe9kQhiTedEzCEr3+jOPVGEaVjq lPFy8vtzkR78qgyy4/LQGwe3+RF1Fpd0dYoY9ldwzOhlwc5Fdd3Qcs2Qdt4ULXA3oAvsOaXg4TDV e1HZyvdNHe2TPwBzn7I9PmXwnsL7i6UOSEI6LISfR3mb4FwwMhHyMXpFaciuGsC/Sz01bJbF+cx7 eWmjZDI5ViaZ9Ks7U4dTJgWCw+JRMmMzM7d+qySvVDyhsQDd41F/BcdPAyH0u0DdoVRiQaeh3SHO JJcUgU4djT5c8sHFdyzjM0tzjfecs8LhgnHb1rAB/oJStZcdWFOq6U12Fr/hpfnnIEPbbghmv6RG dyy/UI1F2wyaaHJGGTbFW+IEIbsKH0zXlRUJ7HJonVXqN/JzXUqNHcN2INEmPwwO/jJJpf6Mghz4 xa6/DXOeaX3DPEztod2i5gG8oUg4Ml9fKZrF5p2JLDwHXWkg0QwQTh8Pipne1hIJ+0hCyN3YBi4S afqq6EcTX+5pk0CZMvUVFRRWQQE+HMRYnDZcX/2j2Ad77r9kv/oqqhD9TcrO0bqD1Ck= `protect end_protected
gpl-2.0
7ee4f03f969aafcd887b7267ccf6c461
0.939642
1.840224
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/adder.vhd
3
8,876
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WOrsiV7v7b7KYVR5YhTsUvPQicrUnRxXpVp4/Um0U7FZ/QTgBEgxmTGW2SSEPNQ9hRxa9nMSXaSW Q3NIEhdHow== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bvnFUkk9BaX4w11brsvANPV8jZGhyZ/saA7E2RLByb4QfzWSsqjJv0Cqn2eR2NwsmKHKLtOkZR1Y IRTqybARik8QkBzPGvUF5fiSuUk8CikT7ysCaG0T6XCoz0AxIw/uMjaLMzjNPhxUNlHBo7x5SgrV ZHgnBHY7vDEDzC3xOBk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j4uW5mTwngYkyvgpH4FySdThYQYNTE6qrwc19X/LpMvgzuPzEzwyj8B3WB/d53bc9NfNmiAn+hj3 HGN+/12Wbjnx0GcydRNJJM5D/+P01B70Y93/wecpz2GyhBXyFglLAWxR9cJdFoavLMlvLK+m9J7m YMaHltfWIwucvk2vgjIjxRae5OUwVeEaCebu4n0PYpb1L6jgfaIskDe55KvzvsvrLS145pxBHAGf +N+PFsMZWKuJl9FSyznlLYm14OZpMxQp65nFu1AdnvuBUUdFus9+VjQ9oZQjsqvYyXXq96NF9jBA u4dheEodg+XfCT8Y0N8tdHkylQ1HkwhJiFMdsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BpDs+KCFFkcpFfqcyqOUXic/JnV+s6hdZr4lyRk78H/X8iR1ljOQIBqNgpVVNrmD0I45RuuL7BHo q95EtE0S63pHwgEtoR31OJlfEWVkjvN9Dr4ZSZ2LgikNhamseySa2e/YtR7lTYo14vs5DGgI4FUJ nfzniHiba5WawK0bWLE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IOzyarfg0/lu0fyMTdldNX6FQzsbs/xz3shDOqco07h+KSrkjtAfEyGafoVvw2fAWCX1IY7C2MyY fshFPkue9JEAVimN3EqYDxrkhAQKbSI226C+qgmzhF9uJRbrvUc20caZ63tdyAv19wsa4A75DRiT M1KgHqsqkqGN45AUrPX5rc16cC05i35/gz7oyvqJBXYQhogBazuYz9CZuywpc54NBHhAS1GHmZUD 5M+gl7LOlxBfg7yjoeGNCi+OFna0QHVyHi5CjQJs+HNvuaFNxATbXxBz4bgHmc7U7emMl0UsURn/ G0dKm61FsiNv8mbITFocQTyxEikTWHwkAmvQAQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4832) `protect data_block DzzVJJQAqnFcfigiJZFrYeOc2CqQ+Dh5Ym7hqeqETqWK4tuNIGvr7y2T5yqYceAXPREz80BZNuVW L/vKO3V83ewoknTXHZD3s4AKPEcD2xKB7CYEoeg52KY5m47CnhGKYrj6lrZD0cIweEbvKw/9czJP GqNfDq2MI3x2X87r+Wu5719rAmy77dhz6yJbsqrFAfNkblzLP4Csn+dDk65jSz7Zyy0DcgPpRcYH Whr/Ts0L6+0WwdcH5QouabsTUahS46gE6szJI3QHGYfkrcveFGw6ueZvpK0p3OWlfznxycSmXDOa HgvdBfiOpokjh3xRnlIg/JCDWF/qn+Q2bpixL5+FLEKX3rHnZtmT67eKslo///l+2jDAo+VAtqYn pBMxYGDc68cr9bfnU7ipfIeYKVACf2vNWgq44wbwJ3gT8xl9Ii1GMGWD91BGG/a4AXP1uigaMNHi fxPeGFbVbB4uzJFgVfx4amhnaQaBDMwpqR+dxSYwGpYpZ2HQ4sCjYHQHKd14SVhZfvF072iCV5TY zHU5K7ZtRdo3/GrkIq4JccktHKq5Zxu06tU/Ndiz2AMj8SE944xWXcpu/vO6+zZCoMn2JrIS5p+y IlT0LON6eA0idKG0jC2XbMSSeDCGDa/vHFOEVVu2QeFH4e+jXhSnN+U+C1K89G+nLsojsRONif7n QuN46TOWyLiZmxXy3cPawjOdx9KXWYh8Q3GNadLIAY2ofN7uE3PiXQ2KRvGT0sFU3CMz/hnjvEQn i7oLZtW3IZqdzBXYBMjYskPwAnfFf/gs2RTZ6caU86ZP13tVl2J/j3YcIlnryA29G0mpX+epCt+P qoF6bKeDhglaMCER+R4kplu8efCKCJ0BogCoUb1etZqy0fe8NIu4dFbSIbHDDaj3+Ck33iEIyzP9 sUyKOdZx9616OjGCpRLYKmSklfVh97S7Tws9p5CtMnGtNhfRaNylaGFQIiTOw0s1aa92IBF5TYcU akI+Fhv5c0qi410y+j1hOrd5QLJEz53S7gkWey4ZcIjEgA+5XIC0A9GeF0jBPE81v1CGhdbog1Kk 5ZWfmxeWGXkCeXCUrgaXUd2kxPVAn2hJmKRwPepeTpFk+PPc9paPAyHXwwoyKYAUpfbizuQIV2s6 lIRGRiAE+k0vP1GUpKVlMHLMbxyNFD5q77fpd1TpBXT1D+LQ9N2fMpSy1RbluXU3J1Q0MKRCnB1a OYLfTP28obV5zmRKNtBSUIe1+dc52wII9x8qFnH4Jj1tdTLFe3hdmVEzK0MMCbcjTf3mobOCUvFD tNExssotcmQy/Ep9kbtPKP22xs/AcxK/DGZpwVlKvTS68QLNV3a+/7W87CDTUDck9PmaAmKeQahW tEnsG3V6lN8t19h6YLWbq5LmELIV1Itu7D6Q0C5mdxY4MzCvDkiBmVP8bNJEMZGOclkbENUhdHEp E2lFAGItu8Wwvn58ZWbKnxW1gLgC06WS11wNBVjNC53AsM8EvCfKU65Is01oVJlwjMeGVfjohUZ2 DI2sLRTxWSwk1nFEBJfah4rfGtmPVGKTfheBXcVeSAnPkte4f6MR38fAGViLMyhuQ+VGqeeeFjU5 jGEWnGBmFzNx3zQ96nu1lp7q970HT9WGqUv7wqShw+2NpZlBiwmDMBtlhvzzvHXjX0h9secc6l7G ef2gzjkMBRhOTCy/8pAfoo66CNtdhgBNOKM785vrvJ4POD+ajXX2SbTPX8Zzb/LowEoRQtm/G0YD Ii74AcCp8749ZR3ofkl5x6H9iGUyJfocZLj2d+k/uZlyESYuNizaC7qC4I7aGhedwBJQYLGgiX+S cl2PCDifBG8lI8Gd83eRPz3o1Do1sDwu71qxzsjxxKPr0KE8e6t3Yky1m+KxA+cozBF/XQ/FLTdW zNJLJ0yVQpWifWR87dXtMbLW6bP1qA45CEO1ecF0NJR/6O45XpbDPNfZ0vxdDV4WSF3XqikjJcQv oo7EFWu52jrsMQ7nufUmag8ML8PGsghNQcWT7iGia9ddwUlXZoZgO7CLA/Iik1YFnsAjdq2FDrIn uLEJufC825oJ5GNzsF4jb8H1F+gKEsoeKdLZaL/zZfNJEgeSYIT2CRHU3jT5KqIs6ZO0suM+eOKs MhiFfgpy6hFuP+d8UT1O+C2I5ji6VsvJSaw4CmI+hQIjpiSJwbOTFYfG1abfWTAt3eNsSdjOqSzH 4TUS1xVG2jag8SnvEN7FVy648ALMkB9aJxGjYz7+3+JYWK1JVwxfDufVdqFhois2k9fXKubNqroL LTOGH5cFhBCqkjAfoAjUMdqEVFLW1Ec2VaMAtAPcRkWNPECBHc9fJ7p8arzoN17ZMa2tg6u7+XsQ 3zy5dm0laXNDzMj8zRmPKoaL4vRjaWOAz91xYikaqCKM6d+0me6ycH1Nc8g1deXtwb5DCyPt+8QJ pbws5Vau9v77nYx4z6vvDleh4C+8IvYPd7Pkp8MD+drWFCYFFSiPDwBz2y5D/TeVIRterInAgQkt sA9jU/GZp5pOiNR5XC+GCrEgEe5eQnFfvfNm4u8qfPcyNek/EBiyq8yTZMRWy7thSSTh/CQdHtFg EIBl+1THXyO77gov92H58l3IRHY3Di4vjqhBeCfXxQX2LbPa0y8QqnqzrFyVgpaBNgR4F9fjQ2mW kSmQ0E6f4plm3XELy6ftmQwibEN1VelIYpQxLN/z1W64jVd63OhE3buQGGaJiwi86/Clmx2Zp0AY 3p8GR22qqKTkXdyIIVxF5daSGt0itvVHL2+Y0YkDPm4qG/8+vkh3fKXkJP535NKUYgOIt292Y1H3 KtAORn9yBSitG3aRCjcV6n4DnOZmwYEKco6XhkAenPJsULss3B7DqqQQT75VEYz6Eo4z4JWTndFr tAn1/sN48pvoMFh6OCzuILkAkx5f7nVCAGUGUvXSx8ize+UfpPjX3N9pLnNYn4q/ZtG+xEn8jXgt Czlw8ebH1UDGifiPTBOFRjjU0qEQfkGxRYu2n1wclNd2A5EptTZ+YFQncOHf7jayhity4pRKBb79 zgpi9PMA0SQP64KsXDzPLrDX706+mKzWnk7wwrGHr6S4ExLbR5HzenbM1PYCgtfGHreRv4b8F8lE tmxnO1tXvAoXnybdxFwm/wJHpNpmt2mYbBUSlPV58P3I+eGsa0cHe5jkIqMfnRib/mBrL1rcplIS ff/1mBcjYQi2VP/XN/H6ReI3/3bHoco9uZ+Ao9oz0S6elkF5CoL+mo7ZKtEPWOpVxjBqVFGbfuRc tx0EAwKk4ZP3Ch/o5G21JPOwXr2vyqCDaf/eti1LyFgumnMiSUJ//zvdMIp3GwWMJwf0ovRmzj8S 2GVXXp9lg2nJybWkLnPvraYzdP0cE/QBXciypNFAsB4F3pC92NggYpzKPPNtqPP9Ew/DvuLKmB0b BI7G86dpQBfpybEHuK460dL4x+FOWadiWbKvGC7LbA97M2TS3f34lT07e/hI4+54jfP4kGEO4/sM 1gr684Qrf7DreSrs6JViQNvTwx6aq2Wq38NTpUOTAspafIxzt05WL4fhSr1uBFq1Ov2pHjVLFgTP KwJ1dhP/DkVL8eZnXIQjGVGm9ofOOpKCTB9EObUiWbgZ8wpwRChyb9Uw3Mx8fUeStiQoYkS/ArMG wWPVGBBMSOfWyz/3OCe2sZFF6aFISjRXJCdXESGMpuCDs7LlTLxvUrTH5GQ6FbeSNJcDnFSltE5h h4O8KE4bD5N6Xkf6sk2mpOdTuqqZAYThStpXHqihR13rpUtKUWHkpgTatD2OMqJ0Tasy4wIA4333 RuZk2Dmfy9DIq6rfStPHpXkwG84jaSezLxQQcJw/NYyIN63/0BpAKVubuO29D86z2zD4PDzS0DOF lv2Ytpg5oFvjBckaAjaH7MKpJ0wSy8m3R6zu8YuTSkIDssrxiwV8HXsk5dl6Uj3AEnfpgia0TtQV l0h4jFg+nU627TfKaYNZcckmIYkEIloc4iWcRy1m7NcVt1IKRcssMBo8o+6s9hu79A46dy1bJEcK sL2Dnp+2D1c3c6XLewzrCEtRg+uVGQwLCPUKLoYv4Ql05mXIR0PR1kJ98KaizSlb6pC7eBRwyLDa w/N6cSOZccYQ8OlHM4fYMyNCDVvVuEeHuqy5hZPC/2eMShZkdsQc+kBnC+ruUJSyqUcO37mbzfBi ZT9kSIcXEjEUQbgBe9sWsBv13pBTzSvl472nWI7eWkrLw5MP8pShdKnxSFfwVlRencvDw1IqKAaw pN39mFSmV2fn69JQrkzvVZ59uMzbOKGjj7CILgFMkO372A+3aUwJ1vfvDiridGclPX/wglmVdGiY /ZEtjMlogP1MsXQo70tsF/tV5wBhTABQYNtUxxkgHH5pupdWJN1MqMnlkhddZ+SF7uPSGtkxsidu 8KiMc7Dl4QGXdFjo6SPyhf9BLYp/Al4Wsy6zUr9iRtnY4axnVrJ+q2yIFX6BwlKysNWXuKVOyNcs ZzyyczvuBDZeS5/yvNpmfRImAdXNpQ9Mt9Vsxp94kOIqeSxEMjBRiH4/DeiU3e5xjnIsCDiTHiM7 BQu+IGx744RRwy6DzSIYshBHPiIkt+N71SbjITmYDJsrx2PNU9BrRh11jdBOJ4YfnMtQD8wjMoit 7gBiBq5HV5f07XHoIIqrWpXkM9YYUdV573xWkDZVfwUJIGl/nLJSHw8NXLK9SxmlWxhDku2CuQ1j /Pmi6QiJbD3adI6XzHJI1RFGLb5UlZHpoSDvC4EfElKkg50bpTSobWF9jP9Wd5hlD7/jQ4ZvO2Nr eu3ge718YsFjUyk+iO5ZzDSWEfsyaeGveoCaoIJMa55wAXuQTaSOPy7pdLgeLMX6zPJwDJm63yZk 1ugBTalMUfLi9Y8OE5a8b9py6cEYIt/dOpHa9sZiB/ey4F81SFxkkPWHIh2d2DHxP6CwZIKThM7m HeeyEjdvr0cSYb79wUF2FWKWksVWM3CxhPidPdXNNQ/QZYNq6MG4Rspug03dCz4nc3zAWGDh3BUA dZZTyVChhy0w/IKcFumDTnNnmtfpErkSZWA3O0BtlsDmz9rSVyAX8v6VLP1ENCWjbLfrTM/ySRNZ Jchdyqcixa1PUSrhkdMnet/yYjDu2MXMcj6DBqgz9i6I5QovnS6YOnlY0aPVmwwT0bO70Trd4iHi E/q7X/Iv7ZWEbTCFs7RGkrly8xiier6RFrfHCH7U6/snz62t8f/dwqnCH5hsOJXw+P+zvdSOAJVm 9YdNFEP1yqiJmAFC0e5xhZb8qEOXxA0Pa/8DUBvxL2Nb7AlP/2IZ7m/GFQnaKeuOxrBB7d/lEV2c AeCS7ZQwsDLf6XzesURFJORzrZ+iGROh8N6mWwlpsa/HmkCN+hP//IxmEsjhs0dAtM+GPH4vOLRD 8/5K8QJdEKLH0k348Azf+tAb6TXV5HQvdI/iEwOBaBv2liq3TQe33W87fWASWnPjDecnPGcxTW9m xlQdjEfLcZ9SeSjlYbl80FEmT7oM6JDm4wlaD6H1RWYthsSOhQQlKTSgwVohCwjM8Bu6tZdEk9YT i+mBYUpUI86jRcCRki+Q8TGidUUQ+H710wvCM7ycSIQhWK9hvXwXYz3I0LL9TMNNq5qKxljjq4DU FmAo1fL2gIv89N87FMCa5K84bPgyoqlU1ia7VyBXJBQOT/FK8pf3MzdzI2z/l8EtNdhwQOU1mL+9 kt8DqWy1xiN9+NzQjp1iJeg5OJ67ZQNSGcUS9uncp6czwIEArCJ8a4GNy6M7crPGlxkVzDmblS4X 6H2uBTp0lflo0WCgh0ebfxPrCnjkEBL//bhfz/nKQXemx/vRG+Wx/lzyMgp46D0LXceNBoH5N2y4 GPT1gs6ttadglA3HEUQWE1V5fUR8CqG0YhMa5Xnwk5BsSbtxqI2L8VEFTSoENUc+8JFmVzhTC4au hBV8TtRIvdEKcIw7SpaxZT1jjiiFRpB/YxRf8eyfbAL9WfFUmz2R9VtzR2YR/jns3bqYwScKE6u6 xJik5r7h/bHuGiNrsROBSHJxLDNOhvUprlnk7KqUM7cbpf8fLptqs7NPif03IaAZ7W6ROsMyBGBK DCT1qaETwS2/Jyg2CFONHkiAlfZ3cDKNlLPAHg3KLG61oURXYc8NsS/rcNuKk0W5dWlahuzCtelM 9QBHFn/s8at8qimlrnG0y69LM//kxVOqFwURKq3I27VJm+dQu/6NF1LYhZxDsOtaqFDbVTh+Vh+Z hChTRqTo4ymHEuCAUbl6Fl20V5Sfj/lBe1EVld28EmmmZLA4s/1ng2T2dZJtja5GgnHYIqECkRQ1 ciRCMGVjgh4vYB1GoO/5GFENt4bvpAQUevxn23rHGG3TVEEe0s87wyyMFFFnnqmj2zd/vtmaFs3T 2i3ZSKFu5sScV8IcEaRsj/OaQb4zNuvda5IGYUexTVYp/20gOYhqUBaPrTQ= `protect end_protected
gpl-2.0
48ce75e8ba7f925c08ac9e7dab7c7c50
0.921699
1.910049
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/addsub_logic.vhd
3
27,248
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block QtEdBvxo0AJmZWlM8Pyu692KA85vDyKkSiNiwKutaDqpg6Fl6Rkf0p+DVS/iCXR2e0Yw8oorKl1x HioSTExJmg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block M/rSH4ws6Qvl4e6Xcgplc1YOepzhryGNdxTcGXd2VDTg+MXeCfFK9R6UaxxzE23Am/qi9j/rH6qY ycRdjHGUWHElwvOPyEQiRzLcwfn4JTOwZbrbNGXk9FOYGJYwrGWNNG5HRyV++t+kxTFoysh51MBW KII1WZ7QFPxlmb/oUYA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Gf9zc2yd53XpujNrvaA+55+xgM0ZtbmnQAVskCR86IZ98IpZK3KqhOnzFpItzYwofXQtrJ70C+gh sBlSTb9AwtvWOszE53K4Ty/ceBLGy/HY3BPymdkszGBECTeEEOSoo76zuTrtrPpdsKQETi0ywa85 uj4MxVHz1k9WS4qja2fH7yzDjhKFM01zNNFf3Jm8yg9vEeGWdEuPimv549MaiQp0Hf4H2wffR1h5 lPHZzFlrJ/lWHwHaugNLDKKzVe25ixZIlKxBlXdgd16QfMPl4/SKTuev5ZADxIAPj5Y/RrL2I9x7 UQSEkPl1f8DVpZ1g+494E36h1cJNYVGeKhhL6w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ekNzu2garNE3vM/EyYYHSHRt45uAdUHwyH8Y6Ji0dE07fvU358ul9WEpRLxFprSGq2+j1KnpJt5f CPsz6U6COLaJY0vm9Lr99baYsgpjV3vwqVCotgHAd5VO9QdzvyhXbpiiZOkXXOiUykIKCJiwmUFF AbYog7l5WWUqeQeAJLE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RMWzMni3qLJCKQtaL6U72jxVjYFAM1fmnx086iBcboH2DHhNVA+sfb37BxDWRT4leTKwBJ8HtYe4 xwAKVLGmbdo5PYlm9J+EHH6E46jpmOF9HsNxMHiU7fECZSc5NZv7xjJ0ATqgYg6b46b8IpeJl5QI GYRShiuaujoYTievp4c1ygT8jENpDjEGxNeA++MuRLUqK/QSZctEO3ghEAdOX5xN696qAgLjW2xc gI4FMngTT5PpkQWiSAzUMVaxApt968mSGxG9wnhWIFIKOlzhs18A+9K+993BBr+eOlp+mwVYFkuv 2mD74ytGrh+nxtvZhiWOC7hrP3NVEPt1cWmVGQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18432) `protect data_block EENVSZcfsLG5BWNn1aqlrdDSK8vf5ahCntHS82RMpli5GGm9u+tJGp/7nLW0wlCxJ2tdwpq2kVwg 0f76Za0Biy3/x9+d7IJ1d/YlieaWU6BckOts46ra696zQn/OFAuBxqqNF4JYdamG65JXnzHcJJ1M h2BpdLzpO3ZklLdAyRH+IZRanX64mKDJLHUM96lOZ5xhpTbrtl32FN9YTlXqXDuBQ8F+cHfPH7JR XE/2wXflwhCbEHq+1brdj2mL3fuq+Wad7VsclC1bHcv0vAj9Jz7PHTadCum5gPLhw4c53qLR9TJv RRc4HokBEofXqzFDhB59aNRw6/1pnz/h6vBHu/ZTIgSLUBJamWRDwZfNPfIrE9UNqQxn9XswxPuT vOo7006wbZ+2VDvfz7gsSTZuGupQTJm9QotFhKd15qV0VZly5LKseI8GOAVoYmPNeJE+6/pgd59J KDZ7ZcAnFOd+OZD25b/VLApduhqjvznJeiogiphC08G8Yr+LrA1fA/cAo/f6sRwblBs9UW5FbApL QpjVy1of87ZRmeBbfS+hpvKw09WDExHMXNx3UD5qBv7gyLV/D3R/o4WqFsr7jziQFMGv/dVw6CPv /EFqFPKEu9wpLAjH2T3fWGxq0bkF9DhdaVAo8Hr5GhgvbSSrBxOkM8CodJ3YYTUZaouEUiB3ZsXJ o8/D8+XHvumhY2RtY2r4oRRhkBX+6Ga2S02+p3NOrqTLeD7PzLyir3cdrykOkKfW/VTX0kugnAqr Fahtk6IQ4ZdTYV2KPj+r6TH8AdzB7dNo4bzUEv6IhQwAtNHhHEjIuF2cSBP6qA6ii1EN9o5O7qUa wplLm4ZE+Fvj58JW/sQhZlAZQ9Y0sIw/mxPDWUXSqw28L/miAADLqLgelRksfoyJ8McEN3EYkDNC Fa2jUtrducRkbISXDLIvdNvymjzY0dscwUZN/Ug2Zf8M1yxjHxOTLrACJvGhwVLnjFprOptL7QPn 6pPErvXFncs+fySg8Cahxy3y+6vBPE6oOqb0RvggcYtAgRbyOm3rNTDGtSh6G5pvZLb/GBVv/As1 BuRG31WrVVNVssRQG2dvYr/s9xb1c7IE4MVYKOnvIVmBvotH6GFku8jsrWHhNoJ5HsxfiK0wXsaZ 29mxxYZYORxJgp0kON5qkakd3JdTGFw1aWk9ORUnTh/wtsR/W/sxCCEia2H06zwVHym963V5ImU3 bFsxP8OCS3sCHIv8e52i7dpKV2ajq3gmZ0OqaFnSwIUqpJ3gK7M2knefHbCbH0P/2pYZZOY+7v+U 6uFbzDBwr0cMdHxEQSWuRwSclKwaVHuQ+XexztKqb5zxRUjdvUOO1dK6FftkFZWfOOj+4gpqaGj7 cWG9q4n5U88o6M9ELLxQVfqmmMzr/mF0zW51XmpocOB8YRP7hJiSqYxBa77f6y2d9obaVUoyoTny 870j8NjxhIkK6fTlgi6+DMg1idaKbUPNsreI26MUi4odhCA7KWK4VcY4cC8BqEra/Ffte//jAQyu WJdSw2KF8B752f7un1TzXKlQkAhfJyAFqfsCcLdEV2KggHlSaiZ6RSaKyTb9e+yBrxsOMMLvyx6T jgB0CQ/rGNW3IOU2RT7HeGcPs+FJl5OnHf7YjUlPn0PUEb+I/+iS5q0l9wWC73PG47X7Q0XEDqaj SbQHV3ZPl5fFTTZDPHF5fIIuoInbu1lbdKZWT/miVHDzNsRm05hSjWJ90Mo9tpucL46VkDKpobux Fyq9OcE4TSM5nzDFxn38D+uZoDwq8cGiM73j8P93z2WSHoFnHDEkLKY/Hkt2aV3XRIH09kV+R1/X J4K4TH51knputPJfKx5TZODvia6K5yDbc4rzeKhVehxl2VRaBc9lT5S3YjNTVV7mjEdSdDfWckNF k8ARk2KYnTkGwMvDv5FCXse+D0cSUIpJ2NT4NrEa2LkHewsYRD1/HqglEGh05BGHQq2q4V28Aity w4htueI0bjFbwJz/pyBNEVO+oq0IKEjIyAFHXE/VD4NEHqKHRKss+jqFo2ZPDizbMfuc1x8sMIMl 7JLuzpKFGClyIwekX89OtyVcNORrnHZtHIZzWTXOpTjPl2h1GRhrvRwPssR2hJxM9+7Seg1CnQmg 4nMCYVzvwpsqfGCVtud/OL62eIH4PX7qpQGKBcYTW0h+J3jfVdNoidowfXM5GZyveDq01HqYOnhd M4U+V5fz/Rd8RNHZdMTJs12TuVYNI18EYV1m5pD5XmKzNIrLlhZqs/MxKc8LvSgrzXks/zKVF8JH 8CropNPMzqmnH9eBKSWXRp8jzIzeJrzWKvOyp7VYwF49th76qrZEdKPG19bq+ob7V+/pedEPyvaL sbc2KB+uUBDJtMOiDUBG0MKMIYUnqRr78e7+2sYy6TBH/DYvrYlyKtXUh/zo0sOSqHVzXmlNBvZC 3uIdHCk5WFoZ+DFUWHIha0Jdmy1A4WZCWcyENFuVGW8NinnxEzxS797pTlMbp0AfBu4IG/6ceia6 5qaiDHskHPrGH/cR8/lyuDIQvwf+k8qhJDFZAtpBqGQfPaWaGO0bLAxngQ6DivBpj3fC1qJ9Xnqf XNu7kgBUPghCOv25oLTg+Q2XnZ9hvvGXsbdz8dfkdLYn3B2+ump7Wb4vcwJfjPp+I4KaszCNla7R wlAuRCnPxv6V773J+hwaYU5QM9hHJjO8wEzNYTF6gHpA0cm9CnxlZTAiT1yK2inow5IPkqXw2PYw TzgRJ2sQ2Oa94izSGJTK5fIqEJDBEH/ySxFy/hGLjYJvqT4z9bHzXXgvne4gafINxSNKUiMX9hM0 J3kA/6Fdxt/1oQuMtdKQFVGm0yaZYohs8zLCkgc4BdA8dGLuAXBDp5KPQPQPnQH7k/yr3MTCkGzM fisnAyuLjhT40DTxMQV4AcCOlOzsj0uxt72KeLyfdZxHOEFljMOnRvWGWdzTwqK1sN1fuPQl+I3e bu5gQ65mzcXxFVyroE12njH7CMLQZELMlHmHp7iS/6xkGOpRYOuAUknwN6azmlvjlHvrMN2qCE9h VbWpZKRf2cqVLjZNRNdZYvJLlWZvBFu/CBjP3P0b+ghMxeZpPXEWhIGtk+zhWhyYqIRs0bhpm3uX bvX8SbAunllT+ymKVhMJcLA9r2iUs5MuWHQKjzdBnTQQEBhZy0ydfmWBJNniua9n6QxmT9Xao/dl vIZcp2pwLehK8fcn4JNvX/kVcABOhB4R1dznp7/aBSYTe7nid7uw2bmcDn5JfrwZaPk71BBsJ9c5 v5KTP83pL9EIm+Kd/0OV4nwsiVLO2Z6CgxZYKrhIInAWEJSrOMddvCfwKUhzC4bKk0JuDKR9WidX +Z6ms6tieKLxaMT2hA5r3i2gWQFipTg/FvdGG1E1SvNGHBIK7ou5MO+xZMa0tNYrjZFgF3hnbzbE lmXd5YD31ho0W08+qN6V4nfndKv+x88TsR6tXkX83sNxC0ioFSvdQsYXgI2GVYMG2Q37pt30zb02 ZS69hUfiYffAQMR8tj2lHsW9OHXjBtj0Z8TNBJsIaB5Q4xCoB4mAqGnW1CsLv4rraSA2j58CXdTG KFE+pdajs9FkrBW4cT0S/Fnyl83GYefoOXu5FEDiuiIbK/TtUato/IxDCNq7DY44S7Tb3YThzDo2 D6z14f4FoDtMnDuc5zIaOfGESClQqkLwYwtmsFlpRhFxc3opOEI4I1mCJRQV0hhAB1xT5UBryOCT UtNLee6IgaG9to90JbIj5UzetklMQb3QPGxlFy8S+R7rYGx3tCcPzdIFNDnQKOe7G4mdcYm3LyRF gPrmir9pW44YwsJCCkBWp2eYyQTr4e6gONQEKn7+jt1JnWCYsq4gOUqMARsilfBsC9OOVRC/QcPG eUg5Z2at0QOeNpE5mfHccHDa/QWjhj4Tb1uN+Ky0l6dS3Cv/xzgGdSuFf1/4aItvNBTCrRU9IQtp xfe/MBXagpd3pRkujDtmpcjkcLzC1w+RYce4HjLaz9Bf9k30BVVL7RO/9NgHqk02l/sjXQvLmgGi CIJJ3DkBVgTWNfRCHSmAoVbVOavnQsCvRC51KuPNh3szxQ2vBqmWCTVvo2dtzw+XHDUiCavf+UuW 1OmapR+P/xujlSEWAtMi2JFPi2NslnA+/9/bv4rS39i+KYds6EMtIR7slERXNCctnxfM1PMtdaYi lj56m5BVl6n52+uNvKZFLN4u4L3SxEO2vvitQImAF9XEN9dPbDR1ektk6tbJvYI3ZkSlcRR1rhDM o/os04bO9yKTT/a3Fs5zpwXIAEUfqJESz+4Wg0bxJzudk4W9MDhcWxXlF2kvjBOEX/sASiGfn1D1 y9lCIjMQqCQbzgUmWQWgiXMM0J/q56xe0QtZhZbZ8cCeUVduqtUeTjqPojrPJWcu1li2RswXJskD 36hSvVjGp0mE6z+qh87fTIOdxGF1A1fLycLsWLIMa94dVd1gN2rDCXBQQVuqHMfaY/sp4klExZBr +jcwIbctQKza8+Wvgr8qAeSrlNgWPXv8WyL+fRPKA6Ts4blKitoBlmGXkMPqAt50k5PUjFRpHKAV zcrtQ6GM/3v2XnROmUgWpQK6wvtQIiVnLSuTQ3lf1IJXByYdYUaFVm4i7B3ubLK26XeNE6cJfBNs 29PT3QuGdRX+ripz4f5ZuKkdLXw4MzjEkZb/KwMsnN+4+CunwOuGM/zTGb+iYKsbh0j3bp/ZkFXo YGcSyjzWJWtyBBl9W7cU7K3kzCJINADlqjXO9w9x9PvfMBBRQVzNnsersYBJKVe1iaDd4wkyDi9C EJu5YvSEQcxJ1OERZRRmUrLKFBEvhxpcugvWydMHp0JAAVqdRJQGY173l7pvAiRqJTfLeD0d3mOh szCM8e5TPPWM9V25zzRmHIcdzj/WTvmrPehgd4Hg27zFu3xnVUYi3uh4jUIxq7nJTpOD0fQa+8u2 WlLcD4T1jf1XUIlnMW9mpsuKyNuiduSlCYJFr6gR5kR1lSZKnrddyP//7Hd/cMV6LbdRT8MaDXTC Gf8X87ccxdUzCo8JDtkfXBnTTffPjeJ3qOwAEgDeD+qVlqL4NR9P3GnMsue38VF0kC3CUklwVom1 30AJ6wJNkiG4mslxWp1Y5QbE/z8dNfR4o01F5kLDq9rADrn75s4GVjkrdTB5qRSTLjVXC325FNn8 cVc9SIOyMexR74w0dJ5yLyRlC/OES+xasddPanDusOW5KFmp9ZxGInipLkyiP6UfK4gqb14g3W2H DbTjYypR2eCBy+T5AW/IwEbsftVmg/mf05iL85JPeo2Wl5jp4KUZNrgyuOQevwiUWK0bpyn3JmMl l3ojaIBJmIvCmxjgiGSJRh6eZ6qpNZ3SeRMxEEL+Jl7pFkaD8U/IfGuX7bee/Ph85qBT7Gstjnkj CpS3dfupJG2JE4jhj2rRs0FjYLitE43ju8wIk+kX3vRz7xzm63M/eNC+rVwy87EF12mQ3CMjzaMM XENNjSvxL12CE//Pal0O2It0n1AtPVVUR0YnUpullbvtTfZxjoEfKQuwhKCg51pRRCX+7SlzqopB 8xa/lmLNMXQytROUQiO0taGcy80XHtaZqzH+cLFs7B9jlgyf6r4tlTEW49uAtmM/CRJWGtIDspDV wXnfQWUR1snZg2eEol6kFzzA6DPE/gOXkMb8mm/g6aLNESzwrU0ZdT1pjvpKQVEvVtEuRvpaCrc4 gtOgfp3b1VYxJ9PlHWUh+u3TixUSLMdw4NDv9Qyels4h/k8P9WEWm/KKjWq/NW2FuH4YDVWfsRaf Q+VB5Is8hJfOEX4bDHxrBLz0e9Zjs2Sl/zkhWL+RpLpuJGGDdUdBAPCCRjEYcxbn6g5BXv7BeLar JpbJ0Fq1g+0f0TelJ/WsC5n1c63hCvnbugMqZDrSTZ9vQp5rjbeqthmHiyy62oKX0Ehn0eie/drW nmxuBa1lPEo/SUCv10yovghE8v+8wYwdhp60KuOPpOd3ZBpzAGfhbTOogYU+nMRH7J47WSijwDnA zQnlDGf4Pb95LULZp4Hh5Nbq8YR6f3vxDLaKlUaev/if5WF67QNq7AKHcyVbKJ1CcevHem1Ki2ZV 1b3oKGLgJo4VqkLgrbJ3V20pKzaiipev25KypCwUrqTKPcdm6pU6k1ShNXhSgs8EHEMJTX7m9lBO m62r5O7jd0DoWXn9LE4tpQQwOKtfxNTLt12eqhBeb/oWafdqNbCFhkxDN+eACCTaomCRPwmXWJfF V3kd9Opyjzf6Gv3DCchXE+d6SFe8y8fx2kJ4eScVDmIH5fqvoDRJPbFJRNzIkTMGSJYq+l4PP27e 46hocu/YXaV3wCHnvlsiq4rxeQjO/bwIHpjJNSbzIFkcUazAyLqjZGEMWgx4kBTTJUhbIg8tGfdw wozeM2/7nnkDQISW5IvlIuY85EfnRtm/dFXkQ+btdTuBJuCZ8ik4ji2HOF1JR5MPtXAKNcyK4s9k aTV1Ey81gHfcUzpYTlUMGPKt/YM0D+ospI5119JPfK65Bg3UElXSd6RyjYkCRheOgJjlwUsLrevl OAktFSRmAqQ/B1TPYCZ+bWjaZNcl16rSMr2ClFMvWOQYv7VScC9ZNLxgOUCC0ho3h7e8NSWEcQxD FSd3qQW6axit00naLaNHp85dVbgAXh4Tgh8dNodhakBlCbKvWaNJDeVPzT1ZG8JVAiYcGki9Rkdb L/690WeBoCepGf4OHDElTBaaDVtyrHJYMcw19T7aeP+Ttgj7i1BSqV2eweF+cKa5lY8GXbkU5rvx 1IvvxC6Cav7IaL/8yTI/mjjW15R2tcHWUiI74oR2rcp8xIcGmP6XtNhptxH9HWEQQRkqvc7TQOXS at+SrKw0ZaatkdXm5o+MKrbSO7Qp30FDed1CqTHOSQxIk6keAwozuMBHWzSXY1C3aiiYWRikJjBm Y3Dwkux/7mVeZU4w01kZsxWqr2vUO5gwyrGFYAshXlTD3MsEcHT3Wvam5d+vGIknwtm7UzQIyrOn dlsOwGdDb/F7lpwn/WysX23PESYVCt2dzfXmbIGONXesjTub6QQdx36T40Q4i5rtWIR/1105LpeS jltpC1lBo8GSVdziQg1wYrXGBvYtvrP6iymkWMiWaqTecpmtZP2mZVlEykst4h65Hbqvr2v/A4WS kWEi5QRMRrNb358UG2EgVOHGPrtSqqy+wyWNhyKL6mcmgcgipsw7QIYVH18olMQ4mkd8iVVkIigC xvtI4S8RMRX2urWXn1JMcxVqe0y/1FA5RCPvIrXRYUf6cmt5EgCgnhwrHBMpN8Yigp5SijGNZVc5 C1oYnfGCzwHsMnC/Osh4FN+nD5//aTuf/A2pKRMXe2hH8Wh1DRcNaDrD4ltUN9Owiz1SRFdT78NQ KL8TSrH3+ng6rwIRWOkbTgYtCwDCDbTVaJKKfwnUOBCLASyi28SrLT/W7sKCNjlVS67y/F3uQEvj I0VSA9O6Uh5jwQVtIgKD/gr82H/1EaOGwE62sLqPrVm8tIrJ/SGgOyIKo5pKqANL4ZT7Ys6rFVxu Wmq++UlGwBhMFKv0aA/LHUgjhz0Ers/cbzI3ViDcWXSM2pwE+7htPY36fba9TbUeZPCqRELtzp1F vFL2RMadE1HcUoeXi/4iU1hWSlBhSpIU3rAYgEVz2uRQCxGh2VlXBDybiWAUcVFSIT3GBmkR1adE vVBHSMPcMp81UlHHVU55C/A/hBWKBkgF+ZeBxSAqIGcV/uvlmkS1Bd+S8PTln8SHrspvKU3u4ord 0+eqTvgH/6g6ONUZ58NW2rNmmN3hMa9b8m9x4E9v3oN7jkUzSRo5vq2zCKUxbaYyp3yL6/7MhdOi nUJshRjpRLVAFeIAJ5qeyDYHX/0svDQrw3QmXAEBUjyCc0JoXwg50s/jQ0/nQE1Sn0QnGOKHLZ5Y j6dxxJtcB1orzvc6rCB9nqQN6+VtOkCj7sM8l9RnqVmQcPew8rs2awMA+ueiR3Wa8+bStoNOjn/e oS+iB4gWrL6OjfUmBl+/iWPzP6gdpGXbbg6FrHIt83RFd9AscIommm8DjxVNe1cCmqHUbqP+25Pr zmZNwOO33XooQgTG2Nutg0FMcCOtD4q7wWllLA/oYcZOq7IiwAGHcK2QhnhPunLA++Xm8xdjVB+b hApZW5SVyPw9RDn5QctvREeKwDP5jLQFaVvMUhoRF6qpTQd63wXng5fNr3Vefp6ewmgu+x/9oel6 A72o9LjNIe0QV88Cp5sYMNm46eIpbIL2EHE0CnXz4TTWzKqk0jgFqSFaJh+tjorH4HyzSlzJiB08 zAY+hEa4uCXZh7vtNrJUp8kar6nIDvzDobBXul5OItZhL1jIcGbjSOVHJGrQFJG25h4MJZkypzAD 0tg6QVc46pnTdCC0lddLM14Pt0SEcld1sidCuySCDEkK+CUxucFOpXDK71f71r47kZKF1//ZsRE2 Y7/4yUUo6cfTtizLKoIS22t8CearV5dQNqWq4TOTg/rVsyudeq9yYy0/z+xiVTdOsSMn6Ih7vj7J n9h7sdiW4eUxE2SG810hzatqB6+4IGYDkZtx/meqIclpTfeB0VD+gb2XGYP1gcTEn/sWLNwR07hB lvu8Q0cNs//dqpzylk7L6qfUZMUyyk1ET6z/uzNlkX+b7LJZlRmzh0AIRp8yRJ9BqIRDSjH4D1Dh ff/ZBJhTHUxuYbddEuk/pnx2hVZF2zHB8kTfeBtTLusnU5qsDn3OXOtzwa7HJosl3pi+7sNfQQ+P 993dz7upJrwwFZpnG2X+HMSTPFPQGht8WlGk32BKoNo6bVbcWJPWwesDe64UxpGGT0kpWI5/qIq4 /6K1XznExWB5JNuYkXo9OWy+yx9GTd44X4ZjzYO9YiFkyarTS5loR2uXFnMN64UoOwvsHW2GdJtE OcT7MaCQwTMPlOcuRJ/xRQ1NPrd1OeH+97hDeGhg8KQ9kMkUfI3uoWmw2smaVif7OkS4L/rEVt2M W12jeE6Iolf6k1XQ/VBDIp/GIvGcr5dRYvvDX4MZgpKEHXQIdGrP1vQKur2imk/nbmoumwoUBytE cbad9WaUjy3qY8Bj/Z3nYERUO9dpSVv+66ecIo/Gua/CpxisFIzRavdqClmUvPUvvD40mwagMEyv /K3MvPznfSbihVKLVwOV7iswFO7recPJ9y7Q/5ilkw+3bkrfuztFY12PHhNOFF4TY1suKxxHAhp5 vW1HJV3HC9tN1piyH+1qgGshWbx744nNi2MgVP0C27JNlt7dz9nEiQyoSIDx2nhhJr0QsZt7zHRZ RXyXu26fVwq9ZPBaaud2i7NVrfCfj+U2RZYpqHuUh7jIwRuydPtx4Krxs+zRULCL3FwtNHeNsLw+ foRRyKtW5R1LrRSdrYCuf4tQAOXk6634szkXzUtDsdr/E7W7FqSOIBDT47de6MZgDKObcOBoaS4g exV3mU6UzkqSaleICl2m2GU+HbC4eqT/DUXK0J1523AkWFegoGMtB4w9mzSEcm1HUHFquqLTIA2Y LgbJNaLyj1HVUMsbIykyJhyZ2ZDoVDYSLfOJTNn9XXZyQz6UneCKOpCZfzK/4qUKke753RyzIpHL 0+7XTj/Ba9VlwjE2o7gblDw+vmaANvwEwDXhFDUNGxtJUQr8OrhllhHre/tUdnaf0x6L4DtVb0SB Zlq+fesc//c0SNTzs/KWaFoT61oJ6IvScEyJa4rQdDKwesYuVPyIWOD6Dh/NWqhW9nMKG836ZcVy L+vtb67dWB3REIgdHAeZlg+MmRZ2v0lzj21RJMkuEKzf24w+5EtxukFvJI/mtoAsogeSUQguyw5m WCJjj87BQ3O90sNLLq0Kz5fAFbn90lINBeSyIeMRTrJeIx27Bo447osqFAIpBn+ZBHaMlZ4MIYip hmMjpTePcuFpNIWqB0oym57dmWfroMcVOWeqIdvrOxbbRGzjq20bAke5bMZPd7zttBnU0Q1Z40B+ Yo8ZEF8xEJleWL0J7p41KJ+KPInB2tO8tdjAMSeEpKBi2E2TVzm/pdADKhNIAnCn/4SUf2fGff0h YseC04hvfLubBUzUVSc/7FkkSzqCO77xvLnCWeZrOeD6+908iw4zn0dGza2KSoUQq1GHk0xw//Mq j7HzjyYUn2/qb1yOVgnnHtZ2LB1RkO9AR3hUVcRNXPSHmhZ8tjIzdyJIBIg20pNZYi/F/YDFhNuU 0pXXHcbKZGiQJBbgHkMWBnmk95d598T/9j2P9WKE6PgjuZXDCAL02u89XwIx6U0NZ1dCVGoLg1BN tgedhLuy4dfZfRi/oLpln0ilw6SLdZ8VYNgv9kPoTd6LpEtkjB2N+WI3k5ZD2biGJf7ebcx8pX8V hSoTU7cH51Cq8/azFtuNs2PzvF+0J4Smzlc02XEMyfzQ07J9UgAGAM3eJi5eksYd/0jv5O9tpU0m dmjBd0v8KSqKU3rDQdduBkTbBvoNwZ9CBSIYydFbzrdnUAUW6+9YWG9WPfb6JtOcwqqIMRreS3NE cT/PKiR4MUS6tMeieuyyvS0l8wnO3Z2j3PJlP39zHrb7FdsY51fasGOIoqi7lIGVP0nSydL9HDaa AhHE7Kv3G4SF26BZNGpiOd/ArSUYw0LyBhoG7YZc/44NLfYXe1BNAUSICLNhnFZQ4brT4CO+WMwK 4mhqX+ZcI3jZjs52nFGoG/gypvZ/vjVkjVspFYk987sO4lhzu0ZBc8MwFytLM5hjoNQxrPJfFDeN wzJKpo8835Q1X1xhILR2GDywUgZoeQYjd8/7nWBnWKtEb0V0H/8ahjvHMrvQvKSwrF8iVoB/gFVV FkZ5YP0GJr5gFIzVm3PBX23OAIhdlSv/Yw8J8wEPW8JdfmNqxS7hrfQnNTb/1RVZlMgmHwVOjRWl 0CUnfYFdBVm9SP1Uv4i1k3aKxIopvKd/NaP5Qu2jCBL1H8c4YZskUzpRYjhAFsITaCW5ewIlpsbm esiUoakkNVoOwKnb1z0ebLWQV7XlWQR2VnGr6TVoxgOiUb9/dT1C3RjA+/wWssxQiNhlo8bg/6+4 ufV25/76JiOgNUMAIjzVNWL0I4PuqFNyewqlH+wbCthuUxzaAsyhekDisnkP7k4zHavtWTsxHNwP 5UmUemuKv3IxQIOCkff3IdpPZTkEgJJyndC7+OXqgD8SIQYVBp0RiPG5rs8bkdUBOSkf0a920ysG j2mevs0f7nptIaJwCCEh8diNE4PDTSDj8PoCO4IU5VALyzp0PQ6lWf8tgzh0qW8gRa3HcHKm9+wp o7Zj1h4kVxMnlQ817jcvuYUMAQtKb5g1NTp4Xf8Lm9AOFw87KuyF3hZuYXhX1dD+NVwFPaSmvQhV 14GZQ3Y3ovzZWk2bbonedq+hVp4oJGIvVLucRwtKS+A2UQXTj28y4OviMIVLLx1grdISEBPqCqD/ 2rosOGKmpuDOjS5wWNmBya/la9V/OtKL/RsxSEh9OlrV17NuN1NsKyJ8DIhB06toioYKUUDgAuUN 4DhCllyCOhczRpV+pmsHCALEdOZhuXCTL4Cg1Va++cFIY2vfN3+iEXtfMjgfFFNO0uIjXZxQqbAq 2ktuc5Fvh5P9/dUsmsPfXfUCvcpILSb5yi3nWSAu1j1fHTGty2ZvijTuZKZsRCZEjo9eqBLRmrDO j1rc8v/dBaz42UhVykGYtqNROtu5vDTBlX3NG5162QDL3I2YPAFYDmKC9MQd/bjEf02y/3txSFgD cAvwPbIdhNuFZXlYeGBo/3DhOzCyg8NGVLALumnTA2xoVPqznc84xZ2sBDDZwbEJ4KPdTHR3afRJ xl2zGWfmcZ7TjhPmxWTfzZ+eggIF0l4arOfur8W7/gyEa8RpskuVmKI6ef4U94xkdfI/ADtj0Uaa RBXC5decSeMvG9ah3yY9CE9xm9/ratx8+0fKTJNxK6wDcTElmSvNUy2BSStlWQdcmFo90cB7C4Ac 9dBQvS96bLJHyBW8ikEikcjX7p3JYue3+HVjP9u9ZMt9TwP7JWXrO6/KhP+XboxoaH9NU6yazScR 95DH7vNj2I+TS2lTAD1OIjs81FoUtnLfe24QcelNGHMwSF6YQtcSE53Ywf1MxUSVGYLiGhSpU7Za +sCw8l75qAK5Idp0DyZYQ/OMkbC4QSbq5OZOKcDPGlh41U23VkT6yBViZx7SAY8Ajj6CAYnao2oj fb/cFc73WY5qc6tNAzwe/RMq82GON/vbMleAc9UL2dHoFYigv9SBSCd5YWDM+qtSXPRRAnnNXakp PYnyWA+lJtNZXivt5S56NP+Oi1a4VBZsENnuJjo2ctK8A1sbJ8BEzgllCQWbF8Jq72swe/MdGy6k q+6wvHKr6p3aP2huoGCZoDQCZObpu5yOQDVlrTnpymfqJk+RzMvctoqnGmz5DoTN4NQd897QaOiI ESl9C6EurD4RuClxuhjJZMt7f5hQmJeayoy208XtYxXA3Cjg0aEIaIlHr5jV8hS6I2++CYIl0lLp OJ6arhuQO8/vr0J0KGeM6LV5hl+IjjBQ1+L67zo62vUN0bzGOf90WPukhXwMdV3SWzLONNDdSjFG WOXz+g0mzQFXjofl14thn4tYlAxctsZtiqdNaAX8PZ5Dw2U3sOpwFR8lKWWLXBYhqN+nrNt7k+jq tjWw7kHBVZ9+r2d03DWe+oq6rj7VcUAog1e6h4xIqPkmjKn5D2Sl4W5n2jFLX4tv0bIpk3DEUuTu 6vtssJq0X0L6oEPpy9dYVx5Wi2hMJawQTGAzwG62bE+YNm2N3Fx4PvhcTFaLn4vLes4GRXK697Mu ikfCY5EB3TzmK7++eotHKkM+ZI5+dSbmjqUh9hToNIG3ACNe7UNQ48qWJR11Iwsqb/Offf+yTBD3 /1fh1BOYPSnnbiEqT4ztAQzpDVkR1046nICzHga6mifghXjiX3T4Y1ryT8CC4/7ggWkOi2M4N3Z0 L1H/SJDQcXY3WqV7zcFef/C/TqS7hiyXAXv+GghnSSxTr4RNEpOBD6dLbA7swy6206JxzKEj1gNt L3e0WF5pfwxVUUztUBNXBjFgVyFVmu7JccJqfuYfh7TPApL0SKmsI43h8v9ShTZDzPO02w2Ewq6j 0R2nxitxTw2umF1STd43srpg+Px2q746KkDWuCwhChMQT4a4Ik+lzG55jjm5ddGEb8O4MFCPizAo Xe8fPUid9fB8QHjAkV9X3gQobtYiyTSlC39j6efynZIlOd7Jtr3DZw7biR3KjlEj52Yi2t6wCyH/ MCfkA9d9ttHLWDqjCvHa/NCxqUi90rGvuy/fg948zcBXt+HrgDASOihp5t2sUlF2P7SpjJQqZ9q2 daG1WJDREqJrpbqzmYWxQyzR++asWtC74EfTM8DIFcxX18WzQmAplc14pXRZxHr8bZMGcyZ32JD/ dS0IH4J3xA2KhcXV9KWRifNeWEXeZjxPHwE9w/QLe9bHIan+lf7pT0bOFHdGjMK93eHZqN0j7Nqn fBWqYT51fVbMZMN6VadP0Be7qm8yOHEeW8GjD+S72OrSetvV7XefRGhY1+sqRvpOCZZOLVD67ILn kIpBashoZv8WicziMPY4Xf1G/hsyx67TadVEXEZ8phTAfoVEy+tTZKISJGveLuyJ2rmF9Vyjy3CZ +YK3zAJXo5QXUoCBKG1dGNtdlMmoFqMh+Bpmc4jPUHSMAAlw0m+D0aADB11rv/WkQGeu06/UeZl0 inuRVK1yP+hYAMLcIjLayk5zUkk6lPzcNq3xM0xX8MznZIdCnfYUwV3Seo1ANuHKb9GJWlHbWnFT r6osrUXVbWTJu9k/MlP8KM8hhcOnI9tiXQ7iR3YKo6Ps20E6E9YWxNfyvL+jcq4yyp2ECDOcOeFG ljbZViQSzYqHQNqu8dJvmumq5i+3rzz3B6QYefoE18DlG998Xv0NZkonroQYcWIdsn4z86bSjTWN V3UUIuHqyPQzJkk+cquQRLerlnHV5e04ml/9PZqK3N1i/nK053zBTtyvu+jtoeU5hl0lyoUpg/4K NgGhno1Eqm9MzSxmBLXR+hukHHeGFjNpUm35GZS2GqLb3TvPsxU74q3ItPn2bghYwlyeL3hMEK43 Tf0kL80ENYl+iq6pTUOUodm0pcxfE5DLoNtglV4NsNar1UOIYvpJ8/qv4TLxFdmEAx5VCC5e8KGg SY/OGDB8oVlD35kUAqcnHOH1hrW+uYzsSqu93oTLKmIzSraNKMisRMYIcInVNgzDgX6XDMp7vzhM 3Eap+/VnN3EAyiQg2k13l6Dyu7CeM6BHvAPykHQA2MUAszsSDGLCS/Hdu7eu0RhpKT2WLhY/501w skjUAsynAVbiLl6Z8yIjKveY/FzmpgkuT8m1/5KwA8Ozs47eULQcayM14nKIjcbPGq9NRwQeKwXW LdfP92WR3znWm3RhUQrNe3YMRXzcrKGHNxBl05iqK7SZA2Fi6pFQXF8Lhp6U98JgeQtsLPoligAS dfav5c/B+7XRLWF0WJtBImBHWjugvUDNi/H7FP69prFqjCbxIehyLP947OJ2bwPgAiEhanTV1Mzn vDlVwXp360qolZun4vLQxbuNJDKlZgyA/1gvobiMLfqWAk4SWSG3hzEidR4f3X3WIJE3DbiPMulZ CEnpTbTmRrdjYRRcpesjRhTIWP5ZqZ/wKjpwLr/HuDKaRijzBYlIUMctNPTjSPp7cmxLiQe0XSrB 1mQVecozWw7KJaBUKeNdY1lgm5KOvFZr7lTQRJEOAmvMFmzgZh8BIqntOp8+/EYKTP2k0DCObiy3 OUqzqbrxh3qgTLuaSgBfmSK3oaxr2HjagGid/NA5VT2VSvRA1aWwjCU+I/7SjPUyuAqyUrAs4Rkt CrE25nUpAXqLpvOL4N/DgoVfaQnXi8dx2sNk52Am6mCUEF9cXvUQc5n6XsmQAudHKOruXMPa6swi oMXJaFVJ+++cm5n3QrxBAuUAUAT/rnv2v6tj8/7lJ6KikkHi9r4gQcr65CwYHUaIBqAvnG1Lxk04 6DPc2nUAzJwwO/KoWlfTWSFDOs05O2lGdmSJ2K2SClvdRSWbdRQomTsDLvKdmLi90jCKgDRWISCK sG1MOawAsoPmJlDIom2bKxpQZE3Ku/9vb8lnjcNU4GJRd/YglcCpSt7tH8elgtSl4LK7barguzCq 1W1K8lomYOIB0FHKveL4NwAojbPbjXXRM/AX7nbG3cnSjdr4+E1NlJdiatVjWz2opl15c5GgVcNu vovu9D/BxEwi4mh19nxQ85AnIckilUBjpgMOtxGQKI7ZcGR75GElBuM2Uo8FPfRRPZhcz4UgtVP1 fsNp6xRqVUEKB2UFzyhOHlu8H3PmG+DTQmPRkTZUX5ROBKhcXqQPmym6sIxH4UJOT550Hms5skDT AVFpLYD/gKU1QpB7akoaBadDgkO9kR2kdLwe8E1OTvXYJNFN9IjWYjAoAYkb8aV3X2N4IRF7+48N awpupjmF3GiuOwEJuzlXNmzP/d2Iuey+/0hPvMPRJoFIL+dfED4zugoK/Grkcei/5vc4X4rucayX hwzO05owLh2Ur4LuilMmfoP0GKSNeoYr5+qr5AaEMMlL8vnPUR5C8Lxr61sb3l/qZHDtlegu2nfq 9VYtiP972k0g4qY5ueAS1Jyggnse1VP5vV7stWXkPtXCNeuv3QrwztbsWOxtXc9+a0nEgO9fJ2H3 hH321yo2rcBElfF0cEV/hWhdfQ2Fh+7UY4ZvCQjJP0TuaEQCOzEtfnVlTrKyibi+SRRTaClsQ6U3 8E1ixyPDWUPxCjM5/33Hg6OjUuWIGuRIJLYtd9pJqX9tGgGZBh4ch+wzBmaNpoBbLlWFpfQo1OLO TE5HdnIF9AfTLuccnG8I3vjem9AiWbvPDjoo9mR0NcYO3OVDJiwlqvBHVx4umYeXMej2LWgDRt8A wV8QYq/d7d2XsNKmbitlHxBh1g6I+bLJhVS4dtlLmW77bOMiLwlI9rVC2+j3HZURfDqS744GJQIR J5E8P4N/cYT/maKU6cGFlCS0jSUauqx/1wSMeqea0C3m4zBNSNwgPeoDwAqJ+dIM+kD+y5oGFiAi IoOKZ7AVq6UPHMkSHzQOA33XvagIOtgy6LuoZpnHmNyysP/Y/G1ijxW5CY+0+fuQO0KzJ8oRNF0P zsOiTYuAERByxHpjq8tUqit8uHe9NG8J0e5x7sSYaSEJEzH7l3LUkLbpLgXPwTLCp3rt2BDZHTck tEKIVnS51NrYjhpkSlxMLT/xM1qPyIDgw+r/LrYrED5z+DMJCk4ITbG2AygkrGi1BFjWD4qPV+EZ 2u3BcmwryU9wQoZo16skBgFzeh7Rh/gJ9u0pQit8Lw19sxIJv22neVb9CxvtsqVteCwsTVQVJint IRBrIR8HNy7eLK6eszno3ki+kuqr8lCF2LkKSmJaasUj/uLOuF7/yTocjBwMsolyUUC5jpMUgNx8 /l8ZUm/0yFA4Ts835Clf+0KWQ3lcVLdLbCX+tuuo00hzwt9L+CFVlNeW06vi0eP7hzUbcGUkAwqJ VuH1HwKOddurhS5AeuQLiuwk+FfgmRRTEermUGVfHFbJb6qsrq2RRNEIV7zIHcRsUsOCjGgHg46c KLLJo4xiPjJR1hui7y9jf07zNSeM/k20snI883kncL3tR4ckS7kbbPHeMGfnyAKQtzIsPUrqNEp0 CKfkED7jlos9bU1evLyxZLaj8piPVxbA3TcCILuxcOzdQlLfk7cRE0X+npyz5VJ/mRxX6AuQxWxw HfdR0gcFoUwYHa3LbEW8qrWk9SBysSXES8pA1xur5cXwljl/LO07afgGNLbSe/lonq4B7jxPI/0R 3s3n6hV24O8CGzlR5yOh5xYACekwcJK/2oRy3ZfLirHj/UJVBpL9u4dTDL+K4CwmztYe3UsE6zBZ Gfh/mI5fVNtBd99ZltP6yJfcf/fbcSKwtnDF9d6n9HOyNWNyO+FUkJ8WZTGrvRaW6l9JJZ6hnnCb xh2XEFxQ8/KQY4/L/lbfyTYGi2ePjmwGXnuipA4rLNejCo6w/3qiS3rlR7dz4L3F42r8ER5wrJgi Z2g61J24R0LU9FMTbJW5bfJcTVi/uOCYhE+q+7/KUXm/ggdI6MPsfFmokvbaytEpsI2GqOeysCms 9u2QHIW3izbOr4lTJmFmILg45xn3f8RhUYpf8HWN7l8lV7xCjHeDMCvPRIH39QHSDU8VNXbcgRrR OjTL7zJ1FW7ByOS57t5FOoyfzJ8E+0WJUCuZM5TTRLRQlIEuwbD6meFcU+GRk0e2QVSMEVebsfHU ydOQjaaWgyiJ5AGVy1B3VDyhZ5csmi00R3L6CRTdZwFOh23SlvixRP29/OPLc1fB5XKfpiCeqZdH tUPwOwFE3TYZu6SsbjWpPQuzjbGv0J5zAzBWET2mXaieu19yZZfEFjv6SgovWxl+uezJsGFe1q4q 1/2Ht9d2Bf4AIukLERlekSbIDZZhIsC5kzlhf+y7NWz/ciZxMqdzjLNImstGHXZjM9cRsgALr8oN B9Ej4td+PNJJoi33KNQ6E8/37wJnYOeBXwXrTS2za0Q478mQ6wSFCNwZ3gDHqda5ExFUJY/r4paf 0W5SnrVJ8O5aOtev4jhamELlGPn1C9tf9ft7jRy++BUX7UkWdCIy1pi19Jr89mFRR06j2KrtIIQ8 SoTWx/kSVx+nxN5MBZQ6wdVl2v4NCl5lkLWFFw6R5/hK89IMuPDKQ/7sQt05bIwOVoBptgDvd7y/ 5HfJ6er93Kte+/7M8FgGMjNS1EEmaN0OIvgcnzkES0fyR5/mZX2VlxRMVnLu3MrsbfhoKKK7WBZw nVgXF5mOvA0HUUXtBhnMvho4pQKT8s9FR6deWy6JxE82yfXExsN1+tnclWr//rPElo0lLIULjAOt 3dz4J8HGeVHl6+IFLLh+bEpZqkeLpibf0XZqdbHfcFaG9ouKw+DY8Fj/BpWJqIUmX8ujLtjT5oAC XAkgu8NoOQpu9hq4A1a7rmsG8+GpVTDOlacXJy/ofqd565Qw7AR0kPQPwPowQXMhm/KjcPQvzywD bnItjN1dh4VqWQq9RBYLTAy7Yu+6Iqu98yLMrTTLgh4yoe9DazQ65r+CdbL3Y2TW3u0nVP59+4if Vhr8NogASgqh7Mof7AGIEpm2sT5YWKPaAuDzlp8j0JzuCWsGdXx1/DIM95wp21CdR04y6d2Xu9tf B4p/VpjTeQXgXcUuNh90wRfKPbTnG2BVSHGvD3PXo/oWWbZCOZnNYPMpeK2+j7F3y4CPE5kPAZXV A7c3v922Z63Ldtf/DuA/dKZ1xlHj+v+JuBXWFuYy/+Tp7HS+uxPqi51dyPPzGHUaewlxwWD9AXP+ BlfAuXzCaS3pvCMZHYd/M+QMPzdR7MQH1VmJV7wut7juGoPxBd9QfbUxPa7rM2b/jd2u/+VuYu+K PKGUAL8wEMi6DTi9Fi4K3SgFOulXeZiN68VJYaNMsVUD/joAs57Tj/ivq03mwdcE4i2bkEsNAR1O ovwRZvQNMAaW47txUxXmG5CoZHQyMa4eL6e1ncPVPwNSvzjUcG6+XaAgJnkvvU/B0Xb0DOhHmf0g CJOrD7mmMSozO9jsSEkXAimve42JeH6EjA527QGvwjpBOj5Ucqenb8pB0GaRu3Yh50Rk2vSnnIr7 QXLIqKJX1UVVTIycsY5Um9xHT2TR90xQ9qFnEOx/9Nsk2S1grQP2jtwtw3p+B/U3o3BeK4vXThiu DGaKMx0u/W1n1sq5KiXxQYuwSoX3b6XsUPz6TnRfMxDIKqNhHk2mwOVeFLPDZa1YluWXTB7ZUGwK g1zt6hCDoi3K0SLpqpVOuN5ldtP2I4wrkRpefm/7eOv6F5kBvgLWWlfBYG3TkkNz8WMAFdV9w+OR mkpo5RZePKDAmbdb7I8qZoXk3vA0QrrSxaM4RRQWZhbglEBLkjKEGsq6FLfqor6VnkCKm0qlzt8c mVJsIiXiGnzWix6VuxjpjATGDaU44jkFG1qYAnyeArUVg5v/BQygzUy/2q3Di2RXgAoOQKOsg/rc 2svLwCkiDEmmgwyIvVC4Vstag+xSatpgrLiyR0tC5Bkz4ghGHGeV2pt34ZExSiEJr6I/SIEqPTAD f5uXAxt4ewireEBeHY45borrqgGd3pxIzpK0MfVMm0HiyZVXpoSVWajxCXTLDScTRtBya3Tq6K2e +aRO6S51zIlpCPkrA/4uoL2V/9W5DUKaEbb1SxCOo1kE6+V6bd5/Ku0Yxjx6Mzu8rZMO1GsnwHww XjJHGcwrD5H1W9d7/YVAGNK4M4DsiI7EZ5BrmttLULSEN51uBbMgXI8/SEMzV4BgqXbE8OyhW7BP jE+spXhInOKHmNUgRCqWe0ulErYIF9LunWp7+M4zzaWVGyriiZXDt9XsGCmxRiu7hccduT8wC8TH LgB4XRi3z63iwbNGh7fyrhyswZ6OVTPprWzQa5Sa92U4ReWprG0z+6OacdUnjUgzm4MtlSG3u9n5 ajpNczG5Mkvocj9Pgw0dHca4Q671L4BpCO6dbXl6rg5564kdLup/iVaRvn8cMp4qDrkpQ8QJCGUI dzYcPonfniXVeksadOh/f9jLNKi5HMxCu96Swk99hPmGdL0Y5sRBwyZL2DUCia0klwmB6nMrZcFF a08aDAALJZuzxV/wKFLyTavuJ4B0kVNvY0aDoxVYKNXxiR/lLmh8psrKqJBn4f/bdl4zgpxVvbPG lzFDaCYMpjDOBJj4XJImBc119ok9Dsop8Uz7FdTrsWbnjBRYGqw1k21NMrmq7QQqn0GVDq4G/zag Yv+gpbyjK5iZeTsAPYd7xZb1DvINqZOVzUFf00fFMRE361j7+kbN8Jlb79c0KtK7+2FV0Ns+NVos 8euyLvtC2u0MLxSyTwVftudsHNerL2s/3+RLPNCG+82bg+3gouiVmAvngsgdOJBPn3P+LnIS5mF6 8s4SGoYxJySU2J4nPgbzG4s8r54BlbpBzdvC7IcvP+Ju/bSnCzIjxcUg06re89hHp13mxFBajber LUjUe+VjQbJnJgOysYGqnRIMDQJewGQNLX0VYOyIF+Z3ZLcwJUgY3aGUdrv/20T1Wag5ANBoCbrZ 5fiP4W6uPkCW2GbX1P4cWOVhlm5wxJ7OXaydXPgI2Txm1LfjDlhnDeMmh/Q8AN3k8C7I5VC0/bw8 EsiAR/uLcqKH8P2RXsKmsbw/ysD/BhSfziZeXI+Tleuebu7jDKvI1r5BWJFfVf0FOi8wLAA5Ss2M B0OQb5Os682QGFDjNeNJXsxZ7ixf0kCBGaWFKSuPjawFyKVtcYY7dnrUyVMx4EOlhrLD9N/L12rP uUcIliLc8dS5fhz4jF8TxxRZsOKw3RIScccecsEHBsXno1OAuOe6uxzs5OOrNJvE5ghQPC/7MyXJ uWkBBrYkvN4Dni5+C5jZTFOdWFOW0lkiCQhgvozLFsljgZ+xd/pNh2rt4Q2bjMGPXEb6vnbjHGFD Ru2FG4ua3R7O7IS5yehnJ4Rb0MbbMtmEKD0IUCLsEMjPo4L/VeuDOfDS0K/EUJuPmVCWaJoyqhg6 RD+FqtB49h3TOc99Wy472xjyw1ScvNSp2snSgL0a5T4iXMgYytqH6uPMFG632tMoiPEexya6UOg5 RwkSYAp/6kINA4Trva0GvIfw1TIqHpUp8C+K6ZCJgO5cHXP/4C5DN0W+aDleJWjySxMZZE+RWPLK 2hycIkRcUpfltIx2ZbWwTBrTYv5qm80TOdU9Xy3RNm4DZDnif+gtxwiwrleOXhhb8pv9N7wF2ASZ QRlL4XbzzgOoQzdiw8rap6UY2ZxMsr2Pc2MRhI2gGtyNmDn+/67g9pMbeDvIAnnk6qDLiKVDl1kU ba103lylfsdNnYwRlD2daD5XYDlJmuPuFh53hFo2Lhsf6zNACvDTKmqL2shcYtAqsudWGNbt1js0 RykF+Bxqucj+YKbzhbihI5fXTjVP7FXvZ2ZZ6EAWoReri4yPfgws0BZuX0L/gFhSWHc+SGGLLwGi qn2FYsLSNMmmBC68W4dsiZz/qRCjbNNxm+2IMirWspHdxeHleo4XNeWLmCcKxINDwIwSx14bWaOo tld8gseZ0w38t76eGXcSkgYXyHKjOL/d1CNeZ8vEt/fVPvTyCBQc947ei1MlbZY4yTu4VVANuhDg KO/1h0ehMg++azXHybhyzOi/tzgf5mZppB8Oa2jQoDzdz+cGujswy9LrV4rz5aJ4ruiV5w6Wh0FG ZqVBLL9Q6tINUCCk591+fBf2QFSNzPin9rl+ktiy6nSNbZ2kRmxjrkGYueAQMk/6vYTxmFXd21tQ GN4yP4DkWsLgvQa9cUiiiOZchtLp7NOnAVNGloeHCvZij5Tiy82mNJiJTP2yiHolt5L6T3caRAlb MkrUkUVuj0TRbLqJzshGnyjzvGfkH74caPaoFDrxmPQ+Mz3iQPz7VJD3jWBdxNWDFle8Qu13deV3 doaKbsJT2wVjAAX5JqUCnOLLUVfWYihGHCzITkw6yVd7ZM+GqPNVeuC3XKSUkdKmxP8k9ZtNxGWl 2JKliWXhpTjtpptPFLEF8LYDdW8QPmOlAmrpUzVKcEIufMUxjFEKcjA9M7uJ6QEeJ4MtEDDByxrH j6AFz0TdADs9YwiblvuxdfWCQEYOVhlmJmvjkL8nRkqg54CeLHShvUmHnht/NSvD0JN89ZpBjgX8 iVYM7cFqy/mjyFujr7S/Qf33RIzijUmA6xzgm20OWyApTMiFyFFm6cWga2Wd7zsH0/yO+D4Yi9w7 Gzz8N5eC2W/icC39+aHVNruXsJEmFIwZXSJYen1wRXtUVGZDCeornY0jtn3hQeu64gXoA2Ydc3zd CdGygUh6gTBRq1SzWTQze2OO+j2WRWpj3bHIOjxSkiqPgkAoAwICrXA3612OMlpWEzAZPI9gw5eG fUpetxiVd/JvLcysf32sjNH5P6AQLeZdDcA4O3AKvmgpL88LZrGkJL8vLIqrMMg7QrDV51fZ9r0Q vZu5m/8K61gDsu1C7MBwNkWmmHVcqI0HGgb+Jhabgu+zHny20pYX3hXKaArtwQlPkktZBMX8HnFq unNulHC66MKvA1xYuDShmdPQHO35jyq9X7h57h6BfDBbfYGVn/LnR7LE8sjhQije7nVf7o1BJbvZ r0u1x1A9JGVGO/wQ0q8t/xeeNkvzNDNbM/gZom/0DjAh6p2hoZLCUobeajv8lgIx6RKx7r7bNe5W E+geHtgoY1b8+TgopRkqJqvDClyzungTxBVcSIPJ3Q789VN+jggBfMjWIymmIdAqurItAlhOCEf0 Uxbeixb/PinA7FayJMj2sQqlmLoK9CpaQ9JGRMdXlJQoy6K+uhpMs+dg/JmWj99gpIyp1IOH02i5 ei5BxcdfhyicIUMAp/ZAKK3ytlqtCAZo4jvdjQ7uA2TTmdl8q02XQrcDjmnSghGBKTBkQ9mtIHRr 3w1FFAM9V69b9Rq7WGdzueCKtHX9luqLwFBZRY7ZjswfI0OI9H3TtPvzE5rWmfHwAWFCXAhIK6Fv 9adLmyv893fXESWXOMrrC8dHpk4bF1i4Eo0uWW8nxjymjgtywoiOEuqvjKvWcVsKQuWBBQdjNRRu 4e1HdMqpTFmkz+tSECnCf/wXzmFNgZFHcjgotZEm6uQABLaiwrdcXEci+HEtGDyiFfYPtYc2O1Zw pX2jWNNfbvnFpd+JPs+C23rLFm+acnHA/IFM4pCZGgCuTkxGD4STB2apgYBsuKCnUxx1vUNSXp6w mH4zVh5oh8w+jNrOn0x5PpgyCMpNc9uG6QJJT6eQgtpMfjQCOmiisNjznBdMq/Rtk0xGms8MRDl6 qMRDkH6GDKzdDjy53mGbgnMnghG4SLZ3JFfSsoiuEbPPD0yfYX62k0vmDu9Db1bbqUaiBRlzoc20 2yruWKCD79VqxP+TQDqDEEpB0x51WcnJc/EUcslRkniFRFPDF/SPk29Fa5MoJ7+TP98OveXq8D7H 5aintx4dftiotJs1zdf/MnHYOHKFvWqKm0ST0Ge9Dgu3/Zq6pUvXf3DC9MajJQzfr3XhU5pkpbap 9Dd8E6UT44hnNx7lxz3ABOh+NXTW6JuECCqhdTrIJjAGRtEvxrgN4tbHDaexDs2yoVvI32JOzpLu ylbEwaqpsEfPRdgKI52bt6rmXs9KksjjI0mIMUpaJ1pfNUox+e9nPqRCL0PrjcSn4wl/v+5yrCD1 aMn9v1y9MfdPXEjnLxtmX9PXocFiO6Wdc+vjxtHA73OorEXz2drT/SaTYdhL9hWt2fFQmCVEByIB HCk5fmvcaeeSVzNYzP5oiVCrHBt3tKc2bHQA+OHMeRwHxx1c3ChaqSIikh75WhnR/GP8oWNWAf39 EjC66RrojAQFFaZxhYI0pkhXrUk0nVTeFLcFbfeSG3wPM9jpEHMktsJdMwZoG81kz/ZDuQ9U0m5s ujtAg4tDA/gEGlSSv0cLqpYq4xWseBjeoBRlh6lC5wzKyadnmICGIM3KJxGwNHBp7mRvXB/RLskR Zu/Fko0bQkYfIzc6qW51aLyAlO2n11gCqMNJGhck86JIemhdCpuQv5GpsZW4rYIGJox+WZnAYjWb giUgEE/AKou2mlrolspj/bY5Nnf7QCUAVRAyxtedKNOfaYYRq7oqU9ltSXUwrMRczHjqYR352vL0 nLTw0KWwoC7davgYMeG7/bMO4666jkUj8ZiQ/R3zVOsLjhPOpDwEN7xOEPCLxctocNRtTilKojYP IXZd/RHKhaRW97G66BTAVTh76fO+Y1wl0NkiVgfSR4T3fQ9XLUaHACc3tt5eqQxes+YthTsUH/YJ e9O+bz8HPYLYVY+k1+MciDSiSbpUjKO8H6r02apdOLDeQ2qpeSYpZEmBpaKuNZZScL8Ts26grm6n Yun8BQE6MxZAXnjV9aPswqVZvZOkBLlgiQlVbewPQRj4FOVZpRIVNlaassBiH/VZheK+QdiUmdwX bE1gx67iEpab/HKDyj6/JjTrRCEP2YdTRiK2sXQul6jMjKMl+luIwUfqHOfxElPWkY30LzRjwXO0 LjD409HZzTWOWoY2Ag0B4uKYZU3yU5IWfD2OlMyijDbbg0KcajDIXnswvl59DO5O2pnfBcmtvqeJ xmD2c4Khf9h/29JsCfTLH989OSvIJeyArorboA756HbeJmlxrWhVh6WylQT5HrOhq2ib+mFOMoVd Yzwr6w9W+c7cJJ46CXzml2KTnnDbQinOMdpFi74gQX1J5X2rVBWM3JNgARZRJ1CWgfqI19To/F0/ aYBco4AhLaMspXGwWPXT9+cLadWKZosYCatjaQs+jidq4IfGnx0g8z+27K9jR0aPZTQYCeYJTSr8 rf6cydCwKFiaiVR3y6jtrRQFQguFYpN0CJT2pGusdLQv72AhdNhMZCl4Z1bgiCqwJhvo6eTx4DJB DK180MLwj4PPDQBFVMTBmcyU4LvXeX2ZKT+hRhwL82LFSXJt6BWC4CgbFBos2f7r4ZV43ffJfzV5 +LnxJ6JYPyE9Dr0tRN4i3SEbV7Oj/trZCP6is2/1nN+Pf6qh+GbM+lkjxJYFItLF+KH9Lu6Gxq6h NZdM300SpBBkk+Q4LRYkHY4gkmt+ `protect end_protected
gpl-2.0
72c4341a4e0b9abd0e17b1b1a43e5710
0.944326
1.839465
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_reg_fd_v12_0/hdl/c_reg_fd_v12_0_comp.vhd
3
7,819
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VJfKDzkZ+f+18hXuoqga04hVWubrDfYumvSGlhS1EEhObY2tehfP0IXQG9pIjF0F9XLPu1iujN3P J70nET567w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block j/CnKYzHBMdxWE+zo3uPNYd/yqWzGmUkjGFckVApJBJn/IvMvCpEq1UUGTUPjaJsvlFoq/BWF+PX cxSrk7nS7TvW97idz4KFa/RWwY+2Qo5mKrgMWFb5eYm/tHhmKnSPE8bEwdkLiEDYx9LOUR2jfRjw c1E1kKrUNyzOM6xvAdg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ne3xLQRFTtvE1nOJ59FDOcapWfkm3ubbjk0qmN1XKsq+7/DFCoGmyPQHMRFb7oL1AaafQ53/SQmx cUV/3HjP/eV9JTnpDK/s4wFo6Ck43JneL7oUHjlPXZbcBIj+d4Phljqr+D5uuHFapV5a6cyErpbw grKPYhXtjtFtIAnkOmQ9jf/I7sP+PuqNqa9Z9Xa8+3FelvD3OkfMY65UxCCRcrRAsMG2ZPHD6f8f 33Ce8DGzhgB8E1a4eQ9sgCvjs/LjA5ZLxNsalUEWECjTUQcIFApAJhmr0POk3q5g1gfsRXfrfflz 0oMGvPTsTTU/Susc4VPa//B4lPirxmAaW9LWtw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q21KBw34rgNf84kMWwoN6s1FD8AG/y6XKNmAslp/pWWAlulT/+J+0a+RmqNRUyil9kaTl3V6IoEX agBRA/RUsBCbLlBZ1TYiKiDDWIsTHYaUXWL6S/1F7h1d4RlqmgJ1GJQIMVjj8QWfOT6p8Hjp7pgP yaTKaNwSrN+/mJk0uUo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GeoCYtQo5PqrSQFdhC199E7gI2HprVENqyG/h1vkhCaajSvadMoOEteO5AGcwPUkBhG/fFPAEuX7 6ef3sGYJ546MUeoOVdWNiN8HGAoupR4eMjIHtbA8fLeQjkxWTk2YJj/CFtEf3zaBq3DY/UQstjt/ H6lOovxrT/Hn8lmOVx/uMXpUwIIz+kICR2sc3Ygbn50tEYJHzzMu0X0NiEA9cLwWzT7NdZjjdNqO 3tG3jrLLG9tZ+nfEz5B77u2nJD8OhT5Z10buifBaIVozP54qHnBCavAJLABv+ga4GqxERT4irbIU 0ls5LEK1UfleFCbDPeqKs7Fmd4FU4GxYAoUUbA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4048) `protect data_block AdylkkPmDt3D9rdN+dbFLCOlYjgFpCixABTgfLthUxBPhOL8oJW/PK/q60dy6H87ZBgj0audFPgO bTjfsD97SDbX0mUZxR6R3Dd0PyvuZYgOfqdg/6x15GyVy+vc209OVJLW78BrItPcay7JgRBYqX3/ NmXAWzxVhkKUJbHdSVR6OcUTu7vUM92P8xG/R9GaHnTDCfshcWwRTQIJlGO3fsoBAQohDyvYtCLt y6Ho8ZTOO16U88GGVNP6xi6gAXwkeCA9B31QGkmAwYZO4FSgo9rieIib6v7ktnUc8a4yPhPArMT9 FnuyZNzoesa/BPyVK7+LtvjcziVbdUAx4eqVSsMeef9mLwJWtETIaCTTPFg3l7MIo4JPAHV6lYrN we8HVkgczCnlzPnJxJpB3n7HbCUkKos7hh/N9LBfxbsqvoXhg9VrPNQ6rG1AGaIRRb2Tq5zJxEOd gs1CuaT81QEul5O721nd3mdy2IcHhQzBfnVpi/E2+Mjk90I+RpP9x6fa3ifs90xNotnWWi5GfB4y ITzMsVXMyR8K6fu/IwK69ikkDJsCDZLfJxKU7G3uXl5kDm1cYSmRkGEUiiddNqf0WfBWYQLZTtOh jPAx/iBFlSBcL2dQ6cLFOcmRVdObkfER7Vn7Ukw8kzKWT0dt8DnSA969n5CYCguFWCOjKfyRZee5 03WHeMKVxlOrkltP4nzrMwg+0upMcwcTnVzWRA80uZ4VnN/HDeyO2V+oM1GHQuzCbY460OsQtIZo 5ZVpw5DSRLUABCsObAYJdma28twVA8A/OynBW15eISzBDCzgWWdu5DX2mGRx6cOpg6iL94qUiDCG vFoswsc7yw3AzKRS8gAKl2ZhDN/6FyHmQwp9c9vRo5ozejnSgyLJwkPyCMjFBrtVrCRudoQxjKEq IpMjk7ygyCdgOSYFsQo00LjF0T0l0tNpXiC/ThTdI18zOFDdf6WFW63HZZodh9dN7MFWFUywwgWw NMhIF9X3QkvI7bnkh58RlRwlIBFxyQ7S0kCIey7FG65eB4OC4XeZReJEAWmLkupqHFfnC7L3SRPO a0WwKKfIU66h7DKRt26RXkSESFeiyODtjdVezi43xHbsVsNo4Ya1xfiduZ6k04mV/VkF0EWm0fVA VclViR++M0GZVO8onmlEVUeFQznDTuy3YguMFyUT1px2lfUi6M3syptH7ZPBMveuUy3SON0yKGF+ V4JHTxR5mJWER2OgD8UhKRaG+9Aot0Py4M1rFBgqQ69lUclv5vWjhh4ad3cx3yHENbyDSZSB72tq hCja6M1pfek5Obm8zIWeMKUzY0I6jdJAy4Lvk/RpJ7X5hUvs7QijvCiBlb3YL5+J7ZbzvOOjTcze dL0bi+cGdS0eityAwCnWfgUt6twVLTb5J6ApqEt8rKkFtck+9gaTFiEtustSwOAWaFXGfSQVuUQT MAjrBmzxVX28UgpWIx8l/7hlYtMEmP4y0R/BYqNU1APK6T1qiq/dqqyHkx4dSCst35c6A0V9U3wH REFZN5JwUcC+ayGLTlqyUvzF9kB+ukE8ZLN13z+AyccMjrAr/6NV+IXlDbkcwz9Mo1Om25MDmIou xbccraM2dWGdkpLmzbLfjevYMc+7JacZNsCEUXDXNVcckDJE+SQPDxUFfR7VtCxp0dbLt0amUgKs NirJAzOQ2INAEsz70OOZlQzw5iDfq0opQCTrc5HTZvCb4hsyz0SPZKrLMP/mFbdDQHwwxgqw2QB8 VU4jny6vVP5gzPM6XvpP4JGc1R73LjYvnu7/+pE/Nw2cFN1ogsiNgYVdqrunPUY4u17Rzb3OBQ41 tv9iD8ToUMBF6B5XmHBvKFY2y2tMNxQ455Kqv3K6QBnKHLtgD0S0fonwrHVpGXgBA/SDf08o6m+W AyNZSi6Lzia6jx3gPba4hS9mGD4DNPNbzRK6D3d3IhKEMqos5YK+vxePF/aD5epJYxhruh2S9CW7 ZQbTSossG4c4AC/67QTiwfVXb7fbmnjEOqc+UEbIIu44i5eGOUv9d7OMFTb7RvxhRcZK1lcvLKk0 IKIj6qv0rskZU4PPD9SocbZ6zUJWv/c5V6c4kCunQx60LHJCLyoFp7YoG9S3mrpleC145aRAiY2u oL/oKeKBxOaPzmFIGVq6ZPg1Ksp/KdOCGUcj8eOTKTHMKjYRxgHYrI0lr8OsTxzcVrW4jWNv5GH+ oUIWcdDYi84ZpEbqTeTMMRbeEZ0RAJIrabgPGPjKB342JyTv6TybtQ1rF+dKweE7Um8B5snUI8JO N0qyRwUdSvUtqP1QudhPc/D5mBoXtL7YLASF3r4/g+dJiBJJ2pOakUTLmw0m0SKyogMsO+IEk+MO lg424FQL46VOnr/rfJz1ptux53oo4dqnTHzkB6hWOY8NMmlnlAc12XzIjnHzIdyXKuToWkCLUIb/ 3D1n7af//pZCvwRxONTmDK0P3hPX+Yy6qf/DbYiCb9Q0QdWoGX+rbnyhhYQg4pYMFxOIl4dOJL84 tnrp9VzFO09wT0FjLw/GgOpOQxXHqBqgPiHcmmNQux9q5OajvUZGl/fn/2bDdSBMZ7qRqJqwzSVU sZ23IxkAgqdcM9GjKwtozd79Gh0Ee4t+sN5M78NUwkKOMmmmniFSFjMAjf7ONxILPCPPgj0iU9Ye C+9SW3ewJEhU55hhSY7OLlnZ1O7hbpK/4nk8qs6LjLfk0vN8G7M4dSJMbnRm9nPjCAb+Uij2Y9a4 3IoRash4ZsOfy9WvUeuXH9BAYDGhactO00VXxII5NDz9HmFXPYo+X3ja2xz8YcQfNPZQjxPR3Bmt cXTXgTWnrOPgRyJlTwFcVLQ0JWqVSssWupH/caXtYlyI9f+3cGSfB/tHTs3l3Z0RdZDyesYDsldr UhUk6CgtwCL0HAYKDk+pAoGr+l0AR5uPEIDhjam3SDZfFStihm+r39Uoxu86cdT0is+wLjEvfvCO 33mTcJbQwiNWtFasrB0jPu3kM5e5aWlQc69+8Sr5CnnY1izcHABops2ji/03dzoWSIoi/opSLt06 DCOOnqTDaRZJDzQfF3FV2Ba4hQcmko6UuZQyzUy7jNOMJTZFUg093QQI/Nv1YcQH0CutM1Zp0xRF 84z7XVb5asCR2yobZ6R4saYOmmAGOHtPR1UnqvVNLGTICfE1aBL68FRkClctTkEIYngwKBocOsKz FRV4LOQ6NBA9zQfegrD50mbpPuYsffS8NkxMjBrgQxHP40RGTrB0cDfj5sgy5FkWn1hk3L2/eIi7 qpPoi2MowtcyqBo4F9Q2Jry+TtPnSNH87wOommzH2aw+eZ25/dJpJCniXBg70wJ0i81RS9+diMBa nsFGJ5Ppi/7s+dBPIcicUKk912Avevjfl4QvSgsd9te1l4kPE26u6R9wy3Z+yxSbvDFIJERool/q QnpgNOn7Oys4JXAtT6ejCLW2xuSXio/Wx5zF97p1EOr4hgNbTbZyy+xX9p2Jyb7/Qlx9K/tVNrhu knFdk1v4mvshLGbck2bPFW69eM01lbanu/Qz4mNKNIop8i4innNIncRlhu9Gvwn5HrfN48bL0Hbx uqGUCjm6J6Hcgu03/AESJj6Id01w92MLb6H+khs16VgTsHK3MoTH8cGGXbN0R0oOiugYh3Uuz4W7 KZ2LfnRIUAyfxMRjoBuUT+bQedUs/fOku9/yZpAQnCw+S91MWu7drvZ+hmEd9M6rHZH1I13hCUpW vemfNNAXaiHwzgUgrgRmrixWGVSoRhs06kHfALrjRscq9iBEYOwc+d/xl8ZrBOBo4sb5bAUz7/In xs2sI1qhqvIreWWVFCIyTD9DuqGDJk60ig+S0TPV0O2/xs62b+rjyvaPZtWEriIIBhVFNmUtA30P 0oTC5cDBbQiodGLUQo2hPbQWNN9Euhhf+a+/9GuzwErRu6IwEp1flE33UBy6Y0fPrlBoniJXkk/p jbphQpy26+KmVIe9pncqI32oMh4oPUhEumq09ZWM7Eyb92OVzIjDcC+Uhn7vNJL1yFfWVYmjBcPp oFK3ZCDdy8WLJ1Ae0Vk0YIr9Vbk7C1HqxQydcVjoRh/rHffdOufVYjPORIyGKUzwKkn46qw2g5CP AMiMRbgEWQ5zXIcVsZlLz29haJEVQb27Wf1ll/LIcX5jYfvbg0UbLlclz+PqxnA99s3+cQAIOrkU J2ewFYKiORPCBJWrLJ33TxjjoHSyu9+xQb8wUOsR2HUZbnnRX/d51RM59J+/S0l9ZayGd0Uw6vIY 8lToI2p/Vr1ve3qkkx+/61IeVbXOeOhVva2QSvY7J0VWY3+hg445oX0ClCrvftvSMeoFheWI2y0v 9gtJdoH1R0C4ZKCs6Ulk58ltkGv5tSxpLvvmEzqAjGTkFOxpBVEqLcMEuukVYORzhXLN3+HA3jn0 wbOGiCKqUOWa+3ISvDepqZHFKxWf4C8v85s26Ol4N+KxlRbtrVbkBVjht6vpFOKPF7s9dDQXiGu8 u/jxTnh12/txoSDbGcB/upcTQvRpiBtFW2Ujh+uBRYKDgm4d1JvDltUX9LTjXcskouZcWBEl3/Fa TT+39qubdL/J4NHF6hgvJZbB/9YH8I1/UBFhqI5mraxCXh72gDDTfvHGjrDSGcse2JCZPAIzzAAT GoiwKzWIxjo4dG2wMivfzldtDKGnh6320H7gRDu3LXnDbN+hY/bHJYRF4mQbXRPmDXhRzmx17nBH pmOyE7c2O6pEHh6bT6cJBalQ6WirCnTlfR+L7aXuj01+2VQY33hirKAv5t9s1EwopuwU0jqsIn0e tgGzo6cNAaX+yvxv6clMxZNLFvAv5c5enS78uuJ+R9qWkUwL+LGqAQ5VCTZU0w+ou4qLu1STSN9b ZgVFPAObTSxSbdNQDMzUvWECqRsgk6kHu0Smhk6P/sxrGqXZw3p46DtZLl3xUr5rUGJBo3/c4uzH W5tdNZdwvaUz8bTQ/PVPbErO6Md7Z6v7LvujG+GlVMEoqaY2/F3alfhE0DqYfWQE2hYGUY9a20xn ca9+i0TCjes0O/AUbl5w8q4kfAyK2f4/L2frh4O13JED4ZKfXx9/W4h4YINlOtHkx0SkKBHGoDuD +0K8aff02CTDhHaQFVc0hCqPE/MO7eP7O8hP9EoW9hpHjLcTvXrEQbpYLWQEQyxigJV5B/y3gWRX LrqUxGxc+HNUNtk+wd9iYoRxaNLvz9vmXqv3SBLW/mS64ZadOiiBBej51+DKFdAVPj3HdAdLB8nL qQDg470MoCBkOs9/yHyw+ehW/jsxZmmd5LkJFavYtHaqVpyMTEKtQ/T55tlx8Z0mqONbjeA3DA1h rM3ExISZJCI5QreA8j23rU7eMHsAYfimC/fVoInEff/RdbzO+IiYZ7UAPgjSL/IzHh58LXtDgXGJ CA== `protect end_protected
gpl-2.0
39778b8c6fa291561ad5623e6774da62
0.913416
1.924914
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_add/flt_add_lat_norm.vhd
2
25,238
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XOmwhk+i/yBzSHZz5dRrH/1wykd/fxNoxi113+2XG3PJrqva9gNnKTUPbMuTClSTYCyI1D5pKjc9 WGQzpnotSw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ye9QVq6HKUgeRssxPw8MUMnvy5hF2IvN6wXkVSoeE7j8O6aJ5Qv9UEtOzcPQ0JoNzTemKm7qQHXB mY9DDpF/q+T8MyoRazMxiQ2cvG4ctgKNXd83piKfuN3F1TRL9N08iOPpx0W+VWtw6427ZKKxCln0 JesC3tRxrWWt/XGSkfA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block C5S2GQmqdGFvuYP4R5A3n69sn2ZVG8zgaPExhAwhVh3l4Q+Xfjy1ArqK/aCCRD1FnNUvhg+hxPBh Qo/jUFwOdVzC5s4d3J4Nh0Y1EUqICYLKAX2Xmn8ZDWP/pXmyV1awAzcKu1UiufEBMbEFHFs8ZrVR aJgmjrWcIk5FZk90ntN7l9M1ivo2qGzl2VFw0O9uDXX5GHRgoXXbBDjo5mN4fzcJaNSbin4wpEhn S1FUN6mN7Rdi3WMsRLVZzxSghb2YJ90iHLUNLTvD7Y3NBLo1WNNjlFXnqIgZpny94gGbl1JqxW3H a9OI/kL6Ri3URyp10wv746nZiIxzFtkZjXgfEg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G621dl8Kj9Bse8P5BRoTKlIOV0BTSBr6cejfkxUnbycLh0zic+T5lrNwSZOP54MfO6YEa5Dr2hRU lKkXK0IeiCPp8jGD3zcBH46uLMdSsaO671+jgXOQTOvvW0vS/UOOWC6aKl2x7vwCA95/jEaXWp3o dGRY4qNaCwJOK9xS9ok= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WHLxBmO4haNoCI+eSc9hfIMelR1+5UZOPGqsOffbZ1Cu4JxaWYhrSsDerZrX+bcg6wamty1HZLIQ OY3rXS8ajldPGQaCDWwwEwGUJ1VgFBi5kOG3F74ZEbCbQ+r23M1SVaFt47b0xZpzf9vfidZewuzR 4IlRZRhScJ1X/oKphdBsJBznQdRwLB2IGdj8UecTc0YfFftzKDkwF1X3HTjLaoFgIbPxx38YykY9 pY5GIDIU3jNicTT3VKXpRSg/clrCRiqisIAn4uvWSgydRew3O17NpMB9bWjSCAPYdcs/mozrkNbR cjRuUG1DMeaRUJfebJDkcTTz626WxMXS7bWKbw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944) `protect data_block gLFkZABVL7Qz0UqnXoKkxclNIGZadDRkvX3qGWaybc06rpLByQ0xiW/SpBYM06/J+CIvVZAA6A61 dx/mkdczl5H6C0M5pCGE2isWJXzxf4CD+mawT7twzCKtUmBXqWWyk1mIDhT/npV+qpNjq4RicMAt dRfUcBLfyCjJKrKZ+b0XkZQLjaAh9M4+/CZteKcPMCZMTY6MB/WZhgFyAbF+aDdxp0cHPi1DCurx 97/rrQOCNIh8Fag4sC9iwpaXYmUqOC+M0QatKuykGvnCi1NDMR63qvQG8wo6b3RjpSRvvThSXtPx AbqLEW7Rg/Ham9X/2AsU7fAagRK/zrtKWJgzIO4uY1K4404sWekvieOYalcI1DI9g5Q2IWM8SqKx t2csIQBS6MRAvfgOT4TooeUib+lYSC/lbFpBDxCdhGs1Zn4aYGADHHQNrbnmhFWHJFVXg0R3r2fQ 1qADMQXKYtrLJVDoAHBi1h9XlXm6UisKoX1isUwX50/gB+UoONZkFbjclJRn3BoyncClllO7YUz3 yOFnvayhJuABr3uviY2bMhx4bx9aDkrg/K/FvtKqNTQSnMXAUvRnh9m17HsXXehCCYFif3Fm6WLi Mz55fQaRPU7lK7Xih6F3U6iZ9g59NusV//JSRWPggRSwLF8PHJGSzLu9i3f46tJ+Wk1H02W954nZ ZBQOQfRP+1Tuyo/FL/lVaaLie2ZzCoKjTBPgeL1sbCOan9dk+Th16xMTb15p7ibQOKdGHLK7HjTU j6q2RaZWABvrqzLhk1Zxoft4E4P2xeSkZnNRm20IoadHz8w1fDd2hJHxUzcEUiOYLoLshoaypUf3 RTNrJh1A0AcfcNaAsNIh0hCFycEMNRGhGL7DSbLA6NG4LPPzPMwms4BG1uJOTnK3NnsoR7bOYv3k qRp8Wlg/a19LH3TwCsSZ7zPur5XoOXKk9mYBKIMNQaZSMik07dcogkMKhyJgaVIcHouYYQuIuI9H N+j7a7E+Kv2cIOHBRF7u3PRaKSvVhNRI5kcIOeFr7EBeWfCcLUvQC62fJm38yEurKga89gPdHr1x OH7I6PxOkaiuovqTqgFgMFLzqRvLaipIY/OCC9accL4qp63l5WYJJjVRhCCyGwg/O+UWEQR9Ajrr HTihxZNItqJIs05qXJiMfR06EX8OrRM9Fnkpsy6K7MMSE7UNoFD9FCgA8O9suLX8uoepVceaYeHb 5YjEA7BtW8vKXDnvjNReUEqkE41wR7B5IpSIQ2nhv+PQQNU8dONE76sLHT+gEh4UnbDBG5VAl3ul DH7GgxkbaD640t/ichYk+QyrJUjaBOPpzO7HuMII8+3VFgq25fR1wxEbT2LO6c1EcRj2TblPssWv s4K9o5TCN3j1OB0bge6V45DGKNCqUsuBm6qvttphzyopQEl8oUYPMALzLwL59gO7pJyQYMi9lX1i XJtAJuXZDVlCe85dQdTVyXXNJ6XJC2dh30/+YUUd6RxFji4ED5LaGiMizGsUjp0hHeCCy6dDfWak nmKk9QsdBumw3kQsnMULNZS1sTrjTaTCHRrc1ebx1yVbop7bwxvzg9MuroTz7ZXdwhLju0D6O7fJ G7mEpUFVkBgffNU7JQhC3x0f8McEdWo9WAKdQwkI73NMEUVPpNHdGnH0bSol7fsZYFZylUDUNaW5 7lk/9nZISZOygCeNbV5eSv+ybsT/8cN/bG2sXcsyEYoFAfhjRLaXf2Vz+qMepzIk9O8xUJ60zxGe VdNsRBN1qggZYHSo+hDAhyxk0FwMnRqS+OEVxTjRB1ivgtyt7vyrcOQk3Ue9rtl/NSA8wncblbQS RycbmJ1tAMg3mgR3agAtH1973V2K/lJMuZ+cy+cbV50e+35M34hU2sYbfJO5dfQGZ/I1O7tyPh53 6Ls4W1xr/p+Hx66IEHsiwO/Wu3CGkNQ59bQXYbqfsCUFnbitXfvrlujMG8tWuv9GbjDm4kSNZOIy cBQnGU+d6xXyg+O7p4M6AyjnIJNvNTYCoSJLbt4CLalRQ2Rz6yhHgp400jtBhuMZ9O+579v93Qeo 893MnU2kgrCjojuroVqUmU2XSyG9NLZDA4X1te8M7ukbfI1+t4wkqX6YymlPdNoNa0iSzXqwj+Qm nng3Tboo//C4MjWIALPqpAk4NNvVjmMCXwHp2F+H3gXPuMtNSq6hwggPC/miKxrQsr6zEGjqVy4m SHpw24w4SDvqalmIQnDvwYujZ0Dx2wmv+ADzKOTtMrEG2+gR8cxI1QYX3E71rZKyA8A06lvrB31s QO3uBDu7N32AhtbUz5YF88rNTdRzOUCwEpmbhL3sD4NRCMGLcVSVEDgmpr4g85gj2IbHHA4HkREU SvQCRVU1MSArKcgeSL4FOpWZr+8XmKaHY+JVSCOvnMUMbQfvW3xs3IjQRxDyhJ5vrISX5+23w572 OQARpzN0g6R1ObeJbCi9lz8cvLV6q62Qc3mUZn38a5habPFIogGi/o9Ju+/0JkUZf3Hz9K3SMyxL nmOCBFqCf5pnmSAPxY6CLpMlOld1zKxlK2mUAlnfWMUDEQCUNcAG90/Mknrj2UrX231sfJ3NB+yF RyxGRDfEl5mewy4kbky6fMGQLuyRP3LvQYhpwnUJRmeTkJ3hoXy7U8HHCTGM1g9kSVpP9bHlHjii oMGjwnSQBCAB9Tf53B1vH49v2zeNRab2/debBdQSY6pvVZ6DSJqipCg3gp/rWV+8c5KlK5GIOmOl eqqA8dSNlDl3Y3Y4tSCjZE6u9QoIx3mWjw5K6z7/tS0MrcQ8Vi+b0vwiQoDw4uHBJvT43Jr0Fh7b 5gZh8kQlPcgWyAR/XdOmni/91WzgCUeBhuGDfRuvKIpFLQsY/u8oaw13KqSRO8jLXGQMmfMME0op hshQoiYvFJH2td2c68NskJnKnl+Lp+fmAX3FSWjaxXicI9cBCS1AkZLb8CqIQbHcE/m7bP4Q/tft tpMz6gOdbSBGb6lXUzk0lwrZUOFvKKAqxb/YJ5hNfMh6qlrH0r/eU1LyPhmznnT9KIFayQ02l400 DxHbXRQYBRJ8cgwgKGyM6nmADuw1TmwuxVdm6G5kxUws0OwF9NVDXADM+UHxyYsZ7XYU+dSaX1w7 iW6bcRR3Om0rH1+xaWOsgU5YLoUxMzqXfpzbiWFomhcpGl7KlwVY7/mhnKg7CIMbwjKl/yzQBkaP NAC6OsrQ9D5wp3TfxdKSMbHs/C+5rxITaKPqK3XNQnHT+xN1Ht4kF93xQg/P1iaFu52Iz2m1dsLj G1c2RMtuIs2FSB8qE4d5pjW+rWiIRioB1NuEsLYI/nfSiDP3Z0lxFj2gfwjErhSZol/Eot+l7Ia6 3r8JOrV83Amx/pF8QHdniT3rxMzSIrMm+LAc9JJvMF/3xddr2IqWokjdnVmSkgZKfHupHWosX6rO v86dPWi16dGxW5xVHeca4QyOJtmf4jQ3MibG+fOlV2W7MKenqC+RoIo2Wxa3QiclFcv8oZVo699m ra5jaOny9k/+kqwe8cXZ2+gveW+uPdJhH0N93RNmnI9TxDDJP/vyiomd7kPk03ymgAOqah9YlsDv 3bxwuQhE6aKtLfwPsKHMhMghdfmdcWwRwRuu2i/JjtffQmqslQjgNgBBv+Iw61qgTzQhrvtx9Aus YA62WdEtWy7Pri6GBoKol3Mx0HA/nKjOxpWsIvzjwk78l/6NrWZPMPoJ1T2AchaMeKldk2DvEzp9 yCzw+L5dHsj45rHHscDyeh8lVItK9nZldE+RjwnizfCIJhHobXQZ/0J8f/N4+p9wQDC4WLIwZ/Qd a0aS56A/Kz27ynrl05qEQ5GQyQGYQGTrmC7m1uO8dxEuYo77pTy0dzVeCS5CHdStRra638ip1Xpt iYXEKtd65eHbPCEGmD9YHLY7EH9D4WDrmEF4kyK4tpbh/KPzFug6PTExuolEwbQDVL/E7yNPFeFX uA2NIVAqX8vFCkxWCV3RaHB5QlPKiJuQXGfzCCG7b1zFw+GH/+Tz88jrxgkBWyF5mCO4u53YR58O aszVVeuZNoYhmyzxT5I8gzcCJaWalqgVZy296LEkaSvEcuOzeZnt+t8gx7trXcGmXqfIqHKdOnJ5 sRzkZQyM2J+juZcb0F7AfvRUN53yljDWezURvlMcouf7Cv/iSAhqiRcF9S1spRfLLtHN/l0j7Ruh PKk7suXFfmJr3Y+D0luBpPCXjMJtNTS3ceCXQ0AgDGD8KL3KWUtHMhqErCLTuAE18xw+PMsTV9my vBLjJJM25LBuw+s739aBFNsMCq7QJnncwrsG+lkfTx6GNEbaUZqCYLXPWLq4HPeqAW4njON7qYDR ngLsTsDeEYdWsqZsKcD29X1GTZ7bNF8HdTJ2OQ9xiI1DOiUlTBkL4qvNiCo07kZ0ocF0L5ZSayB0 GmzOmHy5JFQz1aXbDgislcI8pT0sQFIrrO45mbY4T23Z0phsD2oHVklP9Ds1mwNJXh7sl4h25wrP ClUEnuqldWjdbFNPqkkEjR9AFp4FS02TJSLhkIU0R48oHHEhj1Cnt2FAuyLTkC/7JSBbuQLOcvu+ I0/uOn4cDMWeUroYLKNMkaIZEXd9c/3FUIeQoRmwljdDx+udUpDMvbmZwBOSYANrMmkWP4TRJ46s W9R642jdNldHSsBU8AaDF8Xa9FU5hBY4leqp29nFqreSN2a2HWKQOo6fJsqVzMkUt8otXLL6lVWw uHEsa4bEP0IE8w0H8p0IpjWAbrvjuq6q9bbKbpM7WAs5ttU6Fx71bpkpUwvxeLXoS59lEN/eCSlP +z7NVbFwJ5JEjZxpYshVPD2mFGiXXTAo2zHV+to8lJqGLasmwvQ4Mxb8jNtyFdlV1Q8FZQz65oJ1 MRpA8DLcvWqGVk0BbIYxux4YpA+6h4yg2lCu9qIR3KpJEv+PgK6lMwgh/7nbKjBzf2KbhglKmj5V TvGSEVpJR41oFZbDTPIZvtgEi/A0x8rzYgpZZgi+gT6sJJpbT5TOS0lRiAVwlkN2B2GXGQ0RMmBE MqJRGaaEK9byBGn3tVkovV3hXmDa+Bm3djT0g/tSiOuYrMPO8NYBXi8HVepq+Yrzq64Ee5nWIp40 z2ikuC8DJ8DrRVmNpvQogyFLKlCY8cjDofaDyy3SDOMGH6AKvbAvvTD5vvt8IDhRvd+66r7Ysrqw ZN9MRjgh4UEN3kLQZjXuJiFvopeaZ/tLEJw7zX92hz4TzPtLfTvSI+Oo2vQyI3y3NvM/0hIPr2ho AhqbZX8KqdIt3MPZANbdb+0iAb5+Xuxbzw4MzNnwoMK3Q0M0SnU5hCLo+BJLsltk3MZBPMl50dQZ SfpAaYXSadXAwyl9WSstcagaiAIGCwb3Vr7UQRxobEyF1IwqAqD5ejMtroPYdG/8MV5xcAGU3qb/ HSO25QViDTSY+QP/LblaASeOCt6xR+zrylt5jp0smSg5OuIg+OKse79PyC+z+EbWVEp4TehjjsFL 9HhzBfExRCtS844ONsjkKzS6Vh6cn83UbbE8BWFZw37m6KjUGzjrZ6Aknz7E+vKCRfh+ENTX2J3w rimQmDC63aXCtafF7IPfgLY+ono//rOxpEIqLRDqhcI36Aln4gR3oFe8y2Lr56NlSId/z4YGhv// tWLyeiFRdNYnHh5lDJglZygbfoS2bs5r9WP4tlLA/m2ZwPsJplQxV1XtDR0JmHB8WQ50tDt1h3h/ r20SskLaoBOjHSfbrjrzKWX3gd50puAQnfTpvz+05jzDLldC2We8Vh2IXOOD7HDxax4mgZv+56RO gtgYyyF+MjT4J0Xslz+pIJX5exg/dE806wfkCMUaug1tfidri6xeaAxDSRCTBOdAOEJIFsBrYfjy Xs8hGJuOrorTnUKp5deQqNa1nRpajOeVOjMdw+dtXdMNaOJ1gt7NKN1MtzVOVwWYldQ1BvG6mk63 GPV6PnnZKmLkv7h1hnFkQajoZBsg7rIHxrUL44JVNWQ2yaAjwKjJTJ7L2ni210fir22DrpyKhEhB 4cgZ125nQDhjjlOO7RN/OMhZCDU6aLBzLXeDw3qM+mxFPCxPUmHvYHMOd/d/oo7t7C6VX4fkLVMe /qmJbZAOnuhaVht9FCoPjjbwPRHIxYM3UAHbjiWjFcTrLQPkBiDn5Ard80ASfYU0J8QYATH+omDM npggFq7jbeppiJjOLQzpk592pk0TP55duyLvAaVo2e5PNFvGP/qps62oYeSgas8T/AvVGy8IEskd qqZSgxs88jo4YHmFseanU0P+0aAoQxU/CSsgBE2NHsVwCcTfh2Vx9iA/fcVyIBAe8sCoeComwBMA iM3EaDcWtCZjT8OlGbJ6oNXnpAlapKR0wqaGOUHkqPy/P+VBVjJTAV5zli9gaGqbUxxBsF3OyUQl DI2jyM5LN4WjLN8gAzK5x2HjNeES9ahQUa76qTbEhUiWNsHCuVTF0nQhZkisFuZPrOFNwkWHhDq3 sWQEdfi2M+rzg6L4A1h6IpfmElQro01L65ouBGLnyHhyvbDZ1BbjcGQCEpl3n2J6GLCqcFu571lc pxZ0oVmMtsw4TSq408143hnwvL0Nzqf4L7EXHYGi+YMtuIZ5rUEdLxH5hRb+PacMgl5EQnMetTO8 uOS3ugvkkVyzkW1XCvVHqBw1km/sS5twnLolX1ADUEu0gmPsP93lhLhjAGJOVLd8YrGgIJqCe5cu EwF71iKmDySh9PVfe2Dd0rAc1vG2R5FwFXW5PeR/h6pTaUlvnZTrLbvP2lq3KhFVowHBbiIknY+f deND+JXAGE0VX4AgR+ebfuBGVjHacgmv5vcvvRuN93wrEFBzZuuWsRi45Jib1D3Lt1nrTPY9LyyZ 3kH5HEdQas7oTbXAqBU1ct9lx22ya2tsbnfVUzjfwtZI3FPMWNTez9FsThYjhQ16FfF21BTVBW3p mnLDM7K9gzWUow4rEK6BXBly3Y2BIFqFwH6Mpi1Sa88LyCTpSbs1354y9yIe5OCrxGtngfoCYE9m MTXvhgPfUAmdn8gmIJZ7WM/S9i5Jl9yKuYEHNAGr3Nc9J5hgUBqW8QC0jL4Fr3i0womb/R757uOU uCKwVe2DvBNJBfP1EpajrI8UoUYUvMCBgIbvHkoYpIblMyoy7bSD7aw9/7bEeU+FbCQ/+h3F2DFu 4qeSWqa8xz3Ktb9HmfWZsOrHOZA8PcpM4Fsg/yW5rr3tq9QfC09jUN+RXBCIt/W2PuKdsMpJeKiL e+TkF+3KlpVvgPSRwBZx1GAmohSv9B4lEUyt1fPTVOfbhi4kW7Nr91196CwRWc1olxpfPtjaGxVf Fk36F/M1GscYZga6D/WJcP3ogbDgTkZB9Jr4Q+ch43A8Guw9Pnia8KQS7w6bz/5Kyj5uABkZXxQ5 fW3lX17UBePP1vLAY5et18sCj5B/CxP9uy+LwL2AvGQ5OC8fTE2cySXLE5Tl3QGEQGhSTFBbt4ra hpmurUM/zYaqJ0tATNgMQXct6b2Cu/FB1toh9dGibNmb23bDSqlzYJwN4x/ZyUiUjfjsoAa1AR1+ 06bW5Icg1+Qw/VpLJfsH1YQgShFTu5P/i7podhQbWX9Atj/QuxoO8UWJbVaKVHJY+bXlaElBVOVr /JS/ZjMJuuMOyGFMZbd1sCEz3L6IVAQN106rPUCOAnfMjKYNtBr3z1TkTtDbivaNG+MjTvObEoXK 8pJ6U8kJIUh5F84AwXPlzGQUht5O1sPjIwQjFwXBvi37wGPqhVId/A3xgg41FdsR2bV8zavWaw3X /RspuDaGNoCl0/Nyknq/DMeV999spPlbOdh7b/f92Xdw+Yv8FkQV4klZpDFSj51igfn6gr3HOIz7 EwTW+5p6zdK747OigbjVYMblQrKGh77KdSUz5EB8YVfr1aUPUpflriwGBYbBHIVIzW1l4fYdLT7c IRPyLMgssgZ2Hi9hEKbSAKp4wZLO+1EPHZCgpvydjd8F1M7rfij72y3JcUvM7NxhZf1QCeqfeVPM z3d74PLR/3nFbD3EKw2ArZr3HwodDB1ni7gadKEAVj9nVfvqcKmpHGc6U1SZrH56gfrzIydcu+YU NBmTVjxrgFbUelHdNU6Ir1CiAVnCcY/3Xa8jQFYZnpdlqqRGLE0yBL5EljoGqlUPvLVMaQsEzIU/ m1yqyh7KV4i2l8g4Vqua0e4+yaXVa4WGa+ykFuDI0tOLpAZl2a2j4CmKB7GNkdyIaqLh+l0BDaeb 8L3zsqgKXvmpDNlYsvtqebonOiY63Ttk+CE+YkSkeVj5kfHeLlZX1wN2HHE4/r3/60jqwYyoleg2 mKvj/sU3+iS1tQ8rtG1sxSzmZBmhvvZ104cxIAd5Ehxjr82jCChmT9Aiws1xFZ6lnOVTOd5mlXqk 31wchaKvg9oLazkMHyWvI35U/BTj/hLgol/0kkNCeJqKdhi7qUUF9pDdqkBALSV7fjhZ1j4vXtgi x38a5dhfe4L3RH9e1h2pioug1bdHhsWs/GoJvyZPgPERXthzzaSEfwbN6OD2vdY45QpHxSIxB5en Ssv6z1r04f5gOZ6qLfaHdBNOHcAphPwbWueFR0I9sTRWBio1pr4JgULMK1qoRkxm/OPIqkVmBSzy lLspjYznPNU9NcDUEXhEEnugva6YYSO00aC0t7GsFQJj3waevQjXEM3Lxn6LF09VVXVqVUz7vVW/ BwB0OM4qR19vY5vF3DHFrH31ZT6BIWdyVl92KDXq0SXGhSL6kqckuWNm68TNI3BEf9tqtJoy/vu+ PGd8ncQqMbioNlviFtzdkBWuSzMt2QH6EDOtKCwpwgSCnld6/4qXkdPVf55FPFPwOUJkJRDqNHLx EAdNdpK+9hRCPtqAu5A1MEhxawJWK1KfMXAyQv9+WzRhNNtDMZrIr+YArGyTDx7I4sfVPZK+k+LR PSTsXHCwMmER3u+pHQzb+lPZUiWXQi/lfBjKtAUiWfMlf3amw/bNqW10fzmiX0mYYhyP4BMXRyYs r9HjkUG3dIPLgsYZ/67rqOrG8SsKnl5XvlB0fFctfOYoYO2ZAgzaNQrRnYkU5x1/xAaKo61wOXKZ VytZh4fbMMcGXyE6lCOZEAcqOG/BQ0Y0IWY1ta09l7t2OQXTM2clCqf7Sy+oa8Dthi7MKTc3XZla 7c5uZ/0gjuE+f89gxJIHfTwDiWR9fJatrlqZ35H3LpH05BwiwBoal30BIYpi1B/BwDlNey+doWP7 1DsElXSOHh8TcGxmcUQ/c74nMkySgm7s7YmeLnmb1qjsZQi9DRpshyOeRdB8r6HKTxBupmh2VoNF Z2sXkzHSx5LsdJ5weFn1/tO6NGBzj0CnoVaaopKGBhjRowXQj+Nc9Ou2MjkrrUle0N/fTXOjzDoX YZ0VH9ODhctZoZ9vd6UbHf/Cwv26LvwQN1td6SMBkNq7+OFwciYevCv5lkP4WK03Y5Oe/6GClgAu zXczGMrAZbg0MOByWzcefIearaTkYOyR+V6qaWrJEdWbHjX4RLEl278oj0ndOZL5Tu7cTXL+uxhL m1afOCJFONf9sT/rkxW2fGhLrM+GsnDqNR+V9/+zLDc32CdBL4iVrVsLivfzDjksOqIBPYMFxTfq iP5536Haxn5XPKs6OBCJASTnmqootbfEIJZW1WPlx+cEWjwuC/8EOpCjGkG5NeLL+KPexUo5ND5V Ew5LqtYX3xsBWTJpDWRdLcvN4EqtmQzZ9ddLF4WF82g1dEXMdgY/LAXwHjTYY8y80CX5tU4OecNd KpgImGe9ykeWg8ClaA4zQ5mnP9V5KdbZWSb8qYgIqIq5b4+f84fYnthDFFNkhLZ5xFWUFV72bWjb R9hGCvKMxSABkrP0Iku7Kf4Fuba/6oWoYwK0nJn6JaJvc2tRQE4CEzshvk0wKpgs1fqSBapkFfMz I2yjwmpgupczN12sqOhPlbB/OUFZcIUrvdapzpaW/zxaWbS9e8zx/eohupc2r9hsXa+xVVu+tqBZ XfqBB9bymkbp07m7ZTMevnlJLs7u1ajuvvQmnhxrAm8Qq+rzhHGYymsJoD7DNcfCt5sH2AsDvOFU NPGBfQPzFTWbvVpjuZsg99XdN8aX+pFHcmuiLcoHeNZUZ+vBotpZdI8v3JNfwvEst0IhDRvMZy4g CW+JnOOYI9iclVOLblU5JPEE+mjbSOCKsXiedIG4wy0RKBevCOQ7eRd1eQCjQXXVVAzbKivqMZQr 6IpwMYX0D07pgJ4unyIkIBqz12v/A4ebpeSBkVUrLT1iAssVmNAwoi6oQBv9X5Z0YXONNpGcqld7 Yy5KbE5LJbgPbLhCJMPyRDX1VSSMLwst1ihxg3gJ1B6LnSHWsUNt2lvbkaTkCqZGa4K4gDart2I4 0RugW1nsTAk0m7s1KamInUm+obOS2fav+Ta5YQ8GtBYDGQPGtlttmWpYGAVCwIdbbLo2t+EtJ27O hIn539ZKaqNcfHllHnPJC0B5XT3e44HSDcX609kpV2MhPznkzbJvcaQAUHLpLOGbM9HsVshpUgaA M7hL85DeKR7ehTGWhqFvWhdOVay36a17qFVMOfH8G7ok+ujYaiPjb/uyG5OLS8UIa3H/uJgGdfH0 UM1YKZrIkT+Hu+toFvSni/ARG5genHsM0pPRfJ11Voqf+mbz5SegP9XPZtTUOGADRiUjJuaQdzh4 316j9pTj65XOqYTxfs6baEDjyYIr8MSLtfihrrIKit3WbnGK7d0ZK2r9T8JMMtlBNGwgtslcw/4o B/081QDuVaqBVP3XQhD/ePoihlSyQdppKDcwF/xAZrCIjs5OKI0ClhyHW5d3mQm+algasf/ZLPvw i2seAcx4i0J+AVUMf2Fft/OgdZvGUa6bAeOkZt3HO+0DzFyEKDsZxtAAYaS54cwhYoTzglBxwAvQ YxLAHUrx7RjfIFUYwHDq5Ylhlb8miGCY0vnNvIw/CY4tZhNhRmzbOUV8dWB3rHkwh2ZBRURPQIsj EuF4Zx/6v+NGtu32XexWwAgkW76VNlcI1SA4yb7BON5zjSwm0HMk57lKBHwVqPX8gsnUfmZY4CCt mhkTPlfVNZgtyxqik/zc9vTrDsWzFcG2W+itM4XeIxE0V1HcSyJqak5SHAVW697oA85202xM3CGV 4PBA+dlMgERF2FqdM6t3TRFdoVmNUNEOf6zBrfQyh1nGJQOa3Z1TYEcqhhf3DOqPLKgn13FoJFAF 8abnuIdvQXyfjEYOzYGJDuZVJGNS4nYeYN194rexuXxVvWPLOaojgBXxafxJiScklesk6rVSdgb+ GLQ6yTavNm0hPGwQLwD2HcSKCB3hqbaCdiPpAMictfoFqKB+P6BhFMY1Xo7vf4Yd2O05eCWmtvcj 0phHZaenh3WHFBr3p2J4ueUq/2y75/2GKC6yBQYCIJvzfq6gYCMaS9GXkRTI+9UxOX5VtXY/JLZU w2IiQiJ0Ql4+B+5QNT9Sb0AGsjBegGDaBoPU8fM7BdigGcqdFRRfsrs5dMFVDnv2Jxt6WIOi16gE CtN9mHHvHvDk6BFKCLbdL+E3QgWYzwmW14XXb7j5WfDMyeLw5xJMG9sOzjRr48oyx8Zg+dLE3l21 gi28nKRNDk5C/Da92uwDBxvkGWXwqYk7lJhSySip1O9hn8jS2IisbwAcfE+5z7xny4SBNY+t0ka2 XvzeBTrL3vgqEQ3Z3laROJg4i90571M8XXOuavUKqZ0VQSX3W8rhkzyx8XCb1Ih1eaBpJNCw1Ghe /ldd8/xjV6Ek63auk6IAHLhPIOFJFFR+fyyZncZFQHYNW4rLeoJnEm5d1AR2aYUy2UnOHxHOmi7f y8xdr4X/9KUklCi7uVDghWJ0tRAbeGWDTe8UOQbiTDnM7CvcK/nwSRkhC+TZ5zHv0hQd4ifJJeqn w4RUh4CPSwsh+iEp5pIs8HL/LDhTjefOFkJ7Kzc9JrjU8tY6cKDlEZfH6yxp+mHB/UE/QWXoY5p4 0Ojdh480hNq10d8Bo/Yvcik44r7zcbhsCum5Fcr9DgdTnUwpBXwAQ381VciQnctpxOFFCvaWNCQx RGALPKo1Pyh/B3EvPq6FOtM2Oelpuj/dgJe/rAIcn6BlFqOGEd9Cw5ejeo1ir4p4wyYJTGIL3We+ cYsx9ykqvd1OllqqTRo4zYhlsRb78lOfcm/Yi/k5ZNsaE3NaBLsHJh9PKTUBdJGrpNPNGIdQeBya GHNHYCDWQrY+iAR39/tkn1ATcPHwimyJhjvfjD1myMS91tDw5QR3R5fflBWXAVrGhYXzZonmUIDA 3+hFdxDa/DIni94/RKplghALU41DdRD5RXT8uXFMeO+ISHrMyV5q/1YNOc4UZr1OLgczvkKA+1vO oxGi8XCqwjLXTc7bf9mLeejZclYJ3JjcGohLCmlSTMCjMQwfVbKcwW9fhWHs4+EtBInAQD/Wr0uM WYLuwWqC7/cjAd5tKK2H2FI1pU/uS2bJyQYmVqcHi6ZEPHlb0p9xU/R2KwyCsmWAShqbv647aJuo wT1QmGC8O6kMURVQuJ/p+arMJ2W1YOPXt54YVPdNROxU0sy04kjIuOLSPB8bXY0xgd/6flJaL3/N /MQG1jL01BNhnHjMkszxeGy69r0hrREnyjbJ/UZthLmXnpa46u1JK95hJB6rsaBy9AeqjEp38XK9 vAXfb0qtBllGsjYwN5pMbI3UGwSdbUKlAVUR1IZrHs63SNvsxIp63Lo1Azm/NQ3qiZTMwUBkOfbP AYTIRFJBHzEsFvLEj2t0NNhbtfFe5oJQGW4SxWvK6yZUKyAH//rlUVfbG0dgpPH3N1qMfdGVFG4L yRJ/uoFGgDDdB8fd0DHKuvzljg6jncr9JaZ2zgNr69z19LmNFZbiL9Na0Mn4Dnk5+GHk2717IQMC pU3U2fsWZ/rEEYXSO7Kbhg/RlB6neERJr03VEjLGEijNhKd/OdFlOccxfBzRrHdCCFzPsMd7spdZ rkspJ3GK4RmbVdVsuaItqyzXn+oSeFC88pgH6fYK5Y+DFvpFjHQyqSRBJkzsDKhfSM2/GjLk2OFJ cy734KiCoN6r/jNjFbwTE3IVYYhYFxZA1jXKS2fygfbndVqNvE/VpqGWir1rrMtGWMnBIDO3suiZ 5c6XNIo2yZSwCscMhtl/91jOPZFdc8I1cgEUEfcaCBP01k191iDu0QJ3h495gjgmep/agIDV+nMr tf+3pX9FROXPKdhBRxE1MAJZ8YEHElVO0MgMRUPzcLzX0hkeM8DolQNilNd+RP1QOFUMb175g7cs QW5KC5+L3ghdYS6c6g2wl2JbMn/07fQRqnbShNkbcnoEfawV33pYWhYe8m+oDjDA7kZjfQFohcA6 8ulizWnw5Ulv+TCK9RQxzQgh2MCQy/4VONLVVH/2/eR8H39YF/nU2VKaIfVElRXQ+2IBrevqQb3d OEZfR5m2kFaGQJpV9k5pOKJcBxdLMrvdZWlP1Q9w9ruPLZm4pxCl7OqbBE8Zux2X1jRzitB7g1vU HEqKNhP4iFhVp1mM16HpjwFyB0OXx4/ti9IhjAzWomJS6LEVuSRYqrqLkg6o702xRviGklcuh0kN RxFG1aa9JLgGaBL6c5NSify3xcxtZXUM1QvzRrCSM7Yy1ACNstlt4ASxXfLNF/2WXZKPCSkng1Ub mHICXJVIE2YOGk9Cb2qgdKsmi1nCkJx9gvvWJcA4hO3U3Xm0WXZJRZRh6gctFZif3cexusDdSNVI pB7924uxxoeO/06zJED/4XXxPA9T1mzILI9VwVRCNuSIF/ZkA3/nV5ZMXTDzsw/iFeflEhltz6mP XIDR4mTsFMtEPWFIvWg/EU7BkeonCgNhWT3Ik8R0vuzFSRwn3Wlh0hqQGu6KlLPfm5VkB5BNlhGv vB5iV7Id7KhY7IwlqjQnqE9b3SgtmTO52pBqvF7/hDvh4fa1ci2hi8/V7PqtegGXUIZaUII4Oowg Hn+9ELPNnQfb/w/5LABSvjKtNGGhtdvRLhXKxAwNfkgZtImp/h8bMPAvDmx8RV26UaiZQVt5isNn 2UiEa0U1fTdYnnSBCvpU7Zvd0G3I7tk4oCOyvd+ZZz2oybU6MsmrBH2Mc8hMG7Hrv+y0aesEB9Z1 CG1Aiyc9LnrfqHX2uDlHTLKbCEHWr9iZaIAnSY2nv3183WGooDHGqYaSFgjilmbpQe2GvCRQ7dmm K0RBpEZ+gRi0Stfeh+CIlJKMuj5uXokZHe8vjrS2dH7Ss6OpR1/IFfBgVaIYjmOrQqaFOQoGH7gS ZL+faA0kQehgKNux9COQzMN3kSuEx1ZCwozJxBbbMg3/OhNeNdd9Mf1iAfsYwxZHQuDK90l6OsXi nn3SfYywmQmvLXiwRokJKsmjE7T1kZV6ecwmF8D6TcHpylWaFYuLUyGqwaQCEOmjGPCJcXFkgB3Q 0Ulwyz+J/SkaHXeRc2DU4OTYwONuP9s+DkKyZgqtfP41LKWqEKvow4vYIyJ8Bp2REXcpk9Ljh4Oq MHEjV7QDKQDhAoij6qmYarn1ZMjfqpnxSfXNnEfKu4OO/z/MqSpTb0nX6RAf0/lt6aUnJr9W1z0o k2VngFAPRkiL05wmraEoWpXhb4tqkBigOP+nysHGhVMbSIFZugDqUEiDB/F2AW8ThU9rRSb+ERB2 dJ7yOsZ3v074xLAvBdihpA3fi4TpN184tOiQeY6rX8RmPqRyBjSY2JKUxpiPh6GkBA5BwkZ/F8co NAl8bIU7b9G1D4Ft2W534w72yFpg9ww7CvYZA/NjgWwAIShsZcTlEyTYxsTNzu0gF8UfpimZURJy iHN8cmsnl5s1aiKELTmMj+JIn0suGVtV74ftOgBgYvxy8bAA65Ik3tkH9wvU2OWG3xPPQDoRexTq aeVhKbUPZamKoJbFK03wDDXL8DfLHko/9dwaX1DA6q0PeP16FYuMp3NEmGL1yEsYMCf+MS6/pPHl gMiuES2bmgrqJF6k8YuXH910vva1eU8F36alr9PkupB/4qHXmrUrKr0LIv0rFXxq7ThQSk3yZHkh 0GStcpfffPzhXiHMmrjQUECVuBsNNhtEvSjMCq6f/pJPbDH+W5NV/oBPt67uRlVdKJtV3C0HVm8k ZM56EpfZQQABM4A4kTFw4+AvSxWjt74nVU34Fzy8mwRiEllR4r5OwLE4KErt4sWuKo6MUkCHd7a/ v+KOIgEKA951szDR3r3cIpTNzS5UlCxuqXwptTgUg7n/EsilAJuc/FxOFDShSRon/V81AqpTOUp1 gyJ9pHPreJLDx513Lu6X3hop4G/oS8rlvI5VK52vvIEcfOc9BO+bbUqR1Mq3TedrZoFXUWWkkWBj BTMgJzCfzIqd8lraY8mSp0pAV1Uw7ml++RVtuwGw/ogU8S6kRmhUlej1pkeG7LJKyivEUIpwDO4p iW9WjI2amXb7f5S9JHqIge9HYBvPSpWArZp4aFkzBWLxIfTajiLfrhUB1VOj2z1x0dBe/J0COaYj vy8lRkUa4m5JWqtyvbNBPbaaSE0PsMslwjjeWpNknK2kWh78SNTyZTdQETNM8T7xe7a3cnTArjZ+ TQ0+mWd89Ha0m8WUoPmG7pH+OWq952MtZyyXpVbV+Q3NjGFXx7DH/Pd72ff3i7pnCwJZbyvExLYG oUq+d0sktuRzlqvIjzsAFoHNTqAsxLPr56yHP+foO5JBiEPH658gI0FNnpst2F5Hhmc0eRG4EVJf JCH2DsYKCdq+PrP6zEVaHVbpG7GWbLjZrLwhBX27ThxDrnBcGbgWJQ/q1NY/vo4kI8//3Y5fXswU HnRGrmQmbFGkGsQ/6r7nzEATgqAMgNpY6ASmYRAfF91PMx2jrR5PF/RG9hvOHeM3gqS2Ik794rPU pcDF+T5snl4gE48EdNJigon47jd4STnA0D6ECkmliItDwwSQkYPWNMt+sQ921SOAnvRKlrTkMfby G9+nOrAADUesjX6FMbNBVfsUHtgJKIsxztmUlwxBcOhb/5jjsU1yxQBYKWF6tc0RhndN0F+2VrHZ T+BG7CUuwD7aErfWj/LoKM0e8MF6b/ovw8G4EmI9dI6h9zcpCLMXg0vjQg4nqXZYNAZl8bBX+p+j aqBu4WrMYC5aFt+vfgQs7nrz9WcmmikrWZidF2uskA9A8xUUAHoAjRQo3VMH50b+Q4qfTVnAE6Mw +NvOhF6xIaGi322qhMiFIc0ns9gToAXR162/GJC0pTvzyYouv99GSJyYqVzLf1ma1IEAWHi2SK33 nKwcQqJtsRaIOy33BLOasWp6GL9kcULaWMQXUIyOjkMMC1TCAaiq/uaB+7wYoqSgS0NnQ8KjWIXa utjDetkBtYIbHM6h995QjEx+auUkJdk3z8LHRHLcpah1OYfx6/zU5lYxUiZaQUlMA3M49Zyg8U/a yHoLuBCzMA24RbteGJtIbGoDH2/rLHbkTUOFR5u0mpn+RWJ+qHo48R/A36QWNspjP4Gy/rUJ2fLF 6D1GgXTqkQKjrpC3a5vgFsS2qQFVva5MuQCfUPGdOVu8N8b4UEVkaMAMsxJ3uGPdGm10wKDx2u5p TrbE+WItwWWqkpdAcOHZkbgMg0ab7UuDOMe7W05XCGbDvNB4x2hLlENP+zIK85qyIZNSgnPSWi5/ hTOhr9Z9OTK5CpqeVx33uotS15I2xXfOp2RWkJojVWiXPvzaWlDOXfoYtZYi6qRhFuDqoaw9PeMR b9lptdoMMH2sOSOe7XivhXb+zOUxttdOrXwUZRdeClfejQiqJf2kC0LxH8SkQkhL/v8VZfUEnb7L LQsI9gTGdOIU+QkCa6N1R8K3qF9rgO8kuWClbF4kDyIThQ2cqjdgfp6iPMBLkMRZZkQVzZHaMdZ+ +rrQ0Jsci0ZO28MUuCVc9xC49QxaNd5Gjkt/ESwQ26HZcyyGK5Jh4tFUxIBfJuK/0ITEfE79aTnG MAe6CeV/7xmwkncAWue/7hW4InrpR33rxYY9PD9DVKRfR3As5xSP/V72levW6YJamp+xxsnfy7DV sAMV7kMMCDPRIRrReO4GQXPtJb3YApiB131WfPZxp/fWV615NIyemAD3H4bBv4lC3f+RTw5FvJaO yHuljnTac1MeTogsV3sEwhThF3g2jpKUeVLwYBJJ6VN8hHEjfni2CV9iOoNjN79oCQYPN7lwcSLE cWs5k+n76rN+CGU8Hsj2yIziAf8cfognZnod/JkVThJDsblo8hRHZLe57nMncdrJd/740AtCWjB2 VhY8W7+y73IwQ5q5zOsi/oQrefLnipLqEB5sAbdyHjdUU4brt20BuiyBtPocWcbw8CWgMuYVVy6l wV4vveM+Tm5VCeR/TnYT6xuc486eb7fG/wfiCfVyUN38wlNRERzR/5W619S7x0aSix6ZAgPqybj7 NThEJQ5mYM2HOytlsdiHj7IBHzT59gt81t/xHwOubFhcQU44dbpcCLQgGr2rQgF36jZDAaWtf3Zy +B1fWEj9MhGpgo5IfN2VeLhEzG6VRxaFudm5QXY5EPbPU+erqr9WeLqlugKCH/xGqGhxGRBL4Sye UzAw0XD5as/ioV3dFRz8CIoZMIsRMDVXl1Jn3Jv6TllIlexFhQR0dfQv9gWXj+ElXtXFbik/RSNF SVodNs+8DVwm/yv9di0xjqDOVTV4PZB7lNc8RM/rp5pP8xlumjJKFBLqtGDJ/MitboSxo+QrHUHn aUznCuCDZdUv2El9a3SI+uItFaSY/5oKMp7AjH/EnJcYodajUFH8r43xxyqQYGNrSVKvnoLc09HM zO24/sv/0XSgIa0lt07mefTACHmSTVw3vUTUC50jr9p8Loc3EOCrozsBxlk/5/IfFk61kuQ+ZC6m UvJPUd61Vw3f6i553aVGqkZQueHyt0XK+C4yCKC7LYmPUfNfU9g4N0Q7ptuKzkTWJwb4Bg3c0JLT TeWCgr9VOuIXNx91tLsNOiCDsI09PkrBR62nxe0rWlXsmhK8mVb1iGqKzWrhdJP5bi5c5MuPn4Xp kjhoPtptSQeW+cOHmvcSGLzKahy7gOlAiAdRTcgreVEpOjGWfS8lcbYEQs1jin/mseJ0wnHSGrpt lJZyuYo0MmcCH7ePEK4EqArZA2S+H5dSzR6Bf9EMUymkJmlOf/tLR6YZt2mU1OU9rTDHKh2m/f2L GVqdEpm/3alCckF3M3mRVljP6ymYFDtnvLY3jB5ATYO5MoTt76YEhIt4Rxb/0ANw1c0tQypGa/te 17yzUqtdQcbVvnEb/ZnDJZMyMqrDU4DZjwDwfBO2NbFiIrEwV+ktgAkOElb1rGB1F6K+e2C5qikz XTpGEnATrXB0glOavkSEk1n6/Lh5+CK58yEXROtTriEfSf3RNJmuU6JQPjNOD1o49hyroKf0F6I6 wIt9HTt8K/z4xxWN0zFAd01G9K/Bx3UI62pKdasOwy5+XaFIap6+AV7VKUukAt4mFdOUMgk5NghS jOPyYrkfoNy76OuseIE5C3Z+Mo+aO7udRi969Y+qDykyOxvYKTNWRPMMVvAk23O8p+2P5hK7E17w 5fGv2ndR/imAmOfp5bc2bjeE53uh/sO+i6/EQTqGIPq5sgGUn8bnTudLkSiIAoVM2Dbf04aQbk// kdKgd+zAkGSx7y9zP9LKbMYR9z3MA+laW4VTgJno3SBOKuDlf3E0zOoyKSucmbpPQIN4gX/OLxvg uifAuyjf6uAUSD1mGqwZ6YAoQbiCTZ3iGrDxiApLa/Soip0s1H1q1c+chCb7BsdEwb5hXyazjjXj 4pF5rmI+EE58S2ZR3oE+WfU7TcaWLqWS8d5hfPwJO8LZRvQJ70cDo4kiUBKcENBkv3QvgCepX3jH Tq3N9oF/FPrVbebkmaxf1OJACQmUfSBv7JSmEE36bEJpyZEj4eR0hKwujQsNYXgFLHzjCXzQ4Sa/ V1sIIMSXgi2j6Keyvcbpicbdnr9l/v3poUutddD18TC+7/G6169c2qYtaPz23txWtiOPGROKH/Pu IpRieSAgpeOfhtC6HUaMnPmOsN4fObWVhk7fflWdw1d1ihemziqfzDpHxt7EItyo3j4CLDyK0OKD mi0azqsjm22lIKcw7Ar8f49n+Spf392NgwXdMNXQQ7rSXaz3e9qaE462v/LwtZK9BBBFHx2H9eMY Fp8U295aErWDzvzMONJEBOA8kaoS7ff5ZLUc490vvhLFFL2mBadPGAvaXW8P/yiyK1+JHzis3z5M Ws626BsBIBbKmdwcm4vsFeozAbXEraKHIDTY6Av5LzU2rzURzHVz9ISGVTszftPIIFcXzCt/09mf 2cbW7HEcpk7MJX97nWrI3njJbjkk04DcgFwhus5MpExJ7BN6w2oVY8D4fJmgs3QKkNZOkDo9m6RX CVib/Kqpk0WrTP8B9QZ1NCZ9/JyXB9IELacUoLMtzN5lmMvXoMiVhRerfAFG89BNQKefZ2jz1yUF +pIJzE6iHrb4xGeauk68OjFuUUoz/v/CXb0Bz7iJqeLhKVPufohh7hzJgvU28vw2Bn9ugrdBVuu1 6xnE2munmlqK0LUq4kYRBiYBTaKs3tkaGei8YyFocYDxpUmdYtIE4ralf/EVUoq0VS56spD7WYwS sUfcf3kE70JxlYWNiUQgHAIo+8hRVKaJr9PZ6hL10mwu2hrXbnCVqdz4fQ3lqSVDDS/5wJ+G/CK/ a64pM2wBVMoEtPkz11oG6eEHI2J9ut/QNYGsiu4SE9w76DSwM8GbcDmFxKlnI/y+BVNk2M1MdJ6M 3bPtveD/iC47tA9wEwnErViYKmtl1W9jWHP/bWwm9yjf6yglyEdr+udJSuLDo9wkKZeoJMP/PvBI lZCdlUySZA41q8MfeMJm02deeWDyGb7YvLGu9ti9VaziWEU1AnkOmeiJWfHnRmGCAfET2HPzDoMo NBivoH74vS8l80WJPRTLKGq405cClLY3zQApCxYyoHlARbcrYCQB3iC5vzcJ2bPiZKaMdxCz0OAg PTFNl5unKzhllzygd/mRpx6MKjoxbQjCc4dwb9K/ZtEOVnurM3EHEnGoIbofgspfQEzn/qsIlmc7 14obray7uW7vRSqQcpJw5lAeDKJeufPtmSzy6ob9+wWNJ1nIdnZStkempgGGU/aqpep+SKYRfll4 wLEcS0Kl+Bj5fwMXO6AxXCV0MRA+lDqqc/ZJl/2V0xFLI9GDCp0lyfWpZAbPJDZNGK8h+whXz6wD yYObEOBHy9wGdU63dve3E9BFoZxiYbdk2BLhGeeZs4VnMElblSg+pImVnzVnxp1RFd82Ke8ohvL9 dLQK5mUvGxtfTSj/IkLqGMJ+o/T3hLmgh36sHk0/v5ETUl8FGfQcwoZnIffUt1GoSOWaX8MENxa9 kJBrMoc9fyn3okUbC4xKiopwCmW0rF00UjYcON699HRSlUedjgzsMWsthQjcNGal3/h5SEthbWsa IIa+ZJ1C8aoHYjS431p/AeMOeZ6k/dw++Rxm/vfMILMq5A5cbxugSMKk21snUxNXGYH5SfKxP5UF r2MWGz0tFWyVSwsjLzmP/XtXFHkRWY0kU2t0nmoOjIlr42Y19oROwi8EEah+xmYG2aeH8lfgbN6/ ajxNxXKDJFViEj8is/rfrPWSH7ewSGccTeIyqnBYdQcxGskc8zsg3dx9cCe+eTsTVlHyuSjTNBuN 1Ujl+UJgpP9PQ4QSkHU3TY2xPyiDHniY1z2Z2KBCmtNIz5gqXfC/aaQS3y2mNvjBcehwF/a351Ro jdSy9VkXHKEIkMw7Mw72aZpcii1Xo7Igrf6anpqVsYK86BC3m1oXsXszBrPMcZPHYu7JZI6/G+q6 TVooCwlglLTISW7a9fMkLgBBwi65w38JI6j29qb/GozVCpIFnyDu9wQn7n+cGLJOLZfdnRH7ouWX CyXwOsrBBH3maK8A/QfWxb5I4K+vbKpUeEvAhoRvB1DmC7aIWgvZEV5oAKeKbXkW9tfKuf1wxBWj iFdHTTHHDZcEWiSEqVZcHLxdfHEFoWpyUmy2ltZDT6HU3vn9qQvF4R28Q7KsUkMiD8mXsogXbLki WC6+xMprMsB6UyJulJWeQtOh2GleGwKkKO056oYgudjzwVthTiytu081DZFR1GchUwVNrhlPYEA8 zUe5bpaRNtkXGfnUQCzU08wrKLN+H1h48JNI8nTdTE25VqxlCgU7GGevYqd9cGFWIsI0NVFEpzKk q7AlnSqdABSSrz2A/3JD9DKa0uGvB3K7tlhOqHu5wF+AMFCqSNoW91vdDvIdH7c8oNpEJwpLrRDT VB5uRPwtoa/coM45tmP5ubSpEDhMIu2FBAREwwaHcZZxrU9vlrpfy2n9sPctufz5wHd3iP2N3gN9 bi62c+mtYUjMUQuZ6tdf+KiccY4L155D3RF2PnL7gAb66IdBU++u0TP/IJ7rt/iUhyYFQBIhS6JQ P+LKx71tMJW51YKo+T5F8uR46uX6Hoep5i2jzDXvz9ykUVFx7I1r+PL1VSCRWaFeHB+3OBEVoQXD VzUNPgeN01F0+9RTkRaMeTjBCUeQWTHqFb/yWo2Q+OVXOiobgJm2GK//1Z8WwpxhxuSBvqil8qyQ 8K8MDyBGcfbg/b+ztFs15tQp5OZf0ZEXzNasZ2Zmv5ppTwxAdZ+Q8qRVRl3yL4+gJiS7+gnePzlF ua56EKDCmvW7DbJttTF2N/0q33n1yt31z6I/i+v+2Bn5BdbGcVhU+5IbwpBr5Oi5Er3BGg0ZBsAZ QpDAB6qdXcvzLqUzIiuEzwDHxjDSer61b69IJdp/GWqp1hVUDQ2rcwHZy2Nd2UKVFjXHC9XgBTri 4HDhDUdwqQV14sQ/+KapGqaEzJlGH+31XfNgKqFQymX+lRQYRgE/niNOuFUhMMB3BVDQ5y5OaWBZ YsdToVFjbUf/2puUrG3lv+lCT213bxKJRNDP19m55I2TBGtJJrP6nWVszSfPUQslsT3o3M4ltkGW RfHfbQ4g3U5AJnGbTugs1+B3NgJiP8w8qTma7qRfe4NJp+rJqHKtVKOSY5HrTYKe8wN22jeR/oOw YBos9tLxX33lB0CVROgBIugofGMxHvWjn/EGvsCc9rDp9m/Zpop4uD6U64iV0yPi3mm8w4CziwNQ 2y/eWYDZWIcuXVLGWkjwm1i6sx8bw6QJoeMwX1AOl9PatdykEd0hpx5uMRzcSps7hqyct0sL4bRD Uu9AaBCyFdETbIxMOwzxdOtF0nbzXgBGO57Bo03/CmkC+yP7F5g3/stO4cdh1fJfJHYAlICsOFNL 697EYY/i/uFYAQutDVwfqdsJegEMGKLyhafr1tzqoo1F2eoVxoIn65F6LxI3VJUO8YGvTr3jJI34 p72MmGWy1mvHpkuuRX2n5OG+1TdzYW79XPr2D4qj2Hzi3p69JAg6bv5oROHnkYn9MYuSI22RqQMl 0HwUuRK6rDrwXZHBm5/mqMhVUL20/xmWjkX3wDDAd5WWEkTgD7sN3a9zHpmJ9ke2HdybtgWd5AgR Wljipp0hX6X8ikP0x+cuWfRpoK1Uq43iMRPD8822J6KXdST4T+lkEfN2Ia9OBbZGkr1KVVfnlaZZ ZWpHNQI7dAxu3y4EV740lad6pREarn+qMNfp9R+H5/v3EP411AhUz0KZIhCuoY2DDcEVx/Qv1b3r S3J1iKy0Snt7/D3kFTvu `protect end_protected
gpl-2.0
b2d149fa78f73c8fd078a77f362d929b
0.943815
1.84219
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_synth.vhd
11
75,944
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block aZ51O0d+KtGKSavz1Awv/c7ZYyjj3asZjppg5CKu14DJI8ku6S+K53KQWUtoEcZv1hgj/uC6Saq6 Dyq68Z0n4A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WdX3+cWn/4z6l2oj8kJE5ESEpA4DP0Mx0mmWyavie/cFz8kHzLl/Qfy3AecGPerCGyHqOxjTHGgO 3iSZtSt60dw670649ZURQUB1e5D4AFED+SLDPUZK5Sw0Xl0ew7bMuTwyooaaO2El0XZeDDWZVCKI YARDA5WTDfJYYxDul0c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ikaNepJ16Lhc58KeoOscyDqJ9qeE6CQzvUSYvm2KTjXG0oJYuIA44daPbLNY/NLxDa+zo4S70RaZ MofDwcpSc6tvftFlOcE8Ls2sVj4J7s27d+K6oFnBEyrV3/6LCCopNM0rNbDueGl0rbzJQm2IDKFv l+eT7aUEo2sA+4PjITEhQzs0p+7HqHmG0LnihStnWziT05db1Kcym7CS73qDHUp9wXYBCoKdpFlX K8qo9mVpij2F1SDMHdYw9FytzqUqLZ2c6zc2R7BB3sIcSy05zy5knr+HhErpCl+0ZHDHagOl11n7 214omig3GMP1DlreuEs0+Oz+XOkVwf5FS9S01A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YgShRiLn2QNDQ3M/X88wH81YSgWwqJdgvj61P7byKtlszvjW/1Ls/bz+yuF1Tw2enJYB7pyZqPRA 8W7178E1N5UvcOkSHHX9ljee3LjzalD1dfxvEU9J3JYXPmgOx9VC1hDeGtmaFTWTgJCl6MzVV8QL q2ZAih3XkHZ90+WBcpM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJUpgYEmimgCKQZWN5HshcITVPkd9NT2vdloof0CVlSYpei/rucu7JmMcTKY1YYs2NQO6TqPdMZc OTdd3C6F/8jPZpNtSBo6i6NrH/m8slcNpcUBuzFlz17CPLJwoU1hf1sz0BaBO0n4W7TFU3VTecwE VgwTsVJA9r+zKO2arW5NadMONjQF33Cq7tywrI1T/5CZELQB7oeLfCM+xnjMJZ+TDNQMBv7Q1GoS mIqb3U/gJmZ5YdRKlFB0XgfJMu9oGFTqAksPcatOVEkouQBbX1yYKtfDYs2IwNqJtSc56gzZbjXn LlFbftiFAQxmRnLdMHxJB54hIudPFuXG21000Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54480) `protect data_block IFWAMX8woG0LhcjIrT4M0Bj9XWm97mHeGUfujx/tPjxezL4iy9FdTVlXFlOgLyodqID4fNr60DFh biYAcuXL2MM2e8B/sL+mSbO3QCwdSdPGX1kWHhZRoHnUtWwkTiLMzXdg2CVx3tsL6PH3/nhgaYWb APKS6+568IJ79A9U6vpOGh6oPOvUZT5sjPMOWP3O0tIL3XE3xNzsVFN3k3sVW3g6/x1aUqx7PFZG qYeeiHDozZ7o0P5i8pKoc3c7KRZFwwYhsNoenM3Ai4II08cm3Jt1fbNWOJBQoKW7jpPWPB3E75Yv Cn3btp+1s1lnBXW65Omuk91qbTLd7bq2QJWpN1D1HZMIxo8QAdVjzNmQxGwMRpHa6kCcSsuFM1It trX0vvE+JAqHgPYyy+VCmKh4ZFMbNj7D4Zkh37ugb7NP4BD8Y3yLGBHW+CXkKVDC1ga2exFq6yG4 8LlyFRB2+XmNdaPAlwlVJuEzoTCXLDAyA+7uTl2s+0rPCnut73DypNmNRmt9iK8Y3BRVEf4o1D8S dio6OUANzdEdwdC7REDVSGEi4MTP7gHtjgKKphJY7uw470iG0Gf+811T7IqH+VZCrgSS7/Fuacu0 VAS5n5sCSZjW0P45mIeEyKbA+yNeY4WlOSiUPboI9ga5UOhKflDdRXRHkoXWuXvv1UE6ojb0mmAh yuUhPEZUt/ykRkK3+pBciO5CFlg4pYS17IKwg6xkdqNUBefj3zHjAKUk8E5FTU0y7SeLsKeD+j+w khE+gcu44b5TAr7CqxSj6VMm7lQLupTqNXTi09aNUNYwk7+UXAfeu46TvsV6sbApEhG7OAmFR236 baDPyQPkckjWjfGwQ895skm1ULPxJ0tw2QRUD3TRKfS2LcNe1g83AAtRETharwQbsu9vj4PfGPOb 29CE7gLdeTXdkJHC3WoDPlEAgo80W7s0nyLSJo/D4OG3Taoxe2TFR9+ADiFZ3bjoQYJdKFefpIzG p0nWI7ztsOkGzCAJjaFPKtsw+8e9Tqr4Ru/N6rz4C7GWC0xY3rhgG2bAUb9fi8BEM2FHIq4NyDHg rqjUa4xmJu5nrKVtkuRrHOvAoMOpq4y2hDWGmW/3KD2HH+pDt4ieOaoQsFL/TxxZBBPH3NAvD2SW r7qaQwyN65vdn0Maznsbh9Rvzfq7ZUUZS23g3mxHPgjpLEEnk3sl+mtY2AFDokBzVX3INctoYpTK 0+7IFXatLgJtda5EQeCe6b4mCeugfnl1iifn/opvtT7SdiMOIJvoHm4bR/Ch4pBovo0rtIWtXBII uMufdPgY+xRbaLMvM4KcsonNrJGQrMNvHQD/H9gOMb4pzffOn0xrU46Ud2DaBk4smeZNYZbXHVav yNiEzE3J1CSSe5W5I6PCUoi4IPnCs3oyJ/Q+yvOEcjqpwqEpfYo1EJt4tsFfPirHYNxoCygl+MaY HnqSGszRfvD4lNb4ZkROQMLbeG8uEkZmkzGbd6vId4BjRKyaPuSvuwxpjF/pbvGRlrU4K0RPl9hw wn3levmTIR8O9MFAQzIZqOzbkZXiMwaNbmcjoCLHVl9/N4zA1WjUnVlvovA5wem5YcwlxGzon4Yr qwgTCyHJKToUfWQS/+DpTAMkX5MvUzrsL/L2+Hr3/e7OW/JbmKCKlQHYVW7nYc4HRovP+VMxfOGO 3B1gldIEPVML0MW8nq+mB5kczZuT4fP0id3y+7LHtyr9+Zfk90cK37aFdd0bweHs66FE6kl6C0fW 9ruf5NyLcFlaMBG+R09OQhrh6PAMdUgGm70MjHjYU8+IS2zUBiwYZaKkUzSNii/mVc2OFrYfTxpY TDdrayg0d3mjvxyPFzu0FSH6SLnn5GvKDVbczVcAqiwmDuHwQ/xJ4WaC32xNnHKMNIwkcEhHciez +EqToObZnveCuh31upiYMw14Pe6W2hFT6L8C+ZKXzd6E4+lpWe7syGVKBJTEQ4sVs4Ky6eoyaVm5 5l03LM0IlJm4lB/USLXiKou9lavWMwv6y8ZyTwDzThc3Av0d2ZaIFJb0dSUWT0qW5A37zA+rO3VE Iqhog6nuUZQqqnEmJ2jG7al49f+d1Z/vMKYvXRAxgryFc3GV0I7JCs59ADThTvFttIPjwYxWtqNa tjRM3DhMRLriytdxMf+1pdKqI9QflYgWptP4MaTMQixNXUByi9paVyBz1OD3VNVodT4ijQll1fgd 7s7PPliEGS7OutXwp7LRrfyn4dirkZb3/0Gejek1nIY4TMiI+3ZFrBGNOGJ0wqJriUDS0CGPlgYS 8Kw4b5UjPnP+mMbjSRIXYJRXI0Tj69gjZE7obBsIxb1YhGc7Rpt0Xc2+yW/QBoX/SxIat6VyA/JU +dflstp4k5NTp7IF2+fDvTAfMLVuiMpWXOPy/rTZEx7X4IKAiS8N8gGjRSAG1oqYK3J6Va9aEkqZ yniVp4KYl+Jr2WLvpjTcHeTiK749N5Bls/s72Ckejq+pj74VroZt3vfGndOQciSrAdvtWXvzPkiU 15ZYIwj6zHz7frYBf8abYh5xuS0zFqRNJxYmjbE/bqDTe/MSH0eqYkmpqnAxfrXFiwMSk++eaIxR iJsgpNmM+K05tJdDclNYyi3f1wHpvwcZyQ3d8BfAPk4OBLEJqBbsApqh7S/6olG5a2u3uY/eX5sT HSF6A12vvGgFymWk+kbZaFJdy9EjNvQ2X+9uzRMc0NR7gXReUHOR1fYwrJHtKJGGhNf1Mwx2ah6a TJQ3v8kULqpRGhyl4LRetjfgIYcAaaW23KAFf3fxEDuUX6q3NocDNzQt5xNQNRmrFu/ZT7mWRv7j 66dM12PyfxCcVga+o93ePnrscTSIBe0TSse9wTt+OzcL2BVHedgkB5wXDhFgPgIm9eFgRucvQfDG 71YeRWwFJc7WXgThZU/lCljYzvX6SCvmR5oypnVV1fTp9BSFHhtDzHbCdKoXibTwSSzInLhn9tmD 8eK0XBEB6vEVl+eFtXN9Azt3BkAsAqda1Baqbvda0xzLDA8q8LCUmmxS9/BgK9WQEEX1zhSJKlkJ wtJG79azpbgP1Xwhm0J04GcUZxYoo9/beJY6Jm4/2MjtG3/QCBL1WudXLzcMHKIGU/ZsxUmsbokP qJQfjKi9HkfW1xPldkZHkXK3mko4zr4onAiMrtTaW0uij09MNFzw1hTUKS+/VpvfGiHU8GpSRa6u CdZ0+HQjWyFpXMyVCgLsXgN2N9SZ1gyS5KYD4LoRSk8kw3t3bAUPBL6I6OeD/Vkb9x8UImrqPFqP qoiuVkGA+6X94KesR6JU7OstZC2nl3b3/IygCwKbS500iErBO8pa8fgCdOS2Y7MIukzfxLC4WhUE vwbVB5+/4XHsXw+jtCKYlsIZtWY3TrdGt8LStkRdn97Uks9jEm4hvuXtheTpl5ERNw9FYt/MEEpd lYCECzyD7iWuAAuWVpYUojvmQAUTWFIpuo6MvIkNE4QYFrdK5O+PV+AqpxBYn87+cpRya504gFuC FYe+fVDowNP/chGLiGdbasXIjkPl64ZHvHUEO+CcsDSz5N/y2Tc6gPuLeOTMD8ZxqBC2Y2JXNywx LuZziQEtevTJv5N71ukJ2CDzbZDu5O0HF8she4tuxpl33DnNFZOFt7px/uP4vCRaGcp1dQLUkOL/ /R6Jhs7VcoFERInkfhcdPiBv1u112gRUPBtLFJIb7AeEOv+gb9yrqY3we8euRCyxAyhr/mc6K2nF xKEAMBzr8lHDJ7EcBWAw2y0HD70QP6H0LMtVVEQ6Zbp4Io9upXwKRpUhP6zYIWkQ6k2tvZWXdm4H kHaZpIlPF9SR2qd4azCn1tYtTka8kjuxf+M77r6t6AlFZcd3I0weZeUmQ9PK7ewaE2sgFSAziqyG 3AOf/4iH2nZ+G+RTkv/xHAQLj6KlXQenQP14OyMEnd8KwJT2ICvMX06gzE7vMerV/Y0US0xWwyqy KWoBiNKXqxbTCJqtNZi9r9KzL/fWiLn0JFGZdh1B5FtUXFrDVZakRGp5IcQUQb7m0WwRqROTYyJM 7yHTmYEbJaHILC/iX+XeHGNip4lyvwYC3GZGftRq/FDKujGmC/F7yeKWiuqjVRzOv8sSbiD7BW8k M57+FWVtHKiDHgfuUsH5MuvyA4oGy6EZVPAyc+aeYXMSW9j396ZQfiHyvs7rOBsJ+ntdUG/TNrZd fZo8UBHdUEVDrX947Ekwd4IIj3IJr6JekMZy2FShlLn+6oBbko+vY8pnze8HpSMWE4Uz9F9AtyR7 rikjR1y5JLzqbcqy7EHhU92BcYecURIszNwUfxXncQc90bl90Me6J/bRIwQHOEkPAo9vKhybTj+f o7oJoyKN6qQPlNzy9S3qbDWNu1FhzYjbEJdF9lL7b6HYRMLXxAC/azf31TqCbXZePi6b+voe8v02 ylZM8Ik2WgagP32DqDaUFrhYR0GMNTnmLHmT0GIuC/yD10FX90GnVWEgDluZE2m9qRpF+I0aO6zQ n5BBaj01wdaC78Ufu0BfGQ696LUMi4RzJmEtqwc3dYNyexiQymDRbAbdGT0dyFF86j8n9CLfABSj QprLm4KrQaLaNGocw598u7Ni39WD2YgAgQPV4X3fqAXIN6CzH7vv46LGWfB1s8HOoZ49KBOye6bS D0w4vA1VyUyma+kZQcfuHDYqloFt2ED2n1z0VaaY0LxIDIdwYiq8/3jzemfZ5xjdLF696IB0UoP4 E5foRJWqQ7QZY40CTV09nhK7YePiIHg3apfL+joRy/ZDwod6KmTjtSFmD54BlX4SGSjCStV68rwv NyB9ik5Lc5cXQcr/0RoVWGj0IBwx5gRijMmZ0pBV/Vr5DRHuu10gw+dPRwX+39zfZ8FajdQAAfmn +vwowxSW5x5i288GWrlXmqFXazahsUouCWrhQ7vXcBjhwpnz0c5H565FEXjSvNok0bMEa8sk3wBg FJhMZh7Kfbx6w86rSfaDB7wvNFZ/PPTYc0x5rL/hRfica423Nv4F74DpLU9AZKx3ohdcyC5vgN7r T3+N8QyCkeVghOp2YTX4ybJh6HJaPIwkMlTwp3eSkzK9/PMxc+kbUBDuvfH8U5Qj5vRlosz9elAI gYKqvl3yISpO6uvXuxm6/uzBCd1d1sqFzP7aKAXGUZN4YGTgqWSmL3r8klKiS2TlW5ZJRgjI7/jW rOmn5SUKYEyNSFUzE4qgKMCIRUiQuSPGVNDCyRI6ViqeeNPPQlkdte1pVyYNvKV803lfUz69gzZw bdpYv0S1W1maFGHEDxvZz89Z2OfshkDjSNm06zJckhg2+NtwZFs5DbZTdYbutF8yC4lL7iZDXRw+ 22NE036jxuP8cLtgnr8r68jB+xPX23hfM3LwN6Nh59P2kQuDexU1A09369s8+bmaTwm8mH6EYb9z cMyLs4TLVU3CGuFR7SX1K5D2MI89H6ib4s9mlWknwyif7LMSGFj/ydbNBPDkx7ploVmZtoFw37q0 QiImiBjbZzxq7HuJfTble5rhhktXHVIbSegOee3/HnMcOsMUAdU0pbFWDxk7eE6vWC0YV5LEq3Wo O7Fza6EkB5zjvJ+D5pDi7/YC4FIkCLJzruXmoliFL0x64ohQtBuaqjerDviJSEa1deBjgNo6aScH bxhzNic3ejtkaYvr6F7xwRPGaxkTW6COXjadxor7FQZ2sz0SRTkaSz5UbjTPR3wA1RR30JgZN9hv DSrPgvUZPuAdl5M6SjqZDkoUW2y+I5xMnEXiJtdSfsrQdne30vCn24lMcm+Ot/VRl7X2y9xdTKir X5Cw2JF9n4hXWoAhKJMVxDIbP2PW5T8OhklNvIQi8VTrJz/UEAEYgRl40vohtb8kOoJWOXdZ9V8v lPRp5qQZFTrm1EWr5h0ba99V7doOc8uIy1hFQ+R/4gIX90goswUKTcBQ2YrCsPqz6/0wPsvJm5Js jKcL2+mHF98DzgmYfI5GTT6Nw0L2y+wmcl60sLEC69B/CHXX53uju4e/p7btu7nzP+mbP4FmsS2S dD4sAUcAy4aQCbP1ziGL7nE6AxxIVin5nbkI5G54sFtR+vzUpUpvgTjcfj1Kjalwy6IU4+j+Xtjy XQqRwx392QREmpnQ2eCKPEgcEEf344gJNLAC1JO69VYzry0Y2FAgwKCgJxQL+X3o/aGG4B6jssB8 O8ZnK39oskGAkyF7B3cWgtTkATxjO9Zs4YYN4dzDMCfjyStGlv3qRhTDzU3v3k8dVaG6/8M09ugV wt96/MikAgKRgU0+AqVrb25isioG0jNEKOXHcF9VgFFDm2unWpPznghD78HuEtuJjbjPnASATQEY wBFsfUYjpS6soDwExWHrF8xlZFd1U3t68eYGD81aaqRYQi6esjYOOPFmaJvctyt3OPc1Mi15+VuV dg5WG3xS8JDvdmCbdhOykAAQTl+hBeNpXuPwstxG7hR5AjpudaHROFFXKIVPRxCoHE1Wc3vUQBPC MKw+cQaaXl8Sf5Qk+ABGexrtz0/1HdoDTR/F8jMguGj4/MkLCzofXJwZGED9bScy1DwHXxgPXDg7 njLan7N7vIiEqIvo9TK7/QIOtpT4htMsMGGxagXZf+B0CbLulrqAhdkpONm37zDB+/dVdR5vzgiU a3FwU85lLvFz5f/n9yrwUkX2DHEW6ZLGefPZ70G7o/Rsu6W/yoiE+FR27GXpyuaNTW1wYtT3PRkp A7Oiebjn6k+XS7CcW+gsZZD1piD3MdCrGCi8XsijcVBk0hlWnP/yyG711OnyyjDtJkqXgHEBDaV4 KEbUnMvpdoODPdTV/LdZvhlRz5P2Pk3gOPXB97gZ00SvyKAS+dWprAguZQ+RAXrHKbyrg30oo+RZ tQ5S8l+9M66MCggWiPd6IXih83wd8CJdB5TJTFf+tgbMdz7xX8IxTpSPCyODvskDiav3AeQKo3s5 bRpqwpAmb7i9UrV/T+gKe9nddNzIkVW78XGCC3/4CiOvdkQjcmK5uXF4UMj7jGrUgBotC25Qgoc4 ERpaM0ecVpBAKPqbtB6A92TTNt5grxXkWfHBpq5XjVn/6251WFPDfzzBnV9JtkigQSa9hpMdyxxu wVj1T0gQelgtWssG/X1ans5hxXd2p6mO67DBSzdolLxgS01qy10m//PyHvRdw7jYtSlDKzdtl2R/ v4InRmB6HOePzsP8G6TcrWY9XxB9JVqIGFwhzPKGN3V2E9Pw2iu08mH0bnjbUbJie375rT5Eq6vP pXJ7JjiVFM3qLOUAjlYtUzcVpcm9aIDZgJs9HBSbAcQtbyZHzG3cWN7ERxNg8FUqqMateDtgJ8N6 T/O02xg4Sn7VRl1+aR+O0fvi9DREQmBGjfavqyH314kjFcynfB6b0JumxJInomQPIpxorSSoFpnR GaKCysLeakoCV0tj0xcfUcqPjwxC26i5D9ciYT60LdnUpGNsHUV1bUDW5Mw5TBP6qpBb/zQWI8EH Z7K76atWCHDrIurG9HYs2VsSgrj/ldwixFYCtW+aQZ9x9oFRGe2v+jJl9B7AxzO0h6rUYghhndmp sTGK6x/VghuuuK/m9Xxq1WCRBe+wjZbZNKWOrBTDZbIH28Eo5RYOIxkdOw8jyRYA8hWaB79ZVLwS b/+TaWUCNBvFBg0T4ss0/iWckfHjiHBvOwfbtOqnljNLOwAuwufnP53cTAlVBelABeCVnGtVjtv/ ciJTcDGJnp2d6XnD35hWgCjRhXDpUwCik876oF+jHWhrPLPFjHhHXRctrbDD0xTBW3OU/WTH9o1K 9TOX6US8gaT0hgmE1B/OenkJ3N9UXAG7a5dwsmKAQkNUZ0QeEyKqtsOV66ew7AWtUdyGKL2yfAYO Jm7UbBi5S717TvwYSc1nyJbWuh3apNA2nUO4B2HYcTVnea+Q+N5TjsV9zXIWg6QyuHuJu684mkZr VZ63TU3sONpJzbbXJvsLFxOCdQ8TosaVhiI5n9gzuqm3L0hKdbaP2XTCs64ZKPMAHW1jFzzaoxLk /dTWA7fuAm3M0BluxyFQ9pEhTZgMVuZ+cl6Q0M8gxwEgrFh6soEknXVHsDDuA3b+AlaQUWmorzeQ ekUoJ436CQm2W1Vs04j7GZQBd+4NXPsoeA4dPixGmvz7Tomn1fnq5T7Ae4O5MKYt2sQ6YCdn58Bb kFTM0ll/BdFeuSbpmY+UZXKJe1pV+dqH7gjNEW8ZFOLgO01yUDeKrUHdK7Zf0p30LMT3V3DxoOeC UgY0gfgNDKHJGjzy0QoMXnXIQsBSgDlRU4p3GtFF+/CutKLCkUS5p6GvSUqZiEyUmXBB0K9706an jDwC5EFr/qm1dT4rG9W2AVZOmcfvwYk1jQcByEsDtGav6DfmxBHw+S6OvPLuOBuJvjJynidCpPHD pnGLNb+iH7l9GP4nSS3lPLon8PZ1nFYrTdhfkIERNQzhzW1XLHD9vSyWAaIor5SauWXEX0TCpy+G nCOhU/WLfuw2c8D1yaW8xflDdlMdeYwoqLQvJJsawIR5BFR+NT7OTsblc7PILBh9tCyKCnjbfLgP 0RKlrd6fJX94vNWMP9UWqkWGPEVdHrlK+/+xaseiNzHXYfznp1dO9JPtH5uJSqj362a+2T60HhPe mRw5HstCFKYpHpLBZkxJH4bct3tVhURl4njXqqo0pHyVpPrFPisIli+zdHkzSUqBPYR/TMvSZjWj GecBBu8fJi/7HQqLQjthnIuutGdQIUG40AFoaaAEcQm6G/IQX731nFen76z42czJfSYehqlBPesf Me/rhZbeHYAdIVLauhwpkTGrwOmx0VTAeVWKnTM3KZejz+ShXJYRrjTPGo+9KAa/kVlg73IrP98c DOwDj65h8FWkhX01Tkkxuju7RvCjgUdtTDmUwDP8DI0fN+tcCus7lqmIwB2+jszbWgauswN1/Zf5 DGPBr7bP2fFISWa87K1M4dNz7YIfsdZgck4uK5PRmfmLmquwiPM/8mWm2GiZy7gTBltGQ5WwpcWB E3+AN9wMMDFfuVj4XEb+8QgfW/T5JN4yv61XVrlUpovH9Z6TyZvl3jh+TZRP7ELI+TaiI5YsXc7b n1LR33DIGg2h81epm93JGRVQdjg90sJgIdsKDcMjmxgeUJp944kCZud+Ey3aM91pHFM6U9ruDC1Q qAoY7KMSPOJzcGebUkRgLm+XxRAJ3OIQofcYJzhvpKXzHQXQo4d0HmF1Pv5ZCqUUoQuwlp8Fz+sO FJ77VDVLB+e2Z4NohHrRoc7n38xwEdJORKcV+ZPzfb6yg/gwgCsVWjC6TGUqmtuFgwtMCWjsILSO kM18oj7zhuM2cuWBT7XG1El/9K8TXgx3bfgFq+a4Asp3Islro6dR2UPui3/CaMds1IKMWAAeIbKm xW27TFZEWpNPrF0vQnwYKOpEFiVm23ZE/xEAZQBCGwyIF6q1yiSvDZHVcjzC39lmtj9u9WfWKJGT uIs2sDbEpMlW/Np5RKfTfebdaE9zIJc2mM2b6ntTKQxXndwQm38Y2N2ibKecHR2KHsuPEwlAJrBy smRFFUYUiSlEsc29/2YSzcVnHAx6tNDSgPVktP9307d1p69xv/Olt1Co+xVhmDVKbLpqAkF9rxVE ZueJzK9gc1tvt0CEa2olmEOthIhSpt8EvqmagJc0klzDbx8yGLWMDymxiBaN0mWYZ651QeZK+Am1 v/VaxD+VQ9fbQVNt0XMp69/pMpSkdGADvfr2pq/4sgEBwAL1xGSCib4GI8csN1YfuVj5liN68Iu9 RqISZ9xO4uHon2K6DWA1QDz7+EwfSdKjCmpD+4GY8DgT/FGWyz+gn9uJ4l/tsjfOtu+L0FIA5Za7 Dod8Uon/L52BmgW/39keqVFb4n1xIcpHFuWcvnyYrbVNBC/HtL7KOMaXpyodFZUKVz0XkFIyMzDF XYyTYIowoh+5vjcmLMgolnqAOlTfFeeLBvmmBEERVP0BDWjnIKSd/LrshbMb+XI1fnxM9HOxQDya AQXOf7sJCXuCYI05F3SSWuwx8bqosyTnGdksgAWwUwbsHheTx4SJpt2aUZQqrMRgRwsDWk6NPxJr KqGe1akl+D6n13U90TsBafdgI5NpHT8380ldj1HJQDf2BsVkco4SUrXUmm72ZJONYbgbqgQ1iQIl 9yjNyNLhRewcdw+RMsaQq/D/OAFidGyw/o3+xSICJK7/akSq4stcc/LsROV11Va2Bt7BdOAaEBAB roUoD6IA6jyI4NhJsrDi/catFqZnvXc/+3VJUz2Ay7O+nSNYIMnzydkGwvPv9yJCH0IRNafqqaig 1Rwty5EMJlwp0gCiC54/z3WcZxTjGGMreDDSY2wp1s0sxzRJOR/+HIG8xc7DbhRy/zTqoCbDXwwM gH5g/5pJv6/hWjOtIE549xbN26EW8iUZwuo/Rrmb80j4NoS/C4elqDPYJgvNUBbeTgGoNFDdBs5P nBWfg0vePt1NunzCKvzzsZP5GZtYhKH5JdfJHlrft7gdVqA34aboqMl+wlXB7q7VKfIhsuM6Dz6g tLg5aUt5wwlRm8piUbATYfstaJ3/a3LD6wFB1kqD9tw290O8pSJbIk9XbmNvlAHh0+J98pWGmIQb OIvfkh1kYHV9+hnE5o3zn5JtIzp30/2DNolKl/nYUgSr+5Fmsp2nssWFDxnjQWuixvHbhwmmLNhV mHX9GRq1ICPgWcG5OOr90MNvvLoGwJU9/ygJ34spo8z+Nu3z2c40EBUUvPtWX95MDPz9gMzBB1JM Cl1KrUKWWYlmD2m4PeXwGhgMmoO5P3/yTPXwtw/QiAc9pZaT4vHPoBKNIXw+UIpUM7SDcleGAAjX zDWBAJjeC5xd2RMfKwLuNHNF4rswCLDQH364FiZLVGFjjQPzrZu/hdkZZ0eS7coCMGLO7tyn0jA9 0fkhhMuzV8CN2sidh7bPp7nrwz4OjAWlAnfBy51/5VFffN8d07aT00ZGvPEurMbtPbQDOnI/6cBw s/QjrloNOP6/99X7+jw0esiK0FYSHaJoF7o234arSEvO/2E+hhtOWITyw4LzBEBb8HxLE6mOblU3 T1pseGhxR4jOtnniKFWYEpYE8icx11DYhyTpwstTwIRgPZXcWLyht6fio6IcEOcVP585E4KFNzWF 4NeCBpiToqEJOIIOEniFPCcr7M10pY4vmF1wESfpjJO3NzbuJ0QlS14pGdfkkv8FniBKT0tJ+JrV pSNbY0O2DvnnzilwHFk8eSG3HfNOAeZGDncIxboEUc92yPSwBJQK6mB0NBjKOtJ/P9I4ivRta5x3 SmhdxoOQ8YfOg1+ccj6tpF8nWtukH7nL3vAm00FMisGK6belA6ug2n4OduQA/e5+V8Q2jk08UNLN QuumDcEwxFCReb2jsK1LrRBwoove+aG2nWlt+4ITzLwjfam5ZCGQ4uXDEDiuaT47asW+wGsVAy6z zpaWjILjhMslyMxU5CQEgwI8fKGEhiu6F4ZT86tWv2FfbJAtZ2zNhn5YaPSJ9uRpokLpEsOVU0kA wa9qGmqvNNokUI586tS9J/xhksve8h88PIXpIyV8GQkmse2QL0d8LqfcCHI8WHy6xDwYJOAPN7sI PXl6h+BPlzE08OXWvTFlyofAxOXniNmIJLgi8tMjSSHDRzXoEzmRT7qWyt22uiEJo0fNFUHrnZsp 2gYznA0UBJfnrn8sal/snc0bbu2RaJH5aV6MBED+TdMHUnjjzDUV1VFtNumq+mptGbxdYm4uMp4N vk9fxZ5evIl3lI9UjS6josEUCyuhJ0jhIysFFKB1fUDQUfQQFAtv0PzDuCCXCWCIhIOjcNZKcAfe 72gxM9g6fvpC0B61ZE+8CdSYy6UDPbk/Ho9zjDixc8V2czqY3KCEdcwGaVCbPFAsIG+X+rxSwZQg U9r7onE/Rk7GwLN0a0MfSNdvOuxnufG0G4Io25xyDz65UekEYw9795mDjOw4+jLUqyaOSfmgHq6L t3t3u4M3XRUGnSMO92vASekSX3xRmmx/xqWk055I/iCZH2GKcHUiwUNDNO3CvqVbEaXC7kCiaxQf 5ZstiKHrg4kwBEFdcfnFYLpJuNagTo1ZJnaMG/+uMKe32Iu8JqkV+m5ItXH1iWIroSz+HYc4x71i 41lVcMQBn5Gtoaq4nPePk8echL92OL9jyx6L2hgebL/Nypj8uxVowROD/GJg0DRl+cRS528gQSEs ++1tTrIYtChQeHzzfMEU7pbzFgS64oNh8BNRirX1ZP9lKJTVN7HMFvsNz/kkkc2flChLHFFh7tXf uZxgswbCkjvkO3DoZOcNi4ezg04RVFX7NFpIEdrLu6Qzv5SVPEmH6Qyhyi5OF7u5fdWRw9xumQ8s TRMpX6A5uKORlHpgUpypxMPusKCaw2u7EUhiIPy4UkHwm9d+xmdPi7IhfUQxVcjhLFSpYX79rKpb bEtUv6wZHkiUh4P4l52tfBpAi4BkQTJbiRMRvr1+YPIIPbqOfA5cDSA5Vz5ZRF9c40wZNdrQxxAv tLIfRfbI6KtRbc1ZLQqtU1SajIXHxH4iqK5oqiNAw+qgzCYx9+5o25Oe+bctXefCJabhFyLbF/ol G5Z/KmlwXHPyAGAafqaB4jX692hNE/TnwRlW1f/kNI4ttj9XJ4Iq0BYGjbxpSrF2XNJi4BhfvQc2 1RQh9+yaf5FeB7z/BiFWKJDrCUTNI1Fpn7tkicmIHx0qb7/HonyCulHrOZH6M2585kuks4IiLzdg KOPBAE/C9QpOlTskrhX/gpa7Idu5Kgcbs5rAifno17ob0RHxOqCSMQpsmdkWApgX65lyJecI1VlD mPniIglVvKlIbxIzysjsJcco7ANHwVenh7LkIIXhMrC3yDBRyC9wtE6VIh+8WYdfjkraS5U0cjRc MEDoEouzDqArGvLdoOz20WouL1dd1+h1ZoNLLOAKtVuiX2jEvTH370YnrwQoafuZbjzJjv3ZeuWS ontXzQqqeSxR70Sj+/cTBqvfpZ+OZhrKsPYt22TPFuFygvg8uQ38nm/0NQqaLR9AfL9R5IhUDlpt GG4RwsdQ2/F0jmRawJFv3OQ9GD4uUq3tfckfJLNmEe0O7jWsYA+Rl0oDfJBg54ms9KQiEaIt7JZt mkOv02JxT2AxWc3+UbCuf/cGOZJ/bpyQ5JeYCHxMBuheV41eONM6X3FLKs71jzOGl9u1P8MFe8mA afc0bpDjIb7xoTo9ez+pXBzUEdMozOyPvH5yvDQaWvZYhQQy/5WPsZN77iP6VUwp/tAY1kK6G98i 6wWrSPxQdAUTXDxX+1qI7jVqnknmDIAmQsCTd8mIa9pwKrxQcxR74Qtc5t7qIFIA/2r9cle8mf3r 71xhvxDe/ZD7BqrEDdFYlGk4SAL6yCrFvPS77FxasZSw8p2KzK8ifjwdC2NRZpRA3HUBx7APQxFN dwyrqPSvm1ThVdrfVi+pQBTnKLeFzBEKusZOuF/76k5aFeE1Yqrx0GL745gh2I9OFCj5g2Ati9z4 5NjZ/CCFxwJ7/aXlLJtHuUh4d2cDNvnpnsWyMlxLJjom1ht7ER2CI0ihgI41+/iJImT766b1TPHa nn8FSvhuqH6Xlt5p9ux+eCYrA2nn64PEB89zSe7I0O9VshtoDYIApxOnDGRGa8LKjQ9Y11B7vG0/ Jx5VbmKpulyhQ1OxludkUH/C47Uyzsm02hfALm5EAJnbiZtaMewfyvCDw92jR6ek7isYf2hz5zRX KDBjByB2xX8OijGMBEdkE5IOaJmG1wXjnlKlb7R1fLZIdepyJL9SiseU+ASvKXt2DrQNIG/SstCy u4fomnp2f6+vygAqkiX9Huoez485ZzCNPbZnKMow6H1K3CVZgreVhOyxUFdsDa3hMUF9+GrtOSr9 4YMluj29EpPikoVDhDVxMjiukCUI0PF6pEgkeTlHEDrXGXK02/LXE/JWphWlHeam3Iuy7H443TlB 5kGqyxPNhkY8SAYabEk+K3FkZlKFqUCsMVhryvf5Ydi01VMQ5uC0CutczTh1L5QvROSBKvkkrmwl K4pPwkW74oQZz54HxtTxw7W/tBdXczcnFdOC5nrfMPN2sg1gT6fJIPXKT7pJ3lZhAblpyaYLp0bO +TFkhBTSmySH3PwI5RkwHgP2E/kM+lzWKt5gHkqqm53BfJTIe1G7KlMF4o3GObfMtJEyu24s2kK7 rE8gszSRaK/DpcEcKbnpdhRv7BDakwFm0/u0VSXX0AQ1WtKU0rsVfmmQYPRc4v/qarC4JUi4b8rb SLaWpAszuVtZ59nl9ISysl02gh/BWQg/8V4ezxHUXJsOQNSDtl+AhNgAzQSVF9DoxJCz0IWpZFQ4 zTvo+TiseCilr41yxVQ0R8bHgCB83UQs7JFnu0hC7E0UKtc5snEnyI5i5awn6qL6kTijqUtxL3MF XT6wQ1myL09cWQH4Dzn50jhJrOUwU7BAoU7iVDH3FC+LeX2sHGapcswx5GKDMFBLQwayGYFrSZUJ rBwBEERSHVumQsTvzA1Cow4E70TRGJWWwkpg9+C3mzJCeYiXB04QPTQlDR7GAeeCRJeb520aenTB yR5CWLfLgD7Hr7y+T/Nyq9sSDPOVvS6IG737SE6M7+ZK0BpLslBN/Z+8IKa0NBBKhqEnnO+gdjkm Ik0dKkodNkDVaOlElbutDrLqoJ25Vjun8coh6CKkiGU94491QCYvp+yrkRMF7EfALk5PS9I2L3LS wJ66606gRZbCWbRIsx6ajc7INXxYdx8l5fWAowpo1NJGLYavJ729HICltyPIXCUj8j+vJKXpfGah 59XGuOv8yzU7VkshIGpKfBA10aZQnMj8gVvmw69MEjhAriAFHWFzHGGC+sNhcSfBGel4CupYYyMc utWYXEWT7tP09MgylbT/hYibYWHi3gRXrd+OwxJTVXtze+pY7NGK+aGSJk2nJsGpgfYdMjUaTbOI BUkhrsFIEOK180aRoovwSWmdxUCtq4/mf1Pz75d10uQT2Ac12Osew6nraE7MyC29JJ6JOXDtjDeO 2w2I7f1vc0ZwrZYDWcNR5zc15VUcLWK6dTdnUFQAnGZ0wWwEohyM2FLYnIWYy0H4oGQukF4o4TML uAAWzUUyg5lybtQOZ9eDZhwoBS9FuJ+hDNw+U+7SAIafqx/RF+dB2Ypt5eZaWxCjge1Q+sVOiwZy 2jyVcdL5wKEiKXAW4zvJv29zf4XbbNjhVYmgV6Qklg6v1ZIm3movOIMpa5SkMRVc3K637tAn0TJs CDho1TP+i65vlsgLV+BAO7W0HVzNZxDzG+mdRtNvCn5T5O0a/cU7ltGCT27S1CnUoW2MscoV9Xhl MbvqLcYLj1UYtOhiZ5XByfZQwsHilj0CUuN/dd4SXe055OiDuUiRtPX7xdCg52vL+I7p7l9YXAvI lqY4FqB8ZeR2LdIOYTcF8apH8f2VJJ11oEuP4iGMMGjwC5qUdPS0kUTnEoMDijySAxGofXGcqbuP rc/6LSj+j3kv7YhqG5eZAmBXspP+g5Duf1+GmAAwE60DKMbMfMRQduY48eJLxf9drWeTxxH4Z3EN D4fM/YSyuwhR/dDXZjk5VCjuDYssEIDWtRuVnoIO1W0pInfM3Zqy5pFnQyc9X1LB5omSM4Vhl7GB WrO+ROJTZKVOfLj8oPGHlUJzjF1CKSbV/q5afj8BpnY+OE3Bf0X6TQ6kMFVPLYgK2SUxihouB4Pm /4BUomk+0z/OINyVZKkvrcZuEh2WP85TcE1jOs/dC+EHcxvp9XUCfViezcyjyvPY16MjuUzOBMT9 ylLNBeGhO64JGhxsbF2b0Z1YnJUeSLpL698xHHzA5nnbkVDfpu7U1HEa5UbIOfQU+rkAcLLCFJmi Yns656pdXecOw5n+TtuwQuaKPpAx6iKmDAOODz+7C7IEwhFRgIm4GvNpkTTOxCFJZkgGwTft9eNQ PhxjGlIMPCxkVgIjwERcCDdgxt1Tzj3GO6f5ONfCvDcYMAV/NM9806H9k7pOwWaWDLv9nk057riF 2T4AS/6Qmp0n6wbrIzS2pdq9m20Ptfp0Jl6FWPnQ/a3W0j8HGqwdpGA7QkEycv6CprIDnGS2WyM/ 34Hff2W9wzmlNipm1QZy9gMrzgTgdcJmgLf3D+HM5vsf0nRe6/Q8KDS8oe8YLZ7OTgaNi1qiRP6q qGr4SFc5C9UlPDpXgHNv+x2pma7USJsfcltzUXx08u5oxiUqtRS8a/xqEmJ7lXPW+9BIdFnGq7Z7 tIRBY5SmlkKXikZ54x1WvGSImfuqrzGMl4RO8SA+fWY5hzlFwwsHjj8x9/1Ii05MYNYXLSt+J/D6 y3rSs7xzerfF7+R7IEqe2xvr3hLxgcnWT/EtHU55My/Er97d0DmuNIwRQhPm/xmRaEVSQvTjHmu8 q6SRpowzsyTweu1su6EDaIp8xMp1HzzvkauOQU/DAxs7KDoXjr9HmVXfj14TbBaO9pqfBFmsZWYs leEYTLYKmOCgYZpNCvZMwdTQQq4NHgA6O9hkVqsdGBM3pltf7MjHpWIWOdU23eweTM1JxqTl3stm NxtKmpgDeObmAL/JoTSVnjQTGSRcexEu4X+fq/QNhmopeNNNhsVzRbYt5sK8Ny4rTRjSAuivvUmE rp9Stgg6mSP4Ep2tLzgHICMiuXV+na9nSRyXUwHpdbbhsziCKUBmUQ8P0GQMGEgcAsP6BxIJXlnv /y3Fp/vtX113Ee4NmvA+Q0cLR+A74KBZeFpb7i8Qthg3efoCL5xK2oMTBPfjYz1t9QgxWJKLs4is xrkeoCQ2FRa+RswfKq2S1gtvrXwbng96aRA/I3IqJl7sd347TEQ7WrfznSfUuAsxmmWjopsek3nP AZPPnCa+k1CUuBSlzmiKiuSIUzwbAYQONx67W3zI9J4GLQxeTB8gsKgs5rMBkZmJ9t0iAb9yJl+0 DqmZgCWDnSJkSkddEnqwjkHaQUMIGZoWCHy++uFFMkFsP4Y6I2+EQfz/dReztafEaJunq4hLY9n2 DObKZDhmAdQCUiK2PF+NLdtWTcbxH98qtBlaWmnI5/eH3K0RbntkbB5AJE3fqXx7Hi/xYndcHctX c6kKoPXUH3ua2vqbOnpLtoxKsEi/8vnGYBrWyYdrzATMEWHdk4q80XqgEADWuqrjaap5zhpGOOh0 JQuP10C1tLK0FhQmc3LwP+DFtgXTn9OWyoX2hVpHelZrh3S6lPJ/ZwP37tyfUX8nwUeM0ulXMGwS XqEGtkf+Jdc04Q+L2KeAj/UF1ku9MOFevtv/p8+Yef4SWXbI9bbi6XM/DKJLbTmTd7w9JPETSyJs 806cDaQITwg4rn1WV6h+C8+eyzLrZZ9We1iHyJyGq6lAjFLrPmv5J1MvpJl5Kgw2GbzbpTR07dZP Fs3VKHk0/DhE7OWypOb3dTQ0DR+p2hRtlBZv0bksYdeuDmAo2vIohH5ZOv5RylwjBpr44sSbX/8o 8Qo6ZgbA5DHGHZiMhS75uHlMMzzYx70Mgi9luuA7VcjSNaLpTJg8Af7XYN6GnxeeB/KSp8utUjm8 GTYK5XQ4GwcBkbEjSK1yUisXK5uhGMROWdoYup4p5oiF1C++IuN+GneYDIyF4n4GR5SWgXLCrkCc JO3GAK/XZ1dNF5SrOcr4MTZrLRhsNHTknUPRyoFy0X9z++4qeqNYA037IfYI7r3zEQPUK3PZcRXJ +eo8BAEKR9LesAZkS5Tiy+37bCxvqBO4FZGgQVxH6QGyvtLtn9AI8bepAv4rPVyxh2KNrdIpYPfI naQSk7yTjrh5QSUHB6wDTrYCNl1t6GusNQsVBkgIHVlNpQ87g0BOWg9W/S1maxgNOd1CQ5ms4PBd PdmlZiLhvJYMiZDxIRQnsFsK3bjI6RlnJ8xLk3XKgkvjVAO3tkTbVGqQuAd9+zCadpX8Mt093xZt ugPvPdnickyCz2XSRcU4aq9VLyne0xYqk0NIc1HCkTm7y3mUU5itx5MOArqC7+HpEMtGaP+MCrCs AnSUqjPrIxzhb6wQijeMWMvyXCtPAOB5bxOujJLd2oGUx5fC3WR/HL4ewuAPK1QmfoPCIAjhpMfs 0NwIBWhiS5ic+LqNjUcM/AXbG0+kp7jo4dpG4zVfMUtbawxAbb5SK5xvMPSjyPxi1vYfzE8NC5Fw w/Zt88S5Qy3Dnbuc9FK7tynIGMh2VdKA7zwZzAUR4hM/kMQV5BLrmhcYVAQDeP93lcVpY6C28r6y 4ucpWKDxHCDhwQFn+UXyRCOcIpZb+lGlxgU4E26r4YQ0K/uNHiaGzYeJ9IFQPAeW0c10Rob6zYx5 +7+3tvaqeCex3s3g/ONkqbdyOY6dbbW+aHg7RwCUK27tCWtvqS1ztaE6mHSbE4j3hBpd9waIQA+R Lt+RN7r9vhLhTqdmtZlUCtApNUigM/Jvk/fYT1+AeT8jiY6YiPn1W5TedR22LkAu/7GzzqitfxSr bN9R0/bgENGVrlkPKry8A3QlJzj1FvllskGb0X5rNuyGXVgmdazKlrCJB26hcfv2hVreJvgTXm8p 1+Mzp/N13EjOfGjy07jUk/IRkdzgJhgQiyN3ta+7epwdxoGdVMDGOdwBGPXDtM7PeqsPv8gW/E2B kAwTD+gEb6R/l3ZKcdRq3BmaP1lgk2FkMR4N22tYqQJq4DslefrJuIQiPD2kMGGYPpx+wiQQR7ik aGWm8+isYfzogLStG/6eQlITuwqv2Ibr7vpv68o01hsBCnb3i4Vfov3krvTrqwStVxUWufg3g1d3 yw127wD5YqMwCFavdiDsDKrc4TGSNA+ep25ZidAG6N3es2bbbTUOLjyP4jUHemxBC+5k7Do3W78+ TsuG0GBPe5QJ/9Fe1tcqAMGat7li79hzlT4cn5fmiAVBCt8AWaSxDgpHJJfFnfeT0xebtBcFwyWz tT//l3OGpTFYV8TGFLGAuzLgWbjXF8yvqHxUmhcF5KGpQ42sSqZqNUTf9KbS9lgMHRijDHhxC/6i fEVeq+72MRHt0Td4UaFtlrqMsIs7PJ/OzvwnVwc2AOHHRBGKRa+sWnzkCdP/Y+wPR3HC4YQv7oES VPtPpIeqG75JP2w1KBW1RCWEcn1eeND2kgQeuyGioegN12qY07iNrYKxneDwZAM2FnlQZEbQjpln cpH1OvoGe94q5D/0Z/ia7gDTbTkOIYd48q4imPL7kCVP9sEBVe58HqceiwAtvzIFU8pcQix9TpJh yrrEhktVIOsTCTR6/IV888VEk/XpH0aNqVSJIA5M2F7z25G3ZJR4nSaj8NboVMTUawsnaOgpytHq /bg/awAr4sp+xK9q7okKPMGhpr4S87WIjsinAS7Ih1TR1cj0lpwfgcF+5LjflqsfZipprwVlQUuA BNociazp7XXpvkGEyYaVZtcrbCLfOecbNSd8dgWZE9AuRVoUT/7XxZcspJL4qApje+/xjv8LZhd5 nHG3nbq7nPAjzOjAogaNHsaiat+8UqQsXiPLIySScbPY0ybZwppe3JvBPSd8fmaNLP154ovm9GSc 0GO8QR7hBzoG0OgYQf8uNklZTm+4Az+eYdEJTOM1gqlI3DlLHIBHVKTZOLpzrwtYaKyVeoANcB3t eq8mBPhisZqWiiWUKmJxsoN7cXk1pJEDY/NRWw0MiVNzxbZmY/TKMvZJq6x02r+veMUV1SdGYMbm 1NEA37CS106VPJH9uh1cdhK5DrklhJWgXAbDjeJj8e4VCt6118kNEilBxEXM1oi8cSehTz4yBijo StcZ1pjcBmDEKjYNmHPjXiXVxTFsNyW3NMUt6VF+FBebi2f2ESA5AmWwCO5t/8dCijEJMgmRAiDt gQdQS5JGH/Yeasxg0Ba9Ba3i9m5RIBE34LpMDLteCZRPGLlFVHAPciaG8i3J9E5M0pchkmbpU8wc tY3/BKXYDzfekEWdX8FYxX4otw7WGsXeX4mVUTYsq1vnKsajyQvOFdDlT44g0+0A9eGCv5hmVMch 4gehOEvFTuWuFn4VE0Dll9BDkPDC+4SY1xYyDRcdjJwq4mbeVC5oRoGZscVtzu38XRTbxj4cosMP qQA0cOcsQpe5ROApf4bHJQgy7aHBXmDKHnaIstGxc9Lmd/PGqsiBwNS3eUfVX7FkXl8qHJfmkvPl buFeMvWVzaUiCzSrLBfCuN37rkVFt2XcFKuNoSSAZko/xQFYGU/Omv2jqCexNJAkEu0xFaoebC5E M9B1YfZ1d9o6h1W6PXfdD9ueOZrbUSVncHUC6SQ0SyfTwRqsseamx+FhVdCKaVWBeuLoPqnXGhC1 vV6oKkr03srM8ByC14lHsD7wJbrMj3+qAu1DB4ViQyVgAl30KLls6XE8v9Dpd0TTMuAjRzOKLvZy ldoNd6i5KFgtSp+s/wBiqa7auUEjkJn6PZRtyRWvhU/HOT52IIyXVnJxgw9YUMFlE3Tf7Bgwj2/7 TkoBfLv0ZCcLmp93DCCGuM3suk2R45yo/BiXkJ+/XD8i8DdShi4+34McPF0iRCMKWNLSOKQLIhrH 2u8SPBuQ3qBLYxTL4/P7YOyv5CsbUIsSgusu/khTafhmOL608AEpvqBPFcvLat1RqLR5CpdsOC89 Av8GDtoxjK6w0HaamhhgtJHOlTPpNyT39Beauq0u5NnsTlOQiHlEIlzOL1TfVALC+/lswXeRxML/ CxWlcJ3dfx61pwy221U52K043p0AhJKv8/zzUnoPVDWEI0/3IVyzAeINBJqfMG5G+7Nurtp5m5Km Wpk1zAyp2M4htw+aqJTssWxXAjxg2YOYZAILSOQj++P8O615hr3zCLDhFmX6HCYvGDayiB5vu+cc 8vjG99+Cntkj7JEUrS2IYHpBiaf2g5eMpak0gCgQ8h/09zekX9wCbYrIMaYtnbHqk3D6wAqobdSZ gPWlhLrfzDG0Q0ZNWqzrW5PNQ0mqk6Wm1BjsRSeEGrOwWZ/fLNk4wDsIzo1Wv8hmdmDhqtimNyvL j6pnvaRLM2OuVHQ4/YD+bZOMcUDCvO5VVHAWqo4eB2hk/pkcj/F+7flgmUiQBV3OrfyrgnPzoHAv gTSSIKYquP3yMYZ68KPpWMh9dSsnqOzXPEUBcl1qtb3b8DKuVP6RTTAqZdaaT841yGEdMqNVcQHw qMHnnG77gEK96Az8DVcfqYGYf9YRchL0TQM8D4Qik2QXE5ZIr7B8BoBK3MUIQ7DZojNrsQ1Dh35S 3iMl7ILRC0cQX/gyJ+OyyzapAHCi+zqso5NkV+mPlahJck9vzp5czdWcYmurDR0q40wVnnN8QAnd BNkBabkrZmMPUhiOxSjHSPdXB6w6NxRNrWKNlmHQKGbB3xw/TvPNUwRuAhkTwb277G2PS2X6ths2 nEFbPKV+F1nTihyDvMu78PKEKUZBHGZD2ysiILeyhOtW95LTC3To6VX6pWijXgoXIrYCbS4kVXsG d/X3z+N2jWyjZyVaRYKFekpNvyDXJIv9skO5XLSUpE8OTXY6g9SDCI+Feg9ZlKmKgBVPodZ+kgDj dY92mcX1ZVf0KOO6luhbznIhrsHCfNqdXmLi+FsxkSBLDarfmw2oJxgmIdvuOIWwOLK3FPuMZufU ljwd/aBlHXACfcRPO4AlWTF0ZGWwm8+xm8nbbLicrNaxE8LuRv0mKJ9WaKLIZJpyzM3rMxZpUf/Q b6AReNkemeIugjCObdPn/TNQxJJBmIMR0vR88Kk9aH6c1SGYTLLRGMfDVy/yn3UenkL6gc0LJo5O IxAa5Hjrdp9A2IzOb25qFjXCu1P/E+5U4jUSW9fooLdyUMGTGsDPG+Wvrg/9N5GmezyVAZmOdUL8 dCOA1B65IAHMzicotrBhojkPZx6N+AJCFIHwDdDeLJl6rkeGumjG7vXzfG2g7byEZFG4Ht3TPBM4 /O/AZoOZM2774C5KElP7+5z8v7kkGYmOOleTIVxr8NPrkeCRgZxU0+kqLRNmByokQjoZKPVEP1pI NkO4KFXPenJzm8bvSSE3MEENDNJoHq4dxecoykGNAbdFBkw+7LFx9ru5AuMGDLOz4IvN5hwZ5pbj pqnVraDQnHoL2capvSjmpO3KBQED6BbMSczBQ/VrN+Ey3QSATl0Vc+31fOL9r3K7TEL7wD5yjXLE Z2bmyAuNxZ4aA7RUqT8FpdklsZqhIlfqeT69v6rfbbytO8HoAdVc0VkjorpNVe/TS4Xc/9ynw7FW bldFxFngBPNTsRE7wciCtdvcvl3jzZ3JR6QS0rXOjT8qnUSNXZMZ7fkMnATYzckpYKydBiJJtaPs wPAz1vGRnkYMG0UoT7Z+HBnDZ4xU+tMA0LBSKxSCxeF6NgpdkxPex5KdHTaL0DArGzrEGCgDdGbZ UDwadm4H+sNiMFbOvswd72msQ66UE+uMV52GJ4JjhiAnRGyTMJdytKmuNrlObettnmL4kEHbwlwp 4LVZ/n1G7Uc+52rsDuFaH0IqMHJQS1nA7DWf8u2Bm1scXaSutaP2Li9JC2bJjnhcBxxPfM676nlF r1IhM9PMYZ6ZuTYCSsBPTfy1BcdXQsWK6IoUwF4sifpf94aV4zs8Ne4VWq0zSYQZl+ADba1yJbPe ZCyW4YaLL8Si6QxlSNiR9CalQkoHZE8VMLi2tRaCwcK72wAhuirY53TpkcqlyiBHe64fGiGHT3/m HuS/7sJsaJ0UBip5IhRCOl7XcWUpK5dbC1RceC57TVyURL03vcoCYx4nKVOoBuwgMRKe+bZMKwpo iPeRumYDFbYMWxDyNcNQQgGIKtC/Tj2HOKzrx5RXeiOn4bfpsO3dyqhbUbkZwMfRKBx5/fFE5aHS lTXIKS/FE2pWWQ8WIHg57/gIOWiDmEAciTpwZ4rCy5tAlT2t0VsxqBw3vg8Kg38PI4ZCyb9byq0c mbXlDNAngXC21rvKMoQOxp4O/mfQ8FSuWvcrwCydc8sGOV0UBykg1w8K8IxzkDGRB0GnbUPdzCVL wAMnVONQOEY8Zddn63B/TBcQ1Cc/IaiqFur1henjpLuFQv2cNGyify+Wfga0N+NIjnrBJGvKJzfj F+RIwUp/F28h4b9uPEu3QZ1ewXRNdKZ3h34ce7wTP81ibxsKBkPi0aYGdhXu+uaxDMYzQUWTpDKc aUbecMPlePdp6in9hr8o4YScBOYdPEKnA8lrHVFNJCAddJK0moIEAo0xWnyjk24/HfXq8zU6cOY3 f9PfyZP639fzgmWkr68bw9loLqBJ6a91Z2VpBmd0PcpjHREyTSYg6jXJStOK1VwsTjkkZtzH61nG vRnQRsKZ/zcQFmC8sODV5y9avJCLDUNmoQTCaaf6CRSfuTbHA446nC6D/MgyEavZW/SOUaV//biq UL+aOhwN7cakp0/UKwEj3m8pWkuc90hiKJl2G7HOiRzlFyL8eVQ68pQTjiEuKYIx3mYET+ljSEGj oUXSzWfjE6kyxH6+XdOiMWy8pMa4hDHYY32dFXZpnel4Q73tx0pQw2Z1oKUFJ2Nnzx5ZsAeBBhXe 9zyBLD1KIurrh6Z90Y7crpdMWADrsYyrgKmGR+UpAd4lm9agU+DBq9bca26OFDjx9hW2VvXfc2DV ejLoXczDSBMGTo53KnkEEjd3jLPnVU3Ajbf2Y5Fwb/7v24lIUQcf+Xf5ZNn3+DSb6SIUfzSA+hKF 2W6zUiUrtjcZFXhuq2TdF1X4yi5PK62pQvolJ4iY1+5NBEsCy5Gj824c/QLOa2UfGa3qMyFuq48b NUeilqbRkNMJV99TznvAbJhOcnb2fqWr++wZpgd5FKfULK0zRw7RQwmUdaU/Vqed70W4/H9c5Imo wSRACe2GbvZhy2F6HfclE6p4LVqbABxJ0T22wWOVSoUhCAndWTk6PIyREUMQfVpVOkRdNKzeC4Re TEIgHNvoF3e0SDVRUv4GX1YT5mfZvv8AwiJYIQOLfaKlUq338vRIFrmFnzO2oYsNFU+gpb7tMUX3 vtMr4qVhQzovtLh+Zo7TL4h8gVln2ilPVAosi+2lDoS/DDcl4VStiYB55oc4pz3Jkb2xKdm7m/y7 kwKrKjwlPG6zkPyUPCDvbefYoOxZZXok3fxYSVQF3+OYNX9T/gT0hjF24Ue1PGqmQeFOiiQ+or4E Bevd9MdXJg7rxW6zmkSr90/U+R8EgBvbrjOl79MYE6UirD+7vwubSoNv42I9l11nalltP2jmR/+R y7uKf8yCESgRUJxoPSYieXDYShL8nLh1SbMVBZjfC3rbI3zFzU7lpwjuOYwzpttZTB2xREx8dLEA GeUHrsnt2li24ACPTLRwQyC7g/Hc7qOdyJz26khM30eFNBjH/9r8Asmb/0WSUNX99EfXoAiaTMuX RvOeUGh4NbHbgXBrvHusjDxNUYpuG3ExNf/7Zx3TJ6DWial3JynhKbHX/9/aejIJsadzzk77Rigj 1jksjaQJ3So+0UwT1JRka7QNRv2yTMnPbcWB0pV3OBnKzIwXTpE8iODl6bEZfdaUYJYnC8s0RPna 9RG4/jcA/V2+gnY+zRzszEITBcq3U0uQ51lQzzkOP+aJDwsspZG02fYmrcG0+2s9HV0aeU2f2PdZ VWimBeSsnHOgS1AJNpTnYr0woyv2t/E//hBXwHc0i1iznrfGnZ673hBeSyXjHh5I9zoeuWCTJEkK WzzKsPDyhx/WBBy1C+HaHl9GSDROVTYgP2FqNcwkfwRapAzD5jbDiTzmiWgZ/GH42dmaG8ZPKI62 0PGr3eeaku8TeSw/+kRpkGOruWMIIIm+sh0Ocb2+ZohnJ5xt99w1188XaNiEHlKhDQX2FQeyDavO rRqYlfKB3TpmKxO9GPv/8UNiC12dDQaCOt1QEXn6JIe8/QQbsyjtU1sUbosmz10kw6G1TBt/vfFA XAQXJ3/tvR7Lwoacg1idERmybtJ7VI+Y4hl25mVjwhMorN1pISbCSYvlDAAuM05xJSRR/SeWSEj4 +fL/GusQMXrQOEQd1CDTPZCRF8ADz1A1QP8CturwEBdappfdvSnT/TuMt8w4/v9FUaUUOIIg0esn 54QSOhdtfv8f9F1jADNdUKDzB14WP5Qii/nkn05fIegfu+jsAgCirWOPZpxarDaP9X7bAEMEqOPm P5hImexM8dM1S6gwHzJ3WSN7kBIP1EJW6/vBb1MgNwrT+SEEjPrr/lJ+Cb5utdla5gykHbOStIZA exR8oS5pp84VUHF3Zv182XRCQSv+RvNlyDDetD3qohXdJ87+CzmAbVUmfAZ0rF2LzifYijWdvV0Y ScgN1cFDdifr86zfloSejNWTvyUSM2UWOZls+If0jqmNY8wh2ALfmJbNtZhebG1KfuMWCJQX1rq3 vW9zf+vC7wQpDLVpROARyu61k2sxWCQa7ujb6Gysqs15/Sf8cbbQqcOEHAgiu/apBYvrJFfI3s/6 3r0r5HGrXX2cnywnmH7Xwx2vZNK9QxufjGErdpDI3XlAnmstQFLqrfhElWc0r5HItNLqBquxNSnc NVht04U6vMR9cn6juBYLFWeTWaMsjv2Kfla9z199FFRDBex/MEKHcNvMu87JEEk8jjjlLoV/xKUa xFipb+bUV3GijnKhThP1P1NWOAbOCL4/FirDnLHyigXFqeOYOUKGlAfO2C3oW1bgyHGvYHSksljF VcLoqXJBRtIIXD2+5L++lDwbVLfZMsGkLL6LvAnTaZ5mIEEkg9PEbHhrI/6IpVpi/fJxeB03bn72 59gCX0Ite1bNIB6jVNcNwiltiJ1oYCemE/dS8+Vb5jB+NlfXCdnhSHFkYU7lEqFvXBm0roW8zhFo EKGLA3FuSxic+w4wtZwnR5Liix7xD76MACwvXHwBWBkKkTdWIWkR94FoUpZrBbAi4RapKSCUzKXN mUbISBpZUPaGvR1wDo73mAS6OUhwlB+2qcNAt6w+PqsTMUQaqaKuEaGuSbOx3EzT0fUIy6tKyJi8 bhbJjd2nvVoxca5kZrMid+epC/7W9ItHR3oDxUZ/zIUeXulbQQgpUnieiklAl8vtgDC2PzGHkMiB T7do0M+H50X2apG+ZX4YuvCXIlYdf5pqxI/DPLn8zG5K2zWDHcMZxjavDTbR9/596dE59QNoztyn QqAaODZ9vVLgV3H8xbo9VB0u8DPpjnG0P+wKBX9xnI7U8iIlcIYVkdA8XZEQrAxbhxmn9xf9Hx+B kLZ2nMFpUj0zyO8/YQkB7ASxSQgvIO8qam4MNnUqywynr9VEYXMXk047u2mBK3ufs4EfOWas/ycZ Jd0xOVxtz6QN3KyBFY2KE0vpJH6zKJX4i3GR3pMVt1zgC/b73nMPo1S3cv/q2GploG3sbIn78XFJ TEJajvQXYbtzhtedA1Ma8yHbByWglaoQWSiMw0SuUzHsz3ZO8Qvz2h6SfFkKyfk0mr61XS1Q3Y06 MlYrxEnFWtiZxWmNgsuxYrFBFkb+IUZlXtRXGn2wSzJsPosp+O412Y32UcQjGY2R7/b0oifzc6BQ 0Ov6dxjAcoNiQnYqjwMZBtih3+jEYKFwW5+r7HpLekqM8NxlX9gIGPqld9DlremZWEW1bVLllTsE dM+PPYmkuadMJyFLb85kp9Zt6InuFIzA4r8SRnPa8WjgyyiT26AXSIwgh2jc6nCLGx9DvsemMVTK E8PWWJExZOdobrdpHY6B9xIBhci0JEYYgKsW1EjJSdfXX45PitQmIa+kJ5TXOndq+rvwAnyZ3UWa /ickmWot02mMeQDU/itU39sItCw9KSoImeOVkPFnpOdFaH3jj+myA64F+O9XI3yA6ass02h/TyZK 5H6W3078OvJfFoGvEq3y/dwQhAE65mXw12+io1f+dmzF3WUGUYTkJIuyG0Geafm1XkeRxhowVFyc ZLcAuAIUf+9wPkv8l1UusCjLAcQZe/OC+DfLvy9Nyn6aU6T8q7g2i5s8ZsrmHeOteNxUpFTWetvy t7ixS0wNM6zELWaXjNlbQlkNZxcBxYBNw6kjouMLd64Y6TwtqqCbHbwpgCQL4uyCOU5lD2ABmHOh +dw1LWAufNsj+wScvia9qR55VTEpkwGTyMM+UY/k8ocsEP8xdpKDGENmsI95ga39FGrTVBdV4mfh 9dsbYUzuptnv/GkFe6CpGbm/4dImQDTHfYLsDLb11B1WPlWw7s91eIlbE50oeMBRWJ+KzEFelD5P Xy/RCa9uJ8UbfwjPT1wXgGOn4pcibOcM+Gb0CNPTCJzEGKUhlY2NvWXXBnnEogSaArxLKwOM8O8M fGQ45LxIMEscQqJPwIOdUpRRwCLXXnW8ZL2ETvJfF70zpWHfnlsQdaEsWgxj3pMwkfXOeDcuOF44 3iGq3DAb9pQtEhq9Ag2B4bxLQKus76TD5x91O+K2pOZzIhcNh6iV+j1NxNydj/Z5jfS3PdkEqdl8 EvKBsJcQdCnrAdzell9Q8xV0ylC2zzFICqsO93C1/lsPqx05RJ0nrMqvHEcGGfNulqvmrb4TXzwH azB73C8nwE2kh2BjA1QcSt84Z1nfSLCvi7mIt+urAvKRuee0Af2MXYePo4ns9kcHAmd2ygHUgWYp DDjDaMgkFykDXwvfxlfvReR8RLndAl6oEMtjFG0nE6fZvGWEbGr0jXUyDzuMdbeSf/2MXiCX+hVG 2zWUoHzu6FdGtt5nEpx9GvLJNhbTPAgQmCVGP8uf7YS1wpYdnrzar4clSAe37cMqNbMjfYV9qCpB 0SH+DkkIjABAJ9Sg+edZ3LvCnnO0VV3LZbnynC/FLC+2WRwT7V2UhYMfqdDPgusJwUy6R4+H3fRQ F1t0SkQ9G86cKhOyqzvJNz8/eLhCp5Ay4xPkdhnv+LL5GKqbt+a7eIW1cvfags34360FMdo2rpZq 4sy+Nya2WqCgyyWzPKlWUb680RrxWpOadxXzJsPJcNpTh8Gz0BZ9blFycRzlwOG2ZATCKoSqyF/k EhK4xmbSlMdQb7cF2RSXz9k3Ip+gtOW74XRroAgWjmjVg7hfGZtZycu/miveUHABvoUTHkmcLbHp ePZd3SATQQNs+76AzB/pdHuYixCmPx0TpyGoI3kB37FxzYR/RGRgvGMyRiS9D3LoKHIxzptHxlxM i21u6GpEcBdDMDr8Tb5Inxmc1hQZRGpmhiKyNcRixz/fPiryWTWe1wbvZaRTQ5wy13zzSxb+YAZX 2D1I3ariCHBy9eXdYSW/f2LCn0ldoyvUDmp/XHH6M0pHthIn/TNWESUkNiB4kIptOWWdcK4ZdAxE KB6vw1rcQevwUacyj0MeDWc5bFwk5s52lrdNMoS9jD+ioYbkWH8XN9q58il/ZneNhQhcrKGScEeT 6A58F80m81NaJMVadVvoj8BfEYFODIXCslVK2odfUXCvE++jOUFKXXHx9Ds8vwG0QAfzjV28YsZE VcbKX9yksvnBONAMoPNYSc+a/TKukgnqANDAPb++72qdS2GaJQBD/Bic/m1wEi39PHtNRVwHolSO nscAunJuJVLxy02YfIvb5A+9l4bAm66dIzDpqGLizVfRPzyU0T3wyO8wvWMO9wfKByHwJ2bNDVFl CPenU6AeoZ6ORLu5lBTh6dGcGFfBKSmeX1pHot1Qng7V5GmNzT3aiXOf4EoLlsO2zH58vpwZXYU7 vUmpMp+Ll+gOsMblKdJo4z2PZC8geuUa97wUmCPtgeV6fdEtVx28X1YuS80m0qpfhcfQpv1RqE7S 0IfiKQ0WOxOZSVvs7Efh9zlkASTt7bXbs9543lQVIXlYcyEJlrpYff0UQspZ3VIVamibvmDWKaAx fHYimrQUyhFhLHmlCWTlzByCYZ3UHvPiR3M6Z1KCv3W59xJ4apXsCpLM3USbfRoZYbVC27/TqBUG BbRmSGFbfHZ2fX6d8YASwmIyFlRwM6USShUynaaxasQI3duye2X2wskk12pBraoJiQnCwQDeNdxR O5H8vKfNSmWBNkUzuKjCKTSNvx2B/lo0VaojmalURmonjP6gR8jgPCmuFwk4ASzYO3+V4MDgkFBU bzY1NgUbThI/d3QXDO0SAd73V/PgcpDWxTklh9mnH14A7q0QYBDAwvbd7ri33Y8RtoCWOFL4tA/S Kier4xCXGgsWSVRdEHoVYxxO+K2YqfzIKhwIigwRCJMj5aO0uYBdWoMxmA4Y42t7ALnuoIVz8Nas 0EB6XPog56b99mQTbnmvfrPlJxFMgbyXBkBoTmaT+IdtijKzNelL0LaeaL35T2NX/Vtb7VHQhKjb StrkojMOKpA5zN9eJdgNCjdcyYfKSOOIvEMDdIC9vaT09hROpAAmK6TOg5sxrQXR7sgMwN4ZfO1V XDGUVIYPfTdXUnZIvHUqQVuXLCxI3Vzi9EAfhsOIjkfSrOEcf1heQtjbOAn+Jim5q9nQIYq/G2OE NQgiLzd1fGlKoPMjtpYtWVqKs4gLERL4eHfTjOjWOxs+A0NNsGjMnqJIdccaqZs3yCd13jpNzY8h fNIcuidQX+aT+p1yJWXlxkumIpOKGwyXbEwHhNtvxuR7/Ij1wBWi8IT7KqwGZCYC4Q9pGvpg569g gaOl1w/v1Qj3ieQzq4rwvp/qgZWqaBAd60FESzny5xbemFMjYMIENtIC13USYmZBsvqcFE27N3IA +qEW6IhL+d5ynIeycZqBhl6kNYFO5GD9RAzkDCztcSZKQbZVISG0nBBtiyJOb1WMNqpTG2jPtsam ob3g/PS8Q+85BSGYRfdjUfAJLf6XkvL+fLzulBvMdOzTDT8xdVRpdwPIWCRuwROyjrDka3A+fPEm uw/yuDTiwCuCDbZ/haKILyEbdxqyv84bLbdaswq2ATNLm1j+Ko9zqejJiPVko0zoGvdESGBzTHA3 YkduP6GzsZjOowJyRDc9VTOoudjU6kNL7ISR1NiBIgS/2I4dFuE8m48BrICtMzhPpOwZ/J2CRRje A09/wbqLCPFluOaobo+GINXyKk63/ZIIWdTyNaXQ8oD7hIsYSI3iZv3pqAb/bPazSK41JgYk2WRK M1XJFmyiR6D+I2ga0O4h5rDEn5nZBnUgjOuSdmKqhF426UMXf7g67SwHk6orUNI+/1I1938j0jGA QTCyWwlUEf3lUm7iOkDhK7myTgFpudV43BD1B1y/2rUtalVr7LAVc2XDDQCYdVRZj9mHI5vxK/rc AAFWaEJvepSe7ETx+q/VvcHgD2bbbT+FxWfJHg1c8lIpNDX1ulFjAegVwvPIZ+MaFSuz6KjbXpiN gC0yCF1LheUGHENsiSsZmu+FKEs6RHsAkhKFqXyUATEQcVPP3hamdSq6w8nckNwGR+RqN/X71rFe tXBbQdiirEUMKpZ7fO3kkFz4CS2fh9rpatgAqRyfbnqbAeFt/Ex3mRLQI9RYslYG5X1Wy5j1ly02 ucmqskBTx8l1bkduTd/4b8V7uOIaev+cVGrhilaVteuisew1TlTGkq4cTfJxXbyPVORaHV5KQ679 EDWo2rg0zAcWQBtzKBY8IiAWaC4AUay9rEuwoEPYyGlSp+FZ3dD0asBXHcdsYLwB5U9yge1SjE5P NYwdudNn+dI42SXMs6FJRY8GmhUt7DwvijKSFKeagPw9djmxyXdwy2nQAM08p1Zs1xuQrEqF8Du/ 3riH5lyU2B7DfXdOn453Ck5VPEx+DXPFr5yUA53aqb8mDXM+cmy3QA6wN1ioAMH/7hFZntfBzJkS bM2AWdQlOrFlZOGTEC6xu23Zv1YN8HqSfZmNwO9mX8wPNsvASBgyYytkNc3FB2rJcVn9bmvKdPJE 4WqBy4PQr8zUE//lk7KN2ZLz/GeZl+DXTSoG4d7RyDxCfw1BkmnEtYInlbsWqxAHsKLAt0wgXH3O uScp0xbbDbIP1hDmg1vzjdej6bzc8fG1ltmmvehhsNZHvsUe8S2lm1a3KwGBzMr1Gn2u5XzTGatj 0rYpGQRjF/MpZUaYxOJdp+IrDAbfePs8ImgmV6rCxDGm2+ByXKg5merc9CykLLsvbnRjnWdSJ+Ge KhiGFL+XUh8jGKzYymiwzWc2XDLse2rHzhskIPC9qnBBwMnfQOESzYFlFMbKfw/RDv4++HksJsJq z96m3s0BY7KQjI9VZvpR11K65vPVkAwamK4AzgjZUs7Isetzy1WjhedbEQfWI/XI07P+BRcyt8yc p5ybE7YRiH1feRG1tsEYl2fC9OA+AyEUqrPk3/udLHvd2k7kbDrk6HbHf9RvgPk84dtFa4u1oQ+K Zp++NjbvC3FYQAOthfGOxb1HPvLvT8MQkMv0jfezAlBx/5PSxGSZFT6jaru4QK3S8okauoTif9Vg RcXlS75PGTGZT4BcygehIyjBaLcpffZyS3u36UIOjl4O/qhSXs9EaVAK9SsVdhE11ezqIB/Qosnw gUOzpRQn7ZZlSOqfkJa1DKXNc2535o3/+wGjbjvXERx+dfF8JGdM1JgV1j1qRJxgspzmu7sMiDsz feNOc30eLEaO9ibuCqTTuv7jZAkAHIT92U6zOj/BygDRlXJqlBrxX26DEjbWpswb5JJyLP6wRo14 OHA3+NJcQy6Y/5m1JtdKC3VcbyyImHh0tgiovGi6MUoxnLMn1HNb+4Awf7po62BMtiAFtLzCjnXo B7mFG0yWAJ0TVJjOYLzE9TtlyBrMSm9L23CNdzTyRzX/yAaiR42I3HqVNcD1h7wfju8tr/cQ8I/+ h39aRF7+jUvtbWkWA+VzQ/T+X3as7UF5NrO/bsczZfWjH50oi9AiSFJUzZyF//hp/PL1zbemkqa3 rSAzTulvw1CllOIqgWdP9M0JVbuaLWiVXcloaV5YGdrRKyB/DzFAOiQKQBhYULYTQEwQ0a4nG3wk OPFF3vkAH1Olekc5hn6Bjsz04V7Gq6JI/d9O2g9K9K/4C4WyhKCzPTSbk38Es6QCDFrr+gpFtKZO Xdn25Zf8oFaFDycbwx6wu/TW24RVIoy+bkTk0c0c+swIgiXgnJra0CX2mAh5zjOE/fV2Vzek8XK6 C4WNc3LCa7pVptApHMb0CVIkiQ1nW51d8QxOsvLloc7JQPrmrtFf2Qrqakmuj8R5Iu46de/4YzGk QzKw067JeJS7vxy6pw426ZIxQW/Ok1ky5vEaKobV89Gwcy6AgpFBGTtqI7ffHuii9Im3G+wipI8J rJmqsrfy7SPgjUGeMJGGqGbu3LkZWVZJUTwGgmJD928fYzDxksTpaoWEaM3g0VcEzD23MGb78MWa 5fOrcYmqXkR1xZHxaENboH+FO+QuKQebnuu1SzgyftKqSMOwYeHrIOk8n9irM0RlA8uTk2q6cP5m m2J4s0O83t9b0aGy8G/BZfnlbBD/uqR4xjbKJNL/MQ+YLet9e3TQSUhw4ktDtZvoz6DAH0mM0Tmw NU8Qb5InRy/ep5ZDlBSSAlnTryNO6MXoEbAj499N7IlM/f3AxSiLi6X9WkDh6OBIob1iIw8KOViR KQgWRObjqAqQY9dvAMLP4s4YpLAAC2D9EdN7pUORW1CiRz+06GrIrWN+OjdCEpwPAslmZ4vRaRWZ aehOlNezrT8jQUl9F4Nb4voQvOuuxDNePhEO27SQRtiLG9fOafHTBjhSQpnIFKR1nYkateEzk9QP eUJ7TbJ20j664sSqMvRU/geWgANcfu0jCJYI7cG83XmIOvHfhRiQHV3QuRFOdn68kS3KKeapElIh 0tDHTi8eMOm8I3Vgofcm1qHKEJqnguVOsWV7A5YuEUHYZQSjcQKPNNPQ+6OiPzhvVm3flz0aHLcQ l+sbydu+oUofJfEJzxCQ0SJJ/VYBBdzzMfbBFziYulX57tOJC24DBzxcvJ/doYN27lPkBbaCF4Vf HRcmCn/Cq5TIp3QWY9Ai3ydnTLGrPkYrzMwk6aPigyPK4VkNkTfZDyIjEZtbkKQuB8W80YNEAKTY 7q5oHyJJva3sEwFkJrdiI1BlfnMhGxgpQwCATka7+yUR0xiVJHmxj1Q/XWp+G4gQjwm6OJQkzNiL Okh1ChfoNKrdRoT9V11clv3oOTQPU506kQUrAAAUMbKF3SYw+1Y7vY4AZn0KKXyWJIL1Q1Y3pKqP QZpQTDvqdE2x9X+PI2xyLECLt+cLHWoAaG3J5pHBrM2Ri9Clixqlw/SvYEvHztwUY93xu0gSH4cL dTjyRg6Vg7KIkL4jQ2FmpZ1LpU1p59kZNit+b0ioO3sSJgWBlW8PVg/rdR0vwsn+Du3JttADIbNd oDjwgbUQenAd3/2RnZLvwGaMivRevlR7GvAbi+YK1FUxI5iwq/DN6IQv4UGErFw5oZrRGtkL4Mr/ RfkYIEWwVK78XRgqoRwG+QsErIuNsal6zzetQ/vzIB0UmaDHcnJREWk3bR13MdazSxQz/zKmqZR0 vOmNq4/CCL7glrwegS7h8XWf3jsBoGKgftBuilWWIhgIIs14JC+1U6wPEVpC0GQSKq5zsEJuUuLw 4AVxlibShmOTJeCx3Rin5XLTCnhUAGxcwtToDeFFeobUI1BNhzcDaDGtfCF0TGGA3+TwjLYqu6Op 8RbROZyuFbCqAbJ57olD+KCaNLlMDX/GB2O6sJE/p8Y/G/h4KobqNqIoHkZg8hizuFOBHc91aEVP /0fuCfi+tKCURhfsMBzMGTh8m6Zb5HjkFPi5ztJUdZIKQy5hus++GQ8cIsCxXza5rLQbbzoDZYdT GScq23k7rZC1zBFU2qkAd6ba5G0EYNzQFJTkDMIOUpg9i8VUfDuswSUDTBONcwcO7SqNFdrvs7G4 9JFC3LnSY0DTFEkGPT8hYLnFvYf6/9rwTKaWnIPJEdsUs5AcIIrxCPhhXPr8bGn6ninEbhkRV5OH sdCeez5R46BWgyEFclltPiVpVIqZ6fWRkKZ3i2dKAfVTVttoizxLswZXq21D0cUZxVahNurEHlp5 D0QRW2CDEV8u6zpuCK2ChdWljRdZA2OVIW9ek+Y6LaO+Pmqm73e0GolVM3Pn1PmmHGxVcZ7lhJAN lxiQMj3qQ7WdNr5IcZB0S7ypjXF5Nw+uEKvEHDRw+hkhe7smHibCmVfbPkROg9uqAGb0PP4YhFbG i9z/44FD17peCRWidqwEJY2uj394eXBedbsssUpBcbnQbGxV93wqFhtt1+x6azJ+NruwSa9gIXZa PEzZyybNEmuM0aBEOn9T+sX12A/jE4P2eEsLifu1x30MD7x22KN+C6Y6Tdas6b0ohBLasFo1B4XO XfR3koGKb2OW93ANjF1p6JO33dS4SfUD4p/DVJCB4T1gSSyurBHrdoN+Q4JLKJRVX4lxdKCATOzv F+rnvwToeKHj4fac2JYoMLC3GqOoLFb/t473pKzNCovBxeVS5wbu7Jt/gyscOfKavyU2XWcuYEr2 GElYWQXzEguQldFrn+/VXWPd2FkkagL4L0MQepB1kadW22Zbyahxnnssza654lPTuG0iKpUmfA9+ PMVdvM8kz+3KI08zQ6U58TQ4SdpH0SkLDQxS4JfBrC9IKsOHb11jQoDA9AFurU16ssyNVJ7bpIU7 O/foKSmLK0c2Cmox/FCJK11ZTFBxJE3+lriQAzEx148MqO6wK15bpflAIolB/Tt7+tk4BoFzfzqK Z7W+0Xg+K9Gera5maCvo3efTgMBUu7Mi0rnrFLudejtKfSGofIhpvigwzSpkkYKcjBiqlmKzqCR0 XmSp+H8IaaurrEaY2a2yMGa8/Ed803zB5+bUd0+MwU/ujM8JVsjvxSf28c1pPru5BHwujibYFAez AGrY3g5rKxWpNW3krQU2jXz2ixSfhqhRbHcT9WuOqe4I5MDN5WUlgLIsY4M3C1bleWxeOijHAH0e aEeJVFGoEyO3W/IFKGJTv5KwwekFgtjYSr0lvzaAiwesP84TADJ6ek+DYAYquXBBTK2GvaSgNzKz cY/6ePDJvCTRQuQvOM3r5vZboBYv8mT1wQHPCNU1nVDeT9rSO1iRg3Q5q+yl+SFszW0AK8Fujlkt 0ttEGdf9TuqqV400aC0M+1IDaig04rb6STRYzlKJo1E3z+wvIzmS6eBHX3H1UdW0ZrsKBcDr5S/s OdFt1PXPPsZ3dCIPLrcbuzxOV8yke5rQaz4JnV52YjfMhNJkrMtfo3FkiJcrBPoVjKH62ccB4D41 vdOTUxFZ39ZeqP0pGHfTHRtzQfKq1EtoGL7Yc1iScnvv6Zl4XUclSIXypK44WJD/xUhThuJs/scS VmnERZ/xD0ieHpnvdt5oi8qICATyAsKGuf/jHlHZ7B7QpyFAp4ZJ4IN6lYpJ4IPkbQy6w+J1H0qK JiYLWCesbg233U0pFxopZY/KxOMyAlrKr9e0EUGtPCbu2JUmopV5TFKG7/sN6u9tG1Y2vOt3x1t+ kz41e6fl22eS9q2BguH3pw3Qr7STDMYaEl4lnBobMbuYolaip4h5AFx8UcV2UYUthUiOWNj+gaXy qTnAqgJQpFXQdA4PXaA0zUwSYihWq+FSgZ/USlVi09ya3ImnamsPMNsvfpXczNUh53J4wn72a318 FjPRaiIxcN0dFBqhJKUGYLno8yGz8ioJa12fUqJF675/cnT1HGA3kuEHb/b+85k3qYwxO8ttfabM YVzdBnk6+temHzHyaXF5NMl1aB6y7IhWiltMbHNXbfS7HCWY+kAufMIPergp8iqpFFLMChC0Eh1Y j5s4Zk7IvucDT4O5wh2GdhMtNnuFoEX5VORqc6viDpLp9aXbAUFMGC5vuEeJx3t/p/VZP9wqkVRX qrPK9Nsllr8ndzqF8gVZuURAUTj3XqHV7CCt3fMaiUqphtbRJiUDTVj+9YZn8Eib6H8qcYLwx+HW DcuTxykj0L2c1MWDsFrWbD3uHR+725wrBg8+FOs12M5vkaeVLfGRR4kJvFdrItW3uX1ICOkjnBkY NlIjSOrZZ/Z5myfc6O+WB9nxODF5ZNdEdFRzwb/B702VDGWNW5oIJND4z1iuobz9rfbWip6DXR+R I12Jm0uzocggdolSXYsSj3opytzvHBeX368OgV3C3+5NA4wKkBz5av/cudm0uudPhlXCqVvV2n8b SUl5rBXveEAge3Z8IbNU1Y7q583UzdTC5c0ugDS1tywb5qP79oKkAoQQbQ8lYcKlQTilEDe4B/jO 1uop2kT95grRWGUXITaKVYv25b4+/KGfYRTlr6B4Vm4qQNFAhqVE61xhaPjAdDUjWkaffDPzO1iR yWIbFHMi7akS8M2u3yBYRbSoogMv/6ECKv7HKvS7UW5xmcBUJs+kumMbdcXvJFYoeEM9OiRGSCrf t5irljKDk0gh/2XeilzCjR5t3ltZlEnqYyRaRKqO06oy+pShMFPhCmrTpZsVLaKXixUGATuLjwrg 0U28J1Ua6N+pk+ZjD3p19QCzAskfuLDrTXqZebQzMkx2SEFOLgv/PT3BRI9HlMa0c0sX3WDrNCR4 j7S7LDvmEXJZCTlOLBLRkGQD2tNReL6/tmpQlQFwGEdRUKif3Amd89QKfNnK5uWNHpGTLW1t9Sk7 NoWwar28LWYZY49qjumvMK2ucOq5lmcG5DwODRAqeDab4rd4NAZHhcKu8l/DP7Pa59pYD0yjuiqa Q8gy88Z+hgovj3FtWiT3IHP9fuFHugcRY/k+cgP41SBK/xHauwxWLSrOsOf3HGHJqUqeaAnsuMWk YkFeMHB25hSRkFWn18rDGvAcBGt+PMLLfj43L628aZFfssIixkoMZBEyY2GSKOMaEs2C1Kk4IEU5 +xOY0BbdPZCxAatDQ0DiWXtmUttTLKy1U5zQft8TvH7Lge+Fko6xGmhoXG0Ewpm8VPP6aE1RalWI aNpltOjq3NYmtZeOhd0wMny6pHDAR76TnUinOPRUve7R4pmc9jPU0pz/1euPzfA9UBFpu5vz5NCl 3OYUNfX1ib44uaMWoEGfnfhdOdvIYKf+Xsm7lJ2hovGeYG/7mkkblV8m8tDnUeFjPqh5USesHUX6 RsYbGEa2GrZfFAR6yFCBkVT67jaMI3s1ihr5p2e4eXXzRj2ucLVMmvleGW9YuvfbNGgGqXyYoTi7 khxJ/cF2/jdKhwrrfKYYo6BgzXCQ68UF8hYCiAk0pLLl+MbXsqTEEHERUpDeYCfhoM+TDa34POIR wgbR1FFl1borRYDHnqvsHeQNSYEczANeSmVmilnctSoDXNIMCdUJjKw5hEZQLa5alTbXoHLoikaf NtykHgTS1iatdyGEak+WrMzwDoz4sSn/EPumbKssBri9rOeqF7Z2hvJ7CSg1uJmdlZj6HlrUw8Fp kx9FPOTmjJ2mwOLuGH3nTELgvdHDguwSWugpjTDFcL+VStzHBZ/Ntvfpaa8UVefom5VqfEAJzZyB rd66ikudbpE1jGTO/nfSiOt4xYMIVt+XM/lqMpvUB+K+pPEHUaHthjgc/GkYeMgOPMl+I8Dfp2t0 97PuF3HDWpLAJfntjdVZTZPMqv7prwhXei56k3JhB6rZkD661IiNA3X3cdIwIoSvOxiAlE/OSwbT j0hQPD7o4YVpZgLqDScnYfIOtZmUfrqoYNXYBjKh1Z9qUCeX1ix8JUrKHZged6GzcRJnev1iA1UK hGPFTlYhFRLOgyULwEEoLzWwApTe2TUYIThBIugXWyH+BS8fqjyu9kitFKtLF2NiJSGwhxvN7cCa btNsxpBxbUWjFFbN48aQFpKZlNlJvzzve/L2d3iNeMh0s9kV6uvLooBMHs+41V7n6Xfk1qg1akNR noF9RGudz03PDbee3oLw+halew2c7ghhhoFhV+MSruHGegWQDnBhhhzP9Y312QgJ8GAFuAa1COni 7k9k+IOr9vlrFzd5fOCgoLSjCSlY2KwVj157SKkLvXiuhPKqp7f1Ypro5mZZAa8KSXKitqbNvLvb ou3WNZrjvNP8q04xyaVoc9ZEK5GChF3L/403hjcg5VkVhaZra7gNstrSECB9NCy8IjvhJXyKLEcP K0NmakKFpqFb02Zjqs5ZFopP27WJtY1GpLQXq3mz4wdznGoUustLRbmJ18qeF82sVCBpKMYQyvk7 zCF2rZzMNCZPLnPCFz/7GHQK0LcXOxTBNWMzAeOD1/BIywJ/3xrYClga+iK5naeDmDwX2fR9awKd 2688C0D/ccW3ZPLkndT8h67TuYD2l+7gv6ozDiIHKudE1nAuo2ytcXJKFBgad3QN9GokEWhYnUc8 IBbpR30+bSRK311G2jiJOnuU5hfAlswlR2n2RrdisWgFzFane26b71RJzImxuxdmDd7eUiGxZ1t9 GtBwlTOZUZol4j4A0ooph44YBfc+w2fOZjLP05RhsM4yTUgYBFf4pngYU9lAgTNZovb1FEB7X3Zb qzGAB9txzHQD7s21tyZZKL/FxqTl8KTvv+HlQjH1ENjpMtz96iF8CP2+F931I/ekN32LJ73XF32e 60f6UVZ0Nr4WD3rgpNXRIJj/Oqfj9zNKnZZqEpGWa2o6ODyE0EKVQZCNypajhM+MSIX/ib1wOEH+ EZ+xuD+K5kulclxA7eFBWY6C0c3B+GGHAHwxJMrJN7wQQQnGRctIC46mgEzi4DNZ4CLO2tJlNw9Q 7C4KWI1MmcDwQB6wXtUP/tkUyhYS5ECkFocSacFv/yOZQ7zPkhahyHaM4qPY/JFbhGu7DA+1hbG5 mF+WQEZ5S9OQgr04xW4cBdEZ7NNcXOYv3PdDLUtZ5LaroIggS7dTRGdMdN/PBFLdNxPW5ycUEHjI rM2zGTJt+OONQYwbxqNGKdFKW9J0AkpoWLf1C566DkliY3vkD9tll8jDcZlZGsJljGcnSmn2s6fe p0K+D7mtsdldiqY+GONF0iBvUuy2RODYSJE2Bv6iBNZ4kAT3EDCvG38so99ccei8hUDrsvd42vI9 Bn5v2DuAcTtRQ27jsR9xk2B72bsqldFv74l5AKWxm9bhoRTZ6irVy/oQIZtcV2L7Irj7/woZfuMb umjmn0/8Z1pObvK6bI4nkOEoSuGDZD5q3PzIUl8uzRGRq7yVTNxwkCqaQS2NGa42W+VMzSc/xODZ bB7N5yurq3dhkxfJ5VUcPtrGL5ozR1tKOetqfIS8AvSgq38NMQdTvbLLQ34KaFmoCJgODEvtNKYb ihTVdqB25UwCgWXGXF7FehVRIglJZRGaXy0TyS6SmNQLrYC9z7Hrvo1yjcIMf+Ga2TpKjDPS0k/9 CYvanm978Edr0j3PtVQR0vZAikWi8B8X7Uh20WIR7mHmUqoW+Awao/x85aakJhMS4g6vZeiskJrM lBb3ZuSvK67KE++DlxzATbFkkeLTZw9FST8MHZoTS2yxxXknVYmmCYZ4WKO+dzFwIvNHOrbkW7UA powP/Kg9fMvEmVHIGpIgTnoemnzFv59znQBptUuNseP6rHUoc+OejSTAcKeEhUy57o8uNDKguSEC WCFZ1Vk2z+LV13rv4qrRvruGAp2qb9E38r2OLD0lXXYzJ7YEpooesJ+km90d1mqz+fK569Hnu0uC xhzGRYCg3YNF6W7NhB0lVls4vBZ0JuB2rAB2PYSSTGuwL4fROGxxcibRzgA/vVtXefA/l0PnJcRQ npiIpmcSuCHJw6MlKlo0RaXuhQszGcSxLz2luV5qAU3srr257vNcTjcttFEDVAWuRZvNaz/1gw4Q yerMEdsUCR2hAHSUwt0WHdpsQ2iPCKIBmj9MSqB3vyJTpM+dYmM29b1Wg6QTm5PRpt2iq/j5OmnX yDh+LbrcY6Zb+28lB2eekFeb10Kw5c05HvpVeJxdoJwe/+IOjMirjX893Qgj+3oK2yYfNk6VZB/y lk74o4EPPYtejNpqw8Hf++d6i3qRo/zwib1PS0Jkz3Sqh8FQcJm2ZKeTEgcaDeZSNAvb1wGmorgu hIZ5swbgfFrCRqgPjLthFrBlpasYosxefiyrnDPiPdbP3PsLCnBcgkEFabkD+/13Rwo2wsv0H9oT terBI84UAWctfo6+3WSULVojcoqhMtP3fHnfg+5JqaPAjtheO23EIMRtVylYkXDR6YkV+UCQejFv +TIgGSuPzbGGYEWnwXkEFY0GLmyWgKj1RdXEXlLftuoD92C5TLfxiJSN704Wsd1V6LmTRbWQoN/F kvRe4cpN7rbwJpDEbTfvNhh8qO7bUBut7KETtOywhL7rt6Oh5zJgVPdxLUZCMh3UWDbdQOCMvZ67 7hHasAXjkjTn8kEo21Yj3DyHLs6OummW/j+M2QkEoYM/xJnpGHMT1+ZbI1eRpMcHKhkBzTm5K9I4 QHhoW7RmRCnodK0ZcbeMYxKCwxDIW2WJvBptyZROUWqPAMTJNf4khD1vKtxmnK35VAXH5bE5MdV4 leEx8FfQUsaVeknUWEeK47HHYKdKetNl5aK7aH6IDO7X27IlUwqnIK7o0pcMGO5vZe9owpl5gjpI 7XQyNkXlLtKwIQCm+uDcB1sIFolPCtBG/vVd1+FvxpCaufgOarVxKdKE/SpnKhus36IXrsGKK0h0 qLoldxip8TzFM+21xa7X8WhT/YFILIxKjtQ4ejvD14/fXFpf/04Wt62aW5eC5cffKdQm8Q/M5sCm y7HCxOaKKEAkPXFtCZO+SkGaYcAIx+WgnI5l8bLGsBEDf9lXKulzUpMQyecnDQ1FzuNI+NQIhYOU cMtkwhVKIlmiISCX4PITRtaVIdWkecL6l0r56MauOc+UFX54Feg7e7/u/IFIA8enjVZ0kQQoNFwv R7SN5kOagolLloOLsAhoWyFnV0ComOOC0ac5pftWFyWrREgYyVS8wLZEdzLLtg8+ozi/8GmI/eCm 9OZ30olBHKwYeZv0xKrWrlcqH9jrILkzR2WLG7rkeYRIE7li04yqiIdfdN+ZamxTeJsfRr6nklLI qvfZvL6IcVeglOGJ9z1faRsLj1UpdoaPB3nyyZqHpcPeTAqFWRsgZh81y5OvOhcSSOohWixi6Znr ODPBi3SbOWaAlqYzIg/Elxo0FYSArz30uX3zAQd3/xpQCmS5IO7BtRMkrpPZVH+WeEYXgkyk97Jk 22fjFYYt5FLDVQ5Oz4X3ZHp298DfBecEmLZY4+oYTAsmnAsGUGOUmvRV4qx7bikw/QmG7ftNPPDB bPQrYMnv1PLHsi+67jZRm9zh7+yTSj3fRcmBL9O8PUoJaeP1QbN6ka24QM21eV0kNrZpiPUYLLxP C2JMi6igF6vzzF9rbserax5osYbLvHy3H0LD9a9a/4QzOArWCuY6yMnsgmhI2wMFjhpsd0gaf5R4 edPnuAFyOYBKK51Wud5MelEEba2rJ4HkiVNrBhnh3T3BXBSVWzhpU8agviqa98f8uMXhCKeU+IRL gEUKQKnmdCFtiCr4fovAac+32dLpVQ0XlJp0AxUvz9+EPJuPEdMIscFlowwD7iAynFxLrgV7kLX7 UHclI7NmGPBr/5YcwwhiL3rI/tZQ/57UL/ZYUVmFCVFSuOx4kG/pacyzUmLJE85KGEbglRRWcPtJ 10jBGmtRp166JiufZzjBImlhg7mWFrbhwoqTWslip9RoR3yeRX7MEKKY/NJyNe7+5aAwGypsqzVQ nks59x9IJ4POM89ZQaM3M/yzikN6CWZcO8rYEzD1fKp9NvcmzZTzOzp+OyISfesByW6NPBvT4g1D 2B1SrRFsyg1RwNSFfDKlXYNyKaqN1nvwWbfdBdyY93XMzglmada2XjMyoK+UHXhQ6IiMZdLSR2J9 mfQcVMG4MOlYZrEUSURt8mrYC2bDiyprivuDPc5l5uXp2qRChJ91poPA70UD/TEfWMdoZQgU9uIe zjFfcK6tTLZh1NR2//ppDMndEtuDHgnejdg5HvtjFHT5dR07i8bu5ksPDG/sXIgPopHXxZNTqPHM vWC18KtiyjNDT5dhFoNxSprpOvPL1ODsAW3lzranjTrgvmpdpvmwobQWuD6JtuNtgHZbnaGm2MwW 3S0O1S8NGgGCIDvZBj45JFWolwk6gAFaChShpslPi76JvTnh5tl8ZVDvnFf5as723FBBcEPYctHO ZZi7T/C/zUEBWaYIIAZCc7sRqXLtp9KnSx+mKXLHDkSYWj6lTxeDTqjP4fAPu2QYj5UktA3hHjKB OpjbpCdGQKJiEC8a1eSdGh4N4ns/5xxBvZtF+d4nont4Xd553tV+KwHyAOc5J35YwggddKPXSTyv tydyrdSm1e2pdDFDpbFYAcdztFW7MqKhtMq/qlJdkCWQRAG3AsifNmBjza/PZGHyJO12O3REKbAp Y1tvEMa2f/2UVsxG/XQxqaY7q/SGMQveiTQKaDRWnnMcIuYkWegv/tthBAwBnio9hLmymBO3De6K SVsorkjsmPBPERz/Zi5zRnRBY9EXm4gUppSFJ+eXTcFDe/QyVSo0HCM095X6xJru6FUCQRE8wQvU KU81pOwSOomblbOr/w58a7Df1ahHIl9/FLOf1r3rKwsoioSNAgiiSJUZJ9yeht2751B7BerjRxMS AUHnTBZZQVghLf42L/DSol9xMBnGPYnjnz7vERnvvrqkSTIvUR3t6szSGDVVtI/p0g7l3bjDQ9Qv wynSKxChHGysoA6T2E/DYO+xC6P1s71hqambtt0m9WiiRT0a9Ugel8DZg2SRvl706bVfrT/CBN6A b0MGNd+uVNQRgtcgRuLL5fJx1QN0/8Z5GEdDCXOSsC38hRCfmeOcG06rp51kKiMjF2tnSt/biaRc ulnt3A7VFoULFew+T76lzkM9GEvdDLc3cIY8HvU3i1E5j3nOhHZFpfP4UAaT+ME9enoCtY2jL9YF EOUFqlRkMe2IAHC3681jvPCJ9YITTK5HQgfqIhxHG71CD3InzRGyTbRKz7Ykv7ILSQHb6AHWuVRV MjaMnsUrjbx49PTZ7hH+KAjaPJ8lcw935PSRqTevKfd8TGb67iRs333S4YKGtr1cN1g5HsoU1vV8 m18ZFHZOxBa7/uOO904Sweo+2vL5vN98IrKh07pG8LC8rzt96B9Jpe4UnOiYtzaNhMDSsVT4+ldd 39yqvlMVsivo9w+0z+VD9XnKUTy+Z2WwG9/YdN5MNzvJj8Ds+iylXKKGzevM776EQTKEa4/pGQRJ 6XwgW9eEEC1pFmYNUwUCXcUy75OGXZR8uvS78hMIcxtOdfzKQSzvhlpYGTotFDI+GtrbOSJrFJn/ u8NaG1i9bROgoouNh0lE8PhTngqm9u0Cp/IvrHUNDkeyjWAVE6qtnaos/Q4c1Il1DgD5ml5WmJiu M8QyUat9OF2ftj/N+tzTzH0X1mySxxMnKuD6HY7k3UtAGM4YzFjscZjXd0vvr0d70roKVHaYdJAM HoZgr7crPNGFRYRaRJ4zJ6eQIfG9n+nCKadTJ0YLNbcS0I5SQvtujjxHaQmvgqS5OACavh/69pwu UO4MQe+l1XZRdBeLaItar+bEv4uPyQx2R3VeJbBHmdzRgeojWMSSt6q4Ttu5MNC/b+ddA8exJ6CG iE96XvM9rtI/274uHLSfKv92wc0Zo0OKiLm8Mhavjut35Zagxi7RX47ThZVHJxcChNiosHMXgfYg kyuMg7ihK/bAUB1XBYDQUehemgCEfmORLEGONMFjfLWpe7XOznPUbOjHOYKjIZDRnFCg0t97SFpv oCa8WxZV2cPH9vpNSOVrgKpS2gBnX4yL8YnfKuLjXdV6Gr/2loMHaDFXwtcG85gphBQzi1BhwenT KORzAk7VgKbRSXa7qQ8DGonitw+G393h1seHAyUk/gPXbQ0rrevVfh6EYr20RvoK/7qpgx8SUNci Kb8qKaAOjmpK1AR5YAAKYsXDG1DCKHG29igP0GTNf/zKthJPT2f2+0tYQSbsMPhMxqbX99Ch2fBZ JPk2Kz/PAy4Be0NpnDlzaaunIjjf3WtzQ+gEoHAIraH5tKEvWwZZPHZfqnAVE9R8AXmyhnrg73mq Je7UlLRkmmJdBUyQHeuYuD3ZiSyIk3GyULge+EkIivz0r3ewIwVMKRQ6DxQKlf2L6GWBXGyTPnwU rLfXbiwCCBT1dALH7l24Fdbr49skxM4ZD+7h89i48HXjUcakNusOb4GIvY2hnavjSBTyJDF0Sm/F +NQz6ct8EFmVPj1c5ho/y+WbObca61TrClaBFvMWwz0FrkDolIOarH/68LTHefy042xGtIowmKVc vtzCeyogr6LN68GcMPr+1novHUsPYzcIVSr6QUF8lNpStjtdLBVHTQE8/4XRu0PwXRVS+vaeD7Fd x4XX6N3vxhsTfhGgGIdzqrkdFRGnMFY1dpT6KR8jdzIFe5t9Od4xGKILFoShnNO7dvkLcKOwEaEr MU0jTmjRMEyUSQPynGjcrBHC1pIJ3HYRz76rECkhKSICukvsbzQa2J8l8Aw3HffT61tyMZrCncMd +iL9CB/TtXBKNGE8Y/qyzG6ZbMvCazE1trC+fDi1L0wk3uSE49Wl/IkuAI6FCcMDyCWBwIjGfgaU 8KSfR77LT2PqS1JM7i0XP10HYbSIgZiaRCKGiTkjRJZL5htQdOb++/f+grBd2Se1clmN6gUPfWoq S02dCMGubiL3SNpnPBCDwzLXV5GARTGGjQZlKBXJ1r2YLxp0uUVh7fHZizhsUaPqrQA8GYbjqzxt RTEIpC8jh9KBGmSMXwvc6FXpTYLV8dVT4JZov8ErbtelD5sDzy69GO2v7Lgi+nN1G6Yj8vFxKcoc vVJrZWdJRJKybS0KC4SqD/qj2KzQAZBK+nNPY8AxgMHOus0WPbvGppwyZcXbkILugPNd0ZVKsfdJ 1Ax9Uo3/JEiBEnXTcs45D9r8RoaYOUextXPIjFwttVL0PDmv2inV/BOkanBDHralEOj3ntK29nBX yq7AL4VQJhAslaZANG/ilwyjY259LKmZmmXJVko7fy9bUWECfKgKiYAyh08lgnoGLLZLOdu+pXjq 1RsKQTwLXbbCsrsYHJPcPjKxm748yy8veQRrkbd4lulMlzzUMkFtLFvJlWSoUyfVxbXDnlLyk/ww nphYJm+AqPylKDlHGpuW87v+xc92/MK/7FmkfWvYr2UP1vDTWniGIEta2+0nlFz4iU1XKLgMAFY1 Y9M6VHjjoc5v+O1BlXBQ0cFKdF8aaondlLEzYL5kGjnaJHVQDTonU4oCzlvEV1crMdfZQiHpS3DG AgNA09qBA6PPdrWaGhPj4Q373htpJLVs0upYN+exRWZuyxWugJQJ0ivh6bmX6XG/sORPG0oeEeaF sOTevDCH9Nm0umrW9y7OZnlEPikkKQY1XRwbD0j8ZdJlS0IMhSgNtTAiRstvd330xW5EkuUylsph JQPQaihjseobvRrdyraTvVcDf+RXY+PyW7Ao7ZLyFD0CDzcaeqG5PGmisCmv6/szJPZq+vk2DXpA v2+jNrMTcC6lXj0V0NGyBpaE7K2XXoLhSd5AztIMx9eFok2spnxVRepJTdUqPE+gN9fmg3FjvvyI 8aefqXfWTGd5IMXNmvTpvZ1MhjHJ/gaNtLKObg8iathajdj3dBwzXgu92SUEPhWL3F+IwxFPotjt bx50LyjkRErXCZ7KywVPPHjdqZSydADF0JrEGxaz6rQUVP+sp9qg8+wwb23TthchhGjXtbQeRhV8 mHPFXEGXnlZzaL4DjdKlLqzcZMDO5Jn51XyT0rfdeJyuqfE8XOe1eFjyskpq1FgNe8LLz5YEPG/M 4sY+QtD5MouGa2ELED9xCf9q9MLuLmVPxxlrkixKr8HYjOebWQGN1Z6KblYv/OUy0Q5x0R9FuquX CJf0pxVlZpK8yudo6DczN2JMZ+1o0jwRiHQefQhQvck+53HZgrUQGeNGmmM7Np1ZebzYAKp99oZ/ 3sX5Hwi3U3o7sJode5v0X5HUwfqBKfxOh6nFBRP4WNoF44kNgk6XHhEi5mTA5Nf9G/Fa0iuJeJ2J 3/IzHhvHSegTGmDaIYfFDlcKF/wBRnyaqInK6S4bvevUwOwPq0ig2y07XOcP5XrwEhqyA13Zh02Y wqorq08OTfDNbZ3P2bf+aGaMeK1cxqShcoz8uEa1A8Om2DQ8kPlnwJb1DkSW8nXi9V3vharz23+W 31nwYeuX60zqUuRVoRGnDsw44VU9yTC+Ey/gRXFQ23EmRJHdD8nZyTGN6T94gAAv4RiXbYoI023u /T11hRoX3K5zGnHjv2q9SpmK2iRGj/I2Fnh1f7fMF0PwnvwqqbEouGHtnK2Q2xJ11r/ugKZu4DQS +6l6oWMCvaSMr7q4n01EA5bcp8x4c3S3aEOBvd1eIxMWlxAjGQEbanPC2Ts0Ds0ln0VxhXVXLv6p Qlp9k5SqnQ7x8aJ0Sa/AxeJWH4jcfaTAiAb0hVuJK6I8FgLQUWPRFbUGpJmuT9QRAAAjE23YQc2U Zx8h96qw2eBRABO1SGr1HyeYlhg7I9eQo0LQ5o3qtYKSzUFFJkXy+xsCcpodl7ao9Ri8IsdE35Vz gG45gb6++D9nPpa6HkoYA3qxMoXgMCRcYZIZP4yLu1FKZPJ4Xb+RROHxYp15Tq/M0cNmbXiBB8Ze nHsSWgDvlsELRjcZiULVGFkEBP1zVxb1y3/4yp/1ALNmohQL2zk0GpClRrVOjCbTthP9SDQWppLT qHUsojjjdUtfKzKJb8AWKf6v5/pt7JrstZFoGjqFm/msSSRcVVdR99pXpyJ4OweRPcEizq2ZRYu4 JSWWlPtSSzNj/vq8wdr5E/Z+Bsut3i2+cLHlCsFCGA8WfqBy8E5BdPXmLnU/EiEhLA52xm9CYFM8 Oy1Tm10EPo0b2DrgCu6wEmBSaNrAEce82bKeown5nGCKbmhr/Leo5rCnBqRno1gIvO/DezLoBqn0 m0pVxDRt0AdD6x5k5aPpJeV45z5Vc2khBvHioUdiaZM7mneEYopwRwGGzHtxHzVwRBr/GU0RjTdJ vnh1cKfoXRvA7zzNp86EWX889gPkMQJDuBQRLXFOjCLS8udqfmfb9t+wdSA4hhITxj2yxM+xLzJ2 NlLF815O2+mHinH1wruhw/K+sDe2WX/xnBXzFYg7CN10a33EIOj0PCSX6Xv8r96kNNHUeazjMDXo tHVDusAirqFWU/UsdbLSHgyxoff7V4uV/SdaHN4LlXApswz3PAN6+YVdb0wHsF05Q6f9pFKnsBHM B7vkXCWeCJQFnhvoFrXZEfouFkTOEOtys5LvnhtMXwod0h86M+5ZSaxEpEkqpUgfIN5ijd2LVx9K sqZPG1AHZxv0/bXnrnEyBl+tYGWZO4EsAIriKuwppNh48HSJHvZB8PkmMO74dcJ92RIyY8keOHcN WOePy6NIlYvbAttxRSOXf8Y8ojVgu2JVgrScO7E0rhO9rNbusmTFpc0vL08Gq5Hl41kt52nMdRkO R9M0Xd8/2TVzkJY9YxhYo176l9wPOdMeXAzaNqupRYZJGxvS1uFh1zVM8j4BkPtTLE7JTklUE4PM Sc0ptS1gogroUuHnxYOMY6Wb75Oa/DlnBwJn1qA2OQMfOYZ+4P15HbOHwE9Vg3im6HxBpyc4XZ7o aQgisPPwxJCeke0dyFAGg2V3cctEVHc4ptcn31in78peRHaILB6FT/QXWtNPNcg4Ae0TPdnPpT6Q mwWRowHMcWHkueBK9PG4TEcVcHdQr65pnwrZz54LcgQMtknr6rfzfZ08ubrlDFSrce8+ADostJF9 BHfGwaF3TBiPnrc7tu+KW3zQ7wKcGI5oHDKra1dTaQm6plIzu0bB7rA+vOpngAF9D2R00IdHJsWR JvzBPi35iVlmfobLHLmyehTPWi7t7Pewq6PgZn7mk01GKLUlyWYs1IK0LdxwHBpIK8QVztcJIqFl jNEOg9ddvVk5zVXQUVhaYvkAYKlaLtA61oE5UOaDtrsox3IT/EkzQELaf4/ACt+O2PU3kcP21yV0 VYCeyd2HKKv5T2WUQielmQUEcckIMj1oo+OB9ChiL035g3epy5tsfXKWunEPqm1WK2/snDCSEYgf 0VxOUBw36gaQMjOi5XUGPzhChj/u+l69RU2MoYqiCX+eBohn1yD1nUvoHQ5+g/uvjW9+2PK0FTmP AgQPHI8vjKtSM9DEOmLuGJ4poYTBXEpzdUHp1mikaHBpAZf0hiDl/fxta2GYtMxgredabEWPhy03 9EpV3L5Rkp5z+oyIYABtAUemTPdz9e0N6P6PlYabN8tF79wtUOCo1iQzJuTqb9Jdxw+COC1Wqarx KIIUC21cOhvgPbIlU1LqOuP0lZvIgOpJErVz2kKNvbv7a1gx5SturazYV1SqHz9MSgOJIPxyRazh k1HQmQB2X2YYCOjy+7a160EQoxSs4JignU6zoGRk0t+2XeAieyjdjp+66GVcRoza48t2l2uuK2K8 r1RN7r1Eqzb19qmfF/+RfbF075FO0+SvouTnUfRPQivq1VWji7tjHmldm6zZrwLYvEELA4Dviqo8 qTLc3sXaL3v3oSgCMOpjMZSp1eE+kiJ1OSDx3YeB6CSZZZPj7H9tmZw4NT7m0enbhJxC14CcGeLI lZIyFSdbSKZB7nIAwJqA6QwgJeCYIhLOIw82o+Km2/DNe0di2OmDmyROTIBQlIyR1oXD3brCfxQ5 YcHtLXA/Qj0UA0SNrPRbff1lVAXPGvCqZTh4vXgdslKxEsatSIpMG/++n5RCo6se/cznhcrlyXHm N0RYnwl+kJn67lt+qdVJb43z5FDQdyLSRml6P4YAVCo7+UYh4keTlmzOLLklAsF/o/BETJpGcTD3 y4YIBO5VGDKuSnMdZpDxq3d6cD3KkXc5sZE0JWLkMtS4MiS3fPKG+9EAVgSsc40jQgVwZyP7S0/D OiGqMaOj2XYpTreCl/Fe4Bwi1QvuSk5GeWfdyIAaTTacJS4rQqrekOPJ35tAumzTO4ghbphu991H MhvJ1Z+C/qYVqAUYoNJENYRQabl3lP/SbrKT3JHpdAhsoBRHcTLZninJFJbgXLx7tAS1d5XDxJkg YehlZpPsTstgoU0ddg9g201V1TrnrU2+gfwXA2m7V+SKW6SL2HRZXUUiBdMZwFRPh75FMvzm5On/ lMR5r4JV2v75u8sJ0cS9Rc9cuF7BDqekccbh3h7722r+kp5K4CHdmSZkJDQ+T5avmagEAT++BI6l w6ERT9sAEAfWq1bJUZ3PyQ+0LGKrE1pXO4kAalGiEad5pi+4tiI99niJeMN/r59UzsNoHIj+eDRl kRfSdBTPq3o3KiGNLdudOUfkzixO7mH4UrklKcrvqzEfRk+/arkyJVG8jYDfMUHyFNal6e6jfmSI MoveWmrJv1S1w/GAXBAMv6cn1zjCzUyGrHliI+kdQmziD4BP0nrYAqwxHyjCMkkVx211+P921dpE /7VwPIYGedQ1+0dAKLDJ/4JmG7ZroWGx0P7R1PtHokQ12Ya3DpulSvI0XK6hWEoZ3hyCmdrOI2qx h15G0NorlXhoTP+1pMdPmZCoIw5WDC50r4uE1Ir0D3G1WmWF/gyY/1ZwyWu8LRJ1kjTQD1j9GVzy vwY4AxMfV09uXfAxFkMR2KdMCqhnv0KbjdHoO5zbnb137hcbg9iPdFb4OezbtxYjkjsBuoZB+fH0 0OcG2kJdmwUPm2GYjV5BNj/4y1FOmaeF6NvFghjWXocaKLDPAeJxN4n+EoQYLX/zXd2c99Nn4P5a 277TYc7Z3YsUoJT5ugOm5uSZNz7FOYGjEGKLfF+GfXbmmLXZteAcxVdxAwIN1IZAFTiRnu+eiEt7 3TsxlQnXzH8lzFMOJd3kwAGHj9PsiQl9I/64gHA+p6vcrsAEMLme5nNQKUgJC2t3ZeMGAFChTWkS oAszxm/TRezLRbHQE31fW0y61vzWPfJcJ41xOTeX+yEDNZmGdYBbn4riNTdZu3Ce8oCXOtSp7Hq0 mZ1Yf/rAA9bmUwnU66ijZIlMmr1X++GxO2POhMmWolFQjOp0nvt6yR4crjqprIq5IDxLtphs5ZJg Qwxc8O2AYq0s6f3O7e/3qFOO2lkmApxdmBxdqIcrbBrLHcCI6nN/pyWWX4+3WMDHlRzWMvP0nWfN fNS+TbZ+v8P7Eewl5yKhNGwQzJ4fno2Xd3sQqpYJV2ejszFMUKWcUHfEDEnezXp2kAkmKVbr+0nk 2HSwi7SRi/N+GabLzW8Zv+eAqX/mRfA1jvo7NsCTD8VLKu/j4Na0cHInuyhM/y5Od8xGntcb+Xob 9ofnMFhmmqNX3D9feh3GNKFW9WK/tF3m0KdXSU2lu2r6ykuGKpkF8U1gpe0DlGNK70F7dchZVy9r rj5wCt2vm3gFQzuyZn0jD/YNCk18GEbIScjuv64mDJlOSohmFMbb3dZLlEqiibOhrxUjIfopyBJz UE4pwMS5cWobZcq68cf8SjIJw8REZI1e1ihis6rTNGp1vLFhr1JhWLIIvbE4cuW54FyHO7kUoeG0 93VsP3mrpeahAOE50ctsc/dG99+ivcFDi9Qx8/wqSywzGAKXVvu4hHRAn8swteJ0FBwLs8zeLE7o 1D5bvGtOvSGq34OgcRkwtC3HKVV44XcTc/UyxyRj++IyJQ0DGpYdg9ECw9FjdsylNJ9YmFBXA/Xw zIfRQR8uj194jyhzOA5KT7mSxQT5SJncLY9fkEOHhDJWoY2NApnyZ1G7qQERlccwDyIm4SrZ720W egN5HabZsiZeeEpLitgZsSTZ6SF4XoaqYBXsTr1pTYK+jeQZVN+04gzBXr6cgd5zrRO1WkuUfX4Q 46IBNHqIE7mtLzGustF/uWJp5SOgyHZ9TqXZRdVB+4CeifjRw4J8QBj36nmexxr7geq/dEzpX6t0 ZWdMcgTCz3N2NdfXdXdYKwrwa40BloVrCPQVDA9K9hDyBDJ0M5aST1sK+mvAfTjC3QBXSRbPpnVZ rRH839/hBXZNt+03NYFgkRY1FhaL7WwoJlzVXjZcjNDBHpJPXhcigtYV3m4CEX6t0Hff4YOBaq8T 2cZAskYQf3ui8na0F/qD6KxLBzi5b9JSXQ1Lg3CfHGkm9ninqPGMZTU3UDoEptQteaUK+39oA0rC r/gImPKfa+BR5QCOlvTbHGzAKfu7+tFSsW7LCb+Tdwmv2LiWvTFIHIWn8Yzvn9MvxDZxujbMufbF ArEM0yD6Ol2CT5GechO1i+b/GvL+BuQGMcKIK6UAa307GCLtt8t3Bt/S+EN9TV3SkSIJuvNP8rgI yzWHClGvxZrbG838jY20eBe0tcs8zrg/WTuAky/i7qvda8FvnV0MdT5cN3MH2Dart8w23Zzb4oo9 Zu501FrAsvPHz+fYbpeQ07UduXRK1MaId7JLFTz7YBbBv+6HhiSVmwSptPuQIkBDbaGyeVdvgmSz TZgi+bw4z84NOoRqENILcNjO5zpNyxSFFLThwikIQIL5o3/ioxwfIT6hbfcVFLnFkAx6dLXkVfMH dOqI95piwom65fTZCaibh//9jSGvPDe8avPo+0y+k56ijO1GL5vh/ByvqpWqeCSSDhri1X5YLtoD 8PtVw0GJJeuZkV6Wy0qdR3sPEvtCBCFZzwTIl2hHrdrDToh3JQmOkGKAKwVtgo3sgG1NMxtkqiKX 95u04mFMTWcNAR0r84RoYQWntdBazFcazUIGBibZeXZNJ0Zt/yH3siry9uEEi9d5A+2C4lmZ4LPN XRE8LRdhgnSIsXVqa5gw/l9QfA9Xz6orq3PjdqZx5jWOgnuGrRef5bcto3bF3q5nwI5t4xgH9Zje RUOwf+twN263eZSH/R/6gSWnTQvtO8SGY1N9QyjaZHOMrRRlc9RRjTcswxOMF4AmMEPw9kjcfqZe c2HfWlW+oILfMozH6rVvhTbfx7LkshJfGAjPvLeZbzo1FgdxvxKh6FH9q0IaKVkHsc4aO2CLw1MT p+D2z60laC2nD+3+/ANeceKqv5KnxmW4TDwc/TYlh76GqvyG7lbSnnOxNgcNajK4bTKXqhWN+h8z LgBP31I/hXH0jWpX1ug10HdCi1FOUSkztU6BpQBRtlaXbCSb3xSTtN1HQkw7XW3QI7WCaFHK5ABv Ft7Wol0Dx9AyGQcvcHT/v0MUpRJp+mWL7SjEVxC5v0ssdZrqz/YmbClyUyXDyNPuGSJn1quDvEI8 XS/T/NXUXyPinRhd0UpmT623nRMX355g/ncV4mc5FRuuENr+M0a+0V1UFLdPTBwAEhwYk/0hTHMj blwEfp0f2OEz9xwdRlkvdFvDlnF/bvWtWd8qL031drAFEWZZCfKg3NofZCO90ntAZmjo5zoNlGlQ xQKy9GXalVAz5SBvwA+GVoWq3hTRFhJHG/l1SObsCcdG5L5p9Lz7/ulaxz0sDXV0z5K0Hole3Qj+ zrItec6tz/57ecxlLmCwMYzCx2MtAHIn0nio31NmYfqottjElGqbAc+KZ9VqP8KuS3OZ4v4zf7Jf xuGIYUq3WNxke+2TH+adg2AjHy/9LmV2tKxo1n99UtOILRu9cYuwGC6Qo2SKANL84s1MhDm/BghC Oc7BdU/o9N12yMVbP2TdiPLImFgQzEwDOk2cqZDVXsJK9qC3jZrAnZtjyQzrourUErk0zJK5FwBo OOi89JbxRISL7g43rvgTlXi4Kanqa7dZVFGab9shmncoqb7PF0eCdsQkgqdNl9vaOouvRuiAyTIL BDHjb21ROeqv0prVuQQ+XMqFhRhYf/7rB/Y42da+eYNnYPvsQFXTxEP2+Ce2qzyPivFyGsxmTD52 253TaM1SG5LTWZaYcFDQaE1j4QQeMs8sHOBHo8k8wqJQJcKTciWvQRe/uJ6El0a/z18OE09MAgoY oFon0GxqJgr51kDFuOGEkM6KDA5qSTr605q0id2i43wWAI3iYt3pLDJeibGIu1hgRhj04DnyyjFW h7L/9Q7FyYbxTPTapvqT1Ex/R3lc54AkFTG+yhUANOSknwibN7AcBzkMRL91Fk//jkpaFvwLibJJ hDsrHiuEbokB90+T+wsFogsino0CQZ8sD6KE/n+bhI34SKWPpjM47Q0/fLSFi5Od28lcxoZI42NO xvK5UQF19sNI+wcjK1pU6aWwBUC0Gfgc6Jw/XFxy7foGR0y9MRjvP3s53GhKYGAlRrSR2JeWu8hB CYas66wY01MIuSW7TrzMraCVhYveRbBUcvGZhHXjp4EAp0xiyjVUM55QlASYQGgcTniK+iiiaA6t 8cmo0d6amFKZRyDaHu1vWl7azAuEEdfaZ3pjZTBHz+P3EdyV0biFpP80cRXvc9iWShvH6NtNlfXp a6CYOqJdQwT9nOFUnN7RWzipd/yzTweT6TDT9NgZt5x4cnUjyxmvB414RKejYocNzJ456v+Uyhb9 +g3OObAolxW/xu67v8ZDIVpGLGEb1QBtH0QEd7xwtYPxbCUGM0PMNbc9ZtzHBnoh722u1kDluYaK r/xNXZyte/pXMHJmRARycm6GJx8S+T5lXfVzMYuXBTbYwwyDpPoKbyeuVFW5v16ARMulHzEN4mm+ +mvfjnKmm4rqxpy+An0PQm2HDfNaXbZsF733UAgP1ZfssFB2UiYK8NnZWz/oybrNyfIg2FLwERrn unSpLkcyBV2RP32MEZ1ZigD4sg+XhnlKVXAdSSnPJfp+Mq65mrt2VdD1CG0UzfU4Utgu5Obwv5jE KgZKy4Ro2NXArgPy+cx7h5ufxpFGBsuDtu4T+M3g7kLxbPS1XZ0IRQfnEm+QyLF9DBFY0ViFhDXt JMUiBV0/EfWaC/hQ/Et7ATL2/1XppPtXXqNAnSpdcsAHpq74XIzUZn29p1iZJBMUm+JR4llYI1qN VBHNWH8LxB7M5qWFfDMGFnqfjiJf3ROw+6hk0Ge9Zwmr1V9p3lzcCNRqLPMaK74ryP06rLeCzwoj Cm5Jbl/FLPXQWvgiu7/7Ccyz3hDEae5l4bi90rVLSEme14RJMYhV/IEbBgmSh1Zj4lZHqTceNUtL gc6rYm5Egh4Td9jRutSD9b7PlH/gOT3JF1sUuy3pa0nUaYvqnYlPy3MsHgudpZBD+EMjJPcX9q9f S0g6nuIeJQtuEBqwMBOAHw16pjj7bQ7bNRdo1e7sHvsXpo+TkrT8fe6BJ/wzCxqtST7c0E007pb0 ODOfIerQC026m4ZijfzcwXlEfdVgH8Wl0dUBmHwQw6ML6uSrGUAteV56qJGvVTllLiaLW0uAo1h/ g2Z7EUaqKxIOIbekiq1wggeP08dJM1sEaEMwuCTiXwu3WUBbcPmo7ks/VmX8yopDnDXVJ8S2adhT 8XEMCQmyqpx/0KeRUMCCTRgJkX8OiNefrQWWBNCscFyGEl7qdl44L16NDN6NOdXOiNDC88OiQOFD Ta+nseOoX5XfeNF5Jd2XE1ca9c9ZJlT2QY+ZQ5GcxrTd9/3rcGKSwlYHGL6lxt130kUYbgRjRfCn S2mpobz6X/dvI06IbBDGRVXoSr/iMUj++O2ZD+yeHmH60pYTxbycOTzjFjhQ2/L7cqkI3YdaGHCj XP7EPgP5w6aCjtzLAqiLu/FvCsxjO+AZPAL4S5pGj6yRq2f24d0Bi5SLDza7eAOj1NWS0+M49dTw +glN3ylCy8scm9a/RF4SRjMUC8nvMPsvWxQ2EA8fo8p79IAhsilEZWbrqtL4ajVeDqOGvWvloJKa 7puQ5m9z06W3EKrs2kN3S94oJXAhC+DGjTdo8YN3i/MofHthctQGBsOQtNAIL8867dXgdOF502YK WYup4CJk0QXPTKRT+Lh7kjpx38TVLzSFq65ybW404enLF2mgZQ5S779EdutTg53Q4lLz5yM+qNVZ LTd7cGkBWmfK3Immxku3en9Y9SVraJvWRSlyx7suKNu9XS/msKBmDUAmzkO5CyMW6hZ+mGZ3Gv4b 6oZ+3KX8tCF0bMr2j8CEXNf2VxSjBd0O+TLBpbxLPL4Ku1sar4aDNAd+i/bY1q086FqRJwhfnS8A zd7MKL2/Tn7DKQWob6RExUHZqrr/9VfQUAlm1IcuAlPMe0DgXT6wg2Gs/12Jaa6XfUA+/oGLaDN7 zZYo6Mn9wyw+6F7kvpsmkkTc2vM1y8rKqq2BSGvoAG5Y0Ijx5rFe2Lv0DrH7cUEypAoOsatbqO/Y zu4a1DdANkMwKnwhTG0uek83NORDhK6EwliE8wOoEE/kOhIRVNOIVR3g0P52yuWuDcH+1c4HMdM8 HhBSMF9/n14aolegI+upwxwUiNEUwzoBjETgXxsYyIvFDu8sIcxsxyyHAJcPJCB/YeS5rcqPRHJA 5W82awgnNS2vDX2XzfzePNhOyFoMjuNoIT5OLedz8IW8EfGW9Oj0Q5bAu+sEuKjmZsjLIzW6avt4 N9KyIvfu7op1//qlmIgSgVBMao8TK/SjwuuOUpMlKN0dqQYh5evSR9OpLx69xVikqwOToVIW/wgW 4x9OSK2kz/MQLwDDjzZI0QGWxcrUQ8yXb4oRwK5BBpz3pxoWvuDVzMa3rUR8M1pgiWgBFUEYaDPE OvBRdDTF4A30ofjui644t+ZST6V0CJtCRFrf3cEPkJn9ArEGRvYNjl2xIM7xeFluZdLiFaYt+TfQ NRqVJU7+CuMsog9/S+ZIM37dxfCRa2+B6D+qFvV7rtSg3WAsEzhFbw/thlBjKO/yCw7qVYgItYqR MkzLUS9q97by5xVn+z4uCYarREiMjueKVpbPPDAyxTE30/2wPR9axUpksixu0K4x4mqOfKaiCopo fWsd9/PsLUY9nVUZTGxBoAprQZqv5wFX2tpflFRkL0gMbVsjBwgJR2FCjiW1ahsldMVj9p6+yRLm 9cq28rpnEy9/rt/ClDgRpF8rH/D73B+ZH7C28M2W6p2TRfyH05+H1i3wgelgaBUoBSmBgru4lNB7 P1ToThLVTiHWQVksVjlBLEm4WH05Bqihr7YKHpPBMEq6jhTw6VZ7OLdId6eKGsoULSGwij6rK4Zg 4teGxxmdYS9SvCF/wL1BV/W/aAude2cW1NeCrnCF5lQEcyIlMBTF1YhRdqsl3yUYF+NO58b/HYaF uz+8R3j5hIK1sWLzZ8qIFY7U6z8ts/dF5PjBSpQ2cv/FNEpGj25eOxIU58mqLLmt691UQBmwxFDn +1jjTlzakRISIegvTwCgi6sB6RW/r2PC9h5r3ZWsWms+XJPa6N2fUUHQbLLznsy7CZtmsoWsC1/L NYppt8AHu2YdYllDcyShfcfeUdh5c2wYxfnMiRpqi1ioAQYl7rM/Wmd2/BTg/5yW9aXiuLmaTzZ4 L3qSzv37TBxexcfHp74Fh26EjoCUFMqeX1BylUJJo7gtQQeGjBeI1EjSVrWD+CxSFPWEHybnJi8C yPHHfkDVWUopILSXuXPLv10PjLsMeCBABmyjsXjlYkUILoyT6Vwck28TAh04tue2OZMsKa/HP2XB Z66PSn/9U6P63ZvwrGoROBkvnMZfGWgOb+nkuIxXSjW9Pa38ps1s+pdeXeqRvGQA2okPRgmXYTv5 7TVdGCMydhqZTfFTh3uuTs53XqunKSOfaK4uxZKEfv4lcbsVqvI2fuemFQFp/K5zRoxr8qhgi62V 4HUdBPNyEjdul/X+xKk+ivbHDUzwg673TrLODB7Z4dadBF3fR661wTt+GYjn8CscFcK+j6E0teRp l/miHL25xOMRAJVpKQonRvZcQur0CTdt8SYrj5FrmGzuPkvCOPWqxrrTJmW3mZIeR51r+8UExoTa nhIMbKJgAhv2KyI8tvEF3ZL39UMGnfqyzcI1geTyvZPRNhiO0EQFHDUXUpvDAnvzwvOOGDb3Aafn VJ1qcuT4Xcy8mQ+a7pu2ziWxulPNfPCdVVnsjpJkNWvyLMdhNllPtOszyQ83f1WwKniybqvKaRQA IKtpqpVRRiVBsgHcV46vcwG8sfW5ecdD8jbGbQ6uB7J/+HdBOToFn3PoZxKrsD5uiDlZ8yOjPOYK qX59YorDw8gsBrp9zfNZo6LePSE1CU9O41nf7UZNBk3xFKmLTLIkvkP0SCw2IOwUQS7NUpSydlYe pDfU1U7xxrzP5WRdwq9SrvQruOnRRRXyYOm1iMQBJd0oks9Zs5N7n69z9RNg2hl0xLRVLOtjo3ER jWVFDiFwQpWu0zKT4sdELZF9WRUI2Z14J8RMA86fEJH1VOlm4t/v7PFFwXggXdXEyOU1TlKQPMSH A3oac0B21cSxsU2LvMjYWN6tQ//T1h7r6Lh5WwcZKjTj27F75AvRuzP4mlkI5AGRrlkvZRwyEwDk ZPWtsQ3nmiBlwM0+gmZU0k+BzQikWNrw1JeKYAq6b6PmneZxGRFaZV3tbwXNwBzKZwLj9/OgdF3H /fNpSrky+shnYRXJseNQmQjbUUJsc+m25lL6ZsgYKdd9yCJgdB2Pd4u68Ar3XA0bRNUaszzJsp2F esh912nVcGVEgniUWn0a9kmVrCfPQ99ZM1j30d7L5J6Eh0lLydeWY5Vp1ZVFCS30bT2s/lsB677g JjenXjFBO/o9ARVAWfGF/NERMOh5g5KUAaArFKK2K6JAHzU+aGcn4+9APnYzBa/qSUQmpn8DSFPh N+LWWuEYJ8z6TwIFq3p7uutKjqYKSWFKT4hjiBUQc/CTIrhvPRQU8WpcCPhkQNn11AX2W5zfv/eK mG/39fRTVG+svtyi0vGA9gqt4YgbAn+FVKRVkVG6AwMNdh1Y8dW3oajxX83Flsm2lYgnA4KjG1e6 AMDVpoolhRRFu/ySFiIkPf8+akBuVjren+8QGSBsQquk9nOMYOZ4DNCOwCzHux43WQJmxsbsa8Kb ohTqhysqqV9wW0q45ufFxmv1gMUpRI00SWo/Kqnsfq2Lda2FellM7ijMjjuoLfBZHPOA4Cli+H9t 0+ZDsRsaq0+JPmCUySOKw19+3dEnCSQOiAhKSVlXRgvOpxUbr3eyI2CUNfXjZRCr9oF2c5NoWvFt 5Q5JaxEK302ahaHb8a5UFcYvc9z2Vzp9yIMENBERGPadqnyxGDopWM9Mvu7Wat0aDQVXsYDWk3LU jk4Vd4emaBSQGl+zC7xyVeflpMpK8S5tpwy6yTLqUNzWHRjSqWaR2p5jdDHqC4Z4qQDiRAUdBwd0 udKxzPZYaj8GBbbWvAhmJK6YzADObvODme6bMxYz+FEpKXFugMAqHNeYE2kLZZtHCgskUIbQQOSU 4A6F6ZQxRbRicCdHeN7OtPdnPoOVqIT6aCxtLv4ykUIVTAaXvbR8IwfmYaw4QmHEtO3iFydEtemS drhpj4jBw9NUfjcE7oL5CfbtkXATljBbjHWQYU6DCEgRfSNXabFmwCO/JQO6GrlwaSx5S4+p3ZTs Q77fZG9rnJ8hibQN3ZAz/R4C/C4M5XniIcKX14XUzx6h3YRXk+f39iabP9wpYmcV+1eYpgHzdugo hWo+uGFMlCM/aeuwV2FnSEJmQ47i7wSwt28vj4cWQdGKrqCGKdKHLovOOeChmGXlLSrWYh6KOKPb i9WYGWTLWzRWG5Mqf8LJq/zPmdx1mNcJQ8vlHmuYnGKsDa8BYmejudFCTkzCbFtcho0AWPgiUjBc M8l5QM1+mmxB0ogf1iKZhccn1SAW9a1kAR69awt+ldWcbpllqp1vdVjPd1jfV4uDsVSFoKG5hZ4S TQNoBfBIWeXyOxnQ0JZAdXhgOT/BBN4fghTTAaoKuLys7QoT3zy66VU9FEd0mRI9i+9W6wt4Y6j/ 2okkFIxqSRzuBsmsX3ochtd3Oo1eMPcZbsCpvGqff/1eNbby4t/tViTtOFvhoqOzN//K4H8j8sKK aba7hGVK+e+ohF3A6sr5bebFmUfIYaHEyjDFFhvp4YXJMQ3/5ODHtAfX4xyYIabCDAxRrlYNhI4r 4PW31p1gxAVI5gbkbju9jkVY5Ozapq3ufHbvhxvHjI2nrWIb4L9uw325Hwie4I0s9CXiblfgAm4p Fm53V8oxCvponBl6hapfCdi+S23sMKsoKjvS7Ovx1nA9fAc9IUkMW9KtWIXBGo64ZFPWgMas7ziA U9pIpECsWghlZnULGiKXVZGUwt8fYTJ94UpLQPFRwiefIfHVDZrUDj+VpJvStNlJtjqJ845kpJ1x PEBFUZxW1SFD6leBSgLWXLSg4FZc5Jlm8FNuwTYBKBK+amlnh+DH5Y9c0dHEhMksFRgf1oHrCl3p dnS9vbAMNRs2uAYlc7fTMd9QO4/ZqlISYrzJAttx71BWoiaPlmXu4WZePEk7dxq9z4Y9pX7USwbg WM7yFWuhEMk9QscfiQFGutGA094AXf7yTijugOFQJGBIdkd75Mi4nYFyHDqxcViSu5Obt4SHljs2 d8f0ujNoU7FS2oz/13LB8T4dvne+YYlVsUgMrbPfHfvSAenGj5arWAidaiM0Aw4GzMv/wAUXLt6N AhxpugbgfPI8GExkPCH88dciERWts4xsVgbZPl/7lifsYbKxlQg4u70nU7gNR7LaWtC7nDBNoaqP ScFUkQW/Iwf3PZbvVPD9y7nhwPpggUZTOYWawaWxmdcf2B+8sXaOOK7mjY30nlHUKIXjQdksT9/z hJ9pJcksHzyCeDlucVRBWZx7Rk0Ofnxhc4yxGN/xODu0mdSr3/RzFpb918vqzzjzKZk+wa9HS6sG xF90D993rAYfARwStfMxxAwOwoP4EM4gurVklUzCNVES/zzpPQmnpuSGHnOFRqC2/YyQQO8StWgM 2tPtJXAIclRCwMRzuP9BysnNep4zi0/V8VH9ptGbzz/X1IjOMv/Cs1U5w7SOQOcaDThCHOUXt39b ngLFepluZPJueAN/M8agXvYd/2LoEZdS/+Qsq/B1u6730oTe1cej4vyd9uvyo3mMzioBjVRqWkp8 W9zy5KM+4BR1D6kLg4cQnoenPCisX7jeRecxYJpRNdXo+6x0bFpc4LJm7Yf7MGV8H0ojol6tX4Fx A0Ja+2NdXFDv6+5bTnzTrRLZCoaJiDzOW7oDwqm52yFVkqJpH+pJdVA32EPnlEvHDIL+VKxezVkd IedNXbxrrnHhG6aBfzC2tcQHdSZMr/v7EqYgwqgk6rMQiIqOQyD/478SKaEDpmnOok78R4T+Ba4/ S2oSC5V4/tEE7KABGQvhJyxGM26SwIsXZzeHLniq1vJXvtP1gKn1cO0Kk7PL9XNhnTyPMS6og8qj LfHH5fQf2FKStfIygKCajp4P7PEbeLVrVk/QbSmNDN66UL82y5EUbGeQU36qR3Sr/22URcoe7KqM BuVBfhZbhVlEntyp8wbUGY5zFKK3wjhlzKTmrCaMbo1mgXQaUvjlzv1n4GmFbbE3UEodHmWYUKZV 7kJhX8XDirq0Tbje06LoGh6hoZj4r4Pp7e+Sv72sipQ1lhPw0ZLzPcEqVlM8LWNbxVzhS7JfeR6D k4LyHl7/y6/wS3E07qTmubmnAVxaOd1bZzU4fU4nwyafBqMJZiwYjtx3YfUyAhKQRrNwL3cxd9kJ kjDNorPb50Rg/3Kodb0o5sxXizXi700nCcsC4IKgVGlbkSgUonkMM6dZCIBzg4ICzLQHnTnlzAKF bvtpVnUukX/UTYdZzvxLwDxW2MUK4nd5y4AaLivyKve1Du3hDvCdQjewd50dA+y2vXSou4q4ERZj OPwJEfWe9hzoLMdPRDAm2Tl6TeM0QcbOZUgCwZbpHHAGPVVclFkdp0aRtu2A1OA2XvzfhgEQY4nW LkkV+t+T9RbVCr9M8qrtk3LR4TKfcqs8lOpoBtz8ooFGYc5tpIVBExyWQxSq2qsWZBxftd1jAFHP BhgNnXgFLHCsBUpHNtlME3Ieo+mbn06V7fumarEtjF3JJ30a/UFwnLvcqp6FJMapDLc4DeKZglNj dq0B48jVOovtn6TAJrkAGQzGo2ZszwHqQHZg2rXRnYVWksfO/cmyQgiVE1+AS+tp9bWnyARKJIMA dRKb43fpsauURFJmY3iBE3H1iE/L8Ucdw00IMLMvNfuV0uMHD5kx+DrO/t+XQjsT5qBuxAxbRerU Cq8/gKlhf/e9wvVqvYBM2xt6S7fypM5rCTt5VB3qyzm37jEh6ztEm91EMy55HW+6mRuOj5kvG/NG 6CqmXsjTEeyI2SgLvdKhts02RNxJqJpVkR8yYsmZjOeZrIMSiF5IkX4upPD7Cdn+qCywMTA0Qvex 7K4WGH+xbVMSp4H6uzjBKii0N3dRkKT/Q/A/Aa5RhYGQC/qJrR/FTlxAi/P1Zg+8YQhqGsryNDGC M3Lr5VCniJpHMsailUCMIbBZ4FqYiS2MlRzSWbgGNI/h/3uU8uNNUeYQwwtsFSXicUgV8lldIk25 1dDfrtYNRJGZOHnSws/EhPvqEHjvm4DMYSSPoz+HZHAoY/Hlh/h5d2UhDUQxm41Z49Cq9O/cmYyo 8thxL72CepWkifdoQdS91G2+7iUMBd7Xru6Wlga9d+VoYgve74YsCS7G5lU5bxHximmrIMAX/HAr ws/OE3l3QD8Iz3H79lBYUk1mQrX9K063vCiWfFutterPyapBw9dHE2doZYQpwt+lNADZwU0AmqTI eDXSuSQMhhiGVCBRSHAd8/tnW5vueXQ6lYhxEDAQSxqsoIE/aL4CVXvy5fYthsrwVsGDaUBBnX/2 ZRkygjsvQuwHlvEfGHOl1o/JVKMfwNTeP6khr7uTJ/0RAQKRY2atptRlSXcStQVlY8pnFQXGqUOU aNg8dgOs6T1AA7bNFYFM0jSJsm61SAR5qideOjjRGEE+miS/EI491IyFd4iA43eUxpxiBFdO6NuE 5KfZfq/cvPkcDYu2x28flWu1O0mkwVy5swqoGnvl8TbwDd2Prm+I6lcTY8uQU/IN3cx3AFhSJFq6 BwwA/ftknkro4HL7cj50yPztTsMGTAoEhf6MPAeRRLgBxRcI3prRMkem5ouVn1cDgAg25UkI/Xrp 0y+g9XrlL5jiOq89rTp9bry/GqxpWbhZ3/hjqcvR902vpqhV2d6hx2oXPCj9GDOKi2ibgaIDCNhY 9MhHh8kqGQQfH0ROxNU2s7YykWuu1618x/Z3f/GBwWRFuOCR/i8junvWGcPRUjWPVHGfkkDquIuB Ya2FORey4t5p/AOxtHigTXocDMIbOl7vPkHgtnRkgigSbktU7vkM3IVcirjV919FSotfTkhflgqu s0nZ2d4YgLfVndO7TnDltV00cU3OOwTwSdAwAxYdBhmJ4hBu68uuDq9AufFf3hEMdjLva8X3f4uX woqAtwyQnOdIZLVvS5w37xczGLNDTCxSoBClUIo3V7dKUpIF46MzvQ3kNV9sHk6y3gDRR9526Ld4 4UZyLk2Y9Xv9g4zCqOW5OTQUKG+cRNK1dE0fCMi1j0KjEM/JHxV+DocjbqIqhtkd8dZ4Cp0imvvc vRwAepdtAuMZu41fSw0ICZG+kfn5P3pNMvLUbTMGklKI97b+S3fXRi5yq26x9KSMZn7N169Zd0zt E/gPYZaHL7rWt+oXQzBYXb+v0fiamQrrp/4wxhaIvBiLQO7GZw3s0Ofennb3zOXKjTe4v+cLubjb kMm9TmCKlPou4zq7OxGcm8GTUxNgMUvWuZJZjffrPBX9CK+EQaMje+oL7C53GCK+WMS9b+ubQEkd 1C8h+gjxbJxxERyCb6Fr5uEJ+CaYbxtVk8V1+VpKcdiKlA+ocwi3i0N7/xMUdokUxTaAKBslJz0l f7TqJYg4S/NYE8Imy9CkztoS7XAHQ9TYuIaA5fSBQ6HNUBoWH4wJioXi49kBqAsJI6jmy/zywh1J QDykWDxL1Z8sExzVNXQoBsHPTUcn+csStcxbstGKfe/7cZyzsif0CkhZxG+whbDLDNSw8ZjtvBPp NSPeSDPXsKHXTexiqLmuAPiA8pI6kLgOpJ+FsZQ0SGrNL9GKqolGb0TfcQv09KzrTyc+G2/xLoMp acpVGN4kShBIu8l1KORlVuZ3pv6vH2FTyO7GYN2xIqJitM4Ch5MMvmvlPVJpHqEEfql99+l1K+nk yHMGSXuLs7V1ge02a06O5MoCANiV1+yHlzdzbcHyYC/QdpT1t196ifQyMXkXTwMB0lv5BGITi5qH PgH/c7RszFSpP3+TJ8q6Eh4RXRh4nOl9U7tE4dQVGNb8QXoSPwrCyLDJF3pP8ioFevO19M5NRiPv Y/nlWsELVoUCLVamtF7EvElTyvl9pzAYsPdtsJNbsvmTj9U8WtbvJsKiS+E2Jy0JpbKvirwcV86h ha3qWtXSfRy5JeL6/AvUQ40eyFblcX+5XGQwVGFBBYigmu2vI9EQwqVZLJno8NBXexbmJlw4J95B 3hgllGb4NEcr6ySyYz6PkqlDryQkQLEGMVkyHsPinyf9fkewdhtHCWi9m1CpMk6fr2IbzQA/MOuM V5jxtDeSqGpv6rouIyy9JO+LDlf3kPVgCkxq09FofPwL2xp6DbDnF57NYNZsHWzswVIhiwTA8Xhd I0R1X7NYvtsDKv1OLU+TzMYWJQUhbVnrpYyDKXKcFANIjLzPNcx8nWOkSC1ANZkHK6MEP0F9b+Ty GenICUEmzM0pwvLQrvMNiKqrRMiFa3fNfSNahUy9tYKCSmKjIxo8zlgIqhzv9ku4WBKBCrdohdPI khHmZQng/nqH3IZ7eoLKW/YfwzWbuPbLYq2IwymO/lvaWQ+VsMVwbmrZJRNjYedhHNfcMiBm4i8O eNkk7uMwpvmWAPGUS5M6/qf9sBJ14yks59+xh7sRcRsdzDEmO/SQj2Q+ycPclJqxxRk/wjs/gODj ebkMh/FdKRa9mrRK5qyaY6MZPLso+oYesaUuLBMjnTg7oAQMzuFyxib6YEwaY+7W5v8iPRhK3+7z 8IDFMqwOh7NqvS2Lq548Wwku4+YowvBNXZmn8oZA068amOnhlqlgCFb0Nk9DYnTran9pEd3HFXp7 Hmg2MMwv5kUm48CKCY7sjL0uc2pn1NR5hMov077DvXJE5qizf46hpkgLj356TuRs80UErCE5ALQq cDFOX042ckHenzeIEmY/q6kCpYbRDsFXGoC3nf9F5xiUekXSZsClb15oekv19QeAFyHutJhewg0/ psvewCFxwAUgMTj8KRrwa/zI7kT0MdvZobqQrsRmLnkA42bZsliGU3C66zcgkdpbo+5niBsDq7hH KJUXiiG0KDxEBPF197miSSupReWhBiIxBeoy9zgX4iH6e0bZzxt3QadFSvuHmX6rMCuOaCyXDqkp UcQq8BlVW4TlCvcgX66+DXzTxjcU2tio6rfqGuj/RV8OMaDveAdmrHNABEEAjDxXgAG5eSA7XOp1 eMZdUR1PPw+PAW5ZqMrOR2Wj8FvDoAnfLKa/j8ZA4WZkwN1CtEOGBsqGToGEpA08PwVflxTrgr69 Q21SCTwDah/ttf4ov3MChlhWnl1C66Bq0P4BcXNWII8hd+bQuLrXy/sBVWzPHwi/jOJkt76GI2Bj DpmqFvmvJt93qMQ0pRt6qokw4LMFPVt66x6Eyzrmroi6O3rBIMaCYW1rpsPfJtcGwWSOPcqObifE scYJX8q8GqIwOFfWJWP9OFOPItjf8/iRPEJOmNKKtQDGqbakas+prGK+LEJdKqqbrD9N6IWnPCq+ aq/XSWaBtpBBP5OgCoJ7RQaijtLDWYc00PwA2tx4GHrI00oO9uw4aqfQXWgMxIt8BwZw1PxU1KSj SSx7KXmNayONQTfCzZcwZ/XVMUEmD+7bkhVOJttu6CO2ygcWzT2+sEMEwvpHWKoOdZIFJgKI1sj5 dCePrY+4vowgspqihbjtMzZlCFjJB7O8hf/ZxD5e6XojR++jhehq6eNBky22eUeYxwHkkaVV53ml 3VJoWEOMA6JNqkpuR534K/8Yy1TDSb3yvLVWYrzDLJvhdR4UF3qCIqOzQQtqbCWKfQF5yV4ukR5R 1BPIWH2VPYQqtAoK0JjVdiomhlEn5alhJnLCYejpjUQO6K941lI+u9VPEMqOlIqRAHPAkMuDi9tj VvlUa4scEB+9DVfV8YOC6twQLNQZYZCJAgndW4s1ysHLDBFhUH9hMskFLnaKlwj5z/9mzU8JLfEQ 3WF4KUd0ZAYIKIn6CmnwX9ogdcrFML1+hIc0Cb8tUUFcnHneEAzNDkgSH7bj+axgveY8nhs8yI5H yDOL24bD6RgqF0bLLeJfZQ/lGqOw17Cp5ldpg2ctTTuNrNtM07AS+aa1I+CMqWolvpw3fi7gSj4K VAbXb4sIGnv+A6eFc88KhDhngbK3orDEZmUoATCPZiWJZmg8DKIjVTGA9eQFUpPnBAYSS+jfA5/r lYdQyXuCq0DSRu7LbsyUxb0lPzUpZ8q+ManrBSkwixvI2xOzL/aPOnWdcAmMTVuHQkpgCdkwFObd 0EcCMs3+KLct/w5n3JbrEFIbxqRcT++0rSvctgJZ5ZzMtWYx3S0zaAIwN0UN7F6yvZ1QnpZzGtMx w/eWRdYZnZoK6RVIyVi3w5tBW64Nh9aEEE2Bo5Q1FpeXyaisL7G4xBu+mMCPwjXrwSb4Dw0XMMfU EZCGY0XdwM+GsNsN345hemBlzG8E6M33RgbyNeqs0LITkO4SN5hwKuT84QxFmu9UyNtOXGcWDt5W XEBmfafMAkS6YdDUz0U6zaznkMTSsrjk3Oz1LRWselHoo6jQdij+jHmdw43X4nWsGn21+HE4qMtx jD17Tuxm+lmriq01JAl/1wX8shv5oKwgbsKncn6hC1Ya8FTI2OehJ2+Pr4JjkRMLZaQg58v/4Guk wj5lwijlNVtdRyEQGWtIJQ3gD/JAfKWT1sVnCoB+lKOg5hdRJqWfyiTt/DXwHhFFzrkvJh9sfjGr mKWKHikwfsg5jxvSWNEygEhtE8IRp/m2uMMDlvfM8yvny/DhrC5/CO8eKztGpeQcvxjam9P5IGff EF0h0hX00seHgWFCRHorNrQ57vp8QYAv72f3Xj1BeXG0nlWwCO7wkiYjb3CkcTTSBGVm6sWTzzNo EslqbvujGCpPRCuZhDs4Xi/LznytWJLXCPZsgLuM9gqld7NELwqQRvoPcr+S5ZontY97Vl1AHWor Aiy4PEPvoTVoBGzouPs5lTTrgWFvz8Ad7qnKRveqIom3AlwVW9og2/DKSAactj5aZ9dcTabiuurS mlzyb8OBzJEIV9kGJc9cWLZ3xwCEVL0ZZUpJPrscI9eCtgfXARSK4SqwKayC7AdFHegGuNbSt7fz inWoNuJUyeQDgYYkSlkJqLAIJ6CIz4/VkVRZ2eAbw33Ld523jB2Q7EA0fYGlwfG5LRCKpYaEd7hw JVEr3u48ajQ0rpjf9BtQJn2BB7450qAHdcANTCGZoyzH7flryFsp9CPnvOCILd9AksSzuu9ox4P4 Ogiy5yldwsZv8PGmNlcWqOehcgLz3SmNjo2kv5+yXoqHtHN1tMSkSiUNyVQncxZfmfjfXVBi/Bvi /6qwe20zyx5bD+4+G0kkUvIibskG8kEIQd+n/Oxd/2LClptQOsx9PsD8UtbSY0TylpinD8bka22I ViN2jnM4R1wnWLu2MJdIS8rylB+EIYlaJTp8NbJHalYf+UMo+ILf8II2CJHASUDBAWYXvrSGXBSC 6LIyR+JN7FClkULOaiK4Mx9MbGeRgQ8g9vcG15Qvgzxj7Vz2NY7i719vu/uxw4PTjX6EqdwTHSTU hAQBvzloEyCST61ys3ZjhCExv8uijuNUkRHk9r7aAevzkXHO7FjH28VmJ+ywxXXh6TW3YAupI7C8 FLH3oHcV+qdrn8QGwOaDm26S56RxNkFK086FmQ1q+hJJUlQ6oeh5eyMF93QKJnrpECqSf3X8B+SK 0YyR304eIq8kkj1/CVXGC3vQ/+Z6vya/pxjDdhGOrm3FSvfuN7jquVAFw7ryPG9oXb7mV3zoH2FK PQKd/Y5T5F8LhySImej/PzD8Ge5T1thdfqqKkw1IRKv6BcTTHkAQ9rdvFs8F8pHj14b1BAcpDows MKbHdmYYP1bIyPS81zFnCbg6IUSdZoJj1xPopdvVO4W0Ve0C4bj7oLr4d6DeDz/ra2/tpfn05poa /l8pGF2C1JF69nTLqa6la4IgeNC4jfkSqtLFohc9Vv1BIT7FtKEx05ExPaXN2wwdscC652VN1aLq tX2FzubHoIQsnQPmOOlvB5/BNf9kpVQZZBYOVM09v7/IzmAXaGbBMsQ5IzLKLRGwcXzJ1jGINwA+ jrI4qU3Ww6zvV0ILnCKco4ZGtPfCexTt3fMz02MMRcntGfkIkn7CvyNZXVh4jz/VaNixkEIvSKoH taxwJyCjcwQ1bEqE4oqVBgVMR+klnI0xlRCuw3jsKOI17xrDBRLBzAzFWjszS5eeqSApROsSrwUr sD/BsTpfnACOlt4+TqSgOIhawYY2MST+3wrvjc32catbkFSCBzwyk77QaUqti82tHf/Baw9cKuwt Ip6Pzk8aVnOGn8Q19470jqe96HaDKg7DKqzghwO7LXgtw/N+tkk+VzmtNj8WRdMLf4Eww+5IL+1B ePSJk7dVQMc3K0vWpg4mkBrwubKJoIJH7SH6Nuu9n1hFj8Ne58150XOTHgBA/G8DwTE/FRdQ84TW P2MEa3oHW4niX0VD4onIbp4YG8fDRNfExr88+7OmzLCfdx9OdH1Hh6kGapVoNQkIcGa5olAGDorH 1EbxpfAPCZvk7zEmThy6fdybrfqxRZfBwJT+ldCcEBoAD0ML/eJxBFBrhCzp2X35Ah+VTsYd1h6+ D+eqzJ8lRo4LByR1Tv4HSRAcZyvxlDgYywT8LaPoEQSzqb0CgUZLtgOZjyQKJbpdg6S46kXeBpGa G6Wu2YsxsYdn4P6ma8oXAV8I0/WyVSUh+qQHTsxBZRAqFaQZHCpYS4arW7ra9M1N6IrhsdID6UXS hATzAbylNxgG2a55MlsTXe2qruiK5ZM5QwVSu5Q+bIOjyJKa0hdFde2edIXr25wenfSSjbwMFSkO OP+34or9fiibLGCQfB2xrAVliC/jwGawinodLxzEm3QMmGbZOmY7pDMWvLso4QZSVM0YjeGsDPRq u2kqfnZwwEc8uvwPkRaXdbbtpPvIwx6CL5DFpuGQMRUaecLkERa78vo0lB3PDYP2qiERkYyZ66aa A7CdGuvmkcrfpBcyTVrAkpkipEIcI1WgG5RYxs8kuFiEpvvY2R6ls4Syt3JcR9X6aRvaEGvk90Fd bxApqSk4rp6EXIApQ3asLsI1VXMGP1WSJmoOb+YWfguwOR79kaklBTDFJVO0WtY4JLfHtFOL0ug0 IFfFBToQpDmyklPjtMRGuo27jDDN9bPdYWl+JlNfjVL8T2kIyI7wXi1URj0jPQO7knY26PYxmcw+ cm7iMHEGLrQ86xn90M/wAecNk7Strxium3f60bvhL9KHt1e9VdqQBZXTG4KqiAmYSZYzfX7iLtSC PolZ0sZZ6/PQziKMFPzSyxCNWzbAWnGzNonmLBZJZpKHZufqbPfVXDcYKyRS2hrSGbH86l6sk0nu EzcuET6jgwQwSW/XeDhoZ54G6jjWoKQUncpX6lnJ/8h8SKrSFhlKejPOcJl7LhqZU3JWSCSRm3gW QYkDiY94wKNvbe3ofuL5LVpajDc8oy57tu+v2GzGF3Phvaxi2SqnHZ7r1OuPMb+qTIJhvNL7xbXr iZzOEdiDqwPBhLrqz/KN0/Umklt8uF4MIg3VZEPg3+UiPTjrlsw2hcLiD3Y37aHDCUZNd6UrjjNB M91AG7JuzUmCihoV83y9cihKmIAXV2QSo4M5RZ9x3EcGnJKtAq8h+qPkyb0egTP0IDNlPiAgxpsg iQLBP7OVLdo1wJMa5fftX+/Jxt+JiPSZTYhm6o+vW/387j1QI4qNRnZ1cJTJlZPRqOJVstW9VHP3 8B8NyQysiQC78Sz03vI2nwDIPH2GXlbZsRn0yeR/EetP/1LE4SMw+DXEnzE/+N46jCxlJ5R1widb JYrBMgLy6/oGF2dmpyW9mBqLw/kJ6FkWySLbcLGRE9jMP3beI09k3oePAQF4lW2vARe/5HiPg4N0 4aFiF34jlYjIIpJVOyeR047ZRmlyRBAWR725m8MtHo4GkcdPU5axsmEl/BrE/JzttsRu/teBRTE2 UWcfrAiElVPL/DUUxpytCjDq1MQP0zo7AZ6alilz0pVKyb2fjJc/gqRlhsyXJAvu64ipSOYcacYm shUdccdWD9KshCyDtejMluIbmimJweA9UEWG2t8X3J/8kMNDt+KNvhmCzBJ/ROQU2ZBjAYJP1vH/ +rVz9DgfBwMjHbH/MMxkX8WwJNhdcYQ2lihbeodqtgMHhuVQ9JZWEBxeeRqRHPhn1F/uXdHv9Mha COBI2eQBhRgUnB/27EoZLaBEroStst3KBfkVhy8ry2VgoOLZkrJAaNu++ix6ag4rY4VsciDEh9FT iLzMAdhH/uk8KSVmQ1T4p1ekxxoSe2H2Ym9k6GZ2TOu24uJXPMu/YWMka6szTF6Nl+O/BkvGV+I6 OhdQcTcXE1vXN6gUAmY6UtKEBwh2Qed8YMHBBIsidcT2zz/0zNct9ukaP6IIybzwlxkmD0AGkFRI BMHfEISicinO0bpZKSBiUGf5PtFxeQOxdFHa4bTDsG3RgxDB37LteMHAuRQXCBPph+vyK2juSw3s p/x48RcJM++aI4unxbGvtI6y5zexkL9rlbfqmF+n8fbIO5FA78fBRTaDYhuJ4VHB5g6nhugrlHVi sxZFZLM50b5VGa6CUCNp2B0tIhbgZ/Kb6KZj82yL5XGjzrRo8Djkz457p6XPTz7mkEQwmIUHJAQG +Se57GBwD9aUjAI2aOzoTwT2DG3EsGkCKdS3SWbNKfZse/MjLg3mHvT3ZRqCW9PyX6zHpcz5ft4T ralSkiKYOs5zDoASdyTN8DEDsvtoNJ+YxQY/H1YOWnq/sq0JX417fwrQo10QONVja/iIRlraq3TT +KtrZtPNpZkonk/LwcPhYSWcPUozBQGMGW8pyysb/SE8LkikW6cx5RxdbN9LtwRrlPD7v1Me27wW z+S7h+ObmqliJIGdx6g0cT0sMhKALYszkMCRdMn1VtUtHwIbsXRGDVIHnpyX1BxsboVoFfQ2snM5 pfLpi6A8MgBz1/SnKLMdjd50t7gjqiinjYc7KtKDa6zFSVr97xT0LRRh0s26x4dD9xEc5ADcP547 xjopElGOONAvU7kf2uL0bZPtptSfGvZqR6y/WfNiwx6WeVotVYbf0LwJVwlUE/+V+luhz6CIkIXW jJHk6NYde5D2vvTrOX3nsKXsRE7R2gvMlO6kskp1LswKtnq7i1VXy+5fWC9KprBM0sRhEV30gN3t BKXOhDBOOpfIhZ9i2cd+DFw+ZYW3IuD83oNw8IDrhft4MwgCXRiJdI9a+qv+kTYz6fuWQgLDi64f mvk6AIqijI2PVp/POLKm01JHoTODDuTKSC0WQB6x0HWxBv/bFBabxUzy9udIvqWImw1NPxw8aMSR mu6DRwua9PMADC1j5JxrNkp9Pijvkvn/rg1fnkNQRYDhLE/AxjLcv9PMcNC9mR7riKzdlEDAAqEz bZ4aVzKb5XdKcowNC9p8fHo6Tus8eZgrvSXTStmxmyLniy4Cr7kZe+aEpBO7KNlfhro9h4+sJzUn lnKUAvVzQhjTnRvPEpVn6weUEmmuWojCJRIU58aEJ868cfPIbPruE9L59b8Wu7d9ypENUu6f3H/u zCtfgN/c5tOK3d/Lj+sEJt/8ru0rELczBa+K8DijNZMz1xgESsckdeth+Gf1hxSDitSkEB/7zuGd cMDamIiVV5DfrpaGxJchtyF0iM7XKnQOhJ3DLlnuN3F6mOwoREE2vgPGrRQqyINRCbF0yFXyPb2K F8vCHi2Bi1KYGVBFcNDBXedlA4rq9VvchtkoZG0ifF7ReMu7h2odlkPfGKGW6z9hJ6H7YKxODs5H QuIRTXuIKnVi1upwrbXSOwgGTj4+gt2r002Q9AjGtApqLvPhl7ca15BPx2pc+6G2ON2QaGiAtaKT Tu+7oTmmfIOtuD3g/oIH9TnIS0CsSaLGLaw4FyLruSuptuwNhElml6bY7GieYqLm2J5hY76LO9dx B/opzsMjuEf597TvDAIcDSH9DiqlWRoBBcTD70AuIzhU8Dr/Fj2ofku8PqMRasHPT2d4QjrQbTLd qxqotgoHG2Dmbgm3G85EgGsHVOu/dl/Y2tWUocyNnZw/aUCtE3KZsNV6GTdNFMaVc6oS6uTdizNT NHa3lsYUqwHa0YjOWmkO38TWnsRMJQICAhB/fCCwwdVkhK4gWHDePhMewIvASw+fMVwz3uXQmpD5 j446/uklZcTbzSAswRgUHspUsfJhQHrPi5WcZkWJhpEfWOffyrpX4zM9631KNBBssf7tdNWICr5d Cunezlgve4e7snTC/asX5PcG98TYOmiyUtYJ6Jdk1/SyrngMSo94six9oUqHUKgNsRxgOpsLeEC/ a8R3HWvcFoQrqihzbHIwsSyqT5GwvDc3CawB44IVX5yaY1q731F/BNX/JaMh4mAjKqrl9Ove8ZSx 9g7TjsnweMXmTIEzPZkDiXkGJygX+PugVYnE7hQvIBzbtngsWx7NCmkuFS5fb8hxiYrV6rXdWlw+ Nx4M3IQo+6bQoyywYaLcutL5n5tGbppILP3FinLtqjPAc5VybIdmjECV2e8ZrWaQWDf5ATS/cwVZ rHHW8zZM45mklWxXUYrlC/69kq4HyLp0QUuJ+l31FiHkppoTVpgJ9AgV+5v3sQ4Js2Lwr8CkJZXV fj5BJDnDwKv01QUxwDfaygySf9Nh3gBeLXzg1QoWzl1RaWSgq6Xzp7/buZMB8Rv0jUM8EY5PqKRI BHglQNZUavcH55uSyzrF5gacqPMAE5Ylcgff/hQj0vjqsITcDO2aHFxjseeIvU0NRGB5ew7br2WL U2QXLqfos4f1QdFapZmG/y//CMDewgxTbexjmIPXGJtTNlUCq7QiWisvvmCZeMMeuAOns34fVloM jw0owJf2uynmzeqN07LkKMWtQIgI/c5aT16PhMFSnVAcRkX+s8CWFEBSf7BeSpJJgHTMri8jiFz5 nyodjf2YrnemW/5t7JgX6HGOQIQVmTrhnPF/XipeAEGiDYYgI88MfPO0LEF+03giu17KH+xcwSM2 25UqcMNZ7qURDmtnNSECDENYgVgBAOGEeUzg49Y1DBR84CKLrP/zuv87vIkwlQetHrJIOwWdcTBC 4FI9OzgwE+eYeJTVXUUXoohtqXWNOf9QVdbOpDMdvrgFEbbjfH71rXZgrKof43hRiJq5/+3L1cRh rMEu7b2ZAp7TuWog2HvwhZON4tiUMT2a8cQujRRVjn/6MgK2olw2rpJEkaHmkckdGGaSNArGCZvN k7nlcI/6GX12g/FPkT0DN+rzA76c460UnVwHvAAypf/eCoxoTZwpH3q/fGBJ4GRm8HApO7L7v/Jz KNUVfRg9XDn2fWlA67O1KP7Clnw0o2LXURc7vr6Y23k3fD3n1lvJY58jyz+ttcyy8Ov75eSt06Fe WOPiHSceC/wTlCgLHg9BFe4xQFBEfk/jSOHyV+QAiIJGX29SjfuPuPPbkOwXTcgN6mXP1xl8+DcP NiAQDBd+PjgIdzA5OJNeijuOQCm0ZG6/xUWw/w73FuV5Rr2yOmVY0B57B4f68mXE91xMhvaz9Uf7 iXBh4Th/XfDV8XbmC61OoVCsI9BOv5n/vSEloC2aigMgTKHQ7AWkEsRXJ8RzCOtjxXUddkfL76z0 o4icQG/T7CChutk364MmVBoykua2LkbV0WyWp41ElCzPVxHZdNEGG5eSpPJX9GhFrL0qrcXIPtsN xmCpOdMGRhznYYGtuEvipCsI9s/1TBgdqETURxMu30RdyUsT91qt49jhCAaeU+sys/K4fm4g+/CU 3DkmGPwUH+/W0A1m3bhAwyBtf+00+ZZd5+wqrvXQwfBXJUPSSmqOiftJgi32fwff3VZe4AISZTUg Cr+cYjv6jN6I5tSI+cKcKOxnodShx+KHZuScgdwVwMc/Ky8gg8wNYiTDgnHh `protect end_protected
gpl-2.0
4e47178c7efb0898cf1a6f5dabdee187
0.953123
1.823955
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM.vhd
2
5,784
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file DEBUG_RAM.vhd when simulating -- the core, DEBUG_RAM. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY DEBUG_RAM IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END DEBUG_RAM; ARCHITECTURE DEBUG_RAM_a OF DEBUG_RAM IS -- synthesis translate_off COMPONENT wrapped_DEBUG_RAM PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(63 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_DEBUG_RAM USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 4, c_addrb_width => 8, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "20", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 1, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16, c_read_depth_b => 256, c_read_width_a => 64, c_read_width_b => 4, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16, c_write_depth_b => 256, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 64, c_write_width_b => 4, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_DEBUG_RAM PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, clkb => clkb, addrb => addrb, doutb => doutb ); -- synthesis translate_on END DEBUG_RAM_a;
gpl-3.0
af27d0bce01bc4fe222bf58dc0de52de
0.533195
3.916046
false
false
false
false
UVVM/UVVM_All
bitvis_vip_spi/src/transaction_pkg.vhd
1
5,783
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; --================================================================================================= --================================================================================================= --================================================================================================= package transaction_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local MASTER_TRANSMIT_AND_RECEIVE, MASTER_TRANSMIT_AND_CHECK, MASTER_TRANSMIT_ONLY, MASTER_RECEIVE_ONLY, MASTER_CHECK_ONLY, SLAVE_TRANSMIT_AND_RECEIVE, SLAVE_TRANSMIT_AND_CHECK, SLAVE_TRANSMIT_ONLY, SLAVE_RECEIVE_ONLY, SLAVE_CHECK_ONLY); constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_MAX_WORDS : natural := C_SPI_VVC_DATA_ARRAY_WIDTH; --========================================================================================== -- -- Transaction info types, constants and global signal -- --========================================================================================== -- Transaction status type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED); constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE; -- VVC Meta type t_vvc_meta is record msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : integer; end record; constant C_VVC_META_DEFAULT : t_vvc_meta := ( msg => (others => ' '), cmd_idx => -1 ); -- Base transaction type t_base_transaction is record operation : t_operation; data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record; constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := ( operation => NO_OPERATION, data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); -- Transaction group type t_transaction_group is record bt : t_base_transaction; end record; constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := ( bt => C_BASE_TRANSACTION_SET_DEFAULT ); -- Global transaction info trigger signal type t_spi_transaction_trigger_array is array (natural range <>) of std_logic; signal global_spi_vvc_transaction_trigger : t_spi_transaction_trigger_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => '0'); -- Type is defined as array to coincide with channel based VVCs type t_spi_transaction_group_array is array (natural range <>) of t_transaction_group; -- Shared transaction info variable shared variable shared_spi_vvc_transaction_info : t_spi_transaction_group_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_GROUP_DEFAULT); end package transaction_pkg;
mit
4bac092891a94ae95f503da03d234b7f
0.501124
4.847443
false
false
false
false
amerryfellow/dlx
packages/rwcache.vhd
1
4,202
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use ieee.std_logic_misc.all; package RWCACHE_PKG is constant RWCACHE_WAYS : natural := 2; constant RWCACHE_NUMSETS : natural := 4; --Depth of ICache constant RWCACHE_WORDS : natural := 2; constant DATA_SIZE : natural := 32; constant RWCACHE_SETINDEXSIZE : natural := 2; constant RWCACHE_NUMLINES : natural := RWCACHE_WAYS; constant RWCACHE_INDEXSIZE : natural := 1; constant RWCACHE_TAGSIZE : natural := DATA_SIZE - RWCACHE_INDEXSIZE - RWCACHE_SETINDEXSIZE; constant RWCACHE_TAGOFFSET : natural := DATA_SIZE - RWCACHE_TAGSIZE; constant RWCACHE_SETOFFSET : natural := RWCACHE_TAGOFFSET - RWCACHE_SETINDEXSIZE; constant RWCACHE_INDEXOFFSET : natural := RWCACHE_INDEXSIZE; constant RWCACHE_COUNTERSIZE : natural := 8; subtype RWCACHE_LINES is natural range 0 to RWCACHE_NUMLINES - 1; subtype RWCACHE_SETS is natural range 0 to 2**RWCACHE_SETINDEXSIZE - 1; subtype RWCACHE_INDEX is natural range 0 to 2**RWCACHE_INDEXSIZE - 1; type DATA_WORDS is array (RWCACHE_INDEX) of std_logic_vector(DATA_SIZE - 1 downto 0); type RWCACHE_RECORD is record tag : std_logic_vector(RWCACHE_TAGSIZE-1 downto 0); words : DATA_WORDS; counter : integer range 0 to 2**RWCACHE_COUNTERSIZE; valid : std_logic; end record; type RWCACHE_LINE is array (RWCACHE_LINES) of RWCACHE_RECORD; type RWCACHE_TYPE is array (RWCACHE_SETS) of RWCACHE_LINE; subtype state_type is std_logic_vector(2 downto 0); constant STATE_FLUSH_MEM : state_type := "000"; constant STATE_MISS : state_type := "001"; constant STATE_COMPARE_TAGS : state_type := "010"; constant STATE_WRITE_MISS : state_type := "011"; constant STATE_IDLE : state_type := "100"; function COMPARE_TAGS( x : std_logic_vector(RWCACHE_TAGSIZE - 1 downto 0 ); y : std_logic_vector(RWCACHE_TAGSIZE - 1 downto 0 ) ) return std_logic; function GET_SET( x : std_logic_vector(DATA_SIZE - 1 downto 0) ) return integer; function GET_REPLACEMENT_LINE( pc : std_logic_vector(DATA_SIZE - 1 downto 0); cache: RWCACHE_TYPE ) return natural; end RWCACHE_PKG; package body RWCACHE_PKG is function COMPARE_TAGS( x : std_logic_vector(RWCACHE_TAGSIZE-1 downto 0); y : std_logic_vector(RWCACHE_TAGSIZE-1 downto 0) ) return std_logic is begin return and_reduce(x xnor y); end COMPARE_TAGS; function GET_SET ( x : std_logic_vector(DATA_SIZE - 1 downto 0) ) return integer is variable ret : integer :=0; variable y : std_logic_vector(RWCACHE_TAGOFFSET-1 downto RWCACHE_SETOFFSET); begin y := x(RWCACHE_TAGOFFSET-1 downto RWCACHE_SETOFFSET); ret := conv_integer(unsigned (y)); return ret; end GET_SET; function GET_REPLACEMENT_LINE ( pc : std_logic_vector(DATA_SIZE - 1 downto 0); cache: RWCACHE_TYPE ) return natural is variable count : natural range 0 to 2**RWCACHE_COUNTERSIZE; variable min_found : std_logic; variable i : natural := 0; variable to_evict : natural range 0 to 2**RWCACHE_COUNTERSIZE; variable countValid : std_logic; begin -- count := cache( GET_SET(pc) )(i).counter; to_evict := i; countValid := '0'; count:=0; -- Iterate -- while i < (RWCACHE_NUMLINES - 2) loop -- Check counter value -- if(cache( GET_SET(pc) )(i+1).valid = '0') then -- to_evict := i + 1; -- exit; -- elsif(cache( GET_SET(pc) )(i+1).counter < count) then -- -- New least frequently used -> save its index and counter value -- count := cache( GET_SET(pc) )(i+1).counter; -- to_evict := i + 1; -- end if; -- i := i + 1 ; -- end loop; -- Iterate for i in 0 to RWCACHE_NUMLINES - 1 loop -- If not valid -> USE IT if(cache( GET_SET(pc) )(i).valid = '0') then to_evict := i; exit; -- Line is busy, but counter not initialized elsif(countValid = '0') then count := cache(GET_SET(pc))(i).counter; countValid := '1'; to_evict := i; -- Line is busy, and counter initialized: check if lower elsif(cache( GET_SET(pc) )(i).counter < count) then -- New least frequently used -> save its index and counter value count := cache( GET_SET(pc) )(i).counter; to_evict := i; end if; end loop; return to_evict; end GET_REPLACEMENT_LINE; end package body;
gpl-3.0
9ebbdb956663d532b342e32c02f48b1a
0.683722
2.880055
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/clk_108MHz/clk_108MHz_clk_wiz.vhd
3
7,419
-- file: clk_108MHz_clk_wiz.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___108.000______0.000______50.0______127.691_____97.646 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________100____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_108MHz_clk_wiz is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_108MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_108MHz_clk_wiz; architecture xilinx of clk_108MHz_clk_wiz is -- Input clock buffering / unused connectors signal clk_100MHz_clk_108MHz : std_logic; -- Output clock buffering / unused connectors signal clkfbout_clk_108MHz : std_logic; signal clkfbout_buf_clk_108MHz : std_logic; signal clkfboutb_unused : std_logic; signal clk_108MHz_clk_108MHz : std_logic; signal clkout0b_unused : std_logic; signal clkout1_unused : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; signal locked_int : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1_ibufg : IBUF port map (O => clk_100MHz_clk_108MHz, I => clk_100MHz); -- Clocking PRIMITIVE -------------------------------------- -- Instantiation of the MMCM PRIMITIVE -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 10.125, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 9.375, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 10.0, REF_JITTER1 => 0.010) port map -- Output clocks ( CLKFBOUT => clkfbout_clk_108MHz, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clk_108MHz_clk_108MHz, CLKOUT0B => clkout0b_unused, CLKOUT1 => clkout1_unused, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout_buf_clk_108MHz, CLKIN1 => clk_100MHz_clk_108MHz, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => locked_int, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => '0'); locked <= locked_int; -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfbout_buf_clk_108MHz, I => clkfbout_clk_108MHz); clkout1_buf : BUFG port map (O => clk_108MHz, I => clk_108MHz_clk_108MHz); end xilinx;
gpl-2.0
b9edd5aa9bd91cb43d1d9ebe4c100d3d
0.57164
4.251576
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/EXTERNAL_MEMORY/example_design/EXTERNAL_MEMORY_exdes.vhd
2
4,655
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: EXTERNAL_MEMORY_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY EXTERNAL_MEMORY_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END EXTERNAL_MEMORY_exdes; ARCHITECTURE xilinx OF EXTERNAL_MEMORY_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT EXTERNAL_MEMORY IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : EXTERNAL_MEMORY PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
gpl-3.0
8263b6f91ba04511106e576029b0bf40
0.570999
4.774359
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/lead_zero_encode_shift.vhd
2
18,668
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T9auzy12hDAhB3MEVbelnM4U8lXwK73FLyERVEhsZKTpV59r76pzQh5O9lHadncn2wPuJcv2bUoe dGIg2OAJEw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P6XrE2BPWmAZI8O+MtzNQ2rNS/0fsNSdHrNAMdfU4S/cwia/lZnEap0vACxfWew09fK/ycAfKWq6 hiKdmFE6d9obkmw0Mc7ivpJsTBqsstqn+H4aNKDi8CXsZUkonQpGFXUuEQYNKUkMEQACQl9ClIcb 8gW4uSxmD52xHQRoOwM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rkqwNwTgROuOfnPIPRyIedmHmWqdX9ts1XLiXSKZVpB8xkpaEprPSFo316t9xwPIz71Z83HgcDh2 6YVLm56uo1+doS2r7iiWZbPfUzb1E4sZxZX7O4BsCDczRLgH70Pd5d1zWmoQ/+PfE+Lix5WngufN GTmaGuOG2MpSe1DDNJTS6k5Bwe/kn4fSm6APuWkTuHyUu+TgYDxbvEHxpN3DiDDhA7zZ6sZi3q/1 ort+CprKzT7LMJN30BEiMviFr3o5nLOi1TTcpfTMsTLFLES06E3yPTLnWLKfDdz1kwgxvWb4+3K+ eKkgmFrS4uJp885W7FJqVAylkcZhZmi9uviI9Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wQYrYvQmDwCOQnWeGOwNz+iCFju73zgwnC2cbzauDHS0SnpbOE5YDuh0hJudW/9XXKI3MZzYJOYf OEHuxyjnoOV5mXNNrp7rdN0bbaeIZFRCE3t2rHLaMd8P0UarJeGryEEt2BNwdX5KswtfqsHwmSIO M1wIr19eJZ0Kk5//cdY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EWw74276/s2Nc3NTvhIAQiDP7JdlJH9AoB6YRmEnGOd63/4IRP6V30cvtKI9m5iaETUiEMsTe8Ce agtECClHH0718F7CD1pQw8aCHKePXoffkfVTpXRSJLAjxO+LwCyIUc82bsUpwDGk6aQDug01zHVH ezWPFHki129cN3qjvt0l5ZbANhEah2IyPHSpVJy5xhRSDVJYL8mI/C7mAkfwMPEsXY5d4cWvG6tB qDw6vLz78wxUleDQce8BFjVQMPn6MienUWzY1S168MT2oZcggkJ9b/8EfDVoUMufyQpwYvasyTeL DZfMrsFGn03EfIg6v8/Op+dUWLzhFpz4Gizm9w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12080) `protect data_block 9FDSmtaRPb+vk9d410dthJCLYsfHRzxNBpTxttNkYRIa8+CDEc4+A8vusETbPX3YTqZyRHfDv0Dd zjdpn2x9S/Wy2PGcaHBgesRXhwjFaSCyweUSQ30k155f/4OXUOva+/4/M+G6OwPQgJrphWMSVob+ p52cLP1lb3eYXeY/Rf3fSc0SH47+Y7rTvLtXp9/e3WNjaukZG3C6fkiSXtCOyhXrYieIvJOSMty9 vSNuA/AZGVnhdrDyOnTpDk3SwTiUqF6G8wyJjIsHIA/BbU9Kya+TOKqjQzLiKcXmbiB5s9x0DWzT B/Sa95CL00s75LQpPh/7rshahDaIe6zqNGCCFNjyRF8JIYC//XUEHu3rKyTDvdn4pf/nejG8lf9K 6IH9oCd/8qJ91EDIN2x99G5cSjdoGzTlZdVzoUMMtC95+1jFc3R+rjgnHvSY9K+VzGNH8tIpF3kA ZlDGqYBW3ZMR5QovPk/UsfDuqeE1jZnbMPOpvm9bjm/vyb89DaXBEFwrjXlW5Ct8FFaIYPdadBGP R9vECU95gODORWZyWSvtoaa4+ZgYKPc8DB6BshDVdP82kOft2LJSwj3Rl+HfMDDB6KDeya1LDMIb o2ywhQ+NoUJKG6ImKU/MAZUYE+EA+DNgH4e3tJL+QSuvqKTgKwG1WgCz1LVWtTXL/LLFcK6xZfRz 3BA0M9JO/hqpCmvA5XdaThd+rZ6oiNZwT4sm1D8X4ALSN0CcU3cXontX84gyuVX4miyHIpplq30l +Q3LygiHNyCyCX6GZKWckytzC+xAS4j+VnMFZGkZ7BZRwKim1I52TZ5kjtl9rPhXSpu4JYVzcKIx J7tOGBciZgaV1WoTGH5Hp9pFSj9kLyn1Vx/+TrQWDbulAzjTZj4ZbhxS4QH4d/nS/50BpTQeI412 NQwpHZbqUXAcuXNVW1N2O0d7MnkJugXa7JvNGcfOU3eZyRnKDU5tZ1Xzg9Jdo01xidjVAQQQvrw5 dfHYmpcxp6E1pnf6hfzuuMsFNFWzLAThsJiW32ktR/PTgNA5O7L92LHmJAqf4Bmi/P/YLLwReYMT PnY2bfBOsrfBUyE0wCvRvnx2v4ZqUjgmd6mSn1SajPWFMqWN21vzXIHrbY8KNsvBfk7ygtW0lB5S lABfbHBq1NnXjWO6dw9WJhAiXEeZKfzzVRRT0QfzAjHJYqc+VEYsyxbetHIm39IELGF16nO2yZcO ZB7XqYsG+5ON7JDI3TIXSBqKdCGbP7f/4TS6oZvM6fA2JiVTXEFmgFSAJ9u5M2pCGB+V2d0JLNpE 6XOUOoKXyCwc8T1bvIOUuSuyupQu++JVb05doFzr31UNbVXGMUywcOxcdCbD/6UI/oG+dHhrQZsl fZAoTrPROKIKYMa5SxSIe3H7vgfSsjrWGH+95pVGU6/nbKY0UI45jAshLWgiURtmzZRcne90Eaux DhLCGXBCoDilL1ghiAAwuMOkQTKYn+kfl0dQvk/kqJmazovXFJvnpxuUUkUfP7TXhh1oBDVIaqdk h7tL1jrKRxUvM/F0pB9nSpVQ7UZOX3GKV1qvfpAy+n3FakF2H66atpuoyi5x7PPqvF5aL7xg/vGm vn7Oh+cB81sbyRIYrZb3/kOdSH1XR1XbT5dZ2gGmoA4RxwoV2XbPOefSv4OF7uP+fiNE2ucB6/9x Q7lGGNkXll7TPSLgl7ZeoqAyEImK22OSEHnFZuECAE1c+gjrRU5hq5sOa8oZuaW3xKIIKvf1wQFv H3bsV2/bUn+M+SL9CAsdMvH/Y5/Fs4invlYdhswMDRaeOGrOzSWJP8TI6oJcBrBpKzsZYjd2u2mj uMxWHnYOqkFsYb6AiKDSExbiTO7mDfZ+ivAf0hBPiJXEfLEjAk1LLjmQ5rzWm8DJRcDXsNx3yTzq KMQe+u6RZfz65JV1PM4XkDvD7OpR+CuTSMzLk1o+rJXkIzNWiISB2F9Utlolc1o7dZ4hBN8CZpa5 v31bGOG1LB99h1Y47O2FNKyz+oYvwdNFooPaW6WcXnXv6mlmEfIuyR2Z+bFVbP1mcUPr46Vqam/e nsRYa0oCliwFBzwv2B48bZybwQcsGlJfARcAYCFTn7o419KTb5jWH7pdTtRrRB8wfCfTQf7PpCcI 0NhfuRehYpqqm0aJxguWGjJjXMBE8XO/DsaU5aEaBWQZoO3xjT0iAiB11MpAiEuDzhsGuKaVoQ0r n6NdtLnyGu1cGOeY9ENp+wkHsc5B+el4BY9sdK7dsvq9IVoH7GbO0pY8OzWpb577f0Lj1PwAqcnO CUX6bJaUQxuWRwU/FpnOU0wDCJBl7ErphZVjWdlXUpUOsOilKK6z5HPTlPqLJJqN6uj1Qrcr7gwN c5j3ToQcdF5kqth3fE/SirZiA33xJMTbO3P0DNtUQrfo+VlO4WDO/kB2EMTpLgektoaD+GsOyzLl qUXQw1sn5XzqbwKsOVMFk3OergAaeJB04BDapDCatld2PzYloUTP2AJlYQ26vsEg7yT/P6UduOm1 0aU/ug9DHxIjFRTC7+wSzhSjhZ28EM8bgUSt3jtKaasKMKuWTrwlDGTJnBaA7J1b7/toYfQhmNNm 40Md7v9AI/9RAf1cceahmHRgHW7h1cQCUb5i8CTDXps7FJX7OudVYuGnSh0r1V+YFqB+DdUbEz25 SPdIS8jfAFV5s3cVzr6b+Zb/8EqYyXeNmfFr24lzZ+8kg5Pg/yPTKi0ef3K9j3/JX1cCQc5Xv3RA wR/0/UdbJUyxO6W08kH36F+qfjrnhelHjtJ8Yu4ZaBtfJ3O2hFqkdpj2J+NDi51eMA6knc/U9LUc RzjRigVvQTnwn0+dW6Ye1wGrKT2Vev4JXr5pKlPHFLo4gc9NJxot+LvLo0wv0zUbTO7krXxg6DA4 QWsARBgj1CZ0aJ8fYfCAvO7UMnlu08uHwojpmbDSQV5KWoTtKBLQtyYVzoj3RBFLDh00rlD7/OHl xZBs+v7xnKFKR4GKPGiopZiVs6THEc3R7+GLsQTSbIKylh8+REcAODpubbI5BS1rQTjsn0PwD82v 6XiZZrMK2CV1A50PajNolQGYG0FNXriHmGUD8x7gUKfGQLnhsZTYq4sIlB6yS08Se248RZXv6okf rxUGm6xFV9pastPnPE88mJG8bKl5lzdrlrvPf+LHBUZFDguU4mzsmqjiYSCDCPfjs/BQiQh3ntxe 1r18MqLitkLBz6B7992V+QUpFG4rzyN/DpVtyTXEmgmCLwkS9powfBYkHNgPwjBZOkNzZsAPmgiQ Ziom2JuVjWYTw0Rwjphju9uwGW6WA1hEJlYTKO2zdCMgIjWuPtC9/qA4wtC/LuJeMX5nWhf1TfBk uYsNUakjcnqP+/iqcs73Mdu7W4aT9uLF0/8kWYY82KR6OlPl4TjeYZyi9SWwDjlggUXF7lVszXTJ maIt03K3g7bqpIVhtrR3UG1cOyMFos34w/19qAXODKU1g8KZOOufYrXyoNlE9MoXCRPVKi3hCULV OXh4LM4BBqTcQnT2doLSwpk53fq5ejiZ6yFxcv0DgR3+rR3iBLW3CweZqrJ4LEzQNi1YS3poF+53 CR9uqnZ531UMm41z/af8dXK+YTmJbXUHx8wWHs7Gi8/Vwyd9qz/HAl8tzBJnM87LRgOIz15BrNoA tSYWAHrOEgLpUmOPnCgQqDCoWtBxj77w7YkjDYHKn+RTMWyxLVApecK3g89UImn1pUag74923dVz j/EKqvYUBGYowsJcxbTnAltPsloE6z3FzptEgyB4mE17jbvoL2V/UXItlLT1d3IZU1tkV80DTJ4t cwdBDNqItYWpY/psJDBu6DYCnBzrV7IN+4FR6j1uy10JviF9Rhqhs2ye5zUXASB+qqyvl2kGJ1HV jVCuUFRvAr8YzNIN1dAP0ElTjCBfCRXGNNtvZdOIsAFmGwjc49dWZNjKKfte47cdasRlRVrJMYp9 upQoBJTQX7R4PztPBPDPYKarwnlyg828LDfqmYd42pCk8Vw1dc9tFffd3FayjyPIvbrWiC4i7BZt LOAXFT0F5t6iaHqPdlMl66LSFjHr/XER0zUcj5Wk+zlcLEfPEFViXyuBcckWn43uKfacQRzcZqPL Z0IdnQlS9kSiZM53H7KKJN8JrYDx77v+rEUGt7z96KKnN4Fj+uaR5YtSzSNSkrssRRfcqtp0NW7o SFImuIG3LFEGYoz5dbZ3XOr7cC9KAzJf0BunKT+RfMQfEwG032wMwRajfbCgy28eDhSjeATdRBlQ MpeZwIPBEOkDTsEWC/aBf9AVluaJp7xyhuiJB5v2LUeM1s1kMHFgvShgpk70KBsOaH1H4JMTfhDs tqzOQig0KMSqI/r5Vrx2wesFInWKol1YD5d8XYUWnLXmwzwTopxk1SpYSIlmuRAijDKG8SHgS7CO 8J2diowKpBb4f+TP+0hckxPiZX4zdiuSGUhwCLCqr0bx9nEMQ9mRiWQ3C2RUCg/v/j3Po/Nx00aJ heNaf7lLakoswA3tKzJH9ZTUVZM9OFqBc3oaf0vocNSCgPLeWtz5796bucktXvrxL7D7bh17QV0U 4qpB1OYaBacP1m1g5FwvQ172uwKKBSuWdBA5CNcFjypGkI8bfCe/vpVsVk/kTH0wL1VEBAU727FF wKHjAWLRMsA3OUTb33R4+uJiZZ2iJB6najAm6nECse231P4fou4Me05K/tVbzU2AK+SDxCsispHR LmkPsQpnQvLbNWyCBdGEYO4hsgS1QnMXcWivJt6fudegQPvNnfm/THfj7xPIYiHvkJIyz67khY5k vYtjls53c5WmEwjB4A2DvE3qGoveBzqTxvovE0stwlk52Tytno+jJiPUq/c9/FCMQuLRRJsSV0B+ 4KXL2/n0+A0oQ4gVRXKqNj3Mjd0kVun5nrj2NaUuH+99cESeANT0pASelf4rrRkgDHAO55LGZmXE eBksShlkpStZCeByRgVOYCz8TDFr6/CynatyP6KnGcKOey5R5OVfu+iPYzbKrzhITkPWiZf6eA1U kMgIulTTyBqYs02qK/Q0dk8nEr81Pq3E/V7sxLM1Q8JDVz8AUNfydvIHO0ScTrIlSnD1vOtuXV69 gb0OF7W8Vy5HAYTHg3IxQXqq8t6AYIeJHL4Cm5H+IZs7+skC180B/6kY04mqA0HTJf7kvnX1gmbc jw2fBsL9r9NsigLYjL/8Pq2YdTA3OMrYPubaZc40SH1niShY/p8GKh1YqKZTw6MED6AToh5yS6GY eWnL/WzFsTIt/aTwb6f3TWJCnY/j9fi+40PP6N/rmf1kwn0CUA99VImkO5xzyktPl3z2Q0cwm0xO +eG/1+4r+J4vRA/cH5tEt7y+ia03abCPJ7jIXPTI2NGhrfuEUwd+42BG9v9ng6qM2ymFlroAQlCn h+pzIUrGha0qlbzTuPirLikRA1yIUUCVvPCKIGxcaKUNEnqH6ljJsEF66pxMOWf6kzgCe7vRl+vb KxwN7ma3aS3QeFwVKyimbKfTrW72IWuexKi/sM/PuphB0i8Pmal5peyuuAs6LaBkzUKB/y40LiS+ 7/7DNLlgvIe6aS+ckTnkWRgYdOh8d2van2f3bHRVZ0RPLXTyoPJj9KyP8EV/KaD6tJalE00/PaCC f5kZKWT7DWIUHebhbZBGRRVmih5Si8VnyQ0mPn+ol440HFnbb+OOmZBKGQ3Wq4AEavWHkbxbKHuq 6M2ltLFqG7xv4PB6Kit9l8WfSDdhidRWJidkjY11d+CRAbNGCbuHo9e5b9Ah6KBUX8BjVCMk1vye g4FWghiHaUhFpry2b55j7SkeJRCy7KFRaDjwzy5dQMMxc3s3SF4v4xmhi9S7Tk8n8D0Rr8Hqp7DK 9zT1nf/piJvSCJzWWGSwSWJxAqJlf1ii6P+xsyOC1CI/pGFHBghUDrh+oa559nJlEc7ViHNT50Md HZYbP20x6Bx7g/k0Jv/HeGZ0wDtXX5YJjFsabEJOzRUuHjB3lBTvGHV+6WbMrdJ95wNqIk7emkeM nN6y3sxNloWgBxzPbz3wm76Kca0zakwD2+3F7nsFX0IhbTU9CpUeM1ZlTGDEguGktpJKscg+YuN2 KLnC5vhV36M0QQREVEzavMUgoxYDdWdHdLbOQft88Tj+9eiuAKGsCW32AJQEmK5QmTaE+x5L8RiW ybUP+Yu2+9nh2XTw5UpgLPMzyLYnHSUYoo47KL5nPvZDwC3pZ9/2GIcobnGjl/tc8eYL7qVqbSqR Hb2yMmPgsMe4f5s88Pw3g9tmzblCuGzVoFzT857J+jLEw+xLjqoXvnBQdHTES5jxk3X7x0nV06dE R5Xh7JBgyDJIR/QNWk/Vuq7V87Nukb5hpMsqe9ojMad2DFYwyER2SXQkUOZ53/h2TCh4dYpIjjYR ZSBV5wThsGtbAC0nnRx+TV8Qk6hU9EMafUQ7Aqc7XWpShFw1D8erClLEe7clGJ+WNnI0/tSaiZ7Q I2Vq70RGHCs+8lBPMi0HlkZqbXLuKHICCWuTH+D2hWESVPs0XCkWw9WKOXrGO09gImtAFhmquIff g45JQLcceCO5nmvyr5H5ULoYLNbV8SonUuNDtfKAWr7OzHoVa33+/eBTy+SZYH5BJJ3SdhmR9Krm mzr5idkMAYgD2TlKBdM5it1GYUVLeiJ7a9eru2A4wEhGkSE2UlAZEMdsbCfsc/DaLC8SvILp+B4N KwnKgzbEKvSydugo3DggNeQ6KwoK0xYaRaDT2PVsBSUuEmkhffM2JlnvyQbY5rchsWgUM+CPQPg6 P7jthSiLBJXdBmmxqwQEkMLFCzkz/1gokFKwugFIsXNGv510XqpaRCP7t/FswHhkrDo65+NTIder NrB9zbiqSypvG6KLM820qTB1GzSPX2vrEmltcUKp+ktphrNkXFTRGn5H9KAyMq1AA/2kJyBE/yYf NQxP2IoDfkpW7iiQf0NlWvqCHDTcX4jNrUdSUTeB+0ddUTfRQ0kpO8J3hYs9BFOSdlKmO1mlhXgz iPB5e+BI4dU1aYZVygmzPKANFn+OEMbdUdQB5xpG08cHrPs37FOJJ6t9mZhNMag2mACE8yomNsYF XHPGRyhEma/ZBCgn0kZ5fE2j/RgBYiih2Olqxf5ACLnaD8LS+JRp7EOhjtUCiXNtU71brCYlSULu XKWwpT2Q/IDGYlagbt7rU/tvQr7Q+Y788PfzdH9ENF8mcEyq/Dy7WPmj9g8DkZ9ujL85/OiMiVcT FBjaPeLVTt2LKROH8C2lD9aCGygPJkS1y1ciL6ULTHN6Xl4YRcNWXecE/DFcOFHVnBYUQGre+qQc Szkhv2Lt5f4pdlf1KAql0VQKtr0xXNmyUsQKouBwpRxAsPi4lWFz2Tssy2L/mhCs2G2JyUXaqNAY mqSeNhT4OoeDIrJIf3Xq0aEZAUe4YXHYhk7NRTg6TxW59OFsxdq3EeDwqPhpHXUzJyvJNlf8AgFP n374TifnoLj0NcBQ1OaOlwQRJB75uau5HFgR1doDWynqZYdZFKPGXECYIL28tohhDpCnmjyqsxkg LKC+mO+MJv7Ov7i8bBYx81Xxno80Gq0BzpGwTheMzcuttRrScvsypmKFZDx4da68kgA2geRnWA/7 WlvDJOGUNNVWdJCEEd2nn5apD50Ufnqg8T/a/iR7R4u1r8c0ZOOem6cIQNB9/lONRwmFjnbgwbpu W7A7tvfBtwpCJQI9CL3teznGoSxaKFEeDjdjzUDJK8tIc1iRIjB5IllqMvm2BLanmS83JaNnR3hv 58ixt6+QCq9XiRSfudrD8vcxioZEacwJszHu9ZFePPfy3MD1B9shIoVRYXAaReoESpas/QWWy0Eb tbOQP1Q2J3ATmcbcsYrVzYCaMEnwqO1A4jCrKstwRv5B2oMP8tGXF0BYda4qZxAA9cbb8PzdeqQi EFLVU1Mhw+UvuQ1qhxepjR6AbFCLorTuTc9AQWequsT/wGfAsxHGl42xOSmq7xfJSQL8V45ew/oW 37BVA/hcRlTiaIH1B0NSIoWCICVxwjfuDGJuO7UTWDry98fASqc8p4rAh0gx+6SUH7NCDyDWWQIE zql5i5erIBmBaUwVC8APxDNFnKoax1sABhQm+Ozvxov8dzyQt3iat5iXe3dH5oErpgSM13bM5Ir+ s/wPCYOS4nLUPsdeoG087VaEKmyz+YxFR3r88S6BnmhdmNm3KuBekaz/KO97r+rF7rJoba8szEBE vBjZOOu8cknnjepy+oft2lEmNyyQWkPnFqTHPrKO7SuxsQzqBVh1h0P/62tvvg1GlTg2yEvCfU4y 2sxpbK2MeWoVBDzZuog8g72IBDNv0/VGDn8VD5ieaeBQoidXC4RFg3/158X2GcBZwS+f3+fuv/Ad ig1bvd+h/8o4wK91z0lyTb8REUdIWt4aSSafvYGMUsmpjCJAyXCjo0REeGFQ5CKIiql1IY1CmbqN fneQ8b3XVmtAPWi6WYIbEBh6encDWUoJoIB5q4R9q+ajltjnWhlULdiXnjCJRsLjwOeGtfUn0wbD fmCShq+C6sL/LrWvjLefvFaN5gtDEfIe8+v9Cu7nhufmy9jXfmus52q5MIYAN7iTVNkXh2itgOD5 yN7bpHK8B9sOFZdJmcptVo31YuCNNrfbpdL4j6ehidphS2va+26fSJYU+LSjjmB8TTZXi8/0O4K/ zqTxT+LzKmIRE9Gl2Vsy6wNXerv1rzyv4079g0mEf0rTe5VAs3R3tXUa5dbt64snB9l+DQGjsao1 py7OkUvqlpf9rEGESit1BY1X6okv+z9KkHQ3yXsInNzGHuHymZWraqjPAizPdMg6qNQKHZLciepP AsscuC4WjLj9Udp+7ZFfCJAigEMbsqRGNR+2IzQTep/swvLuNoRKHBeky9KcaFQ22pUXZ2mTRD1d UJQppOLBoVqBXcAr+BFQuTpw23asfNh+k7xh4ZctVlITsmX8TWOlHcbqO69yEH4SwWZRy4p+Q1SU IJVQEfxs5DiLQvqtFw6+hmH7MU2iRBv5sposYwk4+eho9mpVYIWNllWxeJ1iFqV4GJfs7Xrp2AKl eTJAt0NldhpdF87z67dMalNNhm3GYmaNuzWSYlCKP7Hsqq451EwhtMElbprjmcRqIbAK/LV6CwSJ EkJ8SFN8xSacl5w+G5mAxAIquGqPKB+G3Inz8ZIz4hqXg2/+CIxhC17z3yW1Ct42cZ53xwkUPGjK ZY1xmVzYW3Ri7f0rBATohUFe0XJzyOfadaG9vPTRfckTDsBEvtSWoUJnpEZEHsvPT7xz04zp3siv 47ydck3YwKuC7ak2e+uPIRAFo1qmG3DpGIYulPb26CYW7PfRTMx0xvMyUVyHaJncE60aXkoTw7SP V8x6NNfP6XtC9xiTyxO0sJ6UNlbLK6KFecNGzpNH0FR/QpwRa1/vhqw46NUBddbiWgenp0nLAo7W 7aD/42yNx0sXq3Yv441FYJ0f/0SB6TQBgjSTIgYKGPbhstmDfAHREPNYpsGV1aSmn+yZdwb/B2Oi pYQN7AiMhXXT4gX2az+2yfJYgtaVyexkemuqJjYDthU0ACyV2CS8qKMXjsP9Tmw5LKgzsgZBWZXL JQSM1YQgRWgGd87WcnQcLrnNl/f9oQe+ayZlG62ZFs73tphq1jRKJ5p9aNv3qVO9y4AGYtpeROl0 bodIHveUIaI+8g0YWXraTphbGQj8DoW1V0jiihLey/9Ejd3hg+6cHEIuSwzqEr1tiiG7IwW7LU73 qhOsEBL+z9t39bHqNQ0D6oNrEhPgPP/ucXGPG9k6akcAxPf7okx3T7I2mfxG9vkQMrpFKufg8CyD te7MNuWqaQNCNqVYxCgUi2hlK61EHRutlK2X8P2mP80B3GkbacKH97ePLHpjGKwVrpvsVFWWGt7Q YwU2x/zdi1GMhxG9ub8o7VGT0igdmsiD/Vw+sBdlnCdlOkDv0ngRJ0268EbifL7IR8CGOffppHOk fLn/Ikp3yi4PPBwABUdACjGXolMW7IX8XVfEzjikkKeNzYESoqk9p9cQUDxGYcFe61VXI56q2I/t 57fctlkY0ezRF1oUNp0biuGjkBYsdgPsH98V8MuCA3F9IJot+F85yDN2KjuvzglfF9NGm4nQRCqh vY9gReb8Ues3YAXionVTUnLELlXHS1CcF/5XtAq6+KICW2AFw2o5GemjbE0Gi01NL7LV8GZaOmsv Hhyvkb7w8FIQoXwFincQUASQPCrwimgPQqRrlhh4UGD844LTq30Ce0sLnryoRRIDfNzwtRa+EVSz tfoIx5ijZhKiclPCmiEW4QTLQm6FqWNf9GqR+IIUZMraPJSPa+gPUcDjk6ICPbf37v5YN+wfxaY3 8MfJlpqooJjuR1tdPCVuob1XeGGSQ3lYn5sTNy3vskmhbkvvi+tbqnvj++LIkdiG+e6+L7NBX1AC 3wSX0zOC2J1vH+HuqiwXbarNDBwnHHwPaKbC4UZ7Ke+FvrlPUj6nTbpsCMjoAr5lDskOSBqzpKSR Dn0qgjl7l9yPBPITPWQF9cnC5MCY5gtYzX4bCZlneui/6J177A+XrBPJ8tkrdA2he1wrupSKeNFp 7NkxLKGCIeOoyP3ykytpzpeNkPRTMXXWzTZMFQikcDVvlsroqiLzXvcs9xf3iqhXDcihDn5+ts1V yXxfXn/HI9riPJ+nG1LhZ1yB50FstUrmWb6ioxPThW+nWI4yqcNpdlbbWOoglCcGhQtShE0HbBnj v8pX9PCphmoJqFixmq0vYeyAwmBexnsG9QJ9R27Al8VFDVvUN93AjTvrmkr3mxbh9GbAAbyV9M+d Ae/QIc/V1V2KaNMahkJGis7zYMkgjO+ycMo9Fb6H+5X1oa7BZw7udphtSS5eTcaPaFv4YPCRLel5 Rn04LQ6eufkzPwuMjfOjDX71EAjdobkvkiXUDY9lDUbDeKjh+K13YIItlewSQj6E1G6myr0j3LEh NdR3NFF59Znu8w7tUGv89WkLwxjodNPpqri6ow7m6V1Vk4bDUokD8D8eQOM/yqIJLNFaC81QfA6L POknukZsgGurFVzUQ1yt9RaQGQGSdP5e/LfzNFnaKWEwMUvDPoSbV/Ujt4RUJEMaptxlv1vjri0Q mRC8aKeXAY/yBM6kLqfLJpXizL93DZmDqWJhISKXxp1h4yKkgiNCkBhwASgZbKqmx/o2zuqpVFdF xu7lGOEsh1GXaxDSNP94MHAlgiQcv0eIQxCT0aG0mOhuhRtrE9w2OGzp6EmpVo2y11spAmkGqEUL hz4i43wyz82JzAt6BBBEZ0gu8fTsXwdFIC4ozi5WiO4tbT1ooM3AZX0H4FmTClTfDRYZNQo3qs7/ oU8rTyXYc2GNHk40nNXyMQ2EdQevfGRJee7miStxDG/P1nIaf2oluqINxJ5JZ7uaW+7wBmZCSXlK LtAOPP4Nc1i4dtX+Izoqo/h1zKUCDI0K9R650P8O/hr8vPyBMBKS3ah1T6WMKF4iZx6RC3fRDW4O 7eOBr4S2UlCuqrOfN2zuV33BzNB7kZmXna/7UCAQ+FIn0muecoUAZ4gBc3trv2Uy01S8i0Iduv3x 12b4AvTTa19DMJ1J5987zwVqo2PJlmY6sVGjN5ftJblfRSnuu1d6q5QheDqO3EvzhK7d8iOs9yva HxP3UzVd0gdIOuZEjwyw4Ixb2RQe5+jNy3q5Nb5HJN3fwbiAduGc3Y204i6S/0b2XJqBIlJHxVDl +WQa8lQVWOcuNxUA/mhgdUQj6IE5TbZO7nDKdnCUCC9H8EZFdv4rTzy5DtnM2ZhbeezFCdHf5MTO nIhVABn7xCIIIM8cj2Q6I7cRy8wf/api4ASYnYil0h8h8R2+3HqaErKuqSHix1GiWvSmJ2obCkKZ PBpnl6deSsU0VxjIzGRUv18MX2hUbS/hBsyiKU3NdySX3LBJggTxfFI7M9UsIVHfUgW6KUmSG1Pb zoEqKwX5bmEKFkBLoyNJaGj0LPaawNvdbIsIQUHj5FrlF2y2LVP8xEZV3BACHH/S2/7bWyOXC0Yz IW6TluxaCkwdrtYuS+jhgzTviq4/nAyIIAZNmniGDRwcrJmE8MBq10tf8SwhVkPApAx+xOMeC5yg mL2DjiU/G7yGkV5DW2H7HW5AJAAN9EFIRsx5u3Z8Bk9/Hiw/XPmQVldpkJmkG9U/SzLx9pzvHIdg fo+IzKuuaNVmfqFIUpHO3TmOCmrrDkK0RCA3aBXQzKath4gPvVHtRXTwNfEEX1wey1MvplUAszhs McT0qismqX+MqGPLNIXqvLzZmKNmkLDth4iBMQD23H3NneymY511U80lsKgql+upx1gIFJURlYsr RnA91RIxH4ClWF3QobBaEucJi2wB5icCRDPUy5sbw25+HhRXozYS3KE5SkpRR/ua+8XDETfHd9Yo TMHzU9kME7N9+uk4fCwnjZB1trofy5rePZNxS5cLTySaUvaxnU157MkHKseiaShpUXYKfo1Fumpm WTnA8iWW2dK6gRyFuATQwQeB5CZQVfeaafuBM2ZDVAz63BUN6yXHGlCj2XR0n2Y5qdnzydVGa9ge huz25IuRyAhwVO+HBqqLvWNsvzoT6ZqEc/YgHugyscnqKrcTSTXJp7R9caTu8qfJ4a/21Y17Aj/S vFdonjlwCDuksMOLldR2vDG435d1Mt2O0MILpbbBk1aT87F90eNdW9W+rMU5olvRHy0Ta3k/sC8Z G2lSr9LRsmPiFHcBWMe8yg9Ca9RvrU5OO0mYXZhyZiYF+kbwy5vJblwxA3jxbufhFq+RTV2dtRGp WAdbv1zn1/NjaOtlq3t7sIq4/6qO1VPxiJTh0ZBRtx/fwV++s/4Q6eOpE2vlZGKFMF+8JKv3tlVl +TcBDZm7vMkSglpspQ8+2L3hUtG6GlMNi42/UYkjMQi+oie69Ajb9kAuSzH/J7kNkQ7Z/8TjsPdg zCYcvDvG1yLtdG+O0qZT/ay678GWTMGPoacG/zbisjeSfPWZFacx/Lk/EjP9L3eXHMeY1Mms67P1 sOlmBIMUG0/M2Fb41UsjvgkSFyyVUyr5LW5dNfipitFwjrH53jooJSJE/c7Y3zYFwZBfYWIF1lqN Si6EXDfea14KxiD09R9NtOETLKsXkNPN5cKuzjkpLAv7ZyARza+FiujPhVTf2SWxd9cnf36R4/yL xlmy+N94K3uQt2O8RCEx1EtD2JeJ7E+26U2v1mreKkXVRndOH+z3PYFsN4FSCsyJc9PhKOgHtcFX GyRWs109vSI1P4jtuHvUS1J++w44DIjErH1vL2UiOkZW6lr7rlcwCFcrGfok07+A5orXTjHhDtvW XMHc0Z8mGrFVKdHLU9WeOhAkKGY+FF0JTTKuiaNFqTtdQTuNKqlXinr3NPr/+kukG7SdX6s+aXea nqzUzRS6oW1zNyzLy1BVhQ4HeVIOaAJGdzwlCCr8sMqr2oZeB6QTW0ijgLYj0zHHDgkL3ZOr2wqx h6CU6tpyjO/JqhtklfbGENUZdX07OhMjSoIxPoTqvZRr4LLEIxGYudwtYdJQfyX7I9ubdMMjwoSj aTFhtCr7HjFTNMhWFBs01l+d2NO5ZEiO29NM4nygiw0zjiRolJy7mNB8tSAFCKWZUvW/KpbwWOrY yVdPgP86aqW+QeQn5NvweUVvgJvc6qAzuZ8EKFCqVlOosPJogMdGT/StRghoaDwkYIQTEeUZiUyi lbWM0R0xI7/T/wpoXZlD/STZarjgGYWN1B27Ag7ncLNTK/NpLaNkBShyZd93tLhaKBqgcoyGRAAL Bc10d6WnSjiJyftzbr5KNTgoSoTWRuA3rr3y7xYpXy7SzbcxWQFVTKcQ8Oxz+KDhGgF0PvOfgdAp Vf2wXqCWHZxEp2nHnK720FbYy+Oz+bzlGtgEw1JdXblKZYkqmnb1fWCGh918iNXFWhdxODrbRQVh xMSmaRCxs14GK5ztxAnuR+fPfbxseZKAX+fNCRoK5IWPdQiQAPHXFVhn35ZHhqZZcPaLqtvWY88H 4zWEzHC2dvtjbmjq+7j/CJx540VkA7SPxMAiiScs/cMnqlB6NEF98kE1BmoC8IpT/eptRkRhExuf ejCH2YC8qSBV7EVl25Yli5VARATD9eF5bvW1GyCjb1AtQYu957NGfs78rUnhNNHGlryWOLepKztp tEyP0V1yEQuvGX76JOnywzDeZiJcUFXTrCDAckTJie7cAZPNv/vyXAgpl3bVjfIkenQPprVpcgt8 R+sRGSvRF0QxBTJkYwS5AcmVtgNanfAkpKR1AaQe7zcx0ZH9ia+UvIx+uOM1KdB8kZcfaurD+uvy q+BAh8uTdwqdr6AYego15a2459/s8YiSU+DYR5zO8jj7aHOmEy3p+C2Iio5EQNAxZVe31MNV3B8P Sivy/+0LwyT+exr9p4toupqHmNpQSTQoqzteAO6YRAIj4j7mxFRZ8n7kIiwHm5GXrWHerm/LnEKN 4YdmigO+K0DojYAhQ9BVfyaozR1PBpMLHCnW4SfDXDTGI9w2kdX8vxEPFZLJj6Ru9209xyIRdRvx IuaSF40QTGg5ellIh0/rlW6M0KQoySmtupMc7V7zueV4VfkkfDHu+F6y0yodPQUcZOrHxhtEOIYb JE9q6tCIPJCVPeD1dgpIrXpOpo5tHCnf/AtKBT3/QGFjim4flrGUNOy+AaPlOg6OhFDaLkuKo6pb hz5PXNChlSO2sKZkyzJaJUZzHf6dQQ6DWLCdhw6y39LzXithmc9u/wjpu+Si216MK6hLIS3UvAnc HCwYE6rliTkxMWnFIMwBHMPaIgBzLUmVxZsYYZ0dh0iKNXTNC2Vo1YzF1lr1A3l3qfFT3TRtQN7u x1ApTa0xjaJ4wZ0//P1WYL/becDY9qoNuLuFvo2PkgkTuMs7hAYvvTfJw3wEKBZBwivQ62R1S1bZ RWPyaH10NS+s/0AZT+lYXUQ+v75TeVEgKnMDN9RF3Brc1bzS8tXNZmX97vOpgA8G/MWTjKbObRC2 p4V++6Vl2bpszDszTYEGaQVrrjwNi50zdDrPbHsS8AIQ+rGWXDXGUEOSmhcNpXoBRPZprMtgFtc3 k2PXY7CN7cjQSQeIQUBC0rvTxMyPrr6wwTRCdslkahFFBLd0elWcdnW3ZE0WXCLUVkYSLoYDXpcR Dlpa+qNLdrvw9RZGqzTnyzzjBWSRKz9uBMFBJRRUTp1kjmeuYFHIKcqxhEpLNF/hIbD6jl4UUmm/ uPYsifIsDUsZ6GHscxK4b4qjdqaQbT5SXDx7+kHxefn2b5jLkE/ECsu4UuHvYmtGyTLMu3MWyGnN hZptSAG5cEAYMNlBkhr2reFk8tfGHoP9viDZ6xoUfEhVXeZvR5MqtBa+1xidqdQvVP9XeKL7jive ms3DwZvDMtTPuFV8tlDwoagkVwHNhRRNY9LSXgs1iUDCO9EPFmAgWV0y5X4pbiHU2AAgGoLGBjFI AeyTvOevgEY71BL10PkKoVGakEpTpisg6zuC1UkOOmN6ohfMOn/jLjrh8dzmfkEZ7qYo7o9zABlN IBKPhpEL/f8gMWmUjsnjhjftKYVW7w/m017SE3i+rMFEVDwOyVmyO5LCqf1ssaGtsT12SxCj6xcG t5RtyqOKm+vJQj9ShUfkojgQPpUvX+6OATi26rowjOFcx9el3CzyrQ0D3+1hCzEHOj9qvkjLcDzd PE7Obpdo8/+nhgnpn3BZRpAMWGR23F8Q6nIP0c8GLDCIH+yNS5r/czjGil02kKz9k9zjaCjNWfKk qGZr3oZUVivzsVqCQu2F5SfQJ48SzMPltT4THeYeXdku5s63VdvH4i6fy+zSsWG7708Id5BUeSN2 RKELct7028/RxsLAAh8oHC5kOZSINS38TBKDHLXNqrw1vRQcVIskAOwysgaVfsnTijP2Ti9Xjns+ x8CZ5M1si5RWywxqSb68ClsWs9y39JdFAf2vxmPKQa+9tjG0qGwP7NPbNcOiOzVU9TmuosP85B4W Nwi10qmvdGCvzShDiZgtDsbpnCyTF5MfDtiWcQHsVxoKsCWvWu1aLbF+mrQLNXL8dRjybi5c33cX Ufewx8iYXd/wNKOnvo3BaDErdz/hmj3Ywz76SJJJ6OAyYckJec1WnAd2rdkmqEodccv47oU= `protect end_protected
gpl-2.0
a407a024da259959550f5c330faddb60
0.940379
1.86066
false
false
false
false
keith-epidev/VHDL-lib
top/lab_7/part_1/ip/clk_base/clk_base_clk_wiz.vhd
10
7,703
-- file: clk_base_clk_wiz.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___100.000______0.000______50.0______130.958_____98.575 -- CLK_OUT2___250.000______0.000______50.0______110.209_____98.575 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_base_clk_wiz is port (-- Clock in ports clk_raw : in std_logic; -- Clock out ports clk_100MHz : out std_logic; clk_250MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_base_clk_wiz; architecture xilinx of clk_base_clk_wiz is -- Input clock buffering / unused connectors signal clk_raw_clk_base : std_logic; -- Output clock buffering / unused connectors signal clkfbout_clk_base : std_logic; signal clkfbout_buf_clk_base : std_logic; signal clkfboutb_unused : std_logic; signal clk_100MHz_clk_base : std_logic; signal clkout0b_unused : std_logic; signal clk_250MHz_clk_base : std_logic; signal clkout1b_unused : std_logic; signal clkout2_unused : std_logic; signal clkout2b_unused : std_logic; signal clkout3_unused : std_logic; signal clkout3b_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; signal clkout6_unused : std_logic; -- Dynamic programming unused signals signal do_unused : std_logic_vector(15 downto 0); signal drdy_unused : std_logic; -- Dynamic phase shift unused signals signal psdone_unused : std_logic; signal locked_int : std_logic; -- Unused status signals signal clkfbstopped_unused : std_logic; signal clkinstopped_unused : std_logic; begin -- Input buffering -------------------------------------- clkin1_ibufg : IBUF port map (O => clk_raw_clk_base, I => clk_raw); -- Clocking PRIMITIVE -------------------------------------- -- Instantiation of the MMCM PRIMITIVE -- * Unused inputs are tied off -- * Unused outputs are labeled unused mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 10.000, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 10.000, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 4, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 10.0, REF_JITTER1 => 0.010) port map -- Output clocks ( CLKFBOUT => clkfbout_clk_base, CLKFBOUTB => clkfboutb_unused, CLKOUT0 => clk_100MHz_clk_base, CLKOUT0B => clkout0b_unused, CLKOUT1 => clk_250MHz_clk_base, CLKOUT1B => clkout1b_unused, CLKOUT2 => clkout2_unused, CLKOUT2B => clkout2b_unused, CLKOUT3 => clkout3_unused, CLKOUT3B => clkout3b_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, CLKOUT6 => clkout6_unused, -- Input clock control CLKFBIN => clkfbout_buf_clk_base, CLKIN1 => clk_raw_clk_base, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => do_unused, DRDY => drdy_unused, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => psdone_unused, -- Other control and status signals LOCKED => locked_int, CLKINSTOPPED => clkinstopped_unused, CLKFBSTOPPED => clkfbstopped_unused, PWRDWN => '0', RST => '0'); locked <= locked_int; -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfbout_buf_clk_base, I => clkfbout_clk_base); clkout1_buf : BUFG port map (O => clk_100MHz, I => clk_100MHz_clk_base); clkout2_buf : BUFG port map (O => clk_250MHz, I => clk_250MHz_clk_base); end xilinx;
gpl-2.0
a091f78a81804a3c52fa72f1efee2518
0.567831
4.159287
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fir/fir_compiler_v7_1/hdl/dpr_mem.vhd
2
19,986
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block j28/CilfqjKHwgi6i7Rpz/m2jdmmcALMhxJD1d66NRIHzrtlOtKmb4akLm2LTyc0EYbhhwS0R7TJ LcGEINPXUw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block XHKk32FCrT65tn9bF2V3lVjHPDg1bTChY1VYTD0jsXzvHhRbjWIbHOZswe06ijVXGUuwD8gJIhgG 55B4WIPPdW9F3sZjQX/hJvrQjBSge8FjQqsarUjh1KU1iR228OTMOF2CIahbNLewwM2UgUDPaQGu MrAW7tfkyd7nzozY74U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FIo70alwhdSlqOKkw4AiAI0rQ5QiIAzG7RdMxF207Iir+D3zT2eDdVP6//BYxk71xpwShA2LMDFl +/6BpJ2Iao96MUh8EXiQEs1NUpOL9eHJyquYNQTZYcJV+kz1CuyxB4wi5bHl8zbggxp0xLhrzEwl S4kFDx3l7tdZaHZsXHo2Oa0BsOdDNlJhZkgVMvNeuUGYWc96nRo+7gCNwONV/aLt0nhbc8vKuEHH LYzf1TpAtGkVi7YtMNMuOzJSXauFUU4vEEp6yw9KxsfA2/5lfI462wMmYTd0yp5FWbSyPSvDAefO Z457RZ8QrW3WM3RPXER0agMqVBE6+ytDoccXvQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vPUBrt8DHvVsOzFihsi8BHpYCnF+Nd4ymetaFHOSXD1sxIPOFWw71ijJxPc4gmurbh10yw9aJ4wl 880N0aT40wmrKQ7V8ld9KPrAQzPJh21a/stdqegGhq+FH/PgLdTXocaKQMDpyDKDML8Q4M1nZUOL YHFPgokGSf21YGsoNCY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qlIt7y8ac1eCcZN5tTzRs4K7izUl3g1wSPt3t0V1ToK6aH2VQBsURZdCX7o/l1BNUmcFEEd3yIV1 vUyyiaPo3qWCmqSSDVxj+FaVGMAnLngJDUgm4AN9Zyrq9lT34eanH0g6dxjZXY0CYVxMbvBAMQ78 x2y+27MioDf2SckxD+sFnHReYwQVLTXQnbXs0NVU86nl0BZTpRVGkZkEO6M47hdEcqMW0oVwtxOP eMkHhj+Lmw6fLLfTRX94w5y8rcFy3xlu/v2TNBxAnwq2M6rLDkACgAaUNfi9mYNCxt2j3ScTsMwJ R+MY/6OMu1ti+ZEpPRhbxeaBFBXXfJ5fNEn2pQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13056) `protect data_block z+6vpvRGDA/Fhsiy1ra1ndSaeM5utr8LeVVrWkyhYzU5XwjsvDOW8iBVyOQcBj5Xsm/TUiOf4/+/ OIvBMkpsOUw6Zhet+VOl+FbCs/4dl4vJu8IOmgslCFpn9HJa/J1jYz1YwlA0u0cdkBA68BYfw/8S rNkCkUMmyAcqQLmEiBWtd4UwONWIpW79T4HGQSwVPIPDENfuW08mC4X9HyzbIpq2oGMAGclP2efW ikCrZ0o+35QIsvpFoXzg4iU9mRz4wOD9zX7FrRV2p+jE5E6ZHu+EW32d2sat0yhTXgokdXfP0pdp nVoRYPaOl1CE3oghJeY4LOFN2HwcUTz4A13n1iMPmw/ri2hSknqabMxzFK4htFss41Fo6jXgowK/ qQnfFa3ArkkWqSBGQrYIcD7jWd4ssIclpchBe8cC+LFIN3zkEg4N5/WLyxDDNeiW0/GrPEtwz4Ha onD994TIXHaP39EMm9tCcHoQThY9Wsxr0dJ8oVCO6mC+Aw6mKbgczz8tj+A4gjIPvId1kWLqw0HY u7tbpSuuf6vWOyKhne9K9iz91mC2r4OpYwKOEpalsMGt0jCsSFhbXUl+Q1EhMEBbw28gFEHS78/K wV5jnPH5QzyptuCnRe40DYczYuT/76KbVPOPaDnQ4FpdOL3f6MniXVk2iNVa5IFeBhM9cyQV95p6 Nkm7S3+cY5ZewE1KuZdU8zN9JCncUDo3KI9PLhTmZpRhgUm1U7bi1Jh8bABZjQOYCYerd3oMwJmC C5lqFRtiYUeWjblGU84I3kGw2E2NVciUbOr/RVPC77OItuvSn/xjoYGu4ju9teDI4gWCcumf2TTp M/bLcYnGlyr0qB7boeNP5SrE2SZEFNWYMDces58nr0GnNIINAxnnktGWU1KGi80aWg4KIbHDysVl EOQzpL+44BLN0QZmGB+CP5O6ArOweuzatSj0MYGVGxtyvuZN7X11GJHPkTqU9FzMO8dDCAm3+r5E 4Rbzbpeq9++tx6WQiMIC3VyTtXeYuesi6yHEEZfesHrTYvB+i4DzP9b/Mkeiw77KdaZvY6OxR4ZT THF/4ZlANPySRb6xmSh9tFK/R74DlAmIQMRy1IbrNw8nDZZsoha3Zj7neZets3nPQP7RJ5KFoJfM 5uauEzS6T59KuesTqfmYMDqVTAAeSOEqCSgvUESl5MhJgVH2SMaknbzYm+7QKTQviQRDZG+qjlMe sPv20AzTDQ6RiUy839H3b0tVojTKXto5sKHkDi/UjVwKtMKLCjX4SR5F4LcYX1f2A+0+RYJ2Z7kX qA8JqO1effKIZ9ivGaXgOOyr/Of9UPkgte2B1Tg9UVVLC1PO0daCAa7jI8Ya2ICxUALqG5ma6p9w xL5Bc0bnNMweAeDiqXxo1oRq+x4xnqmdwzsFQ9x9ZaA/2jxhmwXxuMnpDR1wRs+fKDJ1IQYJkvs9 E+0CYyZeeIWc+h3ikyUAzPVDAmocOvIHCRIDQGsHMeyEiRdlsVh26XV250UTkXUj7fHvndBzK82e egOwOseD2B6q4ZfKf4J6RdjK6bAZPZTrKNNk+GiNu8lv3zNYh5siEdUvlJZWz6LZUWhK9grytpOU y2V0I9X2Hb5Yix1woxygJNSFaS65qqoNWlHj+a+CAUAkTmNE3gJ99JwUgH0H3NDmT3QxdqpmNrvP Cy7tFq3fEhkUDx+FC/I9oRZUJLyhVml+anzBouqxI060dh1cRKV8+vaF9Bo4+tZegmbIyI2CELx5 PinWG7FpPDRKlG+DHj+guixi5ueBB/NR/yN6Dqi/vtwuTtTTQi+KXOzEXvOsCAj3erbeOTbTwRHm TCrTHTJuph63BgJnM6enRCZXG25QsDIc/jMiYE3ZHAhBSzpD5HrVX0pEEPzzvE9vUW0G3Y9rslNt SeNdZRl4sDGDComKhX2zb7ouQLLBNBf5JhC3+nAR/DdTe4VCJ/4kNWKEBXDKin5W34IZZrrXlo5O 2ZOl+Gqg5Xbgo87UEHf1icgxjMgLhdb4ijOYfHp826cMOzmgTA5syQVjHeYkEQ2kUhFLWR39PTrb 3zW8886NFY+wQahNru6ehGfvLoD8mCA2QaYueHA/nQnAdzlAWecTxST8Wce+buvTLTGus5Giof5k cRBuyOph4rkhxsmJ6s4mkLYhWlG1HVhs0KM2ZVRxQR5vPmP/FQEEo1QwoGc4d6pU8uZFsgLOMRCn w/WY3NRaFN44NiFLq1gqoXtGZaWrDGe3NxzVDQTfG5710FN4twTf1e0Z364SO0sMrr/C3plHtC7h Zz0QVjKBHQbI9F6BIz/v1dBUXfCDTTkwfXF8rbrkbbJWEJspP6d2zCtrGcvkyoMxTRVB+KYwmSnB LbqOmRsEXQQaX3CkoUi6Xpu2d+zFMhisC/9StWzT8nhoY80CFpZGTPuRNez4kKma4tM+mgHAIE0z 4ZL85Dw2rjaiJscYTe+TzoXh3/Y2xlsFiEzVd4H0WQCYL3OEJLCtwpBzGkmG2WzI50B2UzPlhOmT SAP2Fb1neSM/hmALOBZpQrBv/g3BYvWjUCsUnyuAAl4CFuXX/8UOBkxIafe4VEEnuj8GrLTb39oi fqNKLErdF/ewPcYmUOuPm2l0yNoJ7wpcL8DTXZBldA0IQXjPet7my4CQ/pJmZuDRauJZhUX8rYIY Q27aQvxgl2nlNGQInXmWloA69oK10ap3tAfXbq2jvL8uqC1yAdInZ4ZR7WL+E4D3UDrEv7FPyJWG +yG7wC9KGZKfZTgWRwsoRaS0I9VWp5JnThg6D8C5nfzFCZWC1dS33ARxK2t07gCLXPJ2MLKw7Zwy SkiUkEw9WziMnUVzoXE5VUWf1sCy8CDkzjOHEhUahzswEip/h/0JZl1g+Rj3FCil9m6szpOf49vg IEoZiAhO8szH5l7otEYPTsnZY/9YKDuDqlMPkqNmbnEoWC7FPUhz762i0BsuPaxVSMCSoFF62dlu vxprMnLis3ZRPzZKgp6824KZlSwoxmOlLAegCw9CcniHLw4sIkucNT2GbPxC2nPep7Mwohpi/yg8 Qy3QI9710CmRfX5UnZTsJ8Qg7Z3rZag/qtTmm31yAmyQCXuHw2WkCJLrUrm6pVGS2fkvVfmApgTI okBooBjVM9VgxiefjVuyDR0TJYlcCyqmafs5EpFtExOiuQqGilvADzED8HAvc1G8NHB197sunj+a p1kBB8TmUlFoaYmhT601YahGi93ThYLph6797vtI7Lsp1M0uZiHAPCiweE22VpR8G2VNxzB0l6BI uxGxW/154+IM5jY34G08wLs3YDIGtWxjipAZNYHqSkuZJyy1B4xgnwr70WyuyYyMdKMou7yHAPA/ NY0M6dUxUZal2atGa2rUkV8e3nrt7Ymif6fg8q19QGuc3InKWZNq/h3QnxYIytZoO0BNPPI5hO4m XMHDl65ek/uAC8NM9b9j3l1r9VhZ8g1Pxqow4mL0m7fLeeMSwdEDDcyRVDbqj5Raf7jLAgNdiLD2 wPhEu/jR1nP9fp/w0DdShjgxU81qFan3dxTiHYFdKG+AFpamf+5lNfTsI6EptsofgLpspgd6XBe3 IvnF0YmxDktxXNNRCmZn3LKsl6wJoCV8CZCPb4UOrrALoUnfwnGZjqC7KpvvZ8ETIjW8kGtG1XEU EjCz7ywZZ1qZRDdyxd99AzaCdrVZJYCBaG8ohvWrh1/dxWyuXOTebNqut82yFyK6bqoyySPeQzH+ B/F9l29SVBeNOt1/kD8xqiH+3I3oceeDu9+m5PSjl4lGINHNSh913G9OBE5LmiqfoRNa/BkthciB UJb09DSX4PtGWKaSxABIqxQoLwhLqOX0plqHWcmzzoBKKZfinF9jVcGqxSKmeOn6jg0Fr78ZEUuv amp8en1oJh3C5/0HnFEMdGy3FBJRaatJeu6mbPn3HlSkm2XFsHSaJZDJXuhe494LAc00oiG9Y3CK aBMj8uH/dn0eKZfPrIEL0HWfpe1zpQNz8PUOzOsJhM8zhxOlxOMbbtcptdbwMLpQ7INZj3aKi16Q y+oBqwW2UbIFu/XKzqlxx1OX1+W+m9vLRBd9OwYNq/DU3qOJsDnpAELO/xauI7X9qDGoYlHQTEXp mcR37N8JxvrI7Sxl7plDvkRgwiuOZKuu76qkU8rI4bTLwBRCtrMkeaPjhhbZJxQrvShSiGSMbHwN +vbKzqXf60NHS+iB3Aob0Tw/jy1NA1CACh9YGVWs6/vIeKj7cy5bAkAxgpgahqd20H8/eDRxeXqE 3fTeOK754Zz6HkOO9RCyy00I41KsovOxTAKIdwsCgtaDKxSAxf7oN/m+YvbnOwnF3tKelf9qRdTA K1YENAXMjrymjPnoGQ5ynQLb175EO01ioy/tJwyFyT3cbg07Fj5UVWIba/a209iIxdlmIdi7DHUb bCZq2w2xuAXMI44g7Bx22h6O8TZxIRqwtFnF1zV7dB8kw1Yt22NcVkVzo4d+Yh4FwHrZtIoZRiXf /8CJLtlsEzv9apahUP8Ztiw4895dz2aLRRE+q8d2HwX9Jq8mz3Wciw5LdPtithzZhlTVShU06hr1 wWc6lMKiyE+YvqJwNvvc5YqWYfoogQDlTXDS8Bc+6pmBcGqMJsqJihHo9ZbpqaTqPtC1a74yvT23 uV/jvg+s1djlCaaMZqaLi1mZIywSDhHTCykq4EkDB/zP2DMxGJhG2XT4cZt30S5CKjAtAG3AYhKk 3/Cwx2D5wPbiPmHFQQgz7EPPeuXXzAoljqnyT1MI8IIITNYi0b0Hgge04aLm/yLriovw5EuHWV0Y tux8PKm4tPM5MG4XB6Cy3A5UyspfgYBaecOhh/3LjImwuQ4M9+V1reHsHCRiuY7pGGM2DS5Ej6So 7yaPqj2ZqR9qmnzXFgkcGMEYqmBCoxHOY9eBjsoNDCFIpu9yKZiOTstW3K6QP1wMRnMaudg/vFg3 M0o8EXXCw6AXIeo/BeQmShQazhOZ81Jz4VBZK7Zu9woOeyw9BlRfWU/sYTN+ROH+bdOmOWO0sII2 KdM7aLZlU3AHTxZWG1/Bob4noDehVZ8WXPv3yQJvflMDH2w3inl+Wkb8d5I3gPiQN6HHZluvZgrG rS1xojY8v8sQeLNFxqz7mLvl/cNAfq0m9JiBETAqOV8EQGXV3ZUqnilYVNrRgnZ3qK7fFWwjrrBo SDzslmTkToHDGy4TAClM8GavEZa/+4wT0rK8ai+O+MI1QBe3JFbc2/+Vd4yxdPUdLdZW7SSnFyj0 Hl/vNTczNXJrOSmRZHgV5bwKb0VvrwDwshB/CVxob4PtwYCOhzV+Y7G4+ScTRPa4e19LZBNFuczG Yy5oJSULNKgebsRr8fin+qJWpnzywAEFd6FiTK9Nxkug2Ry0bYAAPnonGcz6QeZf85j97wL/qyDs TWqv0e6M+fgMctWwm0SO59Esz8PMeVN9hosW42FHqLjVt3A+Cpts+e0KBPeQ4f+IN7xXD2AnvRON yqF48KoXV0FFJBeK4sbTmODQcOskp1sKZJdQ4McE+Tq5oidJm1lPsFXv2EJiHMg78BTAB7QlMd6F opOQ4wjv836z2oIDRD6iW2IhYIBluAJPKWIhV+036akksVc8MFA3DqVE1/j/N/7cZUVcrgXHdret N2SvT7GIMQdGZlqxmbkpBVb9Xi4Jiz1Dxl8wNqpObl6SWl5usWP79cEuL7jzMW6UWuIeaRvwlEUH rOOVXnVaOILe1KRbIFX+4BacJU2t5tVy1Bip2S8i81CuQU1RHDxDdJv04cn9TwnkottAjxJCxc7i m2Qk7WzQNrC1NPpwEsJFP3QKvmZD4fd9chbKcyTajtkzPkyO0r6nKCr6u0h7e1uFTMQtvjTmodMm /phN7dB/PgoerZ0NuaDKJFQ6fKsAMR77edJL41Y++fgeVyGq5g6X9fTzRMorOQy7qQL2IP9KEnQO w7Ru8SpxmxXAaI5G1Bs+GfRcdJjl78EPXqrRdlhfMwtycA+bYA17OzaHFmV1LXroQBqLDYQUETV3 Sn851CzGx9OSoujt4oY3xA3yJtrdLlFUNjKKv2YT8Ev+iCdc6mUgnwVtmOXCJlxdvPfuBVfC0oYF 1eD4gMkp7rZcPU0I128eQp0pF1J6AwGJJZWr24oy9p2eeNKDE6GQAAgwuOSQnKY9eM3/9hW+CZRQ +KZLnO/xYQ9QkjWiaEriy0Y3v3rbCW3wQuUdZPsC2yIWgMFqzBHmP3yNQlcY/LmwH51loWRI2Lag sI/4kZj6YeBpZk08wwZnxqq3/pjmXpdLnvb5ZH1IOVu4csoHhrj53KCmP5Y6aG9BF9zZn+LaX7tl dDvW43GG6C+NssjAwMI60jq1HbqAJ+o0BcHl1ei+JC9IDyRZJi10MM6qA0NuS+V6H6r1ZvG5Qmwo hYnQ44eLrw08yWpdwzBi0pXIysA0pnA0YAc7WixEkpCbotPGtyXmMWmEyDeqWzAuQxHBMGHPfKgM SvEBQylA8u1uz7tktH1G/9j+sV7BLyeTpzU4ySaN05VnvkBl5gztt1oIB+WZJoN+SUVOFWvmwNR8 s3hBXAYvM2eRs8nGQmO4RWqIpJMMx+ySeADouD9LrBXM8tOTabY/6S0vn7d7xZTa9vlYqdHMKtX5 qeGY9NRCgySMaScpwaPFJzL3iA/x698zp1k22Asx11JNTlVbK+sI9ctMQt0842lkwQxcIx77+Tw6 ADmDaWSqbaniHE2iOtrdK2SeIVGmUDMmruJFdFEayPvPAnoI69z74AHIiXNWXqbpYmX+dOZfBVHw mZiF5UFJUQXLYTM4ilfCDlkG9xHMkIgcETWOpMaUepzjTzXrKH0DEl8o/F7Y5ZzT7V/5R1DdOmKx BgKnyNVkflfmqEYxAqOq9mlNo5I7JJfT9Hp4KLySiRUU+BNSmtjhfcR7VbnfPJo+pQdZl5kvW5Xj HwxdQtJiJFy1aRsb/chws3R7XHhHh0bamfVB4PL2cWhUfzZ2HOA0TXZOBCnKJcztHPyfea5XKAfI EpDEOkCXLNLyH83Kg2AAg8h8CEdVJ0LGP5mS+fwOfZw76ZHcmCWHnM3kd2ujuwqjeV4gbTeih4D2 BmETluHaOJHk6ddyZADvxXU0YZlT7E09R+rsr00tGf2195AyODt6zmtwddbp7uNQvbYFgmvLip+W Ey5/vaZw0TjxCy+Ik6LioasnTwZ774X9uxpowJAGAl7ByeXVUZMpNg9ycyMLkVWfQMqcNe2c9Uy0 nS2Hma8xdc6byOnkyM3wltbCa0WqYy0nV3mGTkk7RkFk4EgRYN257tjOSGu1jt4o3F3CptM5E2SY DPM4CGhuKTcdGRmCslAQPEoxipmvVBjNb3E53LVfo9j8yLxqRT8ChCsOvrKJqLh85NKAyJPlFskk W+xEXNAbNZIItPHGFbJiLirZrlG6ogv4Rl4DoYXZmFosmKKYLN/nKanlr65t/FBw3ac8taOQuh2b Ktl+c4RNMDs1qabjU+F+WCW+S8Yi3P/UATXGqYNMMyVFLGVPJ1n2CPZdK0S+2nwZ/hx6aHV97bte m+A2ncMkeN8W9+gLn7DRHm/GEpY54EQ6sBS378knQoOzUqNniSnhx1zbeCXKiIxBDiFliwoMcRox bxUuOu16B190Nd3hURb/Izno6hhCxSjS7T2IX0l756VpSOZrn8sMBXRCIhv5n25CBXgcYLI0zZ+t m1VK6gnEAKUk9UL/ivAFBUMfBvNSegyWfQjo8vasSRkbYIgFDfeYH9iyTqY9YNUGyu9gp0Oj2DER rv/rmgxebFEQf1RLRe0f/7Rh6UuaJhHXutkTdC7MvQ7fIEP+su/0fYTY0rufbiZ8wa0V949ItWe+ p06UgFBH2LnB5FdMxeldzJP5isSzi+Gi13n71eHl+XcWPfAZwIbAIZ2ICz91BUQOEIkQSgVSEhz9 ENJvhm772ibX1tFyRwq73IIcrFslxfQ4XWWYPH+x5+6O2hw0uumCc0tfwAlUBW0hAtnk/9LYRoi8 jlhT6VMyVIst668nlT3vQEJfpSOdkP0ji06w6DxT4rN2rlio4NndYLei+cl+fh5zXQ2Y4c4jFpz2 wa6n9JbzJ3akEgrRsIUxoDNjwpRJ6Y0sC+7NRPVMNNgCHKLDhno0Yq0dkJxw45xo6S1fykAvh99A xvNEsqKTvE5R8FdlaliqX/fgt/7iWnEm4adwwnXmY3Mf2PmJkwJJex+v5wHcwo/xjP62STC5GsTO gt7nN5DWokWL3ZRPefqBttH31MpkclDf+TwMZNv03mR7dkYwBQ+RVt8l3Vpso7raswoUTh6Y07xq eIY905rHQ64rU3v2bmaMui5B9dV1LPkWknqN061JqFmwCzJM8SCYlbzk3fIW6xBI26xWGQkQ5Ea2 CLB3tugm5gxWZBFuHv6DRh74J6MAMMVpZ1iAK3biFJjI7n2QuId1/tExv8es7dI/ZFXYy+OCXI0v HGuywCHmI1xpWCMhxUHYAehyEdXFSDoD8XeCgyhnF1ef60mSOK8zQZdlC4eiQBxtjf2wn/3GCDYR FzZRcFY6mkn/OvA6ilmlEBVZ3ckykQTtdWiePuPJnITtUdND5sbRbRvdtKfgLPCBfbppWo0864SF TIvuPuLqGSVIvYMfL3Fh3rjVPDF2v4uFjPavDuivwBABYfMejnps0aiGcvKoloCa+0pSHDkmfeM+ oJWlIfnKQbR3UR3z2Ay/7pMfdrG9u9bcHyE9ucfMdyCd+fmpOr5qduDxLLIgEQ2VDrEyFTdB12Z/ 81h6VbCGXC5rkk7V6RxLNSXtrSqPXnQDpNrpAY8BrEEMGCNsTKP916jGMyz14iABZn29xYkj0hSu PhrI2seORkGWXjzWoiATj6IcTk4b7+wUjATs/aP2J0pal87d5ROhL6KB4Pu6fx79s72COYL6rHLJ XfCrQ/PBRzlNtnWY2SsN+F9Ow3V6nk8Xa+ht9F1WNnveXn/h9DcgHZbmyXB67FFA8BMseJfMhiI8 SGO6QB/6Ocwuga228Skz4Guwzmag6Pa/6N5CE+eZQXf/61LVKwH13/YP3phwLA7Zcp/6OEUHjj0X MhUndcU224G0pZkAScYHY7izzZHxzGztQRzUJP50xSF+e2e4DpVQCGTmdXhPK3kdY4H04yWineoB uDsED1l6Df+9oZbw3rq9i8SQKocK9dllffp0n1Gx3g3UHTiTpqEnXqsTszPmabyX4nqjCel2FP/n BXmmO50PdItfvXwM1D+prSp64hOByJv7ALMCL4OOO5bIMmltlUJOuoqHosOo/yUlD8mW+RUnL2Yx Y0VB8osK2V73FpnUAOY04a8c4wcuqm0KfLo9TDd16GL4WMz7puzajcwjva3aRvAFU1zQdJkqIPNT LgCUxNduPd5QHbyl1vBEDhZQQcOWZ6ntzEl8tkfRU7e2DOL49p+Hv4mF5HNnz+cx1MIbeKbSrd31 mgG4OdJP8nHIRki1nr6Vgz8+DoS7qc1PJWAht9mtWQ+wE6uwUNETg9EmAnVsjeaWEOJGFVUk7ymB EdlHUZYL13oGJTt/lDukwakcPl/V5rNi2rOGzz3Lo5r1xZ4UWkkFTniT1oLo068Di92Mt8Of4/Jv Q93AmcHAy1xMhW5E56nkfO0D8kV3luAvQuDuGy8Oxs80X/7O3a7YM5POl6HZk6Yq83GJWLHMpKfR 3/t4X8+Bk99CbcMVCrEwPpl4Ew5FcSxyGLUqrT9a2XxhENHfJhwjWNwM8yWlev/jEHH9lqU4dFzt tnt0Km5UsphsJcQsCETy+lXfTknZuxw9UaEVqq9O/8y4EVsNJvkk/UpNODaf5tMx80u0rrYLz2Db ZlEHbBLRZnFD5qXhKwDKOyJ174kk3pcWn+Qrc3xjIlTarmeq5x4x5hR5eCnFtL113pzjVHJD/Pne kG/E+xLXnXlh/zYq/TEjQO8A72Ex2Wiv/LsniYenWCwfH/IF3NJ15icubv5sRfrLiHQi04t+kcuV tD4/sinv4Hl5pcomtz9ohI21mjvfuKVIXuiPgXaiBsi3bVdzMofbR0WyZ+LSJK8vSkNvrBpoHtzk ZHk+oXz7y8yLhXiYTL+bGentPAwt47fbQMrF38FmtqEMOX63LVA/3BunqJItKX0kj+/Wh5Js/LwO VM0SZzeNwEyLUxuNmYkWIVj+yB5w0i3qvU8UI7YT3+Ih/IyoKnCu3gZcvJTSJV5/ZVaTZ6MDICK7 5w1K/n1BNpnIpbZze6aPBYcG3d5wtCzCh50PpAXhiAOqUHNhSTsHB7u1CoKFJi5C0Aq3cJDpI67c wnCwN/3T1UG661d5oimkc+UAYQzYKaMXT5ddIhH47FPeheU9e4rh1weeLwKPLazmRuWkMPOTjy+n +OBTlvjmxZFoXf2hq4eb90x9k1p5Gw3JPZgjHhLgycxmrtuYYb5OMBLg4kMkNkCsl8LMMWPUE9/v Yw2AqqnxK2bzJfxudaHoaboMIhG4b94yX4T7bZ7W3gj+K7Ovum+9z96wjfY9jp59hO8TAHp/+b/Z HCLS6PhjOVjPFPi5/NntGUlOJdQP+aSmrv+I+9G7gZcwdpuu7uqTHXyfmnk66Yn0g2u2KoXTQ6TH BNnPSPQCusYmjKIAMJRWEVOSS+O0tuMIcUyZU9fWar2NZmGt/obtXVCpiPZxmvXrfAaQ+T8WJjdL KODD7ox9kXmTZI5mdRK+x8G+af7SaAtfuR8aJgX82/B+Fm/uU7C1wKaWOwc8GNGuJW6NYkj/lKam FF3/U9y2+8g09Y3BNa6foJxfuE+HG2K+u9Lxze5/Q/IcPSx4GtIDKJd0MYxAvZMyAo8cO8Jr7cXG RA1hmugckBvz+f4Bs4cZOJDhD2u0PE+MLuS9ILDFBzVv2vEmkHC+7pq3guJDD6mhCF9ceGJgUyzt HVYN3dGiQSm4eVR/dtqOQgCjW4LspdXkJHGqZZfRlm7HzJArJlNvyD13CRabQzUagqWEYV+93Nhb vSElSpOb6jH+6pfybasXdycKgZyFwOzXcA66PFjqHxgHOU+iNPGcxhTBCMZH++woAwxZIVhJxx16 HPEZdW93yP1UMZgSOULsB+wTWTBUGUpYru9A1WaKWGj6mi5/E6QHwPhAvnI7WOFAN5DajvgiM8qY TS43GL5huE4l7gD/JTeO9Zaxlw7ty49PWMZiX6jhucOZH4wHb1JbPIu8mJ1/JQmdOMmKFUM/EtM/ MDthB0lLFETHiKvAnQ9guEdUztQD3adxunlKDWBeh86rPt4A+atYKPA4ceTR83e36Ch5rw7QQ3++ Jfc6M7oJ5JCGXfF53nNs+Mg7XMi6zBmSt39PsJF5EhIaz9q64LoTrVdDXWXAQzI71bAzCvBW0S9a kMrwn/LN5TclG8ETxOMoJhIhkxD9+9AU5NxKU2tQtH102+5dO6FIyV/8Lj1Elig1fxtNvZUnRBjY Llnsm8U7mN6OBXGTt5UTMFvvmvedeCeVOHwvDiOi2Ebtb/2b5es6xzqpnPvu/N3DxE89TgSnQUm4 JJbeKfjximcciNBBUwtESo+XM3M6z8dZKLC1IvQRwNUfRMOtmXsqQcwPKW7oz57QaHdQgN56lsjJ R5/vsXZoPYhfCgp2yFj0s9837PVrm/g0NbRJQy7LtwZX5bKlvoTRANinERSe6/Ip83yIRQFf3wpw e3s9gyXrJxIghWwu8+Wj9wjhUmbtBwJ3aeE6J4OAyct22Pfjn1OffwJGtymMjQPMF85tn6crYelo 7/03TsoevlYLDJPxYmqDXyQbG2jjVxjpMdgGHiRnCEhytPdVHHESY//joDF4Gj8pvxJuM4jRqHoU TdRkEV7GCWm347os9G7AdgdEkp28KaanGk/xrobLAJ3QCFStubXvF2k+PuUQDBk2esb/dKhsAPh1 mVokpi3mP2B3A3hC3LDCyxdSQEelZsAT3WRjQFo50SmpXXF+iHyirkaWTr9NHy5ITbYIvx4uoIaM 93ZN412/wJ6adoZGUe5SRgtLUWcSQAuGoA9ZNal6o3Vz4IMBRo9N8PhnOtzq5iXJtOSdPxj8/OEQ XBhWTjqCqayApczA1cnApBIYUqt6sLX0snkcbK7pVgi2fujAt8waYu0Rga9jy1RgC96J1J3nefkn cbcITGAM9yI1HLvZNW3/cIeq+We2tR+91TZKqOCzsniwfh2s3l5reiIDrC8tlsqLj1RqxsGatpHB jGGshdfTnW6SH4l0D2Hihwpp+zR6sjDlMeenLG8UTf5eM6N6PMm/qffg8nJq8sVTsFPymJpzhQFf ERWdCC37OWg/u84hVc8IxBPBhR4p70ytr9NIelZrT94sngm5ysd2BFT29M3RqW9Hy18aOTozGkYT ySNb3ZNft9FFk3ttV95tZwrF3X6V9/oziAzM1dfSyNsLsdiK0TPbEyovo14LjGcqr2lxRNzFEryh krdkPWYtgZFrWxAdZFRMLDzvubsoqvamWE52Dw0Pro3DIxLvm7hZQOK7PhRbHhuJHpFfhGcAb3cQ zo/qwwTMB4cGZAr7mK7heZsrIDmRY8Gof+dUKwrAEEJZqaRynzwxgfL9jnrd+AwcLnl13CyZsN/V r8FIh8m4MCeca1O6crd8wM3PbeyfPjEKkXarHu8valwq5Xe3DoqD/YtTQkFHJYh+sKXbwRPq2ANa v4e9Jx/l8KZFrjio0U2HMWUQWdSg6RqOJrTvb3trEeL3iPXwJmEjyxJ4is3F/Cz/nzq0eslwxWIi 9wLumPwrj2F2xJTyfEtxtQDijPKyjvdWZ8mEl0Y5DkUmjMdxpmzpvkFoe3kq5no15Ds/42M3lrJk //KAC8aS22LMIyGRJ0ZnoqR+UGvztSFSJN00EuMWH/HWydnMvK9KQItpfeQHBGNhZtx0k93BwiLW MBXnxTEGOJEi44M/PdPi2eSSSeJzza3uEc3NcwB0kqoRaD0+rS4NGZsGWEPb945NB9/c8/j1/opn HZ2keRn5MiQvWtoVqoN7zpWgeFM8OvwxyyfcMkVjtIg9sfvdmWYlo0IVRSuxSeao5GmMpuu5DSpY R1osSlxXGM4ZDO/4da14IbdgEG32bDLaAvaaqGIBtuZ8nsw0BPvqPng0G+KxW6u068f6W0N2DbEE 0e7QOLD5y8J8ePOazS4yPQk733y3LEwAXcI2keKaIY1jWr+PaiK0NfFGRE983TwHESOUX0tzAKBF RrFvqgkMJFufGy7FsFAuy/3PM94cjMNcuxIgw2BLH+vRZ2VodRlOjhuEXcD6XAJrkjcbGE6OWOWo UCDOwOOBlO5rRauxcWvL2gfhtu1xAQ4StQILMoktcarq0eBBhGeC3HibEBWJgrIGqRBwcoA+MLpW 2VbcUdUiUHNDrXdXUXBLMaJLThIY+qoykGxUmkVz1jA0l9+ErcOh4DyU4EOT5QSfWmkAbfU2UDK7 0IQujnx1oDcOPcx78/VepQ79qk1b8ClNaMhvS5fwe5hYTh3/RVAflSf/NcfmS+wHck47qEeuzQlY KMPyh1+TghMicGUA9PlNKxiOXeECB7G4CCSDwCcKMEOg6Fdt9iTeL+nGi3nCNja68/rCiU7LDW6b Iil7Dpdtt0Q++rtdeGJI7d/o5i3BdiuCELMkWyiZH5gYhuG5+7H7uiHMttjyXOthh+OpZmNmuYl0 LCm7qcsiY8SOINIfKAQFWHlN3bxs6ayDhnZx1dpzH2XRXmO8YODSCjhtswi7Hb3f0siOJmAl9XF7 LfRNbCqOsZNiAr3nA5g6H4ySNAVUim4jBBHiidmlcXPAV+CcUSycJaSFnUFkyvuPz/UnkREcJ0Ep uePci9FqOclAVusUyjrlid3vcyGP5QlbuVjUZMb0GdrHiP8UWlmxuxTYVU2RdjZtL7+I3vKPgaPV uGeQ6Cotc2F/+I7IKNM1WLcCUa0WqtenCNWH9klUY4v2BuH+HFFUQb6J1kuD8KBF35dGYFWJmc/P CwbZpyVlN+Pqw07Wze/LUEtAFSVwI5R1AB1FnqmfMOStBKkbs2oyfgj19wWdV3QimxbW3pTJ2v8c BfNA7ePOGfbUUKcwcOZXvwsec5eAoxtEJq5BjLa6tGsSwky/Kz1T2wPCMvUuc39zLaB0PSkllcJP bK7CdV9UcdqSysRwu2dSjniLlh0XaXkP+XHfzs/vzb2K7gQcAcNErXydpP0Zo8c2VsKs2HSYo5jN j++gHeIoycza/LRx3z/bYmavUwCMm/cG6yMLh48jjLJBh6gGKPPLrSU7FrNu4DyNp0UEPLoaoRJz XYMU2gZF+8kOnGVV03T/EkyVVvn8q2RbTDWgSpmdtAnQCuFdfI6lg5RKm1mitsGgOLURBpUBDsx4 PRKpATiEaHqTgRN1ebS7mcyeINgZfi+SxGWfzYJDW+uWbXSJftX7c35lHi5HL8OgxMLui7MvOATR Uws095N5kPc6B30PanePTlIDv2n+P8URTbljpVE8bn1bQiVaJJyehvnoIYhRjNa82alzBYAR04TO dXFe/YbgvomkQrokjdAgJqdVf2yucgcAURrLUcGfuu97co9nF9/c3Jy2aTPUg9sKumv6tYygAQ3/ dnfwyJqVM3LHmfuXQ+H7+RUjw9tPkWXCdFBPQCFfQd8g+0VkJc5GeOtUAz8fYpgFiYlhPbbwXg88 C9dn3ssZfSv5NHQMgRp7ttWEYqi3tqCu4Q6zy2mLRTPdeXDfcsDsYC+nNIMF1VoqPA/cUKpoB9i+ PEc+HLZT62MvF+qCJYL05g110oPVmAcVpHRn1LnSFTnNSf8Y7hfK5oWnYUj96wyEbS65rWrY51pv Aa5y7nBVQP+1OCA4a757XOT9c+f96vMkNNTZQQQYi4ETtp/WmwTCiXx+jOSIq1XK4YMXZolqy9Ym 0KMZspwmsoySGgzj7LFIuS9ZUlBbv06Uj15dUN4UaL8lrrP0H1I6azUCFH/TDAcM4BSuELaDd9nK edl6nbTnpzVmbeEu5+urrgj9yHcU5QYtDWKRSUe70yqfYgVXNb8tyc7htB4rHDqZBcMSXoo+oF4z d02MqkW6DIwsEp2LLsMztAb2UcOGcHyCkp//wqrH81NeHOqUraWBcqsYYDX6fsuWSdAmk2zOpn8m +g6tm2fM2PJ8Nl8eXkhZyKAzAmmIiZWTG8LkwvJA0vIo8RyN6DN0Dl2fMTHLuwjTuzVClplJf5P4 1341UgKJIVFdgnezczMQs9QuS2vAOSfe3S+cqWwuuuu2Xo5p1aQVL0NmmFPk4E69SCIvwu9zgOKG z/xieI6IutrlQrO18hygpAmDDpdLMeaUC2agUfUvSrH5M2lRKHtFOOSv/6ng+jrGO2yfdwvzHCe8 ksXl/E8es9mDMrkeHPrmqHh8H117h2yTJVlbkycciITDUmzkszfaIrpoUeG0gGBJansIYGaKpVUn 9pgCrJTd6vsZSXmkAifpHozSK91j2OtRmYp/d8psCq/lQTTklT13DFVSN9/mEhSO6XwOQlTHk+8A ndz7Qko4G10TBOXId9Yy5Asu1sLEHyNvhbNUNfEniV2LBt2sBupnptsK+lajMa5Nqf4HvdHkPFK6 WE6N8yzNZjg4tmv2gK+I5EggxKcSZxqUBohh/vPN0Sc008IzurlZH2+2eOXJ/Ilsx9/+e9wiocQK BwI/QL8sZZWmQBCHYlqFhunNsiVNShLpYr7OrxzYvAogEIYBL7x2MwE9i7nzMosZrZhqm9jG2EHs kfxjQlBYdfFnfbsRXpu4B71QK9r0xH1+9oquqM5QNZ78XQKKwnrL6PoTxk2z1Z9a2StkV/Fcd8xB WXWX8L426el/xPDV0dtLfpxmm7vEVdu+1O8hcA3KLg2Ewb1mjtzyOea0xtL+bpzFC1vSbd35Bd30 jI0yDyZvcBKuCADUErH5Nec3v4w1xUVCdko6Ij5IUdeGUiPgeZ99euDHk4CVVdDfjG/Z8MbOqjBq 8+XLkcdglbeu/EWvRQopoTdcWrPK+6LC1r/p9RSYbm8EmZysTMTrJ7RC8CMjwS9IOM9qUSJ6jpcD E/pq+X7fTVYCJqilM+CeZCj76hj7F7IoOvwi0F1xWFrFD3Jtu9Ohc/h7NiNDE9Gr8YsleWFBByL/ qtZ5bZPGrbyeRH3j/av4nvS9raBnEuKHIJTdTYH7Wq15LJpNhnikVGcrqL9sTQ5dDV3vkqH6AW3K Yy+rmNX/bXPtsTQR+Y9Pbipau0B5fDM6gfR7fJoRVE5WLWcCtYd9HyuEXWi3J8ClEWtLuR51Je1N EGMCrFe5Awh0Rm+nZaKxm/0bofthZE6AdvgGTfB+oXCf1ElhP9LaaELF4TW+uagLenxdf8l9fP0n qhrJWTKcyNk3oprT7EGOv6dHOGFQxY7HscGqiKpZmNqqRr+ntlLEMoVgj4Oqlz9K00F/S3RIxu17 uoCXabeLQD3mRG9smxgLE4+jkNU3Gv2QZxV7S32GLf337K5xGdpWrwJCMpy7RA9GJh5dJqte7fm7 3AVR/8H+uHVz7Zdj4MHokHCXg6rFcSM/CKLfZVdgQIuT+Kmpeje7/A99BJOqX5TXWDRljJPOCimn ZHDXiyXLAsHu8RPGL3yJLU1MwF/D0R966fGGuxhYWT2NHAcBBzwLZtUENFPMlk9e+Kw0em4T0gQb S/K0S9I9959HXN5NoBqJzi93ADafH48OW3vDmpnhTM5EjzUfNc3Tt8zItcNQLSV7apMjxjOG1fV0 ZA7TMLtF9QzqU4DmeP/ESN/l9N/P+GquHAzXlSueoVa8yRFy1auEuMtrO9tIf6eN76oXLHhBzxzr dSH5AUqWunxqNmWVLHOlL+F1fVdhNUv0J70u1maZlcaqFEwdNJCULi3DV4L+JS0djSTIDPSDilO5 1MsyxVzm9uXcoB0hC6HsOOA5AG7blEEwjmdhcaTOEaa/f5p9E2nNWRMP2mu4TfdsGx7WBgH4L6+V NiQOHEZ804hPceoWRBCBREXusyRd4HVPT7glQGwrLTPAF4bRzK3ncFXSkBs2JLrlGInZCrwFTk5F YbEEtHJlOnGUpThS5HId8ksrkBslDHmb6c3x4s2GsH2etaSsDTBKOb0HXUIHrcO/IIEjbm364ozt eCkhUylThsi55rMzbmcXEmx6zA4R5dgZwLaaIwKt+9s5D2txShxv3ZLkl8R5Zssy2QdQJhP7TMAx vI/ST6LZ9/+ahC04ScOx5FbNGE9x3MpSXVTs9DmyMjEqqdbMh0sF+t3Sx+4lrGWXJAu57HnIn/Dq etrPHISwn2GEcBMalPdRw/R92WtBI3ClQlc3Ppqivk0bgSK5B8IRpoohe6SANkBQBEbCc+m+Gwfy 8P/vOsdl0dQuFTyVpTElF27uYShTyph2Og71bcVrd7A1KEgxsJyR34VwsG4PFg+1IFNEPuAJFNbd KepatSjyjzCoHzjLDDSayNFSGgexI6ibKcovcv1Q/XQceRzqepRszEZMmkwGV9SiiTSc6qFasPmL BFvF `protect end_protected
gpl-2.0
49f991fad6609d6dbe686f02291aafbb
0.941209
1.8564
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/axi_utils_v2_0/17fb2e9a/hdl/axi_utils_v2_0_vh_rfs.vhd
2
291,505
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block h5SXfAcCaCFAHj5VCeJlYSTnTiCyHdIc0EfmfWeKgUsXaLVt5kv7HqosmR5r+YhwYMehA+IY/HTv k5qBMJe4LQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dsC+c4ibPdJ03UW35RnO0DSsHC4YcJyg/3fAz5cAHolzq8KOO+B8XU5K2eDOqzwQ7+4Q+imA/Vo+ a45ekR/j924T/6VjQvWeU9SNaKuwls2pRB3K42A8it3vrvj1/CZx+VMznriwXpGD3BVY8VbDp6IW 2/v5xWaF+sjQdKRQ3TU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CwUPZ5gRaS2O1RNaIMHnENkDZV/ZnrSvUidgi7Uoh2f5g7DeSGSSdjmD75moS3QN5RLFRn3838Es /hXtw92H8n7anFx5iIIr1FBR8DC7R8J7FYqb7IA6j5u1mkre3CfNBj8REuGNuigki9n1GjcXuaBk of9JMkJFIyqwGYdWZ0El51UJFc+dHUeyaIehpR3vUJycNkxM+o+xSiLgC/xoU+p0O0DbyZhAqQHV hsBWi9/3Yr/0SeDDosUVw9A22DTi0/EsIKd9FvWSe+hOWNavpw7M+WCDmkXw4heN2aChMxTgvRtE NVIbAzfjrW3jB8sU9AlgUdPGGXqP0YVKF8JOFw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hK2tOSYh6gh1RUcv3//DcLzoQinTbN+iZTokp/i649ZOM2LgW4Yecyz9fAAkOIey/m5PqEhivMoX ZLHSx8KgCitBhlyfuzKnZi0+JismE/HXplwHMb06VNUA0Ik994CEzK8T558shLKb/Gr5RMR+KYZQ 2FMx18sn2Wvd25q9Zwk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EiVDPIxuZQNql7u8bycybX6jcMm7/MiRZc9TTtXvzcUqCuhAmyihozKQRWdquk5AmuxOzgda8zVj JOo0JH7gvITfb6/tWSZE/eXsPmtRBuMFnmopYf1zzSc5mpVQyb89xqEPtmOgj4PSXFUt3aTBc3Em oaIbV9mFDtE9oOjNZwo/3dKYrH2IPQdlTs0VZzK/P6EoTWOQqFaMhtniS3mBzzO5Sy3TvNli/IOW bu3PgeAvGYLeC0GsMM+MfU7DLemSiToDKV8WsgkBMpXBwPFvLAFtG9N67sd/mHNP6xP2CDQTcPZX Vax5hxYCSJJHwsKNdfgEiqDg5wJXtm85qriuCw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214048) `protect data_block 5Pmk6k1bLdPHwoDu+HGtl9iZej09D1KdZuYc/jPAxpyX+lN0syyVKs3MSWAGZUnpB3T8SGgev10z /3XNQX4izlVK9H8k/qu9yESzZqcNyx87sKdbMLP5YTG6mF6wWIqh5cpdMNIMLOygEnDFKbRWDhn/ /alEoKWYqB72/WEA9uo4DKldV1u30S0dmxnjmdFS53GTvwRkOlCXG5ImocH/cIuctJOvI8SJp/ws DFJdpy2bTiNEIvfZ4S934wKc7eCYeWJnu0f8esV4z/GcrVaG/Ro0D2MQuaHNxi+sdYbSTbVt2pl7 IVKluQckk02xCyPsUAP7HHuuUpaziA5Cy9UlmogPTjdU+wG/Xftee/U1a0mAC16ANBwZ9RHBth0s Yf1DeNP5WJzikqlDXMKFEV0ZPTYbxCGLLJwHlf/h/y0Csj2rY4PD1ZFp5MYkE30v/3YcKxR15L3I dPDnY1OfxzXdO7DXfXHqqhlMkBVpARVEv3G4rX21auJDnvnmiE+Eb25EfF3nEGaouZ6j6WDNxrW1 ejLD9uSu9qZu3x7qBLj+ePZgdQ6gTt3l7x7QyvQAR5OmDGoH4oy2GYT8FAH34K4cqysOqnVSGkXm UoI7jj4exuzQYUa6ywuZnve1bKW9kqHCVsDyHgnHgdbD+nMj0aMTcWtjJ3tTq+9Ih6FIgIUherGf LEsiwPffal3dMZtJ1E1JFWQuKXeV/BhBAmYjvfK0ra2CZD2fTDoxmYIFFy4JWOSlUD3LvcjIICJM DEJqObN75vt6TpWU8AsE7dVsGZflwF0BB1GwhhKYjqRGcnKl35BWezy+xBSi7NmBVI4epeIc9pc5 lPmc36jablponE8VpsH39IDvhySX/bhxJulq1rBqPe6AU3A9O+98BmdsK7ldYdVjEfMb252qT0kJ FRnps09PNDDxUI6SnSLwUoRNL+R4C5SIUc0wGDEBjg5RmP5u5BPnlS8ZICoE5io8n3L0mft0jiIr bgIDwxPz1DB0JZ9H3NY27Rxu0w9Dc9THbQiTVUn5VwYIP9oPs+C8P9pW/t92X1ap0aGYaibjyipM iiN2tcZU9d1HdFag3fJ7JDDMfYPxW7LfIi/isBj/CBUYIBGpt36AKegDo8M6pb3btAaX4LYLEz0Z H1wX7isVBlaTTWOFIX9bsqtAnfiG/fUX2ZKt8FVa97/PYBMKqBhXj0jiaZrUs/lt6D8dNshJZIRJ ylK1WLubXfJTzg4hb5uMQMKg3VCPDW2mEgHjc28AoZGu5QNKE06abbBDmK8siHO3H9d00TCv+WZW HT0HpLdaDeJW1/6wD1Hixq0XpK+x3K71cPR+EwMsLSbiTWCuP5HWES/HHBsj3cf6kANBacgm5YYj +/0lBDrHFK87cwQ7ZMeU6vU0D7j2+g8J4i34tGEo09UilRsOxFiw9IagkiCIp2v5Dvc0IVlIukzC BIO7Kwt90Ibxav15GoVLxj+hm7mlMwiOnLQkD0cUzFrCTi5xWECmf2b+ZkcMwLEJLR3X2JqpaTGE KinsJs04IBMyxDUPLK/7FBi/kjG2u1DaZxylY9mhHNuwEtkn16TYfwEDplkah/3/yBLRkFfRD2R+ Y3KVy8P3FXnzWVhQUI2QuclhM9ZnqSWamoWUmyUtckT0NUvfAEZycfojQ9YRiwYPIpBoMQ2hl2xh KS95VuqF2VdTZ3LVBkboA6Soj8+KzW5vS1vV7ps/AWyeTluFIkjydyFQY+PNVNYZ9TXmLcaa0fGg M9Lb0zLbwFbLIvJsIZL1busfd95g+eS1w7Yh/maZ0d5viNd4u73qnbLlCVDd0iZx4yLTT3PkrfXI TTSOdW06SGaWjPJ+YOBhpde1SsAZAHaFeDSZrD3/MPWcr/w1ySs/Avcrux4llHVeL8uHffQd+s4v 5OJph9JxVBD2plRxpzjsLNXvvRzG/xkGb8sDBZ/SfdNzvmO4J4yPnm6yIhmgJy/HNRQoVShspF3p ncpDwHAqPI1JGLj8WxOGvTRwRgp99s0XRwv3TmolE7stiAdq4uS2zUPIoQ4Sq8+sYomR3PJBDUs/ dc61zIKxLFH92oMgO3MCL9qsnMB9Wf5aIbUomfgbDvwIRYI0u2vvb52D5UitWN+BkjP2pfqEIAuX 9+6Mk0t5pDWo2JCH8jmwj+aVmuCasK7hxmWlaXiN3t4ir6/eqYadpqg4Hkllgit+02XA2gsALbFn 81TcPIE0u+FE3LvCcmVeyzeLSPLAArNNmleBQ/07piv8L5dMYRyw7JKUUa4H5JjQIQRr0AugCD28 EailgKv/MYeusn4s1PA+lBuD+2B2v+866O2kT52hW8g9k0RxSsCz8qCDuR/XF+NBVPo7v96wXxdu MFMliAfOF8VAEOjX25zu8Tx1WUy9r1X8gJuxh+8r78BT2DJCqviXQ4OUe+sKHXTHj0lzqZgzWHM1 uY+pwTLICrQWgEMgFS9yt+6HWlXv6oODr71C+STYuUy5quh5R8vBCkM1mo1APCc3T4RN0bkOZM0F cLc/3+tRCwsrwNTNn/W/xGJ8VzBaGuKmX+5Rc6k1PGjDt+Zk5lovYxdqFeT7HaA5QnaDnkRtQwnO iPSWxxKbmlB58rxjDORfEuf+j5FizC55tWq/UKgyyiTvJWVIBBE0mlnx3UsvXMNahKDXtiOfxxtu bGzcA3u11rk0iDdjpbwpsi946uKHsirKu3P7vQTYKYwQI0bfWYRxrqs9GOJg8qKD6w5dFZeufMTd k554R05ed3vpJJpAhxAYP3ZjEza+eFzJZFCpZ4mFDvX762uWpnuVt3/Fvp9EhWHAyiHh5TDBvOil bydWT69KUAYPxzJK+wVH5U7CRi8TX5eHLz97BQnkyGDsT37A/u97ey8SyfXE0rkmcjFQ/mgNIT5W opaCq1sZ9jcVN9QXb6fPkj3Sor0F1+SHo7wm/aYzV3Duxu+Xi2EAwllLofzgPDu+r0aLPnbE1MyC p5Nmdq9YMGWwAei3bMbSXdH3/+l3LAWBeZ+clhln0r4JCkFo8bzWxL352XuflxQSv4VRHMO0MgZN hnwsTgSpmTo45dhYsh7syF+uTc49TyxWoDmDrUwm5CREthORRVyi2nTBYGwfWWyexyr9BalHJYpZ prynNHb+TWjIGYUwaTpIBNYCMwayycj974Cb3zXUxY1QI6bqVbJ3YnVj8v+ByqPBs5ps2xCQ0W2V hKo+cg6LTLq6VecOFPCyeOlnQQb2xI7LCeUyCF2cdexhNR8flEJuC6PFaxiiSY21qCHBhvaF4g2q MHknvdGLes2lgy7PZzidUyHR3neNHzQbgnY+6yvXELOWydV7AtjpeR5iPBVrr6KuQOimfRCy4ZUW 9gWz5mMy1Kgaa4h+fp7t0I9dLpGV9asuUIGRWj0aeA1X/+x1HgqBE0s6rLCzlxuRdSqDWBHkJ9aX K+PRSeSRsgcDlGiNgIOK9y2ULnFYFKoNoexx9u9945osKG25CTA30XucISPMR6wgb6hZVXSZBXoU 1Z66ectrOwdtbdSEf3qlS9QGdwz9seC3WmUpjz+1Djvp3Q4n9eU/U1SaGVU/eEftmG4rBX1x7MHn ttG38k72cF/lq0WHperp5h9mE+lleCvKqXh8OrV23NW/cSGLQb3JMyg9EGBJmNssEGy0Z46PRVm6 8RXCVTmAvckq32sZklWld21W1a/HK6AhfIR0yW30YeCb6E0YqLc0PtcdZEXd7gnN91rke8FOuL6l hRKOgbCFY51CMZRG/oEyLtXa6vqDs6hmWiJr+M64kmUggCs9dMqtXElSxr0n52bjU6lYrcIGxgxR 5BiScQ4iLwi73vrvs7+XFjlWF+myk7wqFc8QaR4pW7iDVT2meimuugUkfIbWSUKhUU2cyPB7M5Qj h0JOmBPgtYQoCx2TZy+IraMmK2UMnvsG8JM8da1GOdJwRlxwZbsNC+ZPHaZ2P7O4BDM1So8RfvZl riEl2QcODJhRsV+c0TjioONKIkmoys8fXqbFHeJysCkP+93Zcydz80tm44dd56iiCB3rlD5NvfkP kYitjeDc3FkZddS6jSKWU/EHGPgXXfObQY9Lh2u14TEw+lW+ac9OQc8/zC0cW56UJeSBy25zOmHK Pmd3jbF01q/6H3U9DZn1Kl78cBQQzL1iWclDQP9fC/6CsiA1TUOIoumpq1mQSb/tDkdsJ2gtnOPV yVY0qVHWU+OpgWx7uGx5pER6EMl91qHOGXcnc9w0ANNRoikhmjaRNa9p2KHUzZLYvmAbq6sdo9b3 teOc1ppvIMMEdf1OnW4B222wRUxuH0VDeJMVG+emPcu8LtyoMQ7AhZOP3nbKA8qZNUHe97GlMRlA z5YM6beaZtbnx1Ofndz/f+3TaIikG47/Tzofj2ZxNOC9Ssfz5cFrXnOyJX7n4Na4gJQVC86GVpd1 QH6rp1Zg0V+uPoDdecuyVL+xFxziCthRGrK/8/XITMkUfjZSSqpcWWJfd3+mDeTXOk2jdRkFXhpp U5ZXt4m6zgk18d2wMRhJF7pUX0Tkvp545OmkjJbjF2aVFGN9TmX3xbki5g4Xu+pwL0VxIKHL5tyn hKpLyzKsBZikMQe810tOd9aYkmZ6qfWGcXdHE1WmRqxDXQEIW3svCCYGHCNVOX1+/I6DzGv32PMM yNnROyLcmulpHPFHXIKoxSgY5sXfUI3AuIpwha6pjH6srQpdzb7b/4UwUOf/MOECJoHIYajU6fk7 DlFU78e0mzQb4+/KAUoTRS4m3Iy9MxdTEcBXdWx0VJTRueuR/UP8m6TrEQ5RGgC/VxLkOCBqR2T/ DhokaRIkvIHdGt0i4wHgXdnGdA9hSRKxskDM7bsBtX+6COnIRwQbM3T1oNQ8Bm5H70Mq8IVS+8cs 7O2hJ5+E5umpSkZVuHeBgRRqjf26YbrvteFUsFzHLpBAJMDFYMA3sEEifMzQLeecQeU27H1CvOIZ jke6LPJoRktFoPjXKScQ86V4BAlZZ+bEzaXlx75q6mgnttNy5eIzyMGeTasx/rapaFCAmoVHJPZa +sOEn1FWZM66K8bi/008qHYWiYwcQW4/yEPrklVaaePyE46kLOi7idLWB0oKX90H1UyTnBey5FH6 tq5vGIiu1Gt+3vFzfLNycGYQkxVLSMwgITiRO801rzox0TZev3ZyRo0gmS0VHxA1siVXu6F9sPNE NluKMam8NJyfA5lareHl2YFfEvx76+7LD6MbPXn0YqtCYeo3JHY86zYt+F2X5AMVQ6m/iC/yZ8Lk Fx3dQqnfo3UhgN/CxgmR5W86GrdbwC5OleK+xzbBL5Zcl/Ww4HmakO60YlORbFkS1TQNc9OTYpfN 6RV9ugyeXSSUJdzh0w54B2NHgRFaEcEvuQSSYl+08kgUeqTUSlzM+9MDlLfv8jwtx7Z/PQejUJNn OSOsZdu0s/tcKg6E4wSvwN59T4x2KhEFkf3/XM8ToPzPHhMhhSric8zH6gr//XFePIof7o6DufCt L6xZ3DfLWQVxpQoHtJ+wXg+xEsEdAsf2Q1h682dsTNnvN6L4p/Mpn1VDucYqfH7iuTyxYfXoAYyu bRY+KhylGEwu8mkcgBZn49CneH5XiW+qfm3GiReRbLNcnkJD2o0OddTMNWVLoEtfe2T6lJ7ZcDpi hR8e/1BX0MjXbOZtdk8gQXAfmMJDujOI01p8VgRyoKTyefk9fOUWoPRXrYXqmt33HFziaxQXbev1 0V2Ygpr6tyCiVRfDY58FjRpvndDqMqLruVLoNfJG0v2WeEzZ1sCGMk8AQXfkEPWJ6vdpq9tYfUUG Jt6vJ0TTQUQD9ohvryy7CZY6B0IqNaemfnnCJOfN/YcrzP+LknxH+J2oKv5GIxRCdW9afqZuYZ19 EgflITbAJ9uVP9k96gOdFF9mRN3/7uXMplLZ2nuVRYC32NxPTpAS2diVGkxG5D4CuZjgmd+lOI/6 2JJaYYg/Tdbg8g8OkLrFVNr5+i4HffyPjFhRC8rhqY8x+287RZUpgsd44TwUGCwZm0evRLu/sfzP oKXs3+KQRYeYjrWFYupZreSRJ////YlsKxaneORDlCbS7Ggzw3DZJEWUD15U4BwIlKNquOmcLTSK IHdnRNJ37rjizNLHrFEVazNWNLWszOz7KEhyLAbfi86IrxOSVg7LTlLU5lvrAJR3y+7dELCvRiVs Z+s72wFn4pACxBx8b9Ug/DdIutoAejcLavhnPzAvN5wPDEQ7v7VgfF4SmCSCmTJrzM/NoDyYLDTj wuRsttGRt71gVGpO0/BepRVEmh8Xhwpc3FsuIaGptMXR5k9saW66vBDo1Pi7hSd28zOwOA23aRf5 7PoQOjmk7lLSR7xY0XKtU9RKJGN+JPrtwsaZxrCaAODjWExCyMIZdOnCulX3AzHSwrQnDcPNGjxv pNdiVjYJUBFFQE8rA+15g59vkgOyUJ6WZYKutr9nU6EWEnlxCmOM77O8mxjnwob8+bLoqMGtiko+ /WG3G7rLdPXua0mssehwgJ7ugqPjy2w30tW/rBTznX/oV4GuVR5sAiw2EHNMdkwh6zJv2Fv5MyrL BL72mMalbfEYoKw8hW1QAwceZP3ShgmSg0qAiKfVcePLFhb2TAooV2O/E2c7ok7FB2CJtPbUGtVb EbRByjDeTfrYg1FQIR6fZ/Lmoy44O5OsYNA1fpImBC9tSZ+dofaRJwD8rkex7vJu9KcXcK7GpWRv W7s4eSiLm7FBHFdRY8GrrQPgRtjzGxQoVRkU/e6wQ/CePHMdD4btNR8P8MEp0ZiC0VFUUAYxWkQy vzg4OYbN985nTIN9eHjIPzLpufAc0fPrg9O9jNS116GcgUPy19g1i5KraLLo6hRZcNBgUlJda+gI FAfr4GfR4PFBXhU3xyAQx5F2ws92YfGIKTwHSwHgQB9miLsVQg+cwdA/7+Hea6gIWqoExpSBJzKL rSop/64ge7xGNE/XooBYdSzMRW3jn4Z/MI+TqZPSWkIn0WQvFIZ5pZWXZVqGHNmLorf1O+UxgRP9 qaNQXRkR1zVzE6IzdTMmKBM74ivoIIwbhIC0B5ihC3bLQL8T4CoR4fN2S0OqcDMc9UJsg8vA3t2I qtt1ox9s5RdL4Ws/yH/Ws3UvhO7uUn4oeE9cJ7CMLTKQjmhjIY4ohACON92jZeUDP/a6Y8laBIoL I6Fl3CMLmq05E8cnk1jz3XoyAWkPPwGZZiiboN5SHFsyG3cyv2M/xk4Pbx8HueE3Gy+xzsc7gFB3 qilqjYLr2xxdophBB7bOfqq9/yDqgamsuXM29ZAb4zZOVtlSpDPE//BoB3XpJGVnEIG8M+ytYHwc xV5m6cHL8j0SIK+UvvqUSUD6NZ/aChwhwmxUXch9hMiDv5x8RWwH3hOiNvjX9mEqw+bqkFQxnKLS kA6Ap7m6f3xTlGqCTmId7krHl+iIZPYeRZpyfUXJwL50ueFrWNkmxb9rS5TI6hcD7eBwr1z1/l6r X+FIV7Yqupw3FMdRZyicBv03Nl8uzpkaxNDNTl8lb1AY1KZ40cycjeGxtQywhzdqMM5yYaLg/szF kvwkPa3TNORkCDyzVLU/Pibg25nDq6UCAU4E3dDLdLVIIG2ZSiBz4bpnLjzxZcPRiil971QT5kvp zy1H7aBWry943ZMhdfZVwmVVxLizVVBOqt4Pxw3ORbkLtwWND3iYWXD8Tglpw22OnthNF0a4oGnt nqKOtJ2xKcl2uvkBVS5QKF3RNM5YPf4H1Lv/d9sUnbIeSRS0XPr9wMhQjnA89NJro/4QiWG4cUPG O8/fqLYOdBh38/ACg6blZUY/A62ZG5rFLpBkAhS51viDH2dD66cU1jYhnxvGtYtCLkNG0dm3I79n 5TofuUe0ou2rCzXSxkXyEO9B+U3rVLps/WjU18jXQt+EhkrwOgkgbcMiblgu5WaMu6MTRL8eoFii QUhaTvRNNeVzc193lWUO7CKv3evSOALqAB4EeHSrWRba64iHCZr5pLkB1Wb5mPrf+H2kUUxQyEDQ SqLVBd17Goxk0Zhg5Fh/Eg7MZefMJLCbxiVGSV08lM2ncHBPVSrZozUuxXjcniqY6thi8mB+kKIY KxowE2z2CXOZFU8j958VMEZRfGx9IkANBfKM6PMk0fMGhjBp6ULLTIF/ihJl+SfkeYwkTvuXXQyR JetIbe54CejXjAEIBrxC542LzQTwQI89qJEArgysIAm8svN8sqsqbDUhZGei7O4CTZbiHaHR/Jtc g+m6QSivFnufvDBidTSd+rrqmOg4vx6TmaGxZ0a32wGE1GHamdZK6GdQLTPIa4PHXoa4oNDHcmgL tQX19pqHub9fbybCMa/gZS+kN31pZyFrfLx0U+6/PsPZQ5TXBOooCenGcntu/y4D4pWMyxuAONrm zqobqdpiGo9jHxW6pYLVYN+jHACPhTHykPILDv2p15BO1jHkgEyY0TSDPepomzVzDxziyCvd08Oe tJlaI4Lm53GrlOVWrhd/yHyNTr2HNi4OJmZFd4p/zMUQp8gBJPdMjsvTDu0kPuo+ck0CabOp2zr8 jyOgc6p+DKavZYqeN/Tpjj6F7lc0u5tZzRw4de4Trfh2ur5QMaLKFfKMvg6QdD/5hWJDSrYMhUMO hMEmmYB4lxYtkqy8x1cdNBKXCc/7DSWq61CW947leKqn66kuUvGlrEanvDu33xBWNvpQ9/oNV5Wb jB4JC9JhoG63mUKzAG3Ak7FCqV1UFXND49V0TzsutqhUhpkp9TbOXfrrwatthbu87Ryv/ThTcGgq W8u1xcr8ffL6szy5ePcjJSE20ecRRRMstLlnyj1NVv9GANVPAne1fR//th7Gi7HhlCxQcMA3etB5 Wof8pQmY2duvXfk4DLIEz7qcr9HoLt9p4kOuKAL5IM6H9cPXFwQs/ZNPsiZPXQ6LYBpue7Y5rJeq uIzi6vnTsMGn63Jr75UACEUggHE6lWaquWxpP9ZN+8aXMV07LLki8n5tNLF+zg8knODclrGwV+2x 4Ctc9c/VFhZlieQ3NZ6/J9bZJ9XcCvkM1tOYbMZ+sqIxEBErfaPlmC1ZxDru9YCpZ+Hs1THXWWLw zsVUcLY3IusoOcnXJUude3tnTX0B2gdctJ/gTYp10yDxsFax4z5ZVum/exUhRRtOY8Y1TjLDa+JJ VBw85On4PMVvqycolAFfcgl43ZyeObaSg7RkgcWajTQBXf3s+PY7PVbAp3aKRlehhJMazn9QQwRG QXT7/Oaxr/501z4d8lB5vQsRURKJGUGi286c+TUSeJ3ANsxvFSYgpzFkDxKtx6WGlCs7EBYp7LIc qAu2HFHRSuM2PvOYIf6RMnZf01uNbnUiRYctRM7ooQRQpbRkdG7wNBYFte8aZ7/6DARxNU0MVgTI 0qPiDoBDH1Za1lthne9J5hDKiZp5WQXhV1jJMEykdcFrmBdtOWJ+3v37k09fPIHwjq4uiwOok0vs 3NFKBT21+IH6i8se7xw4C7R2Fjc4/xjgdL9iadQZF1kcRlYq63nzW1q6lON/klzKxZCHbq5OGpBV sazZWVl6plSMagBpDv1zpZhmoklworZTAbdW5emqj6/6ojC+hpotv0dhTevkEBHoXFm0onRQZXZV 6HM6pHj73pGST37r4DnNe1GcndYaKZIcz1mLuO6iKV14ikaeESU9Y1LTvZpF7AuKGIeo02/5L+nG k+RcxIeuk0BWg7RYmHUZqStMnfLTbjmD5VUAgITeiKV8uEin0ZY/UP7wQ6Sus741gXrYXKNCly5d atxCai/QOfcWqekAc27LFoN4Jb92X8Ohe4ID2D4RCzpq9Rx3WshGBmpNZoyKNwOsI9oKyd+qTNGH ox/gudEapUZk9oUCtEJDTsqCC84Fbk8rUaIFSvwwNiZO/fXJqFFicawccOp/Up2eKfrsv8JevFnl 5kXBazdZoXgmK4zvHUcQfhfIaUyw/5YYpsXuIa62mfpUndl6Z/X68tg/VflmtDukTw6F7uYaAJz5 IRiyXGJq7m4SMUwQIA58cX5MzEhbHbLY5Llk3sD3VWIU61bBA6ulaDK2BwklRy0gm3kFFDHthJNc b/XW1qMf+pEHD6VGmJsKqlkJouZC4NDHKTrRakkHtShXtVThaDLO+5PL/D1pfFvfGp1iOOmUzycT dFmJzrE2149djSwZdARGDBg2S89k1dKJq/y1sXr4eD3JFRvxND0v0aPezggKbFObPuDyUxCs1vgq yc+rxafX/mnXSwkxbHxmpPvA0eKfKYv5VnQjfCYOCoruf/lNGfY/HSLARVoh+f/75+pjBVw2jPwC euHfWdmiwHAqBi1LQw1SVdRsYcUeSyhRVwWu/kEc/mYXX/cJCpsNtx2txDOuUIH6IJL2J1HWJXvh OTSgvABdMxthPSp1CDxQ4MOaGKXjvZ+24/vQT6GMTOkc5DffhcM8z9F6bIlZQ+Sz0FZEmXBf05+K 7NDGig8/fqUB7eIVUFa6FGKUdFRI+MUFf3C2VdyC00a0RQ/0A6C8uYHQyRPo5PdLn9RamXVK2ncw iaZs5Ix9gwxCBvK6jyoqGEYZp+gOcEgKRSter4ctKP5G4oxF4+C44RiUW3NI3lh5CgkEow/S6YHG VvwMqeIk3OrVVwXt+mB+QCXlkdcN4yEyhIckynK0Wp85v4iqxo6zRNiTQZZFxYhzso9dFP3T1aGn wqZQX/dpbvAfafT02tHSuvXChEmUbI1+1vY9WhLjeshxW1qQOEEH7OFxsAU+0kNShCxhx2w0YHmv Ty5bI1UgiyMWRXA3dvVCpsT7z6GJG+3wHyK36G+GO/qD+Ln+u17XAj1Sumuxp4EWab6FTNDCXulU fH3xDd28dzePu3wHxgAJWRTQ2Ec+YSUu8rZM6HAgIcYcp0+8D/XE22OrK29Sas/BXRqLpVtpWsgI OsP5bFn+vSWl6g9GjhQWw7yrlK1nPHSXT/ml/SDiGh78eyVrfGGtlu7qEia4Kj/tJS1rzVBMo1SL chjHuEM36E6HaWPoo0J1BI3E9pspwV0m0hykMQuUjcZxGLmjh60sMoBq+SR8Nz/DGk+SWqDNlECV xswJtT58u9HSOXA2nPXbr2N8TAGwt3Xf8/CLQPYCD2Y/BaNjlwwiWB1yG29kxQZ8KtLObEl5Qro1 4NgPoV6u8VU+XTzljGuk8bhrJPscJq9niZ4Ee5KcBtB3voBVgv+Ue/RMZ7vC1Q3Qpq1P2rKqNm3t IEXaMYIr9A41JW1oa3NFfmMNjh3dCpHkOXLCQtWd6d6EfX5CpR9oxpyk8PuQlY/Bhiq23hSTEcu6 YMFa7JET9JamOZgIy7PksrbkxJNS1zeLWHP72dlC8OegbpLcTDTOsL2BQzxOLqGMaz5PrjNjtw1a mps3yVxZ8nHJA7Wo3g+huynJE5Qbi1xmFJeKqzOZMT5QQY/ROxIFoyzoNoHii/MBZJ607d/v5+1r oQF4Sgv/YZoZV7nkcZl2jvfZpk3rt8BYaY6BL/gw2QpNWhHGJD71mXiDUA9/+eabNnbWNcNnbJfO 15BoLPNwhgbSJoxHXwdB+bgOmP/ueWmRhOOmMEJR11TRxU9gl1uIwiUwHSRjsA7JC+iwrkbH8uKo e9C/ufmuCr3IfK+oZzZAspOvnIB1GV9wFJpsoU0j65G9hqpItly/kFRVrg8N3YjP1iVLPlDcYG+Y P9ZPwhYpACg5GC68tX2GLrm5OuSFdW3ZgrLOp/LuETY/IqUfTTn0FzXQDskh+6mefpg/cCPESplu WWX8PaOXx7IlXzngZ3mZVoNrwf0wzgEJ4pA7uzOIZs4HC177D1Kec3eqmSjYuzymYc8TIzdJBb9H qVBgHvRjNsuPxdThCmLoH1FXEfFqJD7aS3rnxp8/NZ32ReBTt4zozjyrKpRA8EcEXTLR1mIiLb6B nK3v2T5oi8SvbLZ9YBCIXCEk41BZF8UhWMNwuy7NFjCzx9ifpg2E+RdjVfGAKRdPwIQXA3R/Lk5H R9+ao53xuCkGk7LZ0wsTGDbpv6XWca6a8NIcaPXInSRuws+m+7+scRZGvGK9aTH3zYLd+YSIb/wN fFnQolYPrIaffLiMZGKkDzGEcVJlWYJj3HWFyO6YimvUWl8Qwi2aqRRvqIH9+/iHULm1GoFpM47v 6kMEapHodk70OcEE1uuwPe1oU8Q4KL3SSXrshiOMt0oLsQ8cJlg1YetvzJDZuC0uStZSLrflUR5H YXCPqqaruzXNmuMSeKCCcF3AT0Fo6xnD1tarLTyAZlflERGkF91gM+o+OS8hiG7vkjLvYDGc22/H leVEJwM2/fGziuKwM8AELVNyuiYM81Otb3US3e1mfOQBY5K8I0u2rSU9UkI48Y/GflrBUDR9Mx84 taCX9qggU7I6PpdjD87+6GWxPcE9+r+ZAqWQL1pGnqPmVObeDxsciCv/0vBob0S+60mC+sdg9oMV v2XlhMJ68I1BkC7JkPqrfMlFCiAaQf0ipQxHxXPNYwECHXyge+SvBsCmApT20Vrs6z24zJR6BCIH OdVIhmVKEV+I6pyN3hKNtdH26mu6ZLK+fsE2qYpAAlBcEs3EJWTDh+Vf3jigc4JAZJyUZdIvncbx IfOE1QwDSEHZzBjo6Ov8Zd87ganGXki6IxvUWx1AYU3n5awfEbN49+QTqT0hwKc09gskD2ONaXjH VFchYmUzBjI8ADOIYbE/FwuvaDI+UwxjEmgEYFH4sKPwbtL6Q8CMDuGfN5xSoyLiHDOLsAfqHZV0 MTrSOOPzb/sryTzFY7cxI1Ep/8gKBO+Aj3qxJJPUlci0A3cRqjC6CCVYkEvu6uni0o2LXfLH9aF7 QVdj1flWKnaDcLQpmTLfB58Mg+rx3gNYVJvX5qwU2HUmvJJ+HG1u3mbxFib28aZSvi4rj3E1pL06 WmmEfslnCYCPbaC/VY42IhnfPvGyZWnrT4eLn4aRfs29OTfqt9+i/63xfeVjMMZFp21Rv/6SUWx5 Zo1FVWZQNcMAaMwmeU0t4nvzULnH+T4bj1Sc/cztctwccM6Yj7WT/fX2LCPWmyh8E0BWAGQgA9Gu bwPQZKx+aqSrEZz5POaiESAffrZ5iSy5R8uS11lgKmjtg0xr1LVeBo3GwpVPPOrA2z7XSAIiqgMw Tccil8LLTq8gJ3rCP97/CU+6n2JDtE8mUBa1l+IiCdetgs1UtNqSx/WQaVwIv3lvOYwwGEMWVHXB a5JJqrEUJTCekrU1KFEwjPNusalU5VR59tI79uzhdJQEumkNA/k3ADfERa99Hd0O813UJLKGuFJG J+40AkGmBXjl17g4ieZTh5WJibs/UT8Ne4DsKzbHKUi4MM6Yy3tl6TGUoYqvNKIZ3AYoB9nD1TgO tDm223Nka9XK4nBnU9eh08XFWX1Y9pl2L3h4vUOOPyjTD4Y6+XJ5LE3sCvwc0cxo/NAg1MORJLaw VagqrjATdC11UKByDOmwdeemI5zKK0Y0APi6CS0CjwVtIMiYSQaIqQ/abaXfQLbT5BfqFZJv9God El3r2Ws5Vc9mSkbxNe8Wu1xramIHsp16qeX6CBwawVQQBXfREz8z47cKpR9wrkGsuk6pOPNyJyO1 y1WjfjJtISQJ9iP7LxK4YO+VOZV0oNnMrW01OkgMUKW3T/YBJYuSR3pfVTBybuP5aNN/tuxuACSz lO/ovms9MxFwIaaMdoJFTJrX3L7gLD3WMtHnpt9CoieGZIcYars2gEfRd75ozOxt3livikeQ1Xt+ bTRgy27VM33tIGlhOI8iHKPqgnE27f8qagad3FVyH6EqIL1ajCvLbhPiekdnC+86JlbZ9Z3Bxvv3 qd0TP/W5oCDO+CtrEjA0NuHvqFVVIEi3ctWfqmu+hjWl8SwEMLuFNR4rs8WIXcRZ4p7GWcUpE4iP M1Hp4gLuOj8cAY1ekDWQJW4woOu3kn6HmqAHVp048nQH0sDEPyKC7dez8PiEebtHdTKf87X6agLC BPl72RcrOaFyWmExGKu22ERR9Gfz30d2Yzxj3iV7BNaygnvpdr7Wo4spn5XIrD38JXrXOVjsBqhu bsLLryXNr6cAUN26S2aveg7GDlOsFxFDtz0I8SFSiFiSXnxI5whF2Lf2SBFSIPJcsAMj36T11hGV ZEBpFo7fqUuMzp+bQIoNa3nPlBHlySH9OLBNUkM8fCn3W485YsMqdpjAYwWr+PkCzd2DeAy+X4tc 38MoOUepex9UkFrdhhSaAIrFhilZ/JC2yr/LBwTfj6P0rJTRbDs9PirzMD3AGKaiNwFloY18jLO6 YCrbu8/v+McWfJCgW7noxrbW08cH7gL0qydqOw1dH5cZsY/PUqcWL7zo3HEEpHPD95vXDI94wY+q pKTYGqcg2QiVN3S0WzQa/Ohpu+A5YIA+8jLlpa5tswNf288CzgeZNcwvYZqTitCvZ7i+hICJ+ert i+MPt+5uSVV5Be3ykcDbpdKd40iciCb7fehoRnoJe1twwapiXwV4kmSmcm2qdCQndVNscKC2clOF aaQBTJcfCeO9AGpYWC5DtgMqdsPtkDIqJlaRnplvAZwSE3WjFNaV+vZsCh1VYNPluSJooWQnEALC XZ2X1O4rGStpWaDbmXmjfIDdoVrmD/2f7J5I07ICWVYr1WTrjueZ7nJBRMVmA+KnxhUJ4JqL+obO 3dGeNFLpQNQSgVlEn4KjZCO42Xxzvr+esN5wNVfvTB8FbOD7hUx0tRm+ZZE0iZrMK/76HWDyT9EP M7aajSI1aB0QRqtGphutRygDcyXtN49MWXyOGlD11zUbKZqmgNu5SWuc11RcGDXShX8CxKJc7rF6 7GFcHTGXtwoCjSH30j7tIZXj4U9nuMDVOEt9f/rGd2H6XXAxiGLLRpno/t7LMzSfpAcyY++X4RTw c0GLk0Wb66lMIPcia/hNz5BWgYbZchRhqNYOvV8La3Sc/l0qXDDam9bnoN2xmYN/tDfjr+Mpatbv kslH828Xxk/1uUXCH3kIjdhsOGA5DhTtlHQin/TFtvLdTPRU5FUK6hHQJZInAxey9XUQP8zH2tzr A6LdAwUjlg02GdsParUSYqJAAkzVW0d72pmKZQtfYEQBbHHxqDQd9YENbszTMu3Q0WVq4NdWNp+2 aJd/ngUb1KEO0jrrxEbCAOn6POnX4BomS0dKS3TJN2xZ72ww1vWJHlknuYY4h8MtC4Fz1NwkI980 k2TxFDGPIUqxI1tl+gR0VdfXtvau1o2rwGeHhcXaoShEy50I4bu4OYAEA1YcIHcI5SWULTYkndsE rvFon2zhTSKVUZWOtkZ64mW+G6s2JzDJ5YG+snJL3Ep5ufK64waZEoUSRsp7TVuAD/G1DzIrQz3J 2YMX9EqmeOLsY4ep35RSfELXuNEJysWvmJ5xVd1fHkb+FUhYyXUjoonnbRiObbw4MKh3dX0wN2Sz ipKkwTwaGV/KBRTYh7NZ169efIlKOpIB1kFSiJG3wo7YgFoYqJA4SXFQC/HdrjQMtxvuHG/ZekA9 NLzGjlTAY4m58PY29vhDNlhN3tRvaIsKTc0ERAw1boRv5j1DYZvrhRMvcEw5oINgC8/GFX3Jmmz6 sRE+DoHUmrtLJ5sJ8YaK8O1fYGbxRKqhizRa6LSanhSyb3RsprFAFRDiV8+YBJylpSsuUOr5/2U7 KOmcppcx7QAKQULBk4FRN4fgVkwGmvzg6f4+EeudmnkEITWB6dUbg8/Tm/ZKNPf3f3fSFEdZwj/n /RyTnbeOTdjGbPEZOu4iIKpCguqrt62RqHOHCkUgDloQLSfcuNngzYR+QvWo4umvSMiAmWjW1joQ aLgvS6lf1xcOU0oZgLCBPHvpuh8YNluSlwp1RP57rHdiFZ9QbZRZuklvhId32+1jWtPu9oyRJb7p /+UX2NDBkwbU1v3djF9Uxr2WJ8mBTLqyXL2o2tJNFDg2JyYiOeq+sjiSSGBbD5dqhX+lUmfBGEWL 4gK1c4TdNbG79TS/5WUPhR9U7+L+ZEMvzutR1omHsbPwXLSGi+8Uhd7dirz5patrGcmI6JW05y6m K7qhDe4ceIIztLwAiKJMGVEjL2LRf1Oh5450qwzHHvKxY7vO86MOQ29ejmPFXHCDWOi24s1LWZm/ hY2F3x4dokTT3mIKekiYbkyc4dSkbz8yl9/wsBIqY+n8uSMPZjJQTO8DCKHD9pVF4u0AkFz1Z4LG 89ko4iRs3mh1Uw30tILT5uItZ6s87YjrP1zS4g/fxVU0e/asqeVC8IGWs8Sn5+5yCHVop+5suhZ0 cBUPUiPQRrlz9wu62Uv/ehldKMT0raYYhMF8HXf+qPZLALexeRQX/CqklUs7zQONf0TNIQp7evK9 ISIRElMk2pL5ZTpVDirZAM0+fKKKv2EBAh3VoK/AJahtRnZ4eilwbqEN/OzlMKgenodzALdoOheK mC3eRo7LynK+Xk9cCPZSgQ5bQmUf0TD3DF3CbTkQQxBczBALy6DO6z+CqhPCZiYercz+RVX0ZSSb pgsjYd1rAdhtVbhY4PBz05NOukNI+EEHO8oO7DuQabehlCsltYuHC+S7/NkhA35yqQJYiNwTOJF2 Bu7xZcgIJ57QcSrDIlpfJGcC6NFVTaZnDL9QF3Hlimje+izMxRE4Yvx3RR3LTCeg+bX5jI0d/+h6 ZvR4BnOO/ogmchtWL4EHMzA8HydaSbjRE7mDTADkcI8wDbtCFKLMQP3lPvTGSkeKSG7xlZ5qxIrv c51rIp7KoZVK/dWY0bEPXLeRFMGJ+DIgWAyWv/V222YjDUsHLrJS9lzUZQDrkjqWxr30+GRDVFEJ 59C5NWiQ9/gORKprOtmyLvnTn4AFgChe3yLJJORRNbOKr7cRgYz747wRd2uxepYCLZJL2K8QOjZ8 HHX87tJFOCnIJL6BfCgh365V11HRy8+yRdq3lkKSLVLrVrzqShYUjXqA5JCprT+e7aFMqDnIlZ/Z HwXeNmJOTcX59ntsHN/tYlbqnl/baRjlBNAxlv6ryACr1kedgcaE2vEnCpR0XGnRI4virQubitrO N/jUsaVJniSg0eKCvm/C/WHLSLQCfkfDLG7l7iOTrxLR8YvZKCwJMwGmQtcYjb7O8AyLVUSaio/D Z1Q8SYS0SrmtUMCpBUZKIOZ5tzKMRqMB5cjvDObuD+Vq3et+W9w73JUnvxHxienbhi1G3cFHPOci yNjKn5YZPgTdspg70IOIHqoY7HLzxb2M47E7RLLF1IrBs89B5snGVcaToHk5eo9CGTBE54dPzteW 6cmPbC+hgrXoaQqVrDz3101w6fTqdUB+7OFLiw0hW0rA/EX4Ots/2e6MTp26QC7P05fDDxOel5iR RCdXeM4VDcKHUt3f/CvJQzmVKeOcCKPlLQ2F6brrXWIs8iCulp7UJnHnZ2Da5J1MDVgtGGFycw12 yC0h4i78zGd4EKxlbl/ohYarD6o8WzQyn8nxJAjYBOEyPTt5qZlOzWuuj1HFEu3AmHWEM9yWcR3z QhWObt2UCTUrZf/TGy8xS5Db4sKtJirGLnySDEa9ABxuwVUtiB3gmaZMBrPm+H/Mncobtyj0tOCH FUuBJyGNhbiHIVMaYED34fSKZAIM8vU0LeGvlnLxBvavJytN5pds4uMlIizrvvNHHlnjQFjsOxl6 vlSQKgWUVsCp2qPEoG8QR+BOuFevMU1WXaS+ClcNXFUF6067iGVMj7qMH1AYt1Yc9uK8czkcdOEK 5G57xrUV1d419tvHHfCPp4T0HYm8LfYetdUYV+jWMenz6dKJvZc6sVnd1BJ6LxP1MY/H7FSWW5cT zmZ4XIHzd++VdbikLd5B20pWaVFFdv0cNetLIbyVwsFj3/tmODHjoGnPUcJsF4tF/xFTdEKs4R8b GMMN6R9VgpwleK3k8aGOPNrNhaLsOV3JIPngB3ByWZzA+ZG1NaowQURcLlfuOZBT6c/3QF37Fx9p Rsz5aQOzXA2D1edKzOHXZX0QOlS+QZ6ahgiYkkEEawRb8FxVwtx5R0z/1XzT2rUZhOvM0kXq3d+O J02INHp27/e0nWsAt3DvcPakL9BBzgoId5385l9QWjP6DkT7vw2wgRCqms5t9AquBObSuUzHb97E rlbaoYzFbpuJSusL6EoZxtV8H78/pgk93V7y7C3hEpsVaKlKSsrd6bqhaQWUuS3lzN1/9kjR/39O a+GkTMR36UlNBAkmkV9ebNxgnKuMgYFFfO+WGYFzoHYSLTGGfr7/ZWDW13rHLRtcydPmdo6hDnoz UPftfhA2cJ3IA/L1cphLiKXAOmAOIQtoEdajH1dhnSm0jf2F0KngkTt41CRB2rGQpTQxotBj96o2 kBSV4lmF8c692ImjleekNJxHp2LxwlUy5icXsJwIKSdgPI6CbgX+O5YOkx0Qp0NkN8nTvNpq5V9j j1kpXhV9TsfoRUPYBQWrnKrZNZJjKESiUIH+wkAa/+anlgZ5/UlHtNUSHsksrnTLQ2PLUpviPPQu PlmdSBXS5VIn6+hwZM7JXO1mPNDQcy9pYszqVgXHaitALTEqUG3aLkgFyfdCTWszrAFgMNhR81Vl Mhc0bX8JzLxGlTr5aRlI38D+J0ajxfLwODMHNP8sw2Vvu5M8fjhN91U/WF0FCXEob3nm8Joy/pbI iCLrgyox73TP8zbJ8AMgewuwErBWznT2awotvDgHuEBb6SmzHKyugad4vbmJXIisYq10vhZVyZHt e1L7jD5+P4JCT237D2vcpWADav8uYtW8T4BVwcnf7EJgB5gYlYmpE0BigVwsXUlA600moQNSH9An wPZwkL59jVhkF5zCtruAMXN5FaYWV7g5GFLaNZ+BkdedN0X3pvpJ/khmfSCckOroXlpvY3j5yKDj 1J6iZ2TT7Z5788F9MRAOHLeWc4wiu0AeXpq5e3RtvTh3f1nbHKaiRdqHJmInz14gvR19Zf0+7alY aH/QCXfSaUwN41jGZNSX6j9AXDBIxncV5jBdLQOIrrVPPQkVv+HSjkuO4WafLmnpPqNApdvRfLXK XnekgSQKAOWFPaw0cwQtiQys0PcAamsyIYfy6oQpnwkP8X3zEi3dnnxfuoGRprTjZ++JNF7AIOQa IfjohxTt00iDqVBGrruUDxIoeocBzBPR4jfhOzpzmy0gAqrHuHYcMKBKLThIMla6KALLXYZah/YX x8Zx1fwiquHlGZvc3B10TjjMU8b7cxgYwWFSpLp4uwKob+QulOCO7dtOxCsZnfOhUaAa78QjNq5C ieXHGVW0SJpLY1TKQIEnBI+HLzQUgI9UIXXu3Fvmgn6SDng6R45hZJt9khvTEZYEQtZzeIb3bcAY yvxySd/9BZvZEme9FNbMZYlBPZ0QWd/MmtRfAgzYqPN1c7kSFwwKNoUEwLnkQb2YIH8VPsV2grk8 LA81rTZhXla4bTbt5z9SqvRK6UkP4U1J09DL8DTbI/oq1DtJd8OfJSWhk4dSqFd8nVwkPxUddBLA CYN5UXCbpV8JGv/AFhaZuW6p++gT/Ef38NyatJH2axOcVvzPoU4JWtxM2RICUDMDIRaD/Da5a2sh j+uh/N5N4GO3kMeSST+poIzfNF05QCg1dzm+uOwC9Jw0JU00efs+HXbaMXs6E4nd5vaA16q6aquy rmoGIv5OSmmRqB/cCxV+7RoUitFS3wWLJg9xhSJclOEvmlngjuzSwZ/GYvG3y6pWfNTgZbv2XbPC iQB7mwIwIbbstX3tgBaUxOdc/5Y7Cs0taewyintY6iQk3aKuE3C1T6Yqz1eaAgCfgcU5rYYwODkm ebIw/W1iN4sWVavuDZi6uLCudzpoaM+bCgw7nm0rfl0qRyREeartA4yhuhiEGFOxS/ugdFbcO3CM zYDNcXppw44MXv1SN+QUr+QbCMqx4qvoryxVDerhsksbSzuEbzCQZfy9iQpmfeZGgRl/GewEVt2/ /Wg+4DjUiEMNk5w71c4kQ6x7c0yv8Kc0Y6SrhVScVoJVm+EYxtBg/8SFAIPnYXXeh+EFISIL4ZUp hiK32Q9yI0H2UpJZNlkPyDaQPTmXeNJbp/sziGuLMgbH3+L4l7bFlSrOW09gPEG9pqrkPN4l+Tjh j3rrF5YKUMcdurK83shWcri7tc4XQWwlb5rGvnVB1EHe/MoEEX3i0j/59vj92rb3tpG7EMqxtw3f KSzYT+naFi4dDKiM69u0ouOtI95qSFpeqkeD6RTb0NMvpSTwQxiD5qhred79ss4lOL0jiLzWARR0 SSWJ3OZbBCGMB6akQSj2V6lZuIuVQzEMXyi5+2+7tHWK/2u5nP0E5OcojUBAP/RzWk3CbWAVUSrK xMLR/PSVQlGBUYisDdb8vnjIFuMYjIghq1AYnWbUsGNuIzUOiFk01AZVCQJyAGR88IbGh2oKh82p 36eCDQdlklMpPaVqPHZdKnn90Hecoue8K0x5i31LJlUE31d1ALVQNUE0yB3wWHo7nKmwj4g2dF12 LTV4WUzxLFY1MPCThl0pS/QN2zB0na+vYJ/MtxgQNblo6GmnCZk4ZZp/p60Qrg6PqC3Ja5rg21KU G9HCAlbOaSQ4gR6X/QQtx+DaElr0r77r1ShboaS+sRufMMSwBCVPYK7MKvEoHb7gnC27eJT6fa1C FZWrIv9KaEKpf1+VUsG4fs9TY33krynZs7LIV41UlHuQbmsvRnbXaK4yaGqvHvZpml7Rlwx2GE+q nu2Apk+meH0CQDnQrMhmKtZ9gsOoPZwLxwl92D0sbkL6GBUVklILDvEZ5BPvyFtXCJE5pBDK0GSg zW6mD6YuVYfRfPl5rU2fub90fCIXkUGdztHPcwnmj8gxy7LqVcJkots1hS/XMr3upbR9i23AD7L3 84uhFbtbPLK2tKif8hdwZldvmld12Z1pyCekcXLFx3HwDwRNfQQGF99Dw0gM1V9PqN4E2yIwDM+Q Tmlbtf/5MIBX/Vv2gxG3MbUgrDNx4p3R40Z7duvIMqOEdA1OnVPrC5TDjS8zoHdswq01TlOT7Err UngGCl5PDIpdKsNkr+4dYD8wu5gNbXJfRsXenBQqkHm9thnIPfTN81UNNBfmh99+L2JMTnZDGdRC q/RdV0Rkp7pe3x0QJ7EhbMRfGQKGsA2wkJGwuMOSXcqL3PsGJ4Syy8zpTOKtSwCwZLm+CrRs0O4S ASVDaAOY+UlsQkNnGFnV9ZGWCgtxVe4BjGVrzPqeMLueG8NFsqXjNR6IeTfEgjWSVp6tJGDGJAXa DHjDdU0kcI4qPHbTR4hZdVXfxMIgfeBmuLuODgwjMrhEgc2azsnUHaZegVPLbeNseu2038EFeWUP s5z6mtJaRCdXzc7xNmUGAGSlRBKyJz+y5Cyw1Hy7jVJS/F076Ngt+cLbyjkupBzusKWg9miL9OqY zvJmX1hZMXnfCV55ib66X9Mi7X8wD9wpwN8JjLhOhYsnkbJeH4IdpDF6WkE4Cs0tOtbvg3BfG1/+ u7W4bJqhvG2IUAHQnhmdESxHKVTp0xzcqnmVnWs2Vi2qfTA3/+wvRR2S/xWc4+viP4o9g/vzNy8k XSA6MHvzAK/evu3gaeuO6NfH5mZ+y5lZlCnZpoUVh5Jinej4zUJO9GOfk3sevNCZToZkCr3HDhPX cOerVc5uKRiRKuly3fq2z8JTaFKWp8HHx4owMgV+oSurprN17ix90/T3HaE6r3OHdeCOziGTxNzb /y+QeU8TGfGso3/HMX6n+WV3NGkcfminu6grpbL+02YrCiuPf5M6lxxlqhpgR3ZcvqnLExry13iR pSrRbQybTKwzY8EaQv2q5ury0nycvUhaj+RiloH+wKBsb3K4hvoDul9witdfptMwvCV1lSjQaPJw uzrf4DmmIPaMVETzh5C1E96Z6JRkrbeerLmNzvApyoNyPDo2LQL2fE1/2TXTqlNXAWX3xhtW28XN fH7h4gIBrewfPHhAAIdCRTUSZhi4ahSrhFSNu1RoeBy+iOqbZ/lmjweEjUKsk7ZeC72pjvszDwWk RCwlg82CMt83yb7ZWg/ZTBOWjb3w7RGqcJAIhvuUAuI/IaluzFpLq+vPZOTB9iY617LL5XmjGWUb bx1OYOu3+o6Y1TnlVHepYHR6gyLgy/E2XDv1AQ72CUV/qUJw1pj0Y/Om6gbHP+qUuHpiZTb5dYwj fGCIUqT0sobwfvAvtp2wPU/OcAwry/HLBqldw4aPXB8e9Mw0qHnKWcJBT9Odd8aXC6S6AdJ9GojC GSPg19WKl5cnanogeLTNJgRfTLEE3lgDcXS7DwW/AyfmfR2GBve9db0Lv3QyB3HpYZZkJLGwh3mh Rvx2luJTdjhqyEpTnNNq42+nfz4VGcHzSorM482tzblAzlqYV/WJklLD9McMhGeopWBrvn+V1lV2 mNkDtUOUWrYK1XhFZE23qgESYX1kSRM6LU5AyenK/n8m4n/F3wF183pn9vYRBqdykFJxUYw6p4Yw FniKwZy0sLPnIbivkinRN+/tpJ5niokch05GofOMxajYbfPjEY6sl02KorlGixVzeQNWaPO2fhIO XnPLeG0gPZXQWodc/MsN4vfcKHiem73JV9x5Wnj5FCXQg3Pcot0oyGeBk15LpWP56rw1swuisHP/ /01FoHCmGXSEZwJk0Wr7TOxXkEc9ra/scUuv0NQnQR8ivQjIrb2cMCWfVq41plfI2UUAEqchTYEU sl0uJCz0+BNO0LfFb+Qfi+A2mtFkUbT1Ohqs71sOL8jyO/HhjSHbxcI3UozqYuk+n7Kpz2s3KMXn 1s5JHOSVAD3nV6BUSb/GrbehUF3QEyDoqWVm4TtGPTD9Bd/p0CDVVWn9aM4zCYgyy5WHz3KtvaFA lFssk+7oBe88ObkX36W8par94eqEl/9dECq6RJr7Sw2gZ8YyMV+JB2jSNdqs3y/SXAPCuAdQpPpv 5KLLvNCRM9at4bwpqUcKTrh8TBRlIzqMktBdjQ8mDec6rUhubl+PnAhzNQB+LGYnF4gN/CM41Sd0 ZwNdkC1QZiezVsbdtBzpRtr0S2OXuQxieRAn/N3P0hJ3f1RF4uJa8wr+tw8f3Ln4aotBSshuz+TR SfWKR9sHDyLmwdqfzjJHLXf9biiQV+KwDr0ctsP56aJgV40q8vkrS7y5O99ZcH03EEpfS+Oa9nJw yuagkfvvIl9KP4oOJJUzG2w504vF7pZGL2cL5gv06IAFKOkcpgYRD4+vt49Mn7kl3tvpRSfRAq8D o8hEEOr69hLkCkbsYVvkbWnxHlaIPz0C7yhbEsJpjaD7+D+nZrndcOo17CFnZ4bH1LUIQXyl+dqb MBwCJjsbS/750udm7qmLwEZOMUuh+MotkGNNPOtQiN+po+x7sq7QSnj9VuyuH/Et8XuqLUuQKd2z 6DQ6ADgmcDt1tyqdqrSCSOs96ovVtVylPXHLNDUsZgPP6ht4DaNKdo+DBOSRfgR3mqMjrxyDSUV7 I7YbC2RCmjr1cdo1edbsnOC8FWXQCBIAvqVQe1HTdOp7z9XkRsDMOGZ1d99OqeuRxWItGECRhuj9 IGfMkPgYGs9hu+7glHUr7QRxWcusHypj+1hlgK3OehUVICe4/4Y+MeEO/gMHfqlsFtaq75tLcO8i qBSn99sntCDD5ky1iG3m7k+wE626vXHccI0PVkE/W1nDNcMWUSwpGMg+ADQ4nMmYXUMB6lxfjzDn X5zgSVwP8IlfbRsNcA0oSaodASAdZuoM9mveZ8B3tULyyQfGzzkB3eZI6CnQGXAaKEhPNBIiRSxX YMJcHykd9IFhQREBvDWV3ZjrIky/GEWzoG2T6QEsNH57Om1hpu2jkSR/extUDzsM/WjOYU8tqAxd ETo/gBVTNAyPn2/1wy2XhoiYD3zDA9g8Ebm8lxlr1fPAdKE4HIqy9a/0y6NQBbziTBVcAVOJh6nY /+hZ6fpN5wmFq6AyQAb97VlZyUNWuU8mJNK0bn3QTkjvmRDX+XTbeZ0cTIm1K29Ls643wAG4J6yv SlaRDj2bC1VeCdmavqR3lRwwdy1OLQtlVUP9Zv7ImB6/HTRZoKzgbuOrc2aJCk6bKOA1u81sMm7c /BRNn05/ScQ366FX2h5XvCBGra2b7N6dYTsbuKBaSqvlzlQLBZXz2xMs8cO2+3wNEOiPMaOO7ShB BtKS652Lmi8P35c3FWNqKxgIoHf35ho7akX9I3VFOfFC1a7qM3ilYpKHOeGYBOMCzNZ/GQkkG5dC DLQTiL9uwyumNle35k8POV5as26IA/fZ1Pw+xxIA+fwt1x3WUhUnKoEXCeeHyhW364wl/i8ZgeXP 7vaxdDULRHMgVwxM2FKhAFnICkTR3YFEN31hBLKvjjTocWHz3aViVFKonV62UtyTJlbIrVQsq2hR +MdlVc2dlFy2+DpTiSQc//wGkAgzxU8QehR52Uwix279JopDQ8Rblfaz3zxFCacoc0ajC3j/YQRr ueTqrbw6h5+AV6muTb+t3NU7ns/5SgtrQq6sGjeJNZQhtaLk/YHfhglRSuAXnhi400hLkhf4IiEA 7xErNHGitDLGMb6FO4UoUVCFdiNUdujzoCLQVCDo1Z4Do7IyoyxPvURExog0rifGERTs+unUK6QO zD9B/NuhwWUZW7gmhDykDVCR0CugiIAE4dXff/wj6z0lfvAeZPl1IMn3qRybdE7meAT59wyuu50v EKQ8NV76JeOua7hoxTsDnlhCJ+6GQagLLbHn8YLJNRuWlqdMObVEn8Byy6k5fZU4s9PGOcybYc+P z0uRiRCQnwJHId1FEoKSAznvKFDMXwv6upnINL1fx798NG/dfoK0guce7o9uYqVrhOhMDAKEDjOQ GVVqXv8E8x2gWc2YhGGhSs57myQGO+9WNwYOfUm9EtTg19dildrx64o5Qeb+fICXn4UkUxHEVidg qePdVIhHz62zCXEqWLJtC04z/yWjh8Y3ozp5kX1LVUr8krQUDNEzAqQuHDt3b6yeefmMofiSoQgM ef+/pyghRWR0Dd6/eKx/ZOQisFXv0Akkw+hxtW9qHWWPMU6N8N0UpEroBMtvOKysM7sVpSrXs8tF 2y+WeUM/k5XT4ybruk/7gNx8QI6JXySEOFRQKQ7+Yr5ps6ylGV53lQsMbDMCkdOL/dG4rrnKt4K0 SkjClawDgClHDN5mZneMVTHL1KtYLxWCRb4o28uRCIubu2zU92cG435PL1YX57DYph3zRz5dVBv0 xGUwCbVDSjvITAIdO1651d1RIFHaiyYiKgovrFwmPPryIrbFUVugpOp9FMFjeFgasLV8QFpjHsZm S4f9OFBSdT767/UiJdwFcwHwws8tPxJNBjdSiHCBvh6E6+N3QxpnJQgaxFrScMMlZJ0ir+bSl184 TrauQ0Tlkoj6w9JuacFPtWSZdfejU0Tf4HCIgecaD54KLEWr5Qo7s7PYdjYFU0qMn6R+0lnh0uYT kWsl9zHh87nsR1MxNQKX6gRsAlcE5Xf9lBZ+cz9OPZzEg2PZeTfy/h9FVpaWmPQYBzxy5j5Dp2ki RAcIAcNiZjM6PQDNIW5Smw9FHz1U08XIJhCRuBRYZGLOnzo3lUhWE5BPmpP7ZcmreRav3Ht3DAnC ENrQkD6o8NsjCkC6NfSL3PFIw2NyxszlfqmLKlVofzhf6VBGvfha6A7sBV2DKHp84yyq1SZr+eT4 2zIoLy4GsUJwpzrKG/WT0D756+Zh/pQnc1hp9e34UHsLAnUZSlaE8o1lQq0Oib3kPDvjqGPMaR2i LgjXZqk7mVeWPDV+7DI7kZO3yHEVEiIXa2MTs64GgTuN5xagAnGeLT28CWEOdBu0oyKxOrksClNW t6FGMFoQtyDhn5/K64wm1ZSobbgHoc7544DgWF6gOyX0sSyfLp0/MbEe4Udb8a/R505+C66mguOs r4TawO4mZaett0C6jbibh0z9C7OXSm6YUFc9CVCkLlJ01pP127Uyci1yaRKFlZxlJQ4S2r55Oqth uKOzeHMH5Czg8EmmUfBB+tkPZkiUAfF2b9o8r0V7+kKha5zYzMORyixHoGoW2BC9YixKlBtfR3BI 9rc5nJH1n/jmG2XFhzioAQ1NXRb4bkYZDwIMRyIQ+23Nch8uTIM9hEZugvJF4lyNNgm5AOuAC9Me WK8tHEnr20o3aKrQ/iBbV1yriEx0fxOKxjNZz0NSe8z2lhKt3phC9UnGrUE42PXVaa0kHVZf1Zde HA/fxnUAcKHothUfooUvFpkCzXwmY9hZxR++a2UXbIxWa4mAWTF0tozNZjalwW8WBFSZznWJpw1D 1ZARuqgBEemBhleQe9UqH6Hur5y1OlP/kz5KOWiNUOIP7jKQrHVIvob0eI4ufkNHg3tefAFXItJV KygllkzvxasNAy07HSnOJ2zAgwt7KkIRo+6xNlo5LCdJI/BBJO9dogHSxnjlxCLrsihO/q2k+5dJ hJDPPh5p5JiVmXpuzkdmOvFgnBbG6MgZ68FlKr4m3Ogt6+A+6IRduECzhZugCmgthPO6i1crTUWv VKNGPhJD8F2bVIBJ2V6YxHxcOZ+MVadQLgGdmJ8AQXpssCcbCXCnu4CtqH0dfwBUdsKrSbdzh+/E 38QGtwjaDzXXObT7gv9g1gpnFcMgKyfG/R6Mzj22EmId3CxLRnkcCLGVs/Sup0nSogXHcNsgz/UN 0Jd0f7ECaW69qAhzv9Iz+GtuEGjrjEba4iek2+sbimNiG7d1+bcCGHFXFq4CVZ2NQCNEq41RFJAx cAs416fClFb1niuiYCH0ylQ3wILMfZpBXJAnkIl0z/9cu5IOfZz+aOtAk4FfhKEBQnmhLtWZcX1d T1mbAMsjpnbNEleTQt86tdSzqOY7LQUwN07aMWPz6iaKoJ36mzWC0xNkCZrscOVkcWQqMfOC0csY B/deZdy4/LRTp2MVnKMZ335QqDMFIA+NxZvcvD9PGKc+AoMrw/mAYU1noAgly1hA13sxFCGHrrRT HPVR380WroDHAm8SsgSXVPeyxqTh4eoDqVRhTooa5MNlWOJw151aismxyD0lFCfF0UcLECAqqu/w XJzMA2yZhTLSqOIdewr1vDi8gik6qdFQUjODXppb4tizvR0CCJqMPKdEkziJ8FOTDZuRdEHfGjR1 VGuIRhSzryLHQXEsQOHiCbEKqGt9UqXRHZD7pDRCJGsWP5JVVxiFWG8AmE9J8yeCdabFd3tTJ1Vx 3iPaCunQ59Zy8HS/axKy5hWr8VEQq/hGlMq0l5Y1TO79LlOzfsvJ5ZHt5aKQSzA1nIeSv9eTVgFF 6E3KhGJamCQipkNmrBAHlJ+kLUc4fRJ+650Vl1R8uY3FHwzFSgfRZ2h5s8n8xzhoGJccG14t8wMX p9cktXtrLO+vH7Q+OzK1ywOaAWyJ6gKlIaooZTj+/t3A7Erqz4C6vOB45TvW6/pdBWpcNe34ael6 vaRo0AUQCKiK3Eygc4VgGTKSnv+MEd3209roE2ZEDWr6MDvIPpIwQxHQF3KWWIJjmZbdSydCj2na rS69jnLwIBqEUNuoNHsOTmtND6Fc7wFATtGUs4nMJHwbODQaiDJrYYKxtDnpYhK1wpsiAejnw3rX X6s1WazVOas0NIcehko68MhyC+PbxqavPS+Xu6Q7alh8UcVKY9BJomcJnZbxiJCl2d6JSa+/dKbv ZraPnXEKA0Ikcws91JG4g8xeYllOtC0+facY1Ng+7JuufojscnqDJyoqSpsnLI1OMPSHOI13bl0f WmAiiqWTZc3K7IGRqpyNYykF1pHNu1+HWWsmIgyoVtD+9jSVaaZvfKMbdVer74j0qpLy9kd11Tja jWjokRZUp4uFCSU0X2RLv6SoZmnyHGCtPNS/nNmZKLKUq7ZFIlv4Kb2YPW9GvDmYA5AiMidOaP1L LYITdrtRhISZZ7IjywmHy1dfYF8ByzbGxQIQXr6KUYHfHdizSvZWt2eCA80uC8gDdni4Xvtv1v6x jWE2Q4OcjFIRicH3a9X8ivoVSxB7QjM6jeEhlLAYtBNh8ElY3KKng8l208GGKWX1BgspClkutIya Qx3QaqUAceuufKqYpa2W0zBnCSjg958wiOhdxNzPcf93pebOVnF/jaGgm5y/fmkWtmGmdV41IA3E NM2w2TNhC87qD2n1fpRLIjaVdncc5+YiA/0POJxCvyceyBgRlaGUuurxvlXcTNXD5PmDlh9nNTs3 yILui7iTdc1DUmLBLvwOPzfDFR9A/fBVuTRd2V7ynZWKtM6dYTRkbhhMmY0Fk4KbUJqR7EcQ3nD1 QAWHas6DfsjlUYqOVi7TsbOCtNOmtoSP6ViSZe/pZfZic3SclSvHqUE3wMedD7RAyj+RTs85mihg +bG9r/5wjdRORdsXX7XYNfic7sjvZnEYGaERzawJVKGRQsby8VRK2fEwNpnqJSuczPAjHiD/4R6B DpUxPcn2ebKk3d2fcgrtC6bQ5ddBR3JA7gODK1CvwINeZ7FRx0IXX2kvzz+n1ZfNTu5qH8QSSiYE +y2zUBtfS1VOURyne0Rq9ceYeMRe8uOkFHoJS6JG5ZbrvBN2ZVxzyurcRxT6k6lXZK3LWJiX21wp hnaVW3TLT0ecMQbGS8RglKzIduMvlkWO5SyrR9yY2RjE46GfMu+dwbvGcIrqbBhgg/Fc4fjxoGHS WG7HZHvSnic2lMqvGF6Cr+1IP8UoOGrAzYJ4lQttvT8+37bMxeRj52d6mw8m7UZeKAw7zxWqIAsF cK3iaTBIJWBKnUzw1hDBBUgHq6gnP6vGpUcUPCQUgBi0FXupDUlJkoROHGNVw2OwZ6RwF78Y9p8T OUsnLdHheMkJcxqtWEMbhdRSKAHcf2slOuIJi400j/bkureiOu7S14YyHVmIsTQCH6JEQM03q39/ Ffn6ibRyESqBiLWs6DJfet+Z3I4xh/3PiLMpZEOcdgNZxolMjTJTZ8XAvvOjRRFxrSQ7NMfIxqEi wHky+3QxsacweJMm9Zf1TogksduWPFdyYNZOOMCRGFVa54sVemCxOIciGdIXnwXpXhf9pJFf/+Yx 2kweCMIUvIdOmNpJ2/hR94ZZ9cZxsb6eXaCqJ3a4PLiVNgrmBXrJ2KJ/vAUrqQvrvF0BsDmaO0DA 5HZCV1+n0pwylH3D0yN6sTcgIYKeo2DYugDBQT+G9pWVBl747Bi5+1LVwohGn5ZIf8YnjPgmxMWa 2igwS26wDSNvSYfAbzHqETVv7nM5cQynFkU46UwSs5fvHXhYJgID3fCzILshDNuHsUcRtT/zZL7O A+gY+npoWs6+jQnk1iNVPYx+8f3z6RMTbv0g4FV8C7PAabBWYGxTLohRs69d6ePQ8AYV5UanYxsH nZxLdnwLJQkL386yLog2Md6ueMa9cPcNNeT+Kmhixh9V8DIzqtGL9FaCSGYWgN0TLRpI03rFKfSq bpPPTh9BKjO62h2AJ5MOyZXZOv9ZTk44f+OLyuu3gBLTfHmOUelA2METt1bH4PojIdHY1UcOMz4g DceDi4yDrF93j8M6oYl+xJSgsNVjzcPTpuQfD3RSm+xznMnVjHHrQhN8DAxyIkhWNkdWrULK6Qqa BU4EV93HEM5mygcHbg6beuviz6IOWj7OiYoWVOLf8BCfShuBxt1ES1NZYUsTLlSs6iWZKhDQAys7 OrLAesvR0jk4iziS56/QgTXKtSvYw8BeIc2Fzc1sNWpvj/l000WPhVnFVq1BcRp47/ocEJYe/sFm /z1I82WZeFMtk7ruxCvDrqJ9FNrNJGEV7ZBj6M7J9etdMkGNIlvyubXW6ck/GRQvLr41rf90MeUc UWgGjGuA1Zn8RTm6CxStyqR+EyWN0TGk9Kvr2coZ45kLgVLxsjcYdSOTzNo7XLNrqtfztbo2sWgi O14Il8ry6EuSm5PSc2yTuJy+D3qKL1+TtyhUDvl8XCcMrxtbeW+y0muxHJ2OAEWXTkuY4edotlAS 2S+9+ybfQtLxL3qStYJ6g/spqiE7YnyPk9HY78nH95WRSa1rEU5fII/AtBwI+JovfJ6UJoBH4/rF MYUgaM5WYzPrIqpnjzUTMX6+e2GdCPLPoU3DLhbPOEFfomKoHr78Crahq5XeJBQelEV8u6E9LPHV JIBqXO341D2bodS/Uf9qZaAbC3YEJNvA3S+lsiVKNpoc9YCE2i0RLM2l5mAsd2t2hv8PKpWmFjnx qm9w0r3jdG5fqt7HlZ6UZkuXqb8cob+zOoFVkpOb7XtuQbRQTsPSz8kKftNNKWvUKYjZw6C2Mpwm nutgfnfMnisyu+sksigCMGFXSGvIYgp/6lBL/kN6HSIQClST3Po9p210e7Mi7Dpn+5OjIw8v103S jALSsCiWnwZfm4tZLy4N+su6c/lCcyQVPsodiW0PBzmhJ5h2rnmx9irUB2uT+a0Re0bMwrMY3S58 Vs+wKMr2q6CAjKFlv3Sm0lCKhhRuA8U6O+D1qyGkN70RlM6u81NYOgv3nTlKa3BP9wNa0qgEiKWE BPRKOuBvysrm4F2BYGyYDYSlEuYerrNI4yuJNE7IrTk6R58y9yN2MspW1mJ3Sg0Bz6xWtbQPfjl3 JA1Mk9d6EypQhbkb1Wrg9OOE7ftOjzLhLkRatkP185qYzLtFqglFDvvlDQtsVdj+Zvr6Hygj33jD /LdTruxiYt8nsrJMSIOJflz5i8LwYZ4zqFprPtQFb4vczzp1kE270+cU3sFmYD+vxlJGCjob2omN q6+fL11ilUgRcB118gr4zOd3WC75d1A7sPvfmCl288ntXPVbqdipw6t7N3VtUaj3eUS/bbrC+XZb /e3OH5LrgosI0dgjZ6mqXvoIuXVM6s6EdyeUMpFvepMfwBwSVkthbuHrKXG/eX59tJwIYdDgqKWf ipL4LQt4Rbs1CgdtqxCKruSt41qxKMRxgVpuuchIf1ZQqxVxQYJypCG66NMm0nB2FaxqBhYAQ02x zrvtR8SosoGbeCv2LLbvOToe/m7PXAzgFp+/7/yITEHeUzcZ4OgeK/WxoULjBbJsZNC7tKJVO00j U1KwHjC+pTObEUtfUEDi926x4zfx/36mPl7e00AhSOFaWNLiKIHkWcewr8vIGYyGkW2AoQNNmJXA JH8eIzcog4GfMlpj49vSTqTlmpUfsfxUCH18GX/gMT89GfAd28AAo17n4TtyOpDULChnf5tJ/mUy NlFxAGtLebQJNi5GyJm7O779YbzlpQ895CdFUWamY44uEzc8w12fgaAa5wv1r+bj6X4NSUVop5Gs XThnsj2szqM27a29UUrqKphl+7ob4feSCNVezL60tY/hYt4TnSJUcNfqTSyyTULGDscCipMagYlJ 3BCU0QHtJSLHA+3dU/nPPh0C7ahfK793pidqRvrU+gaDKVOWmtY2L94qK05K1qQ1lr4RrlicN9Ej d39YYYA93/z9EyZLZsPbEs7nScN8sgZ8wcNaH2ZXRoxVB/r4C1wV0+1tBvJ7yaL707CvduVQY+a9 Zzv221WE2LvL+4+m+eoIpvdRFzaeszYM0ebbY3HkqdBbyh+UeHtMR91Zb3KBe1g5HiccF/tl32xp kIxpnlWrNr6Uk/K/LXBBmWZ2syFam5/K3Ig2AvpmLDyEvzc484IrJ0B9E08ppHDWHS5c05gbqNkP uHaJhudIWYw7RMAxIbWM8HF2YOqmVKmtxv4P2NpQDv1bRwcUNBrNVEV0geSiIpFvR+TbtAY2vP6C 0LrjttcikVnCTINASOOLsq1bOa/Qx5bPJD4iTOLvFWe9LmpUHUEu8SYC29RUEXXgBwaifssj/DtW R2f9tzHNHqRxYuDIGUZI1AwQksFB7gKj4x9s8bcQJUgFrCgyupqJGLoCvlJfuWCHDEcjXxMEIMCt TQaSGiieHLgkdH6RIC2qlyxVTV3TuqpB3SAttBVEwtLCmaMCr5JCcJ5rDGzo8CZwNi/sl8klXtNV nEELVdjR3bxqZlxws4IQSeBB49iTxBK/8q/xexlPU23yn+4gaMv1IGWyZyIpkiP6H7Eg0sy8s9qc mXQYfrNynpSzH2vridvxZAfg+ggsxVN3dxfAnY+DXL0a/W2e84CHAY7EDMdOTLOoVKvxNS9WUioy tRAgc85oMoYhhUu+neFt3AHkc7oiTWVB1woFByNuwGgshx94EcI2gjw08ilC6AqR3WvMVGLqYEuw FA7MuRZNiCmcX5a6oCZtUOD2R8OU3jMphoY97se2afSRwO9jp/5YBqmQv8Ob4iN5e996EAC97CX6 1ZBgHGZSsK2Pra1xLbX5FE6fsXW4bH9ffiwVYGh3s4uP30rI9xlCkEktZDdCsZEl5qxa6OTCYjjH FrrSOJIt0EQWve+IUjHG2xYMBzou6tCHU2NimMWryvN6Ct1Jiu1KKlVaAEB+G50IPC3pWgdPBRYz oRDxud1OXCGhgGb/+OzZ2nvMl90E8EURNxEmXjnonVQhc3npfpUTxUEYaSE4YJHH/utCCHK53mm0 4nxmlxsKJ4bOQs4xzcFRnpvQC9Y5gqUf7EuqGwD19mJRXufu+bkZfGYlKAPfHp4MQxF7MZAYx+Pf wZJ0voJnvx0hxqcoDYh232eRSixzN93AKSeQ3OideNJ7SbLqJ8TrEUAGIaycumQYyadtjHEaarrr 9KuDWeDXrtrz52JQiwML54Hv9assYu61yZvbrV2OWq/O3VPptFeasejL91yXvKaeyiyuzEL7nc8r 3usHRh/57lJnyV8XAq4wbvXNnOCPrVAFCyJ5NNDRBtUQQgGcO8mgTP+eK1eOkwcDMqHNKNR/UBY6 M2o/tas1Yx3Mi4EgqaH84qqM1jPCnpHdqSjmEn+ZGsUSkDaoDAmS4joX+D88FZBXRAmPlAjrUdKv EwE81G3HnDvVL7p/8jypXpFcrHqyfABkGoWb3/CdzA5mJLusyJsyMeqXpqHL10sPkIrWE1Sc1dYk dC0MWfqxfSkJbTJlo4w1Ps7JVSYA62mzoM80kXfc+fmgnXMG0F6rPq6K+oH9JtLanOM2zNovx42u 5NV/Z2KXSUxbCt3Uo4KHOA3w7LDOiCTftgCiGaveLx9/GUQn2yYJuPIuVECm0++x4yQ9eYTwC6qh 4FwVKjwWj8kFjk55yWJrjyjKP07xhqayg3bpFxd434y0n5hPNZYJdhoBZa+rQ8uZuEKQxt4XCjbz 9u8Cs9EdnyZTyQedQcBm/8ipu/Jv/6t6Fxy6f8DJCBG6qEh64w94zMWyn8Y7LFg3vIK1h4YsWswt drMe0oWqHoFwYP97ACYfe8E3vcXBYSwFI5ubdv5VIQBPIrRPcCtuiaXRdSWqWpRVZPiyjmHRAQY8 miWzrmlxkRR0R6RmzTXoDedCp3C7vvBVsUbig90MVKCX96N3zqT/QkayWN91x0KSbyJo3CCnmebv vsFPbIP2x0aVKH1AnDUe7C1/3oMrZehN9QzlCq3RgDvvHk8IUO2Th+DKJm+L1NbM21cFdY6OGzm+ RKT9m13Nx/u9q0jHhZWj6vWuxQSSKvNHZqwIu2AYwq9Bz1Fc5lpJhW7YTrRd83BPhnVVpZvzUaWM e3Zlz6Dg5/pWXaZYZw4DSFtlF7uXudUQMlBSAzwRsTRiYrKXOYF0slXA2RwYYd5UtNIRTPeiCvRl xwoq9c4F+hbxhl2SWdR4iiWxwNF4BKMQcRM3j4m6OZEOTHtQZzWCetAYfTf4Z7NrIrxfN8FsGSMo VcKI3PBrWoBCfJLgM/uDkFJl+YPy/vLCmG3yZmdbQqWQ5AnUNTuf/khBvTEwgoUl5uCTVYGgbQZa p5djxNctbzohiDIE3oX3xDLXnBKbHyevtEi9inSiqtkyOuDlcyj/N8B4wuol/+zxLfdEBsVx+2Wl VT0QpxepdgPzeUx1eSo+hNBHFHckCKqdESgVBWPy1SmiC9W4Q6WZNo+/byGh53+jfaX6FXB8zlx8 4T8N0fGdiiMKzRkzHrj4ES/UXvO+uwgjOD8kxGkCxgGvIp4aev26t8Kaorx5EUvwQAv6bGQ7l10j dv3PcIuAD1IwSD4qYRnbXnMvxmt1hhlU+QZjRcBmdILyeAprD12fwRRfov7CmmbvXaQ4z66OTkZE 8kApLCw8e56N9y9kyVfmVcS8F5yRDieaslRoXAVfHk5D5jdpL4ZvVfg1ywpJGZ4oeYUYdi1PivAk EMUpau6ZHYPsaczoVcijPtX1PJq706pNqYtv7jEwI8QX9foD6BRxLYfMgYfimsyMXaTrBdjUZaRI i2q/wKjwky0VYIWCUPtiHFA7hPznt3E+VVtu0nEaGmfFy/3meiTUrC/f/w9i8qtwI1W+YbjFgFIB 8Z2xfcgkCLi/ejMzsB0I5lxImIUkp6Iwkfqdh2fMbYec2cRr62/w8qe7kC+E7yz34Us1ipkmNkHZ nISIeG6hIAcB4u+gAY18/s8GGyjw/+wp2CkqZbj4jHxDkP4nQflneiYnzx9XpxpcAczEd6CRufOW o78KB86v/5Xv5hzoYfIAktWVVIaCt2v5K2/xAv9xlDpF504kRyYwK/1qZSt1Umwm2esdkKPYPrLa qCPe7/CxR28CV0mGUK4sJ6sP45rDj6FwmMy+KN6bsrSVayABxiwY8glY4CIxbm9DJo9fnYmKdpV3 fFbyafQFLhvVuF1N3X8ti9hHmiyJszYY7auGOkelTyDUlvyuDFzMUMfIWrJgxnqhLBqnv8/UJ5Xb pjdMgzCKjwpXdPbFJszgKNcMb0uwxf8ZrB14bg3RunLpyy35edQ/hGFmpgPot9W7WI6ItG0BNfRO YQskteG9ZVrgvDW3cEy2FTIkhbnusTnfcZtZWgK+A443D/wIyciG2lkKykxalq51yt64xBld9bwv o90c/YzjgGgXvimY3Fpg8YcenE7jwiBWPC7eV2+CyDOPhG3fC1+rz1HAPvHwVbpV3m9XdcDALSYg PD27wsgFf9ncURzBO1x04OVXOgzJMEg0kc95Oip+jygS4VWs/HfLF+WfBH2iwRt1br1Jju684QZp 3u+FvwjMZPcE4NOaCdfuEDf82RpPdtM0SWlIpZR9oBRS9/xm8FcUH9fcsDjaQJLAEIsvHqOwWm2f +1fDTJoPoDuiHaq0Xl68AY+w6HyAa7s4KlHGsXcJVBNaDuBlGQAFdNSOHtM+nMMN1hvjqM/sUJLG 4DQa8Ah2tpx7pWXu9o0AcleMOCLgaE7lgkjXfRNJAEZYd4eBdvdBqnv83w2a1DRa/KRsD9LIj4eN YN7iziwnj4TuSSJvMTN1hEW4dgdvN0stRKsbLZuX5DQc/LabvUiOSpDxbgbn31Zamj2x16udrKax mZowiXq7UqQlCKMyPXPGOIvSrebxvdC0yEoK9XQsUXicystedDYiUdFe+QFw/05gmAmKjLbCZYuJ pHCVl4lX6m3L6QJzAlJO3XcJ6kQPlVPOVppdyYtSMtWewv0KxyfBQsBXZoaajYhEBymgoA6n0cvH IHoqbKfFbSzAeL5nukYQfGwNgoxF97nW+CGE6zr9MdddbDVZIr0XxPNc/4LwmP1G2wC5aeRQBGAS jH2pDf9nFcUwj+M2NZyEoqmPKiwXxnD8Ule/iacSsQcioiCx/QTFrMGcSuLC6X3BAj9Z82kxiQ6Y jZw9Vzn30Wt0AORUfNF5n7Ljg9HVefX/uA3v7HtLmff6a+pu6lpEHLpVsMbkG9a2Qx99g/0E5b06 pTmzwtefnedXe0l08l0TwecVGzkBfTMqZayiJZqLskZ/a9TeruhmhR1azpUswyWlPTemyzPfLuyT KGyUztfAfME+9uTirV5nnAcjJne93aUvzgB5LNzwuxLRTBgyuT5/APPYBZMeT3ry2M5K6h0bj3w4 hRgW8l5odlr9aCCscUTq9JeAz0dCe0AKHNzv4pX2jSb4gbJkRZ9xN+wNup+wWVf/yC9pksRVE4Yo SndALaL78sVSOQ/GrZ3hoJu+LZ8zy0mXs4Cy9OqKbTMd6CCjCqJj7vD6P0EU5J8P0QFMpBj5szVf XGTPBDJyB+6Dg3uK0SQAyaY6GeDNptRMX77bZdpbsM/k2uZ2eAXMYIaznaOrySMH/N3I/7THq6lO 5ufcsndm/q7saK2WCA9LcURWvywWpWap3JKhU+KBlR0z2wsax5XwJVnsihhtzeHhswnCulnsX++k MJh1Qjm4Utfj5ZG9Gay8vHB7TxqHoBmU1JeoTSpVFongl/77aBecaZhxmMYcUNI6/s9fLDU/Z3bh cXwW04Sjg4Vg85zJjxZ2Zw38yUk/gI4IB+1HC3KmzrAB6+k7GSPvp5nhSrK+0hQtSINUk/xaaJkh T8jtqnmPmwYpHHk6Z9Y/n9gxLAucfvCbyQ4mMhyUsJr0JGSayj50M3WEUri1tlUD7t9/KtM5fYOb p+9WjvyH5fr7Y5Vwm5z2bDZKq4fYWyYhE9gEO1YFi6LbYSeoTJZBjk4LQ7LQ0Ro1I8Y+F08g0J3w k7sFjfgww+VEajNqwiGCqRwiTNkpRx34FupJmLAvrcmpKNjiraYTXNccfRmcKaq3yN3v8Q4giMyh 6PWMUg8JUy9Ex7kW69gKcq5Yvex8DqT/wgZ25L6YUxKS9NCKCKXutC5CT8mSjT/IDIZ2JH4si4YB EiZwyL140m+v3pEgGAAsF/y1JcqH+2QQLlGmx6EC4LQXzFGkmsgdTLPdUa+4VXAl3phwdQQvO2f+ Vr0UEud4uMkmnT+mBeYH6MSue3A1GPXK8aXshU3QMKs6hLXTizlpiKh+F3jvie2YpNhbFHnadiZg AUUFJkZpERd6voPPQvOXaowx/msTtktk3xpLFDu5wpPCUEDCKIj2mLihM9/jySJmbQ4wnjk34rku bmI8g/2lYwq5R3cVWjZdpZtMF1844rdUIacWQDCoO19bX7eRTOfF+Eb/cPViXw7+UzwR6/sE14iM urOe5WyFAzf71njBmXjjVzxmX12SiK4hxsF7AWq6yyrEgKJyGWbRPvE92OIF1DwlqnApSXnEdvD1 aIsKsHBlTDEBAeqURI36tkbGNGl9DpMe5sguWljosnHnzt8eAKniPsSu/kxhJAzH0vs/yTkwERt7 Tp1NrvShbghr1Ql7s//Qg3v4rGNAtTHVjFbVnWWGa8u7uvtLxh7Ewuuq1PvaTWFSau8tvSt7r8Sj RRnQ8FK2R4IBNf6Vjp+g/2d7BqeF6avdwlmwFb3ror9ydZSjdq/RSNFZ+ynaF4PC1qheHi3NqjcG lZ+7GyPxYr1DBKEYUWGFp9QehjdbyKmbfH6my0sQtrsA9aYqzsvM+/lzsdF13TZ/htXm5VrUJ4U+ Ak8A8trLMy3USSSCrRfCHYEYY5CqKNAKVCw4fbFMNmdscn1Y1JGJso/FE53LUfOp4+x7k5kia7uQ W5rjk326kGTASIpZYi9dxNMPpkneiTJ07uy0JUAIKfAx6YpuMfJ2rNbV7zajkCzfTGg+wHswx/VX x9+bvs39Idq+KVoWgkAzn8y1hVNCnXFoqQaTApcyFpaccBOsFd6kt0lvRDYnTJPYV2ZPo2hwfTfH VBYZJy6JpZj+8tQjs9bKC+52pP/u9XWhspZVHkkA3t78+6Qys3yc7Vl21UPPn0CHtbSFL74p0nbs +gvbeV5+mrRxPCZlfhVRSZ280fow+vf7f10/8uH6fkELLHSYpGgYf9bks6NER9nMHEGVb/2txhs5 X953wvnPVC8AYfEUB7fmBGn4IN6QhfK060pbf+FIc5cmRvSbOkk5DEwCetAjbjupDBSP1iHPMqjR /RZ2V7u6aK90nacf0CribjkhUBzbyceh02SgkmrQx9/NkrTXpOagJm4Tj2XUhxQtLakbshfrOW+M n+ZDtjn9bPA4gUBjY12xCDgOSXN6iOKFHNREoyEeJAz6UllyyBvG2wlTBGI8P93Sy2vcdisQ47AV up1wIqMomYc5dtlyjX9urVxlOJDN7vqFeiStP5eSe+iwwU0pjTuWhAbnLh5l+tp8T4aEYhbxTgcU Rc18j1dQuRr5+6Pmzdx8LT1htqBEpe04S95iuwte+9BZqe0BshWlLALPULWNesezQHhHAhb01x8Z aUSG+H1D1O7NTDxwdAfNTYqRjRpEcqbSAZ8yWWaqZ4uGZd/8Atjt+oUvVK28Hy/xLaqzNq6Buu6M epn+BaYBJyLIPMROpwF7JJ1DIhisJteVhyZbNBi72hPLWaItKLqRVW4MBwBUPW/K06WIIJJKIUgx JbEq3QdMUNklBKrn3sPC+3fMNN2ofZzjLRH7Ve9UfhFq98HbURN5bXxGij2a5G9EvdQmo/YFi5Lt jHSLIjt5/Be/amMbaEElkjRlyH8zyMedoojmn4hUkC/FdDRXQZUwE7YqzDVrKSF//StmsI//D8Kn SMLkiFuHaDRqswKeXQeRObXJ6e6pJBLZjOaHr2Gvd7RXgKY9Ztl8gNp351PVMBDB1LHn7Do9hkZf X8kNI19LrWwHkVV+TRz8XlFxnpybT+pBL1kp3qKHaRmnqSYzu6PfkQDhmq8WajmkhfRUJeF26nch CmU79xDTjPkewTPHjUj2tDsjbmhW0QmnlSa0D7FgawF2vjsU3VkCEfc3Lbx40dp6JMgtTG5WNs8L KQ4SUhJcV8kBLxJi9t5ik7XoH7h8Aj+bGKsMl9PI7mVqdTA1FwYryu31zQTdH18vCorEm/Fjm7ZZ i1MXDvEQx5C7agKasnWzVyPc1mPg61PZx6UZ9LyplXXsLkDKFE+mDcprA1+7+qwn7wqnrft0JMwD bR5+pVoQ2oANsHWGIDZr0FR8gdhl7wHX46TetplSlzXhadjvrsfXqJtmlseIDLKsMLdTnhc/A59Q XncflUJLeJInz9oNW25I+shCEVzkxNWAglnskT6HJCQGszW2FhXzaDpU7jnfHshzqUqKxVyE4HKD ktMSSpMCctsAWaILr15qmz0R7vjanUVT75E6IUEaiWBUOCl19si7ctsJdDRkB/+g0BiKknOWSsgc Nb1ELM111zxzFK3RBWV/ORO+RsPCF7wSM/3jA2+tSSN1gPoCs5guPPWQa4UNhhMfwCR65VU13/mw vcvACgwOGxFnJBZbimXvHSbncdt5zpMcAtpRCoqGfVcxH+gPyi8JBXuiCCb09zhNGhHusWDHTVZS M8W8D/I9ObJs0bjJPkSv98Ulz1ZYHioNEeHtdjq7ET7+k6K3bKcPR+xcECZ3giQyA8ZMnt9eSEIN 1OMc6Aum0TLPvBds54Rbt4AlneKN9GbePDHut+ScknGzc7P+xzI9/kGQq68WLtLddIRV7mBWpKCX l22AhyQYjBJIciUJMIP8+vMwojKy9PvErtDVNVngAqSpck+4svu+rka500xoOGvNI8YZ/vG3UazE yyWWNB2/Fzuqm0GebRkPujLAWlPLsH/sHboV+JyHarvkCrTg0VjCqlvqnxach5PCCD6lL59ko8lu j/zfhAOAxuOof18m8pn1oon/tt6lI2HOVoIFo31mkmF2T021NbVouQW3KszOhrtU8uc+xPol5WSs N9EM57jQaJwBXO4IhTrOVWOPZ70qme9bQ6YmjtXK0MuTK1pTl1bH+tgJjmQTo+nvxonOCuJml0Dq Qd3SzO1ZQK+DDIUYNS0zLlt47b/AEGPWr5gsN/Dx9Xm+seqVrUXxxyWj06rzy6OjGy5NmSP2riBU 4oMfUa4C3A/lG64pcCgY1lnhw5Swd8ftsc6QfHdv7bF6UfO6pu/4tLH9HDdS7wFBR1sflgDZUIrr 8xPyv2U9CPF7qzYBK60v3mZjaDd/4SdCx4wx3ONhP2wtrMBHszU/w3MvcqiTZ1cr8UaFD3d3HtMr TcLQgCyZHnDeaIdV/9uv7zwv/UoU7vWIi0vg5HuiMWnb7VN7/PwPZFE5uBSvZeRVRWKy7HqeZgDa ISBx1hKppN0BFG5LOgXAwzlQEG1u2ON1Kzr+TtGZJOS0LLs7qS70mHUDMfF/kkS6TphqOJmHDeon kdErOSYJTxcFJDdGk/vePbaMMCKdAcOeVPyxfgsD2gdUWGgP71o2wPVaTbCTLLtd9aDXzjL5lO6t ZqfcQAdndZwV7LkC+B3kv5Aia1Ozi5A3MPa6SBNj9vUGg6tBUuV+bj/umx5gp0HKMBRMJgqozXcK D30PPc8J5aOgrmStkZNcyH8y63U0DsvUzuKWgkSH3Y83QBX7LtmqUB9ubDmneYEuySz6u1SxGasY qeJoO0OE91/pSYAVAgbtJG6sC+5SI1lTgSWDs9vDIRUllZjTJGFRh4oAipLhSHclpaRt6pOfuTqn aVP3a092u9qJT+PtXxVMoXUCZaGQDt0oN+EKEe6QROpSUDqYbTplniAe02v7KXKr53w/zckDc3tv 8dBH0uGh+2ZaZ1yPVLtOul47ygpSOdn19y1OFb7p11zIKf1w7XYpjm46HhNlnbZWdXA3CoAK5k20 rlwYNs9Q5+8LaAR0zf7DkJWi9uLyENZ18o36vN4bZ7RNJ9aQKl1+2McqyFrFEiAbyrQDX0WbISWV A4SlkCjc09LzLbcxspRd+9gxQ1qCQRn8ZrotqsmA1dexLAMp6mNYgbeMJz0VcZ5CAt6G/yNu6t8l TDl7ejxYjm+Nr5SnP8V8458uGuLsZQSgk5ELMZyRolENSxbIj4zZwP6ed+OssSv/wIGADcFMHoVE qCIAdH/CnrixNBD6LNyjuBWaVkTatVsKkOonxfKuIoNde0ZQmIDi5MPF6Oi0DOfNF8ZbSE+xH/hk 6w3Bjf606QbbgFhUqUd0v5TPPQwpA/dq7/Mh33I1kF/pvcQNmHTI3tTwBzLYI0WHAEL2BRVZdbZo 0BHvtcpU9dzhlrI6WYA+g7rLVde5Y0lSu7TJMCde9IJqSQN9HqmsfWAaBCzq6yTnkWMr49RwduoM tMB1FISPBk8aGFViombmCd69+pfBjBgjWNfWOJxoerA15vlinkqtSJYNGrMeUov8rjgAcR2wP1Wp qwKuz1gjUWpEeb7ncJoi/rIdoWozq+8FPWjv12L43bGNlH5S0Y1IbW/GKPLPMRy8U0fa2YS5Rf4v VpGURv6FT5zF7J7cTVGih3qhxWCGodUz0zgKxmSEjY0NmtPssQvSQz3yyAY0j54wpCDbOtVL/4/k vw1TfiORwBHgi/d6p/H8HbvLdt4v2vqumhbhlDUvhZxutR2oL+f9wM98Zx1CafpVHbYA1Z7ojIQF R3SQdx0WUG3wJdbm0e0LcQURTuThRIKyB2z0C8ZuIBxjvoe+uL5o6ByY9YmAut48dEGrrjYOXUnx fmzlVxBOwJZx+J1J5nlXngMH7oeYTHdvG7jYNM3xwkS9RAdiLgSpnSEUS3PSYV40cyVJLnNoZFwD 2C6ghVMEb/5KBwdZe64xivHI1pa+9IIdNOvdMNBl7vyBI07h2NeshBCLuA+2juiIpXjApJN9kZZb H13AyUwgUPMlQtqNDEBLlKBM1hyALjRXYzEqiMO0kbQ10hOr6NHqFAVTXyxOPBw4II3SD3/VfQTX wv/lfsP10pawEJMs3/VNvNRu0QKH/XSlERa4V35W32uDvrGRhFc1Ntf10atCqo50/gVKwx/JSGsu 99b9eNIi2dBx6C6Nc6NfL0QoZ+B6kBv+qhEEgeCSm20m907GpDN3lN7+164pQeQEOKHOLWM750Kt 7cLgWhawwA9J/EngIGQZ5PNbDLs7xv0C6tZMmH7I9SbopavLDAEtaUu+j5PPOybZO4Z4djvCI3AS DijxKt1G9jm9vFQOGJLj3veNAlegum4CKx10tv54gSE9Y1g58vZD5Aza4LwIxy+F7stXaZVfyVeW iXWI0m8Y87jSqnimObycFnSqxs8e7XaqiNPhPdS9pFICUJuJ9Xbowb35KfeWUoKp8SuL58dvxRaF 8+xbPrdyxClPGNg5mECGqDnNsUZrJaFtVbc9iXBAIEKm92Cjc75pBHFsCrrqCCTHwyJ21ytno9H4 dSzdVyrXg0rrktlvyMnU4Q9mLehy/vBuzEQ13qEoAYLWBIQNyO2QQbcD4ytrWJn7igCdTLErXfSU OkwCnQ5z4hozT6wz51gIJN9GyDiQyCPOCa6zrfkHbQ1m9Vy6QJwFG64MDLTgBeffMY3Qy2RZswGR L6eKOcgGm+XJbIsXZnmpJvcjb9gdhQkbUWqRIKdYTgBDGK1w+358UwhctHVtXamChK6DG0EVEWB9 6a8c3LTziJKsnnrdgUulNyN8woHk1kJlwXl+pKNWoegiwjerDFHgu7ChBS9NOP7hp8DANWKnOKGW Uq6sxsiy0wR0aJWA26FMI92+AN70oS3oG76jTaMym7mt/jZvyw8DM1OgQJj9cg1Ep89QcqxBMZ1p UxU+hQn/9lNWedXVUwXv2wWc74TYjjANx895UGifmndfCwH0Nm6U+KnGdzWKD0caicSVBoR5Yo7q hZ0ijYLQzH282kZmE+OVJjOKA6O/mFmVF5STykn5qZ3Q1rdIT8qSVavvaJ++WXerv6qtAnUva0wd S6JPsHbV7NpmPyo4UScDBj8kk3mQJIQ6QnNTp9bO3oWJCJp6aGQWUd+lQEana2UZsUGFtQdUnbEt /mQtSmw7HWQ6DTMGd5qcGXYX3uk//dBtAlHBCK4GK+s+1i+m4zNdLpDJPQ8LEAx3QeIGlO9ItPAA GS1R3gBCFisRakj5H4VUaPZr1Zgq9zXVKe8p4wC05V7G8cj4MrL/NMyyVXm/sgVEVaTtFjbv3QJ/ yDnNFU/N/IHQdulPI/BLPNt6qkmH9vFOn17/xa20B/5/vD8X59WPjxmjhroONVEL2MtONFP7c9Z9 L36wkEW8ORY76bF35e1cvdkeIO+g2MPrPJ2/Kv+oobejQTsZouuWfIaqGqk2pErhi6fR4IlvwERX 781X5gtC835D+RSrio4oOAV/XR1soHWNxNfKY4WDHIoQEdJVsqVVm1aF4/MhGDZI735a/NBq75R6 djeDjcWWeVi1TxPngLumTSNZ+OR/yR6yLeBiQXyQ2fDUEEivBnYWMA6plLiNHBfPlESwM9tCqOiA 1feB1BycBCvmsOOjT3fxPQE95SFNoTRMSSbFC0OUC2j5TQ13RhJYMqXCYyTiEEqf2C6gQMkBjHhX Dx1cV9wWXeR+XnYOY4bbsXf20IHoU1g0m0WGv3muSyEK+YK4nkqOBgXOVcjaSVArLZDRiIwsvuxU NhyosSn87zm+rTUpvLH6jywXgyU0AufEhCT1wH6H4NdQmRzbAgkpiWd+oG0TQ2BAl/dmdOc03leZ rKRaOuRD2FRuFmlSJwygcN8FJBsew44QFr9jzvmmmiLW+k3FCrJedEHGeKXu/y9+BvbYgt+h4VEK qDV/Xcasn5zcTgIvmpLvrDGNHBOGfCnp1cIERtVkcAB0hcRV8B49IdVvXYuCMsUquGpPhD21JHTY IN8yVKC5r/jaBnBSCmdXSXwVBR+pXtYl/sRE9jfDRCTfz0hPwXy1eCKBg+KEaqos3sQHsa3jAP38 4JFQ/PXyTPP9BRIqGfsTiGucSATiIXhdSudyXErqE9siQThBLU1tQXKvD8I8NcsDK1R3UPg0uWFB 7nWcBH41xc8b6tpxjLkMEARZe/aOBXLJ8tYVXuKt5pIYlCt1vt2YP8cu2wdtUrKF3HBucCZO4/t6 UyGDvvMPHg9+8dMAgsT9ombHBkRHDZ+8v8Up5oxbRMFsW0quVPWZqqJyQi2BYzRwEFU0A/0W/SyS vG+/PDnex2w5k7uWqLXo5WjLDteCzxPXjKxlB3oeAHUBN6dldJeIdzxv8bKYMyGHQJi9gMPDm9PU 9insdmloDRN0eLcBWqNjR+vKgslAh/baV0siTpWkTitKj0J3da1zvS9Bv9zzAwOsEOk9lMkSxMKY ZQSLTi4j8eEQLn96C6oSGROMPJ9AHK2e2nt/tzGNVXfJ0N77eZGvrssGSBHm0aF4h+i/UmmZ2R30 9P9jtRwNBTs8ue9IWq0LvbdbT6nLXma6zwIO/fQZvbwXCbk7mD/nV7u94sbOWZjwoOOPcivWbNG4 2amBqphsq+C6ckpLgelX72IWGF3CWv6W7IjkxRRWJDH5zFItl3uNG+ey2w7Hnouo1/yj13zAPgzc VGY4vdly5NJGD0tRdgdggKqBruhG2jWbLyTqzL5cLTYTFf0yumm/tfkFu0hsQdxwrI48i/+0AjVQ 5rnfXhZMrjcXnUUpwTmniRMeDx4Bem8zg0YhR5PEck/gMWFqLxVzDkqbu30MgYWNsKD3zJ88yAVm jvNoUBgzdNgDvnqdLAMWK/BzqqA7L1shZTYKOEeySoJPrVwuAn5NIsC4o8+XQwboqYA5dHF3Iuzi L3S5JBCC7zKDQIX46XQnh8eXte8M0U7RdQZIfuJzE3RtPICg4CsEIYyB7OsDQh26DXrwcO3o8lcI JWj3EothVi9BK/IwhmnskFP3KYYRsM1N5Sa6pA/5B2K9QImK99Ho9g2XoYxDDR522rv9i2SA3hN8 3UjJU2k59qBowC2ccutA0xLaJ2cPmTr95P/cRnJPjpTV0SqU3DW0fVmyMIao51vQaUc57zhvyjYa 2+TvCiTz/FXu69p3VRMveIQQf5xL6GV9UpHyXY6GbIYKoUJf3P38KaNGe1Ee9aMy28pImG/imtlV aYy+yHipUWzQ7NT6sxF6WB6xpGRm2vGeEOyWHEnVnJMj88DvBQtWUCMYLUVkLms+TihTRXlYSIJw dPS8gM5pAvTagfoytnVvdrQRqxBaZgU+9RVQFuBmh2U4WnezinLFbt98cBAqF9pV3aEPjS10N7xj ImNMGsMK6Vkg2hsZ3FXZaotZCn5xm3Bs6Xgm0ro3TpMbrttyzHq7VXgIBgrzWfutHHB8qXOAg91W 08Hyie6jKjb4Z3IMCCI2O1YmecMTPwYsf6Piuq/FAjOUM9dp5Ce9EAHP3wYC47WYNCMFaLWF6QfP cE9njMQlFuX7jK4spP3bYyRmP0oRocaQZv/9x7h076MfvKfN8qLuR0AWz8kHkBB9r1Jm/TqztnPn uocxTD/Mf4fl08y2lZVm99aWGV3Ct/IrEITZWC4k7Ldh6B5P/rY3bGyLSWqF7ffkppdR89v7bNpI vgiPPApH/5BTvov4LgvTtJmVw2ZxG+kdgaB+xHySxLewPwBbfL3rrosdZIVIQc+l7m31ycii+5Im LRu52Qa3HLv+pqBoOWMdYwMeauHcHe60VuxdE8a4q1JvEzA0jOlKOnartivjs+QPOwoLAqSNByvK Arg5IiGgyIUILLGOSvLpaozANf7GKMc/bX7XYLgxGpVtuefyDxWDiWKLk/yHzAj4G0u9Q+S6fKB6 WgEXVTaUXQU7TR45kDQ+tFvVGuwKeExGt3BIoKHVZwHFSFNcSG6kyeJs+xn5N6HbMapeLPHYYFOP TJ9ql/pyrv1ZH9m/3tcnfS/JkNDPYttT7ah94InmV5eYhplmVLuC1Z5pejWHIXuLWO+gnqjQSS6H TSor0TToXcrbInMyHWsq77IdTtL9R/6KfX4VhmyTflLV9ZAm7ra6Onegp3t0UfrPRYNjOnSdxGWd A2xmH5OQ8U2r3RGbLqVvSv0xV1+v1MRmw8J39jDwSpT2pcD9LzZ2l/F1RGF/B/WNDKTrhUCuIxtm CLIggITautWE43WYvlIay/Wf0KcNLLnOFbYU9WmdwbO/z74Oe65PYW9t5Wz/5jS7M6i11Md1smT5 Yvm+X1fIxGFU8jU3RZ5s8aJsLtGe42qJSiE5tF4W3jzWNn3Uaa2N/O6FtPZv9aEnAkqm7C0Y9I61 ar0sq+/0IDlRDyW5x9JF10vxv0YlCroWI2I3T+hUUZvxvgw03c2qIw0LlExp+OsOCWmzSv7WsH3l 6+Vso5Sz1rkoop7CP2azfVX3pf2r/vAbJ0LHjKFhxVtyoNilwR0Mgw22/Bl66HPmGx4atXiXMEWf eKOIGLMK+ShOTl6DLzBalIg8DgWqGTBFo5mncpWoYT23ps6W0ItqhMW02Oe5kEsGfLKnNFrEzRxD v+W1N3NcsjhhZY3e/XRWcRqFhj5CU+h/9pQA+zKmZq6CJtgrC9qzwkzia5AAYbhLIDmddG+bVrjo vOPN0J4t77h11BziGkxrySAAnsZZJse/L5oRljljhEH7bpPP2aGXs3TM2jpmXeBBCh7K8rVjfcRa HEl3b2YUqHrHiS2jbZSYOjx9Wc9AF3e/LF6/3XjbpPKSf/g/mCgUXWUteTAi5bvNHSlZy7fszljS 50hdyydtEJ+AzuAKD26/LSIXktXbn0hfE/fWzOkYFNCrR/sL90YcyMiHqcOQaXHm0io/FKdieVuy BujrSJXJh5W/L2frs/KC8VH0QMlgd3zLyGnu057dlZQjSFfqBzDxzoLaL2VyFLVaOOYcEZwsRSmI +T1dCyXvvW4lPzEu2sHS1XlfMak4cEhgbXiXlXu9CWZXwPhPIOuihtahKgkuP7qtaSg0UCulNg8h Au5T14vzwAOof+jf/bVcPqS44rA8e8nzi4hHQ1dnh61Ndbem3WAYPXj0WxFohDGm5fJ7jvdjKAiq BIwIu9BmB9mKUC7Sj/BIyi/BWWqsDIhf+jaEJjNYgmEPpV7SZ6Bg7VbX8b8Ff9tyxocvypHneBdb Xy/ZKuU7J5EigR4GmZlbVdaEgRxEBzu/99+ru9waWDIBUYW5zo2d5njWSMoXCG2gVeTJGdCa+UxH 31bSVgDlXY8ZfN7H1Nf4irhqc04ysyJYUNM8wa4hrX4sDE1FUeFbfH6Y34uUXn2eXw9UZlCca0ZW rwPp24zc/w5Eanqhx+Hc5qv8FGpOZ4k7NVrhtKY7nKpbq9j1eKjipmu7s2f/axneRnvJ0MMyx10x AuSLnzZUUkhPMn/kx7yZ4bc4iy2956RtB4gg4XgHc9ckI8Nt4lceDLhTwpE3vlUaWjJ2Yj8eYB0g IInBRJYqRphB1x3gNzp0Xd6mlR2FlR+7ZSADSN7Tn3d+pXgWMQ3fjeXz+AF9uoGIs3ZCascR3uFJ /hWYiGWrbjjlA4cLRB+h91GNETFHp0vpgFXKnJLUhPn43OuB2pY/knnYn2jMH7ZQ9eWDzrv4mvuV bIC4Jdg5F4fb8cjpZeUOT2FMgK7tWgeb2Y2UMzMinK+kDTM14R/H5TveHybAemODDOf5D5+XOsKB pM2zmBiTGl9UaPpqeABx3854pBPh1I+Io55QzONKipOTyU2SzAKUcIYldRBC77KLSRjbaxL9Xr6y HwZmCx36kLG9IjNFN14bX4MRLvLPw6T7p2Ec6aaYLd36GB5rOErCYWVAc82K5z74BhRIDhevrMBy Lrx1RjsCgeosULAuai5cG5RKZHC7TjMD2/WogPwl4A4ku7Hysuu6AH2KZP3QvHQZcETYuyQ8tlW+ xvjzj70l5Na4dxc53Rcb290PcnsoR9W0t7sWeFlLYV5Znl0z4UUrKfwLmaJSngsCu9qHsEFR8Vi2 kX/8Ow/N0/YO0mRb1QsOvopWVFdlWsr7Lh+gvE83+UxeNxdeFKkJV7gae37ExeuWgO0WSJJOVY2p 2eAaVwW1GQWYRvqYPOboc4HOpoFvenZJtitpL2ApXlz7AD73nFbtwUAZm4JtjWjaaRglvcysqe33 DURPMDWoxJfc/sBuo06VnLx/cEZXgh6UhZ+9OBewnXK7iMJSSl0ddNVqV2LHTRacRLDJbrkTiBS1 /t9FODGvL4dtf7vEoNztJazo3ofgz6WoHvqk9ON3q5xyZnmc1sg6yxWSYSi690wcNdkRbjSIPqSq u6pEm4z+dTa34BJTFJh/w2jAoOVit4IXMyyu4SqkoSpL1M5XolKnpHfVrFCe6SxHYivCzeuOCqzU T15s/A7LJy0VyPluLqdtA3bGvu2ZTpvyEY0zaTs5RDdJnTfSSDC4HYTdQmW0Payae3Wtj3FVJFj+ VISQBZ3SZkPXEXhcyQEER2Va0x34om3w13HKkE3PU4ll5ABIJCQcroqW1Vb/xj0i4zK7eYAk/RIg g4JaLJ1BYyRtETp3d49afY6P7J5p6YOFBE60oAppTgvKuQkZja6dUF+eZSDLi7T4gYS5D6eWB7WV 3tXbQUJE9QOKCVBDTn8m4HdFigJ2v4nYh1iAiJM71heffbuS24M8gFCVvFnS2Ry2LT1/9WHBEpK5 9nsBEuDAj/fh2GylZ8vr1QUDdppvrRIHNslcO7XXR9Lq9vQXLZnfIkCD6I5gWI3cZjfpuVikhpKV PpRwv0tXg1yuH+oggC6gd/T3wPOGCG/7BN6nUH2Q2Xwnucu4ZS15K8RZrBSCE9uR6N15pvkAD5km tf7s+xGPWRv27GTYDUXsS9fihHIBWfgwxzQtW10Wefae0HZsy8cYQ7C3vwLw2pY+Kx9KBW1IGIaX 0HULamHHsmS1n2JlTCeovq1uoxN/5bQU8xJC2IITrlrbPuuUjk7iKJ4qlO8WCbeLm289AmVuOwER 2gJlJ4uFypGV6Lom4xEiMBiSIggjA9yXQIZOqpv28Ap3H910TuT/YQ74jjXeWsRhKyqFgpXVA3oe Pd1UEdfsSZqzcjIXf5ZRCH8umHSZRut8rGs0pWTiuwCO3NM5YNRcGnjod1WsfitCdZQTc+AoPGO1 HKHx+DJd+cTV2rhdXVBXmgoNHzMM965Z8Qy3urVYaTuZrSgog62hwZ+W7EKc89Qm4cxzhEHY0tGt BZ1B+F0VxfziSL3PUYDCIv+ebqdunWGiHFHYIGXb8/+GEiReJ4GOlF51yCLzng3d0nVyulspfaxU o2YX0Z5j0mz1A0aozxX3PXv9y+NUbWVKeTV+ifE7Q4aigaTfmUigRwoiDaSeG16AJv7CFy4qL3Qs Ij04suxyos4a94WZZPOVso6wp5YnOpNfu8Wp+in2s2c6wTeaVuZboty8F3jC6vSAZIDbj+luuYYP TuxyThjMftxPwGAJRHFB/zDvFFEb2FQC19KNnucE0hFWyqgQg8tqyRrRDhcgFftCzaptFD33Xqpv 4fwzIPOjCnEs3hPUhS//rVFTz+clvGnb0Efkg3abJ/mzpK8ovNYjbFnXIy8PeNSkqLOtf5ejB0qo CI95TSSH5A/Mf9jK/lseJXPmwLE5fbA0losk96PEaW6Naa5tBmHEqEGN957umfrtreSJCaqmNAGT f7nL7wHNmZrT8LmtvT6CAUwKFqm0amf3+rhB63Db38N9RqMZu1muWWNszKw7qZSYYAbruluqjDC7 ZWzSwp10Zc+i01C61Mjq+YYLBur29VIaEOYoFLh34+a3vf7vGxS6nGD1EIdf15cB4O5B0DyDUvNT KV6AeKSiPKpbQVm8XOYA5tVqebQUJKkE16brFy/cDvjrhG08wNXCQbcW5WwELuGPgf4MnG9o80zH ig7DwhJJANLykwsOgW9Rx0k6FeIZiQA6ytxjN1tJfQSOk3cAa2WKMe7uVjTyziXHqplBZAEx08zm DBi4q4EjGL+xi48d0/afXSpQ2hjLuEzdcYB9K8UtYdtFN2JffLuEQb8g8WmRU3V8MeYYBcdT3Vjm BQNpLomo4WH9T9KNVTWJ6FAfrLssuhMgfDqE9ebV2Paks77fIVT0k8xUDmmA1w4sicAY+SWhSgRA LdVzybhwuuuJrOAGrWrHDy7iAtC8+yfr7RN48xT5yGfRKFe3thWI6Dy6Z+IilhYc9sNJMrvpovol j4ZxgeNP45H2PlSy6n5aN/JyqerMt4+ErLTCF4eTg5Q9+BqgkVWisspxtlDnpE3OWnKDGEsf6/4e FOjX/jmcjWQqUD5UFzc4Yjfe0wShBYY97kGJplMe38CIJ2GlNa4RQNoFLH+gG6x/52GQDjzYl4eW rVQxgVYFCeJRR+AAclrrxHo+owxUQXR3pjtyjfuOTUW1tsLGrvbKHAZyaPHe4LVB408A8fO6wLS/ gNGcrX7in15x5Q0o86PAnxXeEtR4Him0AfL7vNPv9EkfC16NToeP9OV0tB83u+bkSZCItan3lr0x WxTqSkvjV5/Gu868xeLsgaxpQ8W276HrnF8OPWaI61Udl4ri52Qa9eG/oWGq8t2B17KFv4t/d799 IwxpP74re7Jf4K9gepH2d+sfBvkBr2A/MXwrSBkFEzVrluxqkiYa1l0LxzmmCKuRZS8J5y69hd2O yCn6QEI2lOiYgH2y5SXjLfZxleBTdXE6bH++13BLclCVYVq+RZwPakCrqzL4uXj8Xs2Zq8gikhYs 4L++hN9TB1tf48Vq1QUyJq0mvJ+YFgirXnYiK8fL2LLgJpLpf30TO9InG3ePgqoPBg/8MgmJBXu9 vNV1W9vohUonclNPcpIusX6zht1L1H4VEg30xUlSDIM/7WUr8p2IObi2QoYb+/tWhk5H3118eAg6 lIyMXqfilMqKRGfvoDpsnwmhO6B38TohLDC76Xl+sf1GPOT+vhIiaLfZtjL9ZdANtdqgMbK0pHRU 2RtWQbGBsF+nk8k0BbbtKIXV1PbEc7nCq5BdiEhxg/3j70EoftALlGaAmncUIcSRtK4PggeqosBW qLenyvdznKvXQ2CZJB76D4F+/JdaOzyrIFOUfRW5WUJtT7OdrlSa4kba04KMWLfyDVj6M0LWq8bE G6RacbFGnLcpwX/ysRVGHdWG3vMkT1EAt2HKC8JwYYqa0fIYa2YfwX0oEVrITNBRhKB6OjkzVEAu u53sK1lLP8dWPc9KIOPlIbDJ2u0uhGfnCMCbgooAqEZfeu178F5yP0r6QRbRUrvLtgj34RA1LWcZ pGdOrcnMLI0jpNEjaG+f5LwEEUYrzS84rKVSxmXnca6Ai/nMFu2cD0dXnZIvVOAYr4V4PaKEqIUC Ts9lHHm7iI/yoQLsYre7GiuAR+tDfcjUGI+rN5XZP1mKgaJlMuNPk0pgL5EaA/n/BjhkGSQVJ7CK kI8BoFCT0LYEtJ183bfEzPeTPhmGkcIXNw66UyGLV32doTGTmtaXqGD7ZpzI0HIRMiMXfza7WAQM l+Dvj9XWtUD7hEwCTysrhQlOAu+fnYjGdwVhAaz0iOG2aClD4OsnVKRXsKfzAIkWueC0hEdA+mzq uFR3JqMwdKM4iJ5Foypssk6c/wlLqr3L5OoLQ3qerW5CtK22AQq13cMwB+Phl7o5B7fuEUBrXzBO qn5y+xasdpWGGLzzcUsYtNAnMNadBtjVfaPaaC/HyDWITVK1LRLzuc39IoxtevHrB0hAVbvLuZfA FdVXIhoWgP9nxct0oPU8hwkC7coE1CR41pINxvxdGwT4V4EFVkKyxSnlZ+QsO2AkztAn9Grc2A15 OkcoEtxpm8g6f7Wr+ImyDie3eLoI70XfISTIQ8IEZWUZ2QhxPZN1zMPKSycnv1VFly698RatuWZh ceXF6rhlutArLl98gqQauUsZb28c+e1ZUS1bzCgYbfh5LEh8yW7Nei1oVRu7Ujzg7ZjTzpRexYOI FYPfdvkE+qs9uPhEHAxqPtxU9orSoaOmX0bD38emfIKo93egcQkDt9ZN1vfteMDqZzBPYkYQOYTi /cK4/6+CGC1Ldos3O5SYyTeUTJs+useVgiMQQyq+ddmzds05PuWVcuWGoJKMIeFJja8qI1FLpmdb pBGQUCkanRA0yJR3IZCJAa8pvQJ0dEsZj36YcdMdfbvIf+AQ33ncY8PtiP88uQSuBkcWJ71bS03P vVmx6160HRbWwQfZzNn01SpQss3QMuqy3NMDnUhvvG+rx6Ens98l3F/CcbvTB8mjtYU+ep4q0OGM TvSsTHH9dfTvRJFd8kK0hx0fqAqoZo7yARmfys6lh9B+Sc2QDq6s1m9W5meK9UrzaT68+NtJbkc4 CpS7upXAPE4dbjUR1VlOurPonUivGTsQeZdG6CBumFhh7V2PF5TKT9FCNFp+O0pz0psOJ6xOf41h nPUU2ep92smQu2WjtT5zs8Jq7JqMmt3rLauOem1C4GA2H4sNgqRxg1/LPkTN553c/t7JU3JBERX/ kOdI+ekGsQuDwsXSMNNMpHwoVS+zgwFYTY93Zbx1ku7QI0NUnppXbwLzO34TBKM38n0t/ULMyYOd sm5JoP+pq9UZO0ulaz96868Us5iRZCYA/6lRPDBCg4r/YeU6o4bAl0SSmW4u2+VkvLzVZ+kvmlCz /J4TOryovspAQwY4WvcDJvyDoEZarnSbm9bB7kWJZbdEkVqkmzimp5x8FCtPj5noDO7XzzbEbzWb TvruwzyclHZ6/6DAIGLDaLJtwc4AGXwebw96TBj2aYhG9MAItvlJiPsAIPBBiVYhRLdODMZxhroh XaMCGkWHj0Tmt9xfDj42K5jeTTFfFZGOOSpXkQ6c+ZTPVC+1Vjs+UkSypeGZXHnHlRxkAZHJizmu +mqrLZ18OtO0rk37bzlTX3GaLB92HYQpZIM9tRIbQ+mUJrw+JF/QR0tY5aA4TZVyXACpKhSqgGb+ hbYXQCvHLmWc+mlCGKGPf49nKHY6qldHVOWqch2bVcqvY4Nd6ME3zdBJKjkDymPBWmhp6jTxK/D0 H88wvs6kF+RqQZlvhkZJp42t0/4M7soK0UAfKLLkkQP0nKGDIsY9hhESKYvmbSKs/hT84ZEhA+Ek L2YmmnZGzA8hxLfQqzaDnNfxwGR4BLFkJqkF/VtqCJ8EbBEvsuvNUeHdKP5v3CPJixA+GNBhQzHY hWKrt7304kDOjKs5S+Fpft0NMXDhGhfaTDYQL8lHe0Yg0tbLIKlM3GPuyFBLGOZsMPNLjcNK4leE WtqnGitfuFSly4hWJY7Va2zqIv0ofKeS8UQzyhJSlLYce2IUYtlskk3JsjEwuUMsicDWcisp/7gs 6pdvFwUlU65qclUUrEMibnx2CDTRwxHasmXkMBWjgXYtXqKRBCxOOxdlcn1hhKDwFC8c4W1kXWyB cQ0BKRtIJbD9Y/Jxe74OJd2a2pSTocl0OZsfyZY/sSMxI7CbNITAYmn04OhN56H3t5zgXKVk/WZT rtOuTtMZWfGo2O0TGPN/iRgg2YQiySsptWz6ZsID/fM/a0StHU3h+IvNXPLa7MKhl0CDmWuL0LC5 PIpLVbiu9AvNeMrCUhJMgd25H7WIFd5g7faieM/m7Gtr3xXgqw+e4R3S83vU34SWRK/llYnnS9Ad qj7+ds7zk9wVos9qMyKbja0GgQ3fBGNzb7hPRc7bV32tGQnK0uWmNFZV/4lK6OJMYGZ2hPoFqzxt cLuuGxzmzCeN0yxBajbgWMPVGmD03QqbLC1fDY5QjlMHBbzItyAEZtAo6AlBI9RVytaGqpPxwndd rm8kZy+6a3W2Q9ZT+X78tM1x9nz9qCd9RMyVGT43WJN4zNFL6j0n8Kdjjpmiy4enf/M1IoxQR6k9 7SAlaKSEzSHi6Kblpt3RiEjSnYuqew4QwAJjxDwwX4N8uApudyoEzFT3wOCOlj67XquGigKgDq0h cuWXMjQfEIQZSgtebwRJ/fnKJC9RK7GMv7EMqkpdKRcTbTrgmbmTRYK5PKzsw2lK81OQ1PxvNHw6 0F43zZvlKuh8J+gZyi9Vo6NgPUFyjRDvaVLoUstp0MMmGs1/Dqqleg+s4bOYd1/VEDsoIxqjDyU8 nRatR0C5hN0N3Z5GjuiOuZ5CDV/QmedWTywrW3QSkIToqZCNeV1gwMTD1ZgLypXU6sljwgA1Zw4a dT70uOTGhQHEfSRhVyX6ONE3kTTMSd4+iyF45c12QkIrZbVvqXXSf6u6xOGWwbLHcMdYPMEEJ+Jq 3JO3p90Ew7lncLGvP5A5YG+31qg488fNkfm/nhr5eNGVq+z+USelFYU7WjkAdrzB3lkMT7H1qEre H8b7euEg93+H4tb3fKh0aVSqHXZsqvU2jUgDp8H0RkHBvx8N6HDwfiMKWeO42tNAl9s3QYEF4/y2 0alGXca6UPQc4jkiXXLgKoyNSskYGUF58NcwozKaT/8sBCGvZ1ehQOHwJ2ABo7co7HBKi49/ChD2 khhXy1Kzaa434ZkLChgNfDRWw1mTMjhhQGNctVn7gBli6arCeSWX8qCKFxi2jusJRKMOWGK0iHE2 tj1Z+sgdO6CULmWoXLv1BdjE7x0yXbjH5aKz7PEljljqtGOsdfZAIg7Ds8FzqY1iCkIByZFD6Pm5 4GLehn6cry2ZZpJs9jTE0qzokQTv6SEcnMw1vhFAUKlvGgw5VRbGcUz1ql4i5YMRYSjNi2x3ICv+ w+1JLviGZ75roI/rvTnrrruoOZYyWf/OXe21xq17J0+1vOTGtvS4YrxshJCabVjTK/iSen9Ww4l8 nwrBXFhBFZRatAwIT6awIii/Cy/GAHu6VuRVvFNK84MRJbS5XfgXrgzwTeW5vFAFO4R8f0iIeQrA DtEX3df6V5Atmjj6b2dx2XZ6iHsgF2NZbKFGPPn1lRCAPZXyamc14ZpacgwIU93apKc8b+E8Ud/M +MEHqhmNoFgyzA56gYjgko8j1h+F1s4SYM0momPOpQyCl+kqWLXnM/7TouqbQ30y6DL0/wWQ2fnS LlN6xn8nzqr+0KHncKQsgo/EoFzxnjVQM+b0CeGqFwuycmGrhZ6Ve45XxlL3CN9oY1u1uVbqjToY MR3up3vbtZObdHbRTTyE/Ql2QqHlzcx1KNXvQkU9jYW/5pb+gGDc73qXCH7mfEJmVgj0iNI1i+pM yFdQdGLGNP5QQf4KJx2el/Sd3BueJu5YG0tSL/k3XXBbRCRQKLkiUbZnpDzvwptMf2QVAohoebe5 cu9PuUXGIvigJ/ULN0keoNK1hMCCd2RtXmz4A0PHe3+qxahuw2QLdi0ERNL0m9Yzh4lZkY3drWCd Tu9mU1uPPVCpLKA2QKtn+xCuowqoBXQDBq8CqPUn2oud7VDvTuLFPZxO2i8LST2jrzcD2zwFWZbz HjF4NMzuApHDWmZnOlSGuulwRtWXAfZCJVHiKNYItXhCXxD0Zpu+wJxr8t4vFJcqIFSOCnktWg0J afBnYMySH/HRgZxNIuU/YSHbJicGm2imd1CoUZZMAIAsfE8qPXHMOLlpnDVVZOYbUdDsrSny6NKN TZV2Ka9Eo16EiGC11YfuqYZO1Gya34zj/ZN1agIsmGsOQrj+50vGUd0K2k4rdrEj3pTATGwR7YGo cj190RVUgInFH/wp1r41p1LP1e9bSAimsCfDfU26LVcm5jOdslcy+dnJkMqhgBOX5gxjAP44Ji5z p1WL48ebPeoF/+b+7XU7WjlHEh6B0w9WL2Ps4c4fpBW5Ik6s+SoM2bWi9eAGEl1bRqbVKJ2U3R6v peTOXR3Gd4NY977XeD54xa73RlP9668JkywpB17Zz/+Nybui/zz7CCfjOpFXykX2vtNJLJsbM7B0 sJSghURM3ZHiba2m/HcsdeH9O/nxYA3Rl5IFaLZHVlbmT9YVHA1nXnxslnwU0paPVRHl6tsOldM8 iJ6Xc13+/DpInd+y3EjJnWl7kLFE9i8wwF84GgWAk63tSII/EwHsH9L6FzZgNLjYHr4wJXZCrjyE tp5ZvX7Iio/MSPXozSrNNhxYKozoFMKRcH2H6IZsS7DDob1j2gvrKmLcA4IG2mwVXS9CCy+97sHO BXKAd2W/Bp9yjpnY8tlXJSjnIDTlU168fCvpolwlcdxvop1aq64bslGv/wfVj7m8m/XmzsqhUtSO qCvdCFSe7Ys0QOcDSBZ+TWdPmUIOHKG5MMZ8f9R1i52qycYZ9NLBKvRPTxErrvJdsX3vuC6uitIB 4K5k4glKEOg6PoOMUtdZ/kMaukPgM65eioY2pgeJ16kW6em4g/UVmsxUFrHHbqEi3xF8Sm01nWg/ +T60K8KQ7p8yGe6q68RPr9QdhXrc3x+mMkmBzoystYjL4vViLThwQVLS64LW1Vn0H+KHnovLvzsc HDmlx+7YQjZ8WNQY2cl3AtlLoVlP/eV05NxrCBRFYNRiG8LVZGdkWVe7T+E7ohy1tUoKQOu9xdLQ cmHKpU+JIMm10FMEGHwhJLjsEcQOJQZ1oKz2uLj26jT2OUdxbddNkRv68BNIlkRi/FPIxcytgueN kpu82BlLBSKEFSx5lOlIZ0s5mmfDJvIhchKmChR39sDLHh6ybqedP4XDueD3W/1ytztUTZB00RxQ BRM6D5wyooC9xhhog69cdrBT44/ZrWXIAIZyizFMlDi+zHZhTAmUc5h8Xj7uQuU2fxigayQWyU7t QegCZoVWPMxbiDGL7HFJb534ijc3YZ1KUfZlVTVYGRpCplaE3JKmQIWmrNxgk2l1h2m2Xy86G6U4 G/1VQT9ourV9rAupbSwbbaBV5HarrGbqoSDxHcmyblkI4I1Cn4plb3ROxCSdUXVF9TDNdvaeJWgA pFBQMRVaM0t0/X8gx18H+NIdKhFM8OoHR+TT56epMuOMdvq+qJvmrVhTF0xifag2V+R3At0Abfkt RT4ljRSEFBu5IQzPoaVRFCHjAhy/JG/YMUUGMpdLLIdHF6LFRI3rIrh68fQl75JEcbMgB27boNlZ jykdsxMflzu7kOxXo9sXseIcRaSCHqIqPYUzl/itlpYbSezPoxkfHA+QpXgByfcSPApX6ZNUqrHL gGrP/fLaNLHol6SBDSzbK3mw4xrGD9jh+2YTxmdYfOHyDHWJIKiJCmacVBRyl4gkk49+7pHXYeGu 0B2LlyGJLKagcKysKWDsLLLvXuwTwh2kGjlTlIZnKSv27RrgKR13pSNp8A48pda5QweqgGZkJg+n EkMDHYj8xq4Y/R1Fb3Wc6UvxPZfrjdWRpTvrjfu2tvluMMExxUM1a+s3rEQMkaMzz8hjsHBw10Oh JPyOMycvVSO+ZnOTLebMLVx//yB5KdB6uATvSyAu7IA1XwqOxt5Jj7tOdjj3cBSbvqv8HhB6+vuy DP5UHuykleu7Ivu9fafFQoofHzwO3gQhC9NggQETeXCpFC/wXv0obhpTf3dglyppNsjF4Ae+Qqlz B7pyxwGMgV+kw9skD04zd5VuqG4SUGWuN2BMVCQQ9KhHI4srG4Vn1mUXmxkGyikS+96CXYCjOwIw 9SAOhvPXWQrhplrkX39OvqeDZgK6ZAa3ZKoG4RKDt+bMq5/L9Iw0dwTCg1NKOridtWOKGn4dCWQL y1XNOsM1mb/VSMDHU5jyoNrvEP3aHvA1N7Qpm/rWZY+OK0hCxoNtA06KPhyKMSvGgj49LQRaTDlk 1zC8OXI6/N0OOMX3RdwCkzSgYabdtg1oDT/kTL4YQQGqJQGNG787tNvwadRilqB/zuAfltk3uOXj /YEFTpdAM9KWBBmeKW1al3GP/001b94IC6hWHE6V4AEUPZQq9Ke3Xe0jdTyvB9WZM9CEULCWc011 O+h+gaonM+T0TT3mQNHOS9R9OJdG5vF12HHK6HifcxglqzeNyaW+YpxPm1Bi2IWeGR5pWdIS007e 8uvZS1Z6KAXvMXNxzAOP1InBr+tchvmsjaXxcyF/aeWqaJqqXFWBm/cXAGcqa8H4NjvZpTVyRaar MYZl/PfXP3snvnqb48nLDxzeIpXT1IgkoOfLOe+FTx6Qtemj/HfMmUFs7F3bHhObw0CFjJ1AsjiT 0ADPEctHJ9iMUFFgPIWjWqUX3ookUIooOjMj9rSFZ1JeXOBT6/63hkNby9leIPK1vDYPmgZRnFqZ hrqqUMGUtMXsulYvdGjjBu8Z1pXoQniHnnUrM08W5MSNme0s5sOQcDU9kPRR0fKqGMGvk2kpkBFi c2SVKoCr4lWpik31FScSnCs/4nCAC5uydKkyoLYPGd9iqX3o7NiUmviRHr5Amh0H13aha4/AFG6C K5Wi9TeE/Fs9YOCrrfYyz4bVd2DFJQYV04ykIcuXukattIXbDDjc3b/8un2wvn0qDBKWVP/sazOU Hb0hfQ/4xy6eh9Jnm3KZTaHEpbKyaLoPMr++yVsGXJ7Y6sY+is5dBIa3YiSVM0WkGK9i7Q76ryO/ stwXYPbJNyWqrk2E+8s+kCMjC1HpuEUXoN4o7+aYCGd+74IcZZtLmIUUHUsCuvPGil/Ps0XsYIhD ESylZ58r3NhR2MAvuu9EaoyR7ZJAt4g5/U5+W8v61VeZujiwrgv0mH/f5rdutuH8K3sgoMBK1yBE d3ZdqHmXjNRNwQgAeO1jRlA15E8WswVARb33ZW6FNmDIWEqoTulUlebSl2NltnRoH/woh3cKmF2a cj1fbd8vXKLw0I5sVOnIfRxe09RjEOpJJ9Kz/KAXXdxEjJxDIclHtfNKBF3SnUrtc/sPZ9Dxu4CW 8jbpUf+cAP1TfmOPBCfWcW6F9EljMaw0NJrQZ22+dFo34vxBNsZgkCdL73W9a7qAeSb4sgMaHtQK iJLUOmObY6MgBFx59nVz+tWOIHztMuMCGk3d6ySzxtq4guUYOiCAXDNA73b4mW8XFYpzphdoZcXS Uo5+t7e5gMncHwZS6WVwadATR2XDCRYjDj0eMPn4EazzW06v4vr+wFSCQZXqFG/E4TAq5VlFoj9Q 1VS2YR0yqJvZ1qXvRh+PexG9ojbiWApzWHzwbbD0Lu7UA7ZnB5eXq5qewCxU4WZ09htLoncR8qI2 fN5uDXm99j+ghiNZEzHvzq/UynC56FkpxEot0KVmIINlJhP3BFBQgf43FgRzn8nqw11bBBgcBk09 n622eVUCLKeEILT6XZlSH+qVm9sAPxNvkQHsVvTITl0F9BI1RIfgcIM/MZmsft66qd2gxUkkiySm OqYzJbTODsTem/om91BJYrlGBPYpHDL2db/qXRFHo3p1kHdbaZ1akxj/YAkaZyhIj5vc754VfhvO ojoBrJUw6VF4tahx1qUCFWD4XjQzSxqlXWAX8dlRPqPU/8jRlJIIjiAS6BY9bCHs+p5PZajUlEAD sow3l3aAeLZqb0WJ+kmBjJXQxDnsV08EPCmuoQlCMZSIxgZFdZTj4myMXstN34hUazkwG9C9CE2R KoZT1I4msFjncYGnVz2lGGhiXgquFSCB/IRPrAtPkh9w0dREqCWq7w0Mdu/sQWpr6BNU+91gFqAw Ogpu/oWGxaiE1oT+42NEoAMSvvx1fY+rKwSwhMl7dxGj7S3JRb+jlVF52njhX0ZnTfB9P6lKcH+y P37rp9bOwKsBXd9KsyIYD187OiBJ4Ac3X5nU/jBArJMqIiPsl6AydqGeQg6eP8O9W0icZSa0Z7zg dAcwcjukdYDwjsRFnDuf0L1GEUZEUJYd6QIJbgXIQrJxsEXqiBu2AZxXMLveeFP/dF/m8EKXSARq Z6ZJ3A+X2CCwRZ2nIvI0xCFMCnk1rq+BGW/EPjFlLQsbHOPmI4Gnt/7j5WvpJ5e0ih0Rr0nE0ldy 2NHQKx42I4zxrqGyOjp1LLgzBi+VqaqT6hqPLeBYkreCoC+g2TLFJfy74G5aM1rKuyjtYNxc1Lsj 4r3HgQ+uXoYmAJ0EWruegv5rey49tUOhebtr1dq2V24rQtyOVg5t24oEJbXjBEMK0hKPLwf6wsLv hudJKxaVNlE1lYTV1XYBpRgnuN22zSauCUY+xSTs+IfjdPKvxtm2LNtQOFzmFetVSYDr+er4Fdml NMPZlivk2WWjwDOmGW86vlyQlzG+chWzIX8EbK+ZHOyPY6oduuGUde6553T+lUE/nmX+JULf54pI OVIEByWrKmhRpGsdc6n5I/UOsDAToeutmO7sIWdr9RwLQVJDv8x5E7C9r7Z9SLUSlNj9Dz9xD0Ae 6/QuTZ2gNQ40KXzxbECjvPwoFm+fb9fTuBjYI78jbmVacCeivhA/Qxr9sRxFMLp2MMxDBXxHAa+1 rZi9SMGZDEsr/HkUkLjJoUZ6QM9lPLVZ6m5lnzxurT4GQ9DQDLKW6lhITAA8IuHSgHxZcVAWejuw MswDW/f59Dt/e4jIb4QHg+ikZjpMi6mRyNB2He0HN7iqeIBqbsiVT9EeWegGEejQtP+KA185XgyV 9Nar0t3jhhoCD1kKb+soXKqTQPR4aPv9WREffLROhJvKCEnTCAgKXSi5bGprkc6pDNARoglkZa/S Yc6XoKMlypxq8UCXdh1U246Z52/jif3aUpfTEMOg0xnPHPu74jaJ9Quoh+m/YWbbPaiRE5tUep8v NDcqO1g/954AhXSbb21Qs90pZ/yxEaPmGAq7UH7LKXLeFHSelcYAKxPcleuhWyNlLuZZ1bHoTNCX jv0pAq8i8/1Til2cWKtDoGfDaYWzFa/Pk8a7vy6tEDmoORs64ivJeTNQCK3i4Dw7EPeQ2ZgvHx7w JfAyo9rC7pHaDOTFea6+MZ0wfbUP46SEJj7hmkfl5UFyCJvpMW1oTULmFyYwfeWGsZXlz1e49pox W82RHBQxBHLBM+lIFhBhwa+qv1k2u/Ngb06TKY/z2FKrmnHE/Xgxb0/0fseKGusoiqV5m/GAAOQX dBgMGFqNfe576Donn25R+YXTQdDWuGaTEFSOO+N8SYl7GTFzNt5AML2bXPpyiNnANheDeLe5XvuD ylWMCxmtw+iGx3NUr7HP0zLcCY9XAMcq9ohwf7XRbnd7mBJ0bxRiqYzv3qagz7EzefFAILk98gF8 TsmAha1XAIzvEwQtQU8N95GfyWDo/SIBtPwy3CezBvskB9YON+jrtdiF1XIwel14j47Os6tZ0Fuc FTHm5RGvT+0xaV71lTJ5Lsry4Z+n+RFm0sP2qoT6P0L3dHDzOQxxFNFzmdk4RzA1ZGZM7W6nJBOc fFL9EJy0QfLFMVbgdr2vuNGNhISNk15/sk7vZmigk181aEvsBCCbrXNP3LKiXbXqNBCsb5TaI4xO bAFLzxhnvB/FOU7S0Cijdj1FxJJQlyZWBcSpk0V5jH848doBY9bUIDafWeDn4CPJN7kBXO0fVemy WCYouc+r0JUJmMSSBxo8v91H6qAzW0sMgJIXLD9M83I0nb4V4FPXNWovkGWdV5/tjFcLFHvzlOty kqg1kXByAGhGjIaQhaBNL5Vfd5/CZecVqq+F+hBk66jn87JF/mrCldv2VJA3vlWPknpWQ34RMESb 36W1InjBxrfuYKAps/GGZzp4+klIwWF7ZdmvxLMYayxnQfzvCQDJZPpia4STOdDXVJqOifhXtK9r mJbi+5+aMeK0n1Lbyt54U5rr6A56GeTAZMi3L4a8yQhAtJ76CEiCCiNtOaPMWSlu7HGeWQlJgk/Z 5tTBispm5VNXNh8EVz1FKdIkEya7kApcXdqcUOsRKr1b8X8Bhk/EccnuJvev979As3I1QSAFZAkA 8vicKCPn3RT1f1HdUepx+OGm4K5o6Fb7vfyjIiWWZoL7T2idA47dLJr1gc+/7jitg8vo3kEsdEo4 oCVi6ywx4zdGLM7mVj7LhaFzH44yUASwZl09NEVH7cSnuiDper/F/oAns58FxZBlzF84RiT5S7uj fOv0LpfP31Kv9qRvJQhS1SzOL0sPPz1MAhb41i8zVmkOvt0ITmvPkd/EKYZbrRwwf+exsCRGNxZ0 PRZznZd/3iK5tCC2jn/uN/Fge9sgP6/PkfT80yqVc28DRYmoKQ3QNVexTTzt2fKEzBy779+jZzAJ zfZBINW+km+dQbelzh2DFgeDzvSCnUE9zeEUjca3fK7EjvzYwYWkQF9ClhJn9xvWms7wOJJvTbq8 glBtiBTnsEgo/KDOHE5nFggWMu7bH/e+f8ax2N7E7pDeKbhW71BCQrNSuEOde8t9ATRe0/2ZvTz7 zzYysfmYRggVZMu1fxEF55ztd1rdSSYqpCyPiWBBgYBVSFwikwqBodIxAySGf6noLeb/v6v2fq0X Mv5JzEZj3tBJ/Rgube76LSyIrir1mBnIEa4+aRrpbB0TTCocF2cG31ZZB3crPFxcNSjtM1RBkX1t g4Q55OBWS4X4QfvrFeFCQ7zYDgJHPiWDDA9+VSlm71roFi0mID2FavbNq8rHMd6umXGDkVLnOx6G 3q6uTMgZIfwd8o7FUm4AV1WpeqS1la82ZjAhP58+6v4dLnMRMshljezhndLt0P8ymC/2/CLJ/3z8 F+1iBHEplUXoq7VzSM5AQezumdeUljvlqNbyV7obv7xIKCtpTXKQTw7lT+V2WADZLyOOPBmSV7Tb UMHFBok7JNW/UKFQBv7gim41dlAfyH+z+CgUbQ89XRORKz7ZRIhA2rT2WaovunH57VzVF9KcTSW+ 3tbjM31ok1uLpMBVUCAdWb/zrBV9gXyYfhvzE5PIUVD8asYovxubfeTJYPKtMb04LSLHU1PVzfIk rODL7nm0rmo8mNAZQl3kV2ZNSk7H+X2yql2iFK7V3a+DvkK6+t1gODryk6BY+mgwoCeLjXgUJ4X0 vcJDtAbMR5PFdr1Xh/p0GqkB33YIFgPhgTmHpTe9f4hfV8Vie+OW/Kk5p00nZzKA8NU6Q/PWK3wK j8omXpufhHbhVsLiZaJkS6+buBipGX+uODV1Fro/xWYr+qtMCNwtkynguBKlE+MoGGDJ/hJrLNHr EVDitDQVNwavk9rWGwjeA3woCggQiAmMP2y1wixkzsNXzynNCLxwid7W7BFzr53sX45J1+pCVWyX X+XRQRj9/wm0kMJONfs+cGJFbiq6dTl3tBCmgaTjlz9ilNM7nZwauoHXnl+UWAxq2lllNAAnmb9m xZHM53UOJcxZ7qOBcU8zUij+nryWljn9lFdaRDUndWjxtRFzU2ehGTzfeG3JhW6+62Uduwvkpbc0 3WPe9g+8ctW3a/X7Tlb4JQ51tjp63j0OI1iO0n2Yua1/qzftep+OfixoI59hK4Dj0uMQKYdUULFX quNYtJGK60WI+JZCPfZLlCMIa8/1ppOdtrwMjNI0ySo2KX59bi4B1mHEz6fjsSANhrTQzQE1zvs0 e38hoys2UxbLQ/m2xXDstDeCZdGsLLWesZONSdHIhfssJVEtuzplj4HtS/tHMM2LW5J4DqhtwgIy XuR/E/HXTgeyEZbU/E3fJoSJqpn8SW2pYWtIFFO1gwBOk2etiH5ybabzUV+VKkNLIEMpq+g8yRqe 2TmSCcqf//m0WolKJ6Vdj5AR+LiMnhO54to5XUQPR4S0RjDOJ+RvEi6gs/X6l1L2+PY7VL8URlPM wdBrDInjD713pe3BWJF9CUs/3cPP3pk7iX6ZuLqvoVjJOWl4O4Wh21kIwPClYg19GZcOQfIwSva8 Ho9MffSJY4592OEQZHwZV37p8UuArf4A+7UkgJQqH3IeCNrDnETJRV2yfhNm2T0Nt1Vj0ZbPZly2 SCDU9tYZcBtluTcgJWeV4drK/wuKOIynfUluyHvDcYMLWxv3Tsexq7LDz6Iw62RSK1BGU4athay3 iDReedse9v3pxGBQ438kaxzcz3tVRolV022IZ/vfoNVTxxmy8BbdCTHos1cUe2/91nXOntK0sXk5 GkrI32EpXGZkTINzEGmWZUrvK4BDDcYDZiwoOYodxDo98BAXTEz5RNkJbotqK71f0PnIZwlWLVIC KufTquRJaa2vsrmNg7V6NVzb8YW2EcXYvCgMymRo157vN4VGj3IM/FLcMgJDCSd6m6yyy380hD2B 8QYUHIMIuOCLPf7+wHFwUzp8jsR6hrXkZFOeTNJM5XtSWW/iVs2KonY5h5XfxkcyKQI9uj7pnX2H AH7IBjgaZUT2k1l3Ucfa8xfDNBQsjlDDGl6JO8ZkADPfw1MdNWqw8ykOTag+nI3l8/kW2v3IJfBp ykM7mrKpWuhqlGty5QLaVT6L1PHHp9+ICupn6LrnSpo6X1cLkMnUrlu0YKiXzRzNxzsBgIUD5lg8 1uQgGjPbuhXA2qMAeX6+xTXMoe4nn0gM9Ximqpip8akuhb01Hac5QF7Ab4qBPd/rt7PgutNjwRAB Z7ojiE94heIZUXm/9RCrwdxUH07g0sCb2M2LkJjrqNR19mqFl57oGTFbTc+ehz3p94H0XQuSW+42 3/DHduTvQ7cVwMFLbiutDNNtVvH/WyGBvQeO4Y2o7CXdpR4LoCsiO9FKMZtuQSVMAtQ6wXSyhZHC AFcjFj9sVycr0dHNlh4Jes7+BOTbUtAi8SQDabat8rqFmEXgBmegm39s+ULBt2CKIBjjVVBbdNX3 yeoTdHpunXrCJmwKyioGGL3Xasz8R+pXdImB5ViW2x2zTVB9yTMVSLUoeojDGhK4mHTh0+TeK/Xk lfT/XX1lnPiwGYUIii8ayOuVpDKcYfGlfsInsQGOPjq5FTj7JBL0F6yCLvbfHDT4vpSst9z29gyt e8VJ4HeyLcS6gpEzrMyXmk4z1NxNOJFvxDjJqm1Et58C8RH+wnXiXpD2eo9srXoAKWS/N+cbhvwx qthsXC2gcw9JEAGQ9bbTFkLJEXhONy9CqT0zPyKzN8n9NYLQYmnR6HC2J2CZb1LxnSaBTLPFwKI/ AuWKpZratmEgQv7/BtJAFLeg/m1Hr9LJeAIpuKcIhahJJRP3qIeVa9vfbWryeFTiXd3iuWYnyDx/ zqLNSOJzSz7Y+Wp/l7ZfSPU1K6N/NlLKgrAteKxEZq6NawzF+cmuAsoYTvJy53+6h8M3jWWJ9j5/ N9fMPuAe/tpaJat09vlQJRPX4FEiaEe/gaUVwfI7gM1JystvXmIJasbSgiLmGYXi24bTxHPLwEGy GAGD3VVPi0d0iE7fQrd5r1LHi7yGkMCSGs2AfSN2pgJ+pYSWQFZnFgRTBBVqF4PjowOnHNyaCbHQ ufm9d4dTcugsxz29Z3gQAJoxfd1L+92hwW/1DAXxer/1YFy8yD18Ra1tOmc7log6pVPJ2cv45nG+ CkVXfDBf33czv2hPCQbxY8xlri52YqxNTbFY19be7zlNzXHTk6JdbMdpWukd+B8+lNdySOvXzpJq AiMiJ7hP+M2IGR6NC6yWfqLzONpIPtHC/yFzT6sfu34gGVXldqyWOf/VnuOxnWADleC9KnTbezfO L8HcR9gYX89sTA9GLkR7CyUD05azgp4XXwfvsl0RgULb4978OrQelHuIyk8v9G8f1Gj8K+EGvdcZ nuzBLc2zz/2+X0tTG0J1Zqmfu8c2PBqDz2s1fSjhgHVeCneMaMCsZissSlm3FRjhmzhvpePPE6O+ hTr1zmFSujhkU5vBBHfxjU/omESSKtGaY/0DI22wQtJylaGXmS5mSd+AgNBsXjvjj+20VSNY0v42 0c7qQnJrrcUzxLc043GQvp+8vt9WJPfo991/uik57jdc/n3vjBW7H3/45StKVJLjbF23JQFqvbk5 3+pmEShcYH8jm1qO6A052pe+jhs582XHrWX+/Ih3GnbTt88gcUuZV2M7uPDHM33uPfxquR2AyGHF ezP9OcdFJ1Lz4/dCJkYeSpL9uTW5cdsAZxKAGPdKkRIdjfDvZLsu869wkja/dgmwfvohyHVcxKtB MeicGhDJlkfeyWFTFMworBjap0YpCHAmEBa6jTsmKvDzweSwM0as8RRVk84UsCrMZuhEkXKUTwYf wEN/5PgEJkMjPDzT7EJDc/h3mIe2nv6P+LiDpkVO0qwxQSFVz0Rv9m4lTQmY2iidrUWyDDouGwLU Fg+4EhMoOZBe/+Al623dIZaUymp302qVXgZ0QTkdwLhX9e4j+Bmxfph4Ji/f/P4x3nERSOA6Qufl mgdiGXUt28K3imsS7xsB/YCoZTj4I/faLRwNtBje6fba5lhFI3L6oOA7OwzIFkD+nUqWf0bMNS6C VnFG58giB8WG23/NCUMLjVP7+37iSSJpjp374p1WQkrhJ6R/LJx6iRP7+SfcvClFOteQZ4TVdnGZ eKXECtZD/NgXdZnNNHgVLYPlQlupgUZkmoeHGvNw9IHqOGCdZmpTbUBNH0e2nYOfzNa6Kzn5Hf/D 7tM2KxdDsM/g+yKrHkgrFXTt1SswhY//FQI8cVO1ujdltbtSTPdLCUOnmCKQp0vu8lSvWiOSq8Zx JN8wvtTwwXYGJ5kYB2j6E+zeVwe4b0la4u+IGXyx+6pRY6D1e0miiDe/w7lAkGcXW9I1vLnNh1PT 0jNlpbSL0s7eNIuoQY9hq/W1bQ+h95P8HqwnvlVZOGUNSJnZD7XDzJ0IEgoDW/5642/ZELhyRhPz 6hllmDT2JbRPiRAUCZbe7yFRW8I5Qx6SX7YihW8a6Mgc1x9Hakrq/Di69NrRlOuMFgLX5oF9i4bZ 4GB8FiKcrk13dPCDQxS+FsyLi+3OJopUEbqUAvVrcnHGNPkdaLLSEhCx3VEH3dMEelMoGi+0tKaR J0dVYvTYqiot8kAp1/v6a8G8ZdIqBONTDuO2bYMc4SHEMV0HVsBFJt7A4gYaKp+wexbNl72QbvTs o4nYExpgnfxNypQQDMElOVAkcS4ktovOOcXzNR1V3p3hZGXViHYCNOp+z/iv/UD5i3HIEQLzD82H RvXKs9xYkrre7mzKOGS0ORtlr1mZN7PaHUE2J57sW2gHkjX8p9/QVEPp/0Q85hNr/ZNEWCXYepBE Rgm8rSdMGv3nScZqCGNJvxsmCCJLRujGI99R0Os+LsonpLqXBfQwXRXsYUXt0XrniZMZLifI7ubJ qGmtheTHUCk1zOOnA7e1SXhc4H9zn+57gBbpxWQWrE8WBjGDpW0tYi0ZBASgZNysyw7Z4iICFR8R yaGKJQMxDK7KLSk0iLoXCLZelbwkeIAWTlFZqvH9554lJpGP12HRfrLXRTjuKjKZDvNFWi7jg6sg UEPRjviJn5dJzs/MHw34N+kWsB+YpOdnu5d1QBsoqy6NddgKAU2xNuEHoOa1Hbaafzn+5/Wi36jz 59/DSEP5xSMeflj1VMhMw1wz0NJd9Np0PTvNGTDkcCubaaozRXx3K9Tb2rQJZZeEAm7Zz5YnG9l8 uBEo+L5ABYEXiJxuuauNUXDCKVl8BpKtfoBZr2P6fr1epb/3BDKHkqqIjEC6rakvvdr87L+DNiZT 6RMSKkT+mcEa0tPgcpRD90O2/Y+clfETPSs9ZBF28t41jIKEKOjU2YEYeGKQ8zMJONNUNaVUlO19 b3LzZRmAar1FuNXvrxeXP6oEYaFPs1MpBzb41MfLeZrbFmMHaekQidZMDNWi0Z4ZpibYuOy4QgCZ pDJxCNjbW49Zr3JtyPEyQSJIs+A/jX9gtqBaWuPMLGqAJVtTkZvR1v2iw1G+bZ4vB4ARVUTR7sPl zidvPBGOJPGzjJTH0PySuah5cUflJjvnJRQlotQTwmNyV+IA3ZGJ/dwvxvRDi8MSPUw7349P8nGX 4eXbLN1OWLHzcKiHPBtwZMboZC9DWYeU4Shz3SaBGBKjlgldoYHrVpOF8qiVAXIzFLukVMxMZzWg xQdNjjrA/9i7Xv1eku17xikWxrYUUt3yZHQtmFJl/AuueI7Jui7kkl8TdSu3qoNn31BHN46rflPc cyRlvEEJvwsYES73eTz+kjUCH0MZrNfzWBe4kMPhn8i8fUZODQrK0pc/S/iMScEF3+eObwwJ8Q5J 6km9hp9PtWpN2IHsvWsha+YRGTCh5ll1IwykQ+vWuKqXj8zE8AP5hDWJVSPTUf0C6rwM3jZZFPTP EMltrKMcSBgahaCRPK5sXdNSo55XtRUXA+aOfiAmej1EAtTsWJ+M3JNdUabq4ZVI8ql+0SguRwJe PIcSlGmPqvM2T+xbmQd5rQGs0Vm/dyfJyGNhK17K7KX7q8IzpCtj3qMm8JYD6eodN4Y/ySE4bZW2 x+7iIPrhozt3FwvSJL/sVBMJZx7UgYfsn3KFhWVEfIw138l6RzoAlBKEObMVqTGhq8+I7q+0SpAN Rg+gkIKDn2DE4iKzXlzze1xtwz8ZcBRC1jzdDixmBDbj8FTuyWxCWUw0dw0RxHeALwZNyaI6htnR a/THEOt7YNFd5vj1mjs+B5hT1s0sTokPdxcxqV+TvtWsW40YORKiQ6SKkp+hD82jgAI8Kt8qGvMH x6GBOmBur/+ARCxtfgLY9KtOa9d4Lnl9evgzt5WEj2/Hf5C2wRXvyBRvIaAGt15Q1hd2QI2S8qKd KMwtwph8I73JDq9kCGdZCQzl75DV0BOIkCR/THq+XN7Oohd+9tyImwMsR2M9rccyQSf2UEd0qatC Vt0wkFvld63pDui8K8gjXjmex0DyYF7xAhf0pOgOYMzCYlk6S6qD78xgDekB2Cs1mTyExWehiBJ0 adbh/rFzjQaCVh59wCgA4Um3UIbaLa17KVJ5dNMKlFizMuy1LaIOXTJpzxDArYpTazYR0ovTSxSt ZCgBcfcbdkqlsm+JCU/r8UxzC5ctfBfrOhldk+BNpuCJusqDnqh9GMrXcFA8meFOClPffNkdzlV3 6OslHVQxkwuUBKnL5r0uZn6BgeXr6FRUVwlfz3fvlnO43CXVEZaZZXrl2+woo3r00rNn1iJcuhhA lm6XxVCpy/OLfbYdKvrMYv4pgIjOFnQV/EsGXkIeTwqg4yEqFxsITUSDvrmyo2999VyJTiIJ5usB FnvhE0ojBn8db9akEpc70ZliW6j7BtJ/SuF5N6Y9UQ2gAo+O7hFrL5RsjR7Uf9QMYiaN6pgUhG1s 1lHWSWAkMtdeX4r9XdY0SqZF1BvvSnSkBpoPK2aGoK11xUfGriF0igNBJw9WhdaeDL/GZiOSaYrG Zl7uC90dFFmz4lSbpqCkVfEAWJPPA2Y13+xXSoFFlet/CZPi9KCGP9j7+1gLOHJG/5XT8aTKxQEw ub/022cLcfSqPPqGf84G29SO9rKKFWVXCtOU33jFzfVjxAv0zdKIhVMetOOmLGd0QvQGH5VC75k8 JGrYai+iRq2Xh16cj9sjkctDaRC9GzMwSsow0WCed2T2q/YbJxA6BfkFIS0pPpHsYyWJCScpzuST sP8ly4PRMjF8PUBr2iWTkCB99A+2L8ZbtR8PwVRoVll+S0hT+EH6i8TH37zuDI69cBy0HJZ3WIFM ZWVcBaeSLgM3g47xKviEM5gbsZdTtUYD9aokA2djhf9vtDh+p9l16DkpCx7uTjnJfDA7Goaq2ZPw ymgL0a/UTyKn8KXWlpQAGuDEOwa4zhEEJtn+sQDIIvXtqmz3qygzW64su7g7CW2x1wPN5LWQh9aK Ctw3CAAVtFbnNepxBsgIM693sCVwostjqFSpvNuyVFkweDPICJm1qN7MCpDUT5qyQ8stjj2h9bHC 2JhblLje/jnUWeiVtU10j0YgHxd2MicDh95j9X3WHdtVMpLmSIJ4OiUQvKB3Ap0OAhEswTw03uag r8uDqphoC3+QX6QHNA9J1inUGv/MTQG9gypif3Zvzj15PuW/ZU8oPx8w28bTiY6Mharl5mHhel66 QrPo8G7pgiRR0wPyTgRJ3OwVLvytAAvcCqQAYPn7b4d0Lk/SewWZwvsYeDEzukNwGNhvjzypoWik 2/05K1rqTZE/ot6GTbIbfx2aDhQi2nxw2foYMvF1f0K1zbjHnAk3p3t6ELNtt+dWeG7zNixews2r TaB680NrT6sm8o/YqrPx7xza0HofFgJCioKMig11SzbN+bGvGEGa3izZiZFw7QtzagOYp27qxuRL s9RXNG43Eqh/i04hEHl/1LXIKwRka6gBr5b7+ziJJ0vscH/IKfmeMrMSZzB0kxWr3S77GDfYDUhn oErTFf2skTS8hDIYKP7cEpsFA6KRLhvIN25pNOoBj1eHEObWkBIJbezSOWyb8b7esFMdHlFkt/LY 4AGHCTxuv6+qkgbNu138KbmL6oFquo0PeRhExlYXLXUZ/31DVJU4zeQXqjoH4fqqdE8M3JkYiIgo SrykAztiJUM0a3dkp3JiYsSjMvs+zSXjYOirfcNqYBcYlUsC4ifyPD6PFEMp6zcFYAaYj5An8++g nn5iXAu5rCJVk/Tqp/Cmi60pmtVjdwWOJrrV8bKwX0vv+QbCu5GaWkFH1j2UViOBFzz+eJhWZhTY 0DZ7E1lWGTyS4YgBj2NJBtgYNoQ26/epTRJBjbBGPj4IIARtAspgHqNnhi/CbRSHLoWCeIuSF8eh /0FcbBdfEA32rh27fuEPpqFCxwuumxZBdUWuPUIu1RugboZGdz5Tpa/yYyitvtWhJwUYiu5jNWUH 0B2Fn7WwrYTzcbLSpxdw2O/c3lFW/RSDCB3jyrJtgeqMPsYSW0FyovprXQsqijGvcBkTHOIxHjtz lSu8WH+X7JRVKXcv43JW4F7lBcxbApFGk+82SktG10Gek85qEtMUkMy8Snxx4oHV3RBf7Y0YyDxs PmuYOuL4rTRKRoTkEFv7w63J01a3P5cAeMoII4am5H/ps+NDnIMq70kOfYnuMdEXcPpadHOPtAr/ ZbCdx7v54PVh1KnNtgCaZF/Opkxlu3cjr2VOKR5h6k5o/B5T9lIHtvutyLKx89xJ/4MSEecamy7a bUHh1Tr5+BrBjOMke4557Jn9D2zhzP78ChM/wr1PX8pLRLgfY48yAPEdadhZulD7CzRux5w3c/dS YlYi7cQwllweMTiGzU0GpMvrp3LW+OSw5jfxnv7pM+SFd6rrzpoe/b8YFa3+Ge360E1pHG2kTgDz JPJQV2HxYhXNYcwGsYx5Q3uzFTfup8kWyEA900ITyKjxANnQmmK/UrzdPV1nFHOFfNjlYAoTcCIh yrRuVt+wXomj+HE9A6rYSuzaIqajqnIGNEvMtPOvAtefcD3LiRm42QZPOhZ8hKFB7BIvPQg3IAuS 2hI95bnpWh2KkFIgbinZEfGvqFDWmy4nIEKld9kCOydtFnhnDwa/6cI6sfe8Wg3dbGKrigzoFyGA 3W7u/H2A54xQjKiSzvRPMvJSdMQRN5wzIton1farWZw89wZ4r+2iGWTjwOAavPsnuS7IdgeV43Zq QWfLhoxIZ3PZYkaG81Pk7qbLNMWcJp1Y4m+4ZoU3Wn3epGGUOA2S4EVARbf1MbpdOG/MAL5YHhdN ZDhJ24axUKOrkQVklQ2hl5KjJyBVWm8AarDDHBGJpXURB29m0Fhx/GnJIXtuRE/YMo6hSbohWltM vIPE5hWGEeI2cooKzUB5aWzLgCLlTiFmQuBA2/xX86O67TsAR7ygwW/DqVa1khYAKh34FGy7Ea5I L8HKSMYY9U9wf/Wjo4x3zqaCU3rHgUT/YDAQvLdSfinhjdrqE+fjTorWPUea3BCU7cmUiIKk9aPE ual9LNo7m2Es2W1uBY9I+3z+5LcmvrOFLpCMbGJ7ZIyLToWibXILgztpW7Ikvza5MRWMJDe1qVkd FdLaQDUUi04IdC0KYE90rOmyCTpDdCDmzqCeT/FfPAmEjACMg52eSIWnxtsyCv5ma5oegMdiDtYU keHtJTQTSqou/t2SRHSlkTUD6CwBH1t981vBNM1mJuPWfCtJ8yR3fevlhTb/AeZXtm4UmGihWFN/ uYIeRkKXXPCydbiEIyo4i+G+5HJXxtoZvvOdvaYFKQhL9w8EympHkg72NUjlsKqHYuL6Mh/+8Fht mxLrsCrWKIQDG1pjExmsftSEDnUi1VHHUni4ku20ueGAamMHq93Ak+DZYpwEkETRd69C4kwkhJsU IM074IkrCMhT+8oSnvkHtm29EHDczO3TBjkyjG5irPLtLhQiJPIbaqUxF4dyGzmcywADqIRqs1e4 XkAmKSI2E8fHX+CBN9CSlh3H0AR7sMB/GB+fVYHd+hcUT4MqWCx7rw+OKhHmMmZXpqL7faDzIeOU NEEuONIHFFH+l6TQT5hh0xkY+/Qv2Tnb0W+20YmnbtyRmtljEe4g9xI0ZcieAS1Hm0+8ux3wsyL1 asWqFlQRVa/n0Q87rrQ/5rYD34KXC68D6fiYqhSVZUiEVP6kCE7uoV/wlfYdXMK5vNQvBQjSzpAC gF5bn/RSgEkcuVwZOU8YZyu2ndFqyD/p1tSlMRHkefWCUY61bzzUsZQRNDKIX3PkSVLH1UhWWIfB aHAtEIBz/xBu02joyDvcBCQ1JJA8lcucvIAaUAgvx+yi+ISfOleugy9D3yWQ9kYiaVssufWacUrf 4bzBD0fQZ1PUOtlOF09dyG2ZTAvO0xJqh2mFOQaWWMswTOL3LG7lgVyUA2/tHWmPFIqcNVAuaqJh WBVIvJvPjmOcnTL3rvQDsvypOC6tRdI/dzDfeRmThgxdHbGyGLuENG5Z3vAJp2YMMXk0lnaeyuX7 dmyBW2UM0xm+0FkJ8W9ObizB7SPkFEnp6OXICWAV89p9G4PCWp/jpzU8ZI/SqXlAWPe5BU72BqX4 Pz9ImQ0araviI8yQK6H0ze5T7J3vj9nCvjhNcnAOL2eg31J/62IJAMa7xETtP7oVkMIa2nDIkIUu 0JQLftEmg/knVvpsk9F5xdN8Z3ZZe6NSa2LmxAGyZeZCPsqgSr9yF1cuotzUTm3s681DNr/uVGAL PQrHp4Cvndn2RUdJOZC+9UTGBvnap3RNqs8IIasVH4O+Ky6ZiCNstw6vwT67gS1tVPzYsRZPNdtK lsT6SlqeSxlJNO3f4OgN5THn6J/v4bdmWeGfsbDfItJ6G02DTWf7D3soGs9sKTDegzcwPhCC+xYO HoMNw8Lkn0kaV02Ml3x48tC2z6Ue7RYgq0eWhKP0EQ7pxdHhGyXONobDWwqRpvmD0gcOwdcKzqO1 AcUE3GjTYFTLUl+nlNoSViIHn/HWEbAk2Pu3apcXd2wrDH2vD4Nmx+IvQFudXHf6eiJodp4N7hQK 5nL5TU/vk8Yfw8zAjMVzAz/oe8cOpsyqxihiz20AV5ZdGRhOZgMtGsDecI6Em6yNpYNdDktOdYnw AmM/t6kzem8r2CmNxKMzBhbeaOSqsMgLcBBKudPWYwXNR2z0zaP+mZzb3lMakfcGePQV3BqX8oyC YKqK67tvMIDpgJ/02pGDSN28BIUFtw8qDlJC8dEK+XobQjp7xyPi9obxEY9a/MEy9IR3+o1z8Ccw mNCsiDZJZJ7EUgvBhxA4vfqX74lzTNIcTM36gL3iH57dBcO0y+FjYZpuQpsCQI5FJiys5iMrWVYq o3VPn9P5AjD2xvQRc55dGZsHyW1LNVFPJQ+l+pwSnu8RRh5OEeObuLJqAPztRkAkgkgv4wux1v2V xfcz9ZE2TKI2fNr1lc2cC/S+8Vyk2C4KVjLOdsvOIke6C1A8r1jjfFq2lOxKt6k3DaokzHUK5+wX odHvbuc+ykYUluB2LwwWYSEt2vzt8odT74yrG2Nmd3rtcWekQyVtO5CYmotVGN7qiNnDH+SBjFTr vmMlZF8S/LgNGmg76ARXpB2oGq2VuyFW8OiaS8wlhM+feO+QnlQUBOdM9BhMyvTOBsgJ9yS7McqG FYCNeguyTChdZbu3x3UBew0pPvpE/RB1ZjmWYGAlz4FOcAAs3X3fA0snCRWtKRyD1iFgw3X50h8I h1VmJQh4+Bxo74a0Kjni+6a1gbwb51UKRm6jTbOJ+YkJkPm/cqq7OMXK1xI8OJ1zvfeV2juw4Auh 3Y5jslxWTlI1wuCtOqNw1O+EYmUtswvvmusPtwoba8YQconxjouMyPQR1z5H8Jlf3/adV8cQDQ8M WtnmdKufTYe+uuutxFaAXuAMvENjjS51uFYS1aBwEAV8YbLMb1qIRgtAwM46G3HHtZgUm9F3DFap bXlCYDgNvOwBr+qEUGMitQurwaJMIZX69/dkcKguoztmWb0CnvoYKbMnyD4X2vxogM/5n+cozNTh CDIop9BlIPKChQ30u3xUQKgbVRlWJCiWCJ6HILgsJb7+P54MEPW4DgNNVhbh6Yf0jmJDQ/eQC0pF dizGnXauJxMRn/WyAexWf5KA64CUJxtCWjSvjeli0F4Ks/0swmRiRx7EXpMMCfXX2HqICyaMFof4 IfVsdNZkCxY/hQpz7TAOeIDnYG4dGWOUYOe9S6jfyGKFGzgp6MLprXG2r6XBoAPsBdPP4TBZOKc5 RbWGUkHIKA2rKZzj22/syvfbaXS5R9biQ8YJSmBuVM6j0CG5ntN5JswvTzLvjEXM788ryC4rnZ5s Wnl0KB4yDvOBlwEsSf1WAGrHVHDeopaw0T1g26x+DX0clzSFw0MB09D++s9mcNso2ERMoDjgUsO0 HUutAOfn8ADN32Gati8zTPJH8seg0CsCWLYwybd5f5VyAFxukkDMSyi9qGL/YF/mBi2As+ue6wsf fUwaUYzSBSRgRSTLY1Ud7WQIWmvG6nnwaSTotXysKHiwKJmODMALJKgR+RgsSFEDERuZYoKBibTl t3ZxxIxoZE3qhlyUxEGom25aM794OAS6K+bMZ9CNhK3TQ3Yml+CuwFKoDeyAE2R/HpRMQ2FjQHx/ 0M5Cm0sS9qiorp9StcpsypiSVU5jzhVDjkbOOcSVfBNyrJe387KSdhToqrt/tHrbtESIqxZyYOm7 gbNbCMdOvdNZ0rcwJbTPF5PL2p3w5V7lww1n5/jVhsuLH+qzxhrWW1drgXw5K6Wv107pSd5ZVRpG H+e5UGC5OBK+F7KGY+2EOP5QY2+lgPRkvlaniPgydfvdVI3iXmZL3CgmCwMPQqTvUSqwGk1MEwtp 8XcYnOdLAh9mH4NhcP9Q3Ri4KqILi0kyL41NcUP2SvRCX1vW37OTY08m+nAXGNXT+2Xb72DI/Shy riiyGe6HxoPFM8ftxa2fzRr9QIJHOuxWbCr+GnkGKo9U5Sr4oyN1U1qVYPbCz9GsfuwiomQK5yTa nlnz3nePZCqRI1tc2U9Tc/SY7D/MFTk8DqBjYnTP+DcN/bMzY7eT20yfqeTVOkOz1UhyinLGj10a c/a7nIE1FDjEUcJaMcdAzHoqkLfo0CVn7WQYWFGAKwh2LGQiULx8GQQklClf/ORCXefiz1aKa9wa kuIiNB2YL82oZ5U6FH/DerZ8+YkHH20qxdlmCWnOt0B+25HSYpbbaDKh/oj6uEFpt3Iun97/Mqi8 vcSWwMv7lIviT83R5sGvl1h9bCbY4e+fOeALICqWyw63UcBFXolwHpZFWO93IU1ZNzGr9Y0EDahF 8pHlH1bBp4UhAFtk+F1wLJ7a4bqD7M7hEOw6UdNFRIAbawq2tXRUc5pl7L+99jZNcXQVrP+WLjnR N9ece89Wbb1PL5PgnVA2PaDnpPZ4iypW46lyGfkbojn6M+qVFiW/0RAXv/ASWS6s7mCj32oyeau4 TbZwXhPPS0Y22vKkWuseVrEGcBV+1Czuhxfal2LfYlMzz5qQgQrxGqx927ncLg6QZzOAS17n3FJD Pcfj05mgvW43HFluv8gnCdMb9g+YRiFWXE12LNdJqX78upLAc0reMqlgEKR8Uc7M6dT8SczymZBn 6lTS4sEPeJwMQZfQNIjphnccKo7eCA2UGe0K4mxrKb8MHzMa0snDW3lTeZNqNBLvumoQgSnohNzG iqbGXTJDulG6gGIOmBROmsGbN+wp6CV1QdNVA1WlpumW/bkiyUrt6bKV1nTFkP7jz5WvH/Fq3HW3 UL0Q5idGYyz+GPvJbLhbVxOxPceIML88NojAkxmsD/lupmCeZugBQFgG5xLzrwmf5KMmjf8XHgoD +q5ou7fYM/8fLy1tilMcZoG2IIKYJR4KuZLY0j3RWdq9lXaQaz07yz7IjLSX0zesUe6q1tdgYVvC 5Zg2nM9BCZvaIFiKSdpfG1fUNqQncZOqqIzDhgSMosYZZVQ0L77uHJgEgAXKAH7fX7eTInVrpcII c/ovPoZlxujixBCaTxtfR/vLQxKXDWh8nnpteWgG2+uDDquTh5rKVdjfvTQg+h2717NhLobwWaCM qBrcHjJRb3qvlYbw3bOkJ7YXA5dcAWfHjfk4WcDH6Cgih8+76wK/YFMAeDrulAf0eD2Mf7q4n/lM 9mirkRsyhkXjVu3HfrFU/Mb/44cdY3ON5SGCPXKyqCv/YCXYZ2tu9gc5vrvXOm2vzE85Mz5aPgZK mEMnfjWI9Bu40z07C3xjEHTvRy5iPvO1oR2gFAzyo+d9bIrU9dH68kB53VFAeWShclB2Q7pLoG13 Ce1dzJrPtOxITvUf9HAeGMcJBEdoV7Xae6S44qoiJbXpuNQ5EHj2wBErKQRzYQbtK3BW7icaj5pP We7K8acxT3ZjSn7SYh5eq+2Wnop8V+AIm/Wz+2PFSBFsFiXEbfnk498+YtdUnr5axtWFDjVr9nEZ z21PNSuYg03ErR0A6mqtGrfZmKM/k3xILZXBnDZ7LzWf0xJuv4y8sJQh4uwVya07Zzd2eof+o1Gf xbfQIXZ3v8eO/bG0ZjIPtyVRwtx1egKjNPxmWGoF+b9uMLIsfB0y91e/aHW0BNsLxlYZHlqXqLs+ gKssHo2Xzt9gn4Vxyc2SonSCMqE+kt6+ixJybI+6zvuEFV/JGEAxMXJGwl6zg/3VUT1H74M2ENa+ rb7URtgPc8G5P4rT44pXAGacOUiav2JPxwOLUMV2aYEITrYx+kKAbN2c34MmTIV+P2TYvxRsbDZk JUJjx51cWasvMF+nLorCvw9svjgjXOsUCLoCw1RmSPFHS0uZ7dTXXCDEtqxkiC1sVIoJrdDiveEo tvXlIK4QoIitkkrZnHPaUeJja59uoo05XmmGYlzTdsxGZoXrFG/ZjcFGyoaCitxphvPWcc99YQUi Yoz35y5dqSFkLnPD75D9I+QXCxQW1G2EYuOabfZxBbZvqRIqzZuH9j7mU+uyXQkKyG/HnswnQx7f OAV7DkX6DHOr3bLKBd0fyHJGE6Et+fuQQrSRj7T0V064ZrFaERyla4NIfFhshRiSONarTu+/Q6QN NuAAfpKcVEe/0urzfh97sB/up+O3yLxLNKcpWKOKsn8jsu1JLebf/R4b0pKwNh9E4s8nQ1xH9dBw 3TTUcmGF3b50jz8rrAQAD1YDKSZSTWKZ6pMV1JwPq2VollAb/jcqL31yaXdvaq+TBEYcbtFWTCda lFcjhyJqaCKJOy8n8X8pHVchkALYMU5fRNK3JkLyISThwr3d15NUxzlOcJmtzm2qyxLp5colB9Yv RjoMA9XH7nVKHQ/Csu+M+67VriKJxU7T8ZLkyjd+24HFAc0ZAlUhyv4zoOINf90BMvwMbLsdb3ma nxnH1xnZ45MPcqrhaK3cPOna8JhrvV91Mg1LCydrTxOojy/ii0wyZJ8ouyQ1rB/ffrt4JyMbkdBd dvvDfls8fffHpPLhifa0QnA7ai9EniSBJAxxmaFv2HCXWrDLodwUnztJi9f30vUuk1ZdNXECGdlR huPUOqf8WsEWhZXOmJKkNB5uu2oJCbqt/abZbzDNmroe+SjbwDUItEF8UjgifZUMKeMAVWqE/k60 W0vr3XWiKv3zlp5QlOWDRgc7MLcb4keO2vBgu+k0sZdUI9Y5N9g19u8Ghi6EeMpCVS+nAaXIbcu4 RkDHb9PrinSGsUbzUbohdKZYq8RAdYGgXkSu0XFN+wX9j5VHlsRTojdwk+NtBOjUrW3M0h5zxsq6 4y/pb4GTdERUSDWrreucaqq04TfL7IQanVX4Z1k2dkBSOEWdrP5VSB+nsb/3XKqNRKDlLN35VWN6 OFTNYZA95QJ5P6s+gzCr/oiDNN7IROvoZGqQGAig8GbnL0+7pLXQF6edbQjVTqBPBSmAOchYxjg5 QxYCziwHU9SJkl6WE6hnBrOx2AYdH9H9VjWiUtlxbpoZHQP88IuUWtRGtM4HOccJv2K0uER1XDkF MQsIMwQvzdc6yzqcIyLen6SA9nBvINrBPydtPQ49BwatpNctzMaXtZntj91riIiAguud8E5eM0DG YZjtU9Znj+8JZP55CT15EjBtV0zHRGJDGz9y7I8c0IpAqx56tLVc5RlYmKkxT93piZ4AvMWPz+vW J6+hwhE8lDDd/gCuJ5tAqKdpYW1ZRp9iKenawrKDN0g+o1C4tLdsAqR7ndoDMvO5OE9kN0thSnvM OzSGRLgTuHuW+20XP3fMrGao0t9W26wEqusSIH1PiPXafkO54c+4VLCmz9640yzdtszIOBDdn6kF UbD3UV6n9piwuiW+UFqrOEZGExzXKWn13fDWJYRuCH6q3hIDlgw915ja5Frq3k/0h0mga1WZ/sap kLYnhurts0Y2S1BxWJk85HWmYBvtO/SAT6BDUDAXM6QGWJQUVn08dZEvFv+l36+z1FbG6jKDOLTf 0VkAXQ45ulsTplD3JPw0HRQeqtnPkWafWvc5OkWJRDMBYPDlg58iMsJwIln4vR6pktoTtlxWce4X TtAGGC+LAZzZleR9rgMK1prgUPR8YcJPZkYBbYahkSefHDW5oNDzRYbbAZQ7ogW1tSS0ip08h6x0 chxVV3Cg/RU+JqYwu87fja2LNy+6cqgtkk6LXaGjp7z+RSROiFxMEDOU98FtiIXezSy4vdAWmIAF IzTMiQaCLBWOVH9vrfQGAYqfQHIt+HknyP0nEvUSWiyxgDbGNS9P7+TKyqyVW+9AXFCUpCyuxZyF SrDucSVgDn8GKgRX0ievittUUXK399JiaxakCDxob0OSowFCtLk+hZKdGznAQQFPYENytl79MUTZ 7EHjsi/7YK6HwTFOwKDxm3t4hAWBxCpRa7fB1BPb6dAhzg5w4Ndydh0+fJB40VQKMMSwviDGbqqv HQD7Mxz1R4aPoZfciHoSieN+5/StHjttsFb/f6C5CFwJ5MT76X83DVvgdcIRUtjldfXLh0VLwvEa cj/CbVYx8FcZvGAEDVmtkXCxHSCzNYKa7+8H+nhEhaf9V04wCnKGJ1bNH75KkGGhRdz/53KEMB0l r7iWwK26RCQUDdA9RMD1q3LgTnq2XYNko9fte9IawLOLoycwgpR3FSpuygwsOfzEOxaZyjYrEYmZ XMvIdlIMO79zX28MwhcSHKh8hMfN1aM3kIOVSSj4J8snErN9nhaH2YOlqDlZ+5rw6zPyVFVYqF8k mLWK5eZMkJMbzmx4wmKyMJeHsKT1KecymeP6Al0/qkne/srdq77uTwlWQDcCB8C0ACrHShL+q1Fg sdYVF0ijTCUm+u1p90fkEpynXBoV3VAcI99ChShbbb+7e3G9bc0ZJpDYyMbyxyBPAyiMLgS7IaS+ XNXMlDikcYzujrQJdcBUPdXMwRYTZdxDsDr1cCc6KGu3bcTMijz20BOMFstd2JVYS5fMKrRzZ9+Q KsH/QEyJWtoD0mJpKzvHOGcfZtNuoqWEIBwxa4br8z842wnK5HL5RJOuWmIsC4oYvL35I86d5EJx YAJowKTr5ydBAi44VsE28rMhEl4P0t/y3FCyakikM3HtOxp8pIgT2GGpmG3q65s8broVhHirpW2F mpTOvnm1lxQWHXpYYdoIisPtmFqyqT5nCXMUOXC+qiQfYCVriVs+nNT3ELHk2DKzin9uANyJslAM cf1cY4urFDsy8/PTSQa0BPEwNlmlpkP0++JFpByQNDsojxX/xcz+kDxVx0reBlRZ64bXPmRzKUkV 1eU/bZKjs85dMf68f6JDh/chNy/t9/60ZYK0FPrDEoOMm0CiLm4B1saCQymGaYw04BNOuaUKDKkG P0Djl6CZ41sUiuJj0XwSSgt0J2q9Z8ueHczpLaXxS9piA15bZuGS3uWRpenjrCKEf+FwVQn34bUA 2Fx7xMjGked8+RnXGSv6QyyNvTfmqWAByu7KXzdvdz+iem5wpWwLqPf2biPgzE7pZztJ1Qa368qd fm3OiW+ChmejCjTZWNVYX0+fUJAu3Kcv95faxDNMXRKJNiE6AK+3aZ/tVAXLscMHl5l5kOBawQ40 7wmOE4j1Y6tQHvX683cg8ga9kvuKKVOJGHSVp7ClJjvU/Ok3etbl3LpCjccIk/yyg3hp1VT48bFd +aM0LlX60WgGluNH0lDtvX+Z8JDjwcyqs8HvShXVvFDXMpNBCBz0LBhh1gyYdjrHja2FrrVfrjws MmhVRPrJ51MtGm2JRASEfAnELA9yJOs8M7lGIiEZ2LwHihOYv869dqLR0uG8HhQTgYNkWZmgOru1 P2CUw72dcjrPhVf01n0eVJy6kw5bVRQhefG06CCL2VysdYdVKIA/VKspsAchF0DwYDJeLyAABxBO s5u4Pm8CQOLQ+o2ROPu6E3wXYulK7VKPDCjPu2q1jYyhvnqr8ekLO26xLFG4Z7uNz2UUfiyWpskF /CBO7ulpISpfh+w88wtZcEgzRzD+Fcyvv1r9byZLAhGhJOHs/A6iCYPi5Yu/CQgHQyP3zrEJoSXq hrGanh8VRBjzOcOCHDgnvZFdv9HC9iLAwj9tKrSl8wKTYaET65rP6LtVEkxnIJu09micmsyF1nOo p806lviKcj56PwAuJcn1qhvcC7Fw+k2pyiC/rmNW4cyeoesQjHAWcM8/6SvFcPmjkugNyHHCR1A3 DCodRz9CiFDUvjgcPQWwqCUEW0hV9ehzW6fTtGTJ4WjdQiBNfKiege7BMNKFJAAvdZyf/TD+mpOF yssTxFQxT0k3ddZWrf4HqFL50A2BWOtpteRfG3o3etrL0IZsshoVN7mfARcYHMEhIoYNxBvcpAlp chpFkWW7biM9RzbGH+tQL+4wWuaN+mT6XWCc9aSIp09ws/YsFX0OMPBCNIzJCTNxXBLgCtrNhDfg Q4yfviXw/vDOBtpz18NX8nmsml2S0YoOzgYwM/WJ+feTwHh0ysHTlyTQiiH3hM31Ruu6Tbe2IEm+ zJu2FRQDEVr1Lk9NmfYzrrR7wStDRDAFZGK9W8++BX0aJnNw0yRCe4hoQI6/5wkEk58hWe8gDGIQ fnKfnspfBq9Q1AZ7Nn6Bks285GX+OLGQCkKwd0qEcNMVfCWRqIyfWYI/ze5WzvzAUTwVz25unRNa 8uRYtJjP4KAIdXz7Hj52X0bHjK7Lv6V0bzWH8Uc9SgouMsck8aRi01+cqeozjfWrSGcJhhZToW95 p2lpvVQZbRpcDMRu0T1fsyGSCL4lnvUzPRU4PvLgPT6cf3993WYA5Mgx/8w0w9x1to6xslW97HA8 WCxyfSB8gzMH0fj1Z2b8vSNBZzGfJIHWeEXTm84Nq7ICLoTBxpcDaf3IXsCSv5gjR6fUux8o5bAW Zth7D0ywNeiGvzT4l9vWIL/pWoRFCj/tCPLc/1exKM0kza0KX50JgjyVuupJHeovn/b+omWckraX PGWQaZUkhkvR8Px0cXSXOMNZ2dr7JWCph3fbkPsYeOBqjgmV2Epi4z0DnOl1ZKB76r9zUTOiazJd 6+0x5vjGygqIlE/IhciPA16kx/+JaHVP9ORlIka4ikMa0JgoYVBD5AX05jQ6ltQEyIOEzwsc1N9t igL/2+h74dzSmRnInxYtihRrmvc8ry8BC5G9DQcHSB8u5/jr0OhP0g4MhJKMC3uYiAsOTzpg3sKs QHtMNlj1ljuu/crs8DFSIVWo7TO7IMnMaXHbOrVl4jcaaoEI8qLlbx3Q3k8QH2d0fIw8gVyJXZgb yTgXLBbqY42EEV8t2i63B0oh42PLJRvy/Fl7H8tfYXaj/6nGSCG4KKCBngbuUsvcPUKI8+Aao7H0 QCfPCAbwk21BXlaed3WOSXPrDeUsJti3kNQFIMktXcx87gVSHxmDB4/NlxLSk/g1mNUokAPbHXDk VMaOnDZbiSzkPjs+xdxAOJUQyNGzoPK7nQFCeEvJ6TE2C4iTpRHGc8lHcrRsRTq13fIaWEV9ufD7 8IJM5hrFzYjhtSPrE6qmkrbzzzR7st55bx/Tg2TT3tbfL3In8yYK8KDit5h9afWpH2P1DTCJ4Ulk JebsyUovVMfn9U2olSEgxRdcoMWo1EBlFdg7iedANQOn2YbLFJiU+P8aGiAb7eKZMNb1L81aFPu8 w8jev76GiUAmcOT74lDQJ3l0myt+tN0ZD5911sW9WNbmnygLHci4h2Wj7aEKbHSmDBNTezFkeimE 0QDvx89T2JMrIDSZVh2FxvpUanS05nTWdx7IiKRHQ+D32U757UzqZI/pU6SpzsdCCmJixIPtj8fA awRiyTX+6+Gud4dVyojCGCrN6oZpfwX5RVXvultqTDpHJ8gZECL4LwWxjbRINkBHz3NNqpKv6IEU ILHUrIGTI+gjQXilIMXtp83sZx0HosKhLWO/O9aEu14/uqrhEuaIAQ62zr+5yObiOnmRLKY1ntY5 2vf2WCGFBL1lB0HrtpQkY8CtQL+yYYUuxOK0iRk8pZZBtAnIKIdkY6WcbZVL7ojwh3knoRxdDmnB 0wHkqoWpWa5hZmjUpATJ/TOwpnxsrIhDlCqP9hZFF9AhPCYmGp3ub2VZ/NocTfqSN4IV9yaEe5YW bvGc6oRaNnXeOlBBtZ7yxNwqZRwKo1APWsHObDmYtZqSO0b1VjXqfeSwFBMEu6TKfdmkIIOdjOAY aTQ8jBS98RrCDz4zmsmIv+P5rWO2MbTu/abVMHKIOfKLCmRBztNT2mVo/Er7oZ3Vi1ymbj4LxTRG ETj5/bIb3la/8VqbpxWe+0asg4jQus3Trm/iIZi49VdLb95fEBhlwYAofeIKQgF/WRsAoRQtuWzb qNEyNfH5XB4ImCp4SD5Rq6NZrDBTE0afj90cS8zY9ngdOzn/ShXq3foRVLLqEoV+FedKJpR5mJf8 78w52You9zi7CLGHXtt9+FBiG4Un+wyOypCvvmywgMCgOlTNXw19bgzeUdvthKeLUnU+KxRQaAFT jHXWoRnDc3WKGMY0FUQ4psrXArII9p0NKwj8SqW7eQAYEiKtSjMIO87+gi0ROzqgSlvEQJyIQrAE 64U2ZW6y32VSyZhVDXoeB6xStD548bVrPoBS5x92LzsyIo0Bpn79FIHw6Nvwr610vxQfluGNn0Ho ybEJwsrXyAUEfGeIy2JbwhjCIhOCR1H6ipe1UeS+VUog2s781Rc3x8xTjr0laDhVod4KVFOyJnzB RS6f9O8rqX+nmaJk9yQLPdX54QJ67VdK+LRZ5tBY1xQdcO9HLtr9YoKqaQdbF2hI/D2zzBHycbAL 0e54TQ+o+boefuDUJRO6NayClAcyC7S6s2w+WzTYF4y11Jz34SrJbxxrqJFOVDllKg/f2AATWlOb Ev0IDcyFrGXbZ/rNObfAh8A+f2bVOVEp69jqoipg3mty4IWG4bSMZEiyQFlisXYikeyUCst/q9B9 f2V7gA4EqXKpKhDQ9tx+gL5emC94H3xiFqJt8j2xm3ySR527fD51cA9zGGg2IRrAcJOiOwEMORF6 L5mn5ZJo/3l+Kf3EqsNJSoJR7JsTLVoCLrBjpzIVNRWTAisZMsBsbJpqlGjHgOCj8ZR6Vw7Oh9UI 4f2Yu6FfsJjJ3i3EPHq7lfWlzi/HoA6enBviuIT8oAg3sI2W5AYNU25eDNq9Tx9BasHqIBb2Rv0F X/cRrBw/wl0XgcKcmVCYWtFU6WgYBXg3Hx4748r1TMDTZWzJgOJ3WnkYCrVoVM6J8xJgGHjZ5tbc wTMKND4whkOSs/17q5WSq7TGbdk9knxcepIZJnjzcTaFgbjZBok1dsAJqMiIkxGNWcrHwlLMWKJh sxq84YEfH/R+cnIL1vXMZAvWbweP7Pn4sryDWZUHZoeZ9I8jokEEyWgXXNY/5Crc0+PxftXgQTnF 1Ao5zeZXBSFaC+Om2urQOFoy3rYc4WYTlLkeZbOGC3U2F5nLg04L8+ND9loq+NGaesb0CeeWyp3V 1Op1oWWZir6N/j/fVHyn1O7yeHPeDysE2JYbBEm4c3WvTqRDQXTsZuEGk2tzpnMqmSSnwVqMJKjr aS3Q+68jfw7sLjFHm6u274/ebW5P4NS6X0QH1zcBjCQznetac43D+IAyVI4OIZP0sKp9SYGtBXZT wfTgIe45d2WNMA2a/wlhjZSigUuPbbKqkdj1IpcQ0Qcj03WZHZMLgj7bKFl0XGkTy2fIqZGK0UwT 6vLMG6l6Wea+qzKUEO40ut4bMm5zlkjLNsqQU3QXRQbEt+c6IdrRbaMas3Y8NofmfcfSayj6RJ4M MILcu/QNp1Z26UPpJLygjBLm+BTwPDvk1KjfTDa/j87DmIvuHYXP1ZAavC6eGPt2Lnb2dL6PA1ia ntW5HQ5dvWj4ZhBqiJFopVONijcn7Epnw0b3VNpCuWzkr1DlNgMxd/4qbOE15OAGF6pZhzOCpw4/ 7avH3RQDpjkqy5Q78M3PPhgvnvCJKeraexWvRGMTjTFcf6Mpx6twALB3INNuaMP7cLuSP96l2KyB wKt841eoXCNnfTaAYrr/KCg8oRFZdM7+UUfa2AH1HOxmp0xj55499TT91ZyZQOhP90BHfzXjNkyv t4vAB80r0TTvdKgO7j1A/NbX1YJjLRkkbgy6GlTYpW1bMFf9VbOA+o3nfbt3ramC/PWziH043pLL UnZKKUxfjX4IYIyKIgALI6dMCF1klRPABRrjjtgF2FjrDC04njL4zbaAXZ/XeBjjTjBiWZXDAYsR dUfO8rmThgs6LsVZTPEfGXrk+r3coB3dmKznmGU2x6yqW6MEynSwVJfc4rYfBzkSv/M/voaI9tpc fGWFQKQyyCD74RC41hXgH0X2UxpiJfI5KTn6bjYHCamOuF6LR6XGRDf2Tk0SC4XBu0YoWipWkrSg DoWuXa+5YtiKS2Z6NLhCSae+LnMF8I5eIV9EcfCO6SgD7+9gX4OREEmqc247BKywGojoq9+aCUTt QADQ+HLe2+IBu0+tBg2R51mxfsAd7ZLu40MfPEXY7a3tQm/S4hYabVoPMV5mD76HpJlGPpqBMFFl X9dBwP8TaCdZNKE/Esohlp1VWW42RFQJWOrom8sNLrFL7k2xotUjzT19Hp4KGOfr6rR136lv8U1w 4bUbhBD52x5Uq8M3ymnLIlDgNldl2d0VVcUdFSHIO8lDfXvjkQG0fUmP9ltsJgSe3eZhYwG01JyW OqZwZqqAWOjKIZjDm7dpqw/JfzQlVketfWyhR0kb63F2c8axv8eU7RS0vQZ65PoArq36mr00/svm N6PzN33wRbukcdqoe9hr/5vZVegayCpdjKoaWpZT+650TxsHD/5xbEMy9Yft0hX7Ct1lZD0Ub6Mx JE3fG3hmX7nui3wOEOadJHttpagx5Yqdgfv3bHld3z46mO/rwTdsbjcijxMh6OvZN60n+fCiu171 0U2B1g/hjyLsjkGjD67rQVy6rmGIQrwP/+0B7w/MPfrkIxkpNSk4jMjmKlfAs2ZfI89ovq7yqyOL j4EoBEy50sHsecoEEoqarFlKpP5qoKiSood8out+Hgd176riWACthlHTkWeWgEDCUhR/hfV/ytFG Sl7WtNMK2nLMvLmGiW5f5AojRihG9A9fsceO8rfBO2q+pOju7sfUVGca380fiMH0CTsRdgFFwWAI MrjP9nn8bxkKQOKK6Hj4DhfQP13CMZW5R65+c7b9lzuK/70i/p4THgMo94IPsOOdlF4lInO6rx2o mMpVVAq301XjenwH3mXkeNP398+Wmy1ZMpyx0IgLvbnKF17PXWe4K3sy/zFeBLTWRm4UHDbNQA6W OiQR8bnXxLdNkdWt4heYzB7LeDVsu0kwLh5s22RhspyQxwc9KLJiwOjlwIrIrgt4Z6PscKSs/pQF YCWSKARnoFEubMDIv9Xwr6AHNHRcTksIe6y/eSZWkbGy9Sq9pdsEEGxodHn8pV5aj1+w+mlHQ2Y7 Ci8SaHM89/6OzR7H58RupNXiTm0G+fHuUYwQF9fpHRt4WPJLoMZvj0Y1qvApsf99cIDWBTRIXhgz W3vDuXby7eINySBVsv2F479Q6GYeGYpI7KDn4TxBur5wvGh0SmvqTo/O4VRpOxDu76lx0PbRx72N heWGYX9M/dV5rwEOxVoeQGVZ9/av2yG6QQO/kw7dv97k92aLpQgnw3torPWzpN2xqJFyGwISikq1 eKmpDzDcal72Fw+OV/UHbmUrL4lPL0tvGwfMeB4d09z6NrvUvt9nk3RU9jcrJM8T81thunkvL5Va Ru3/VoJaXiIOn7VTIXEuQkM34jf4mcSgzl0CEKzKQiOQWheI3zFmeaErb0ir82RNrgqng30tWgKW YeoJO0Qt0GudpxzUql1EgSQ3Zz+wnMYVgLH6R3j1u8UB/64qfj7wE7MF0pei18V6J+lwa/s6X6VD 9U5JZTOruN+vI0WC2gCl/fEKzKZ481+1aSNBTru1pyo2uhR50pKwe4J7Jkr4qsExN85xzvnNAa0f gEoJJ7c49fKrPerAjkUDP+xjSfLCbpzTVE70RFXOfgwld4xsBRdxwpJHBFd+lO/wDWxLR42l5TAL ILHs+dI29yF8amv07FZ7xcZGolVPbV0EodWNrU4zPA8JukCyOX6vd/K/FR4lYGbv4jiGZJEdEyFd WZcl+sQ+IM74YCrTsuOwdiMfbKv+wh7bJHBJlFefnlZmIjzxvqnY2oOk2Lis4EwGXhPC7lWAmAsN MLRGzuxVvFgIGiGt8tK2FJcJlJ/sLZhMvqzqhNyEgYGitNcZsgcjSf5XeKEEXm6z/TxkrHyLIl2b C40HMfrrsMOedxFhzaTkqtnnTshcYqp93FFCY2X0a1r+gmFOuaOah/KHTYL6xI6Y+CJ/814YicbO HpUyq0NVviZKQmXJ+sV7SjGlrl+qgYmzUgHL8YOeAkCQEFu+XcI0u2M6ELpLPk1NhrQd1K8TvsW6 u6NYi+ND9hj8ZKDrgsXgUCUFEwlUtcEKHNS2ynkacYeaJSR88DRhlA8u/H1dcASB4Ock2HnSEYV1 cPHAjHDR2gfCawtaQPfHPpgMXF5MFvxDwyMfcAMeMil7AdrH4LUUswwrGpxyTXaoyFlvqM3z1Kzj S15UDcBQyTExaRfwD4v/amDFXrGQnukqYb0WX+vNZcH7iJZwzOA+y5Wr2mqNLjoL6XKhtBGbcBPV vxlHpaIyGx6oXAxkvO9Ijsjb/vsMGGWMyKjgaG3ga3UBrz2QL//UGTlKqhHTrladDPuQVnFfoRR5 KS5jlLkTwgookvScoDXFqxZK9Hr8yXHoT9sdbLNMv3Z7fK8YDv59hRDEPGlIqsOalh0fWT60Ugdh VmDkUx+S7RCiXghMhaUfvXGMscBE+SCJoibWDjipoSfTRdEdj0Rtv85VKLiY3D0eG+9fe96/8cce 7uesWpUNPHy69qW7iSYvPU21i+UM5O0cWKpvdBCyA8N4iJoYyMMh5cIhS92h73rGygOrlY6O4VD+ p0PR954NlYINGJ0Dh6YGyONidxPrrq/0wlBUkhz6jb8qBic7eB494iLtyb+47g5TOBCj+VzOZv1T rnCElZKXA7l5EEpbM2zAyq3csJ1srO6Ln4LVT42SIO+GgITplxKl3VQtwaVDx0dC+ButJL8u3Q1n XVoqI73yOIr8BtVDWe6PtC8lAU2gD0fjaPrAOdKcruHSuHSaVJ1sNZ4woe3RIM8o6id75Qqylb8m 4GOGL26/mrS4edZJ3Rjtg2XO7Mx3go8mBEAqvH+Scj1Pi0c3Ku865imzAJrwJ3HeG7KHOaDg/wWZ mc2UEzmiRB/3vdYvNcfOCsGDZ6ojGfF9PcrW37IMo91W1r/uP7yXxRelX30PjiQ3Pc6CNaPYAgZ3 ZBqb+3Fqkc/Ggwd4wPGJrYf+aWdn+XtrqdFRRpdWWwLrkaaGslEWFjWwd5S8mUke9woExi0yRqXm InJPu7MyVpXN15DkKcaINeOVgMzwvAIA8P8HUWVSRAli91V28m/lXuWkZdH3pjOT20GslMSJekEV 0Po0jyTTtY38qdF4r/stPXAin/BrLm2ctfeLOYuxz6w5WiiAIMYZaGrQSjzHAcjUtO8327ULOJ1p D7LuF80v3TiHk+t3piYS3ELwvcCIOZMr4VURmMF8GKNrfHT0vdagw1KCsFMB/RYb2bZT7P796PPp nONodR4HcjNTMb60K020HAfcsLmJJbIJ7qNNPZWPq1o2FQm3xYgo84ymUkpCpbUsoBw9avpZX7WW Pg8D5FQOGDuAZT4x24Alrnk2Zrg2wF4fA8SLPe6Yesu3qhNpfsNraytb2nMlntqWEV3u1CvWdfKx fkHX8Bxo2iaHVe1RFhDzfHpjMVrbfeDMexo6M0vY/VgNomKdSnnmzCTpD3Y9Pzmsq5QRmSbSelOw HHCud3JkNCSgwTQOAkvL38vb5IpJw68Kry3OqTRtSawuNG+jwkJd3FOOhH3SpEzBzEFcjTRrmP8n rMXgzLKEk9j4lfNPYhhwz9LuX4WBVh0CgexvfF8SXkAc94X7Sf/NYml7zcywt5rmIrV6knoBKuG/ cT/Ts7wD3eaFZFv47nP0BtVAyZkNcxEOhDtJk319iy285afmV1v1lUVo5CJcJEPIeyM+FHHqQD5J C5Y9ifAVhRmvFuz2ej7jTSHGnoVK0cIW7v4W/uEId4Tcf9seWO2abeAYLb4Ayj8vz+xkA7Mjt8DW WjEOhq2J5WGWYvDesXSlas7/xB0qvpJ/0HUvbOzRdAZjrj4fGUewEc7+bJz0LUGxDhAaCsmoUXsR LqqGZcMPpKzIt5bz0Z0LD9lQFZT/p22+3uTE0bQU6JprH8fVeKBbaFznWVw99WR/Lq6n2hc2NkIV J1cQXP5mVpso8yOpgGFQh/gNBdWbAZLeF3d3+49qxo85ytmx6Q56T0Qs84IjY/dO94HUHcut3ipD cgDl1V2IRv7lbUDUbb1oLvoPmXRfCDP/aHpcy1lkjeoDO+0LfwpYha3aev/GzgSCCStTTvonTA2/ h8vwmkzvJAazSpsZmnJ3YJbhbu14PDNfJdoxKGjGu+fPlZNmqup3FQIxNZ1UhCX4ngo+rM6qECTh INe/+hNTzITUM/7fTPYqFY6qK6WIB7ZhWGZf7Zv8YEB0FGeVJi9I4NBiykhQTCwVVqPqdBpEV/Cu afly5fXPxrRIZDFG0MZkxKxghUgXKnFXT8yYi1Ha86q3EKD+NVZ3hRacBgP9XEjO+E4TCjJw7Qa3 Gx4NkeQr2V2dfjlUyyxcXbDYnM2VA9u44lN1yKTcbW9pXjXT26Hj8/lXF9CvnYMGpxV1ua6uwgXR Qu81dnGQOx9770rA+x4JCBoQogoSeMyKnHzmPVpnnK6QliUvcWlMra5OGw+EGgPNneV8Px4LubOQ zKZNo8r9XhXhYCZcpZnqiMTtWBC8I+vFKIncMonPHxhiK09Da6ThQr0obqVuZ22sknC6ER8uNJKK zgx2+MOi0OCCZtACmPEdK1HZ9pvCsvUmuMj+DsKmDUVaTH2ejPANbN9dytvQvJ7lNXHIykFgJ5lF ahKchBUtmTugEoRZLugGb6g4hL4FBx3ByBCPYBp+U8jKDbzNda49eXHzQDoZMxFxj9QOXGoWu+mC uRTqWp2C+KohjeT61Z2Edl9/mOhSrSB97zQgDaMVCwm4CpkKr2hkJHGloA9NrqYvcmMei4OAX29/ F4BKPFRRtIw1Mv4unBapiPAZ78lVrHVbzDOj3SrmTOTpRQZ3p6DY5PKBwpzmbaih+N0cx9Rc2tHZ SYHRLxRElBJFGshw+xWLXps6P1tzJDSpgnCw8eP84YSpHjnF3randl3RrBPAB89/91ujjkPf8TVo 2ICFYG/t2CNBx6wiJ2BHlxMZAz7z+kX/LMX5YAR63sMeFq7nYt1vt2xFPn98kv5A9QCK4aZXEiMW HH1/LV4bSrYLj0s8z22IhF3YaFYWpQHGZoDS/SKznr8iRMiYQQLvk7HXN3Wpm3F5IGcpDZnEvVwR yRe4j+42wJE607o9EW5uQKCWm2/i6BhSqbtMEGJmyKaYxalKctqh+5XDCRvuaWU+/cVVE9UXriqN X3A34qlXZZKujyPl5PHHqESROnSjT0sPoyH109rmb4zi7mDQWvu0ChujCWxr0toobQp0TjCKGCmV kl0zVaQJH/8gbQJ8MU3eEWaEyi3ygWU9zDp33ScAj8+Fyl+/0DDgAFzXWAJHk6In1Ses4OEfkSul 74uO/UKGxjasvL2U7PlUnbGe3I50ataz98M5OvqjeyuaZ2N21uK57EFcGP23JkVN6594gzFMciQJ sDh7lb2ubkHleCautLb1XqZRkw9zNZEHRRUtgaHQqCpo9CA/OVic6weDAIu0F9uKAqKxAe7ltqCq AJF6caz0fSg027z7JsVSlCxKjt1B6ShCGJNPViytwFPAc4QhVvq7/jkczoNxrsPhyECQCk9EhOKh CzpDVuLqYeM2huJcfYEgMd9fZ7bZmUJ4P3cs53ItG6bPZqlt0RjP+uL6rKN8OZZKZ+wu5tuNymBZ i2D5CUHwPJOqIYlUm2ydODr3scemaNVIuQMNzQM44OuqeW3m26nMQFfcn/fnGtlFld6BwWKLTuzk H4REXMv51HxzXoXjb84PUTR9I6ubMeOsvq/UnKQCQcqqGQ453UorFyFuIWrtEUi8zL9TTLgZT4Uh PmP796WR9dKQmJ6Crppjd/J1u+IjyHRnK32SVVEY7nr6XGBK/TRryKsiFB2l+05upq6SuD6HH1wW 3QjDpqvZCJkUS5Jc49d9c8Q3Tlu/nN+LV1vIWmeQozLuXJNa7xb+WD3jRblKs82MZqFGFfyTPuT+ 2lVH0xzlEYMEYQ0b01vtMJEqvkcOTtg3pUqkY3txos+GAter80Wxa5YAQpCnLk+GiTKJ5MCAWa56 cBKCSElY6E2a2j4qEPrACLo/MRpmuDWJ72i+wmFESnmhAN0yKLJwtDv2kxq7a9czMtlH9r8qzgc/ jWxmB4AnO2XyRsKLv1xk018QVwUNgTo2fDLXuy3TH0+Rkh9X9yf8aW9crfM/KQemeU/R6g9uSrbt CtzGJLxwUCqVT2X+3/i7PS6CXoPlKZHaC5o6qt74R41pIrlkjom7wlST9nnVRADAz4uxWmDFXU+j 3G+8uA/+prT/Hvz+c2Vke65D1/0sMJtuHcehPW323inGQYYmWN1gMniyL7JcxfXC8slbEalksaiJ yQ5JehiyT9+MCET+SavsqKKLefjAWEzkODjABJ29iLgGAzt8HIpLt4DeG8SdMiB1lw1i+RQeYThD yE/niXmADWgMM7vuko2JBJMR7btmSlSxXZQN2V5Fkg/uJ1CFuF8MwCUurWC8GQUnaJNJw5XKxfip owedixSMy6bgIilydWwr0Lp4rJzRg8lHpeCPHb5Y94+G5uQ9c+tB2rLWNCi8o+ut/U5GZryStR6t FuKNS1XMN/TJjuvxyo5T9KoJCtpIAYJmWXntHAA4WNmSx+Pf/nqaHFviG1lKniMoGFiUEew7uesr rv5j2PqadnfOAWdGwodBrEZkHskZJMhlpJWFMF08vgu7XDvl3q7OqPgvMnoy/I+hkTjjf87iq04t 18qcrp//CkRpvElNTCaVdl0QpRyJmoK/ANALEgJSdjkeMb4d7HXaPFq7heS1uIwLqAO9p8qZgyiU 1cGY4/u9NgKsL1l9sh0FCkOcoWk9qU8UWBFbt4M4sD9uafSOb1UmNRWlbsyT2gCEP4j7YFij/+gn XJQz36nioLbG1ZXHH3p6suUFoUcnhYy8PrvvO4x+H7d3rswy5MiNi7H4ynnD7A+1goSQkTz+u8fd d5E1vfCDBAr8HwzRHIlDAv9+SJFHuzAhg8/JSA5FSceuJX6pkKAfXmxIvBh8EeEQQpIZTI8YIa8y 5Ncl0TsZjUPCKInDIxQt8KCOWiwYrftVIVG1OSZIVWO4UKf5EQQ6JWOLXn1D8v7gjLHu0SNgxqMB n7YrDBUR37TWOvb+rlVadLPmGxWA58QT5OPvLtpEgP8eWTgLadWzJWzR+tMCZU6gR3wOg2rx9XzE tQbohpfBQ7ABYtisEAYPDIzwqKE6Zf46lOYqnW2/gZ/Ex9dT94jZaFBiXAYHM18GFnebrz9u7eL5 CrsY+E5xRok8ktdoXpjwatjbJJO2yLLCIzG10J9SMEdYN5g9+G1XarWm4PXtydNENT/GWdRMtH6g 3JlzemKJe5aSmU3ZlOTLYXf2bit6+1+eLeWQi1EfvUwmf6fUq1yPllWoQdDxMdVOdDQYJdxGWZpV ecmosbW10IlekShMrKxnN2QYgYOBhQoffhH/s7zC4CHya514NRPj6o+kkkA2m8qyagdQyCy4VpIZ YhW8YKWmnntnvrLcf/13oPl62XRI2Yoyby7sQ6jYodlOZ/bXBeGF3giSa9/rnls9zHT3qJnOWh9M cgOGmtJsjZ5B+zcIs4uw6FaMyHMy0re26Ia3Oov60FBn1jdDaRleOgCOi4IkJm0IhNGXgFQLhtP6 PtfoO3ZJ7mr1xDk09MsvPnEstG6wH6Vzrt5X9jxXh/cIwe/8Pz/F8wzNIcKHaPzecTIFFVUzzdFk 55edC3XkjEyoIBRL61Ifqk/ENgvT1uE2zgeniGdgYM7jh8cxMZcAMw8yB4sfEhCtJpj7BJYehAyf mt7Kw6fCx9lVWMNo3JMnel3JDl+M9yN32dc7vdiasJorHkOailfn4YUwDXNXwTA9BHQFnL/jVktZ aU50bti99nqGlgwsxnv2bxGCFJNe5WHtwkksWBpumcIc4rA0gcnjcADo4UNnRBZC/x3StktpuW2J n6Htlo/DZIaTLoVILg0rUn7aFC5V+rrmaqugoCufkTsTprf1My0OPax+QUQdzhrUrXqByRo0Pjes 6H1/7l8wgEd5B5lm2XMTRqNTT6bY8yNqfSLMb+OkyVf1r517BVkJnrOjCQ/vR4vEbpFDMkrWEqrb o83TeBolf3wu2r+oLGsUw3QAoplxHKB/w80h23/k30JNa1JBnarQSS6Qbi2wvVKlldurc6FGcGxb HNuNtso32gh21pcXB5MK9d6p9C7nKs+0GnSVBG5/Vv9OnKb7oXwkVF7x017LiImc9aaXrzwjKEJU DsoXhV9wkUgpko0qoWqk/S7mDLMB1QS2P0eWoC/eFVTQueAxDE0u5oVvgattiOmS41DnwDBYGYGD OZRAdt1itaRq6JVYGi204mSBjV6/Rr1lv5jEJ40zdcR82rkGfYMgmubKtL2dhWBgHSnjyEvVMVqd 6Y0hdGUjILfhrQDLSs+9o67QniREgcS4LFxAwbAkrXV7ArvAQEvd1Ngeo3sg+ehNPWIMQDKOzq4Y z1gruwAI/4KCfxZ4zoPaVxdbCa1/1FyGo4I3sihNQcIa6RBAMTqMAfhPbFBAC+UNwCLyNevR2xHE W1Ka1HDEox/Oa7HDKg3dISECpEP3CxCDviCdO4mrsSA7H1AtgbrPu652UFr9XTeuTYw+YVeV3xkg nlohHqXRnVKaSr9SqcgH0Duhcj0An/xGm2c/t1Douf9wCjGrISGZqIdvsUrAZMlpoBLZzGELGAht r+bLPpc2WuwRQwbtnfAnHOPKQD3KLGDBjm/M35/cqKy0J2FZmspM0YtGtJ+h06N0fZ0lkqRIlV12 VcNcod1rsE5JWMfysHCzGKRXzyfrw9NDTLg0Q/Z7wYA/wSTM99XCR6MeuKBwC6St6rL//17wFiQ1 rEn85pCTSt2DzgZiZHXJZJCJRDCnOb40ZBEZCgF1TkBf09zfvFNcjfFGvtQ/4FJgI12P4vFulYL1 8IKL0AbUXLmN6DWDvNQCyN5mZvkusDxjdjurdB6TTAjyHnxDX+fYw4PBFldI7G1lHDL48x5lY1wT ZlRNF/u3WQ5olWMcqtEbCL3uQJF4OTA4QWxVUK4yj7xghbRrnRkfbZt6RWEq8knaWPmycBPGZBPE KiraDpIkdwxEYJrpxUmbf+ohsWIAZgjFCQ2mW2bL4UrPtEQ1HzZlRxMOQ1j6dZ6BmWagOGTW6Prt Rl8+v64vBAfMtAUB7X8S6KwhfN6tr5arwO/nFBWYpSzC1+tnJm8AvoxsIpAY9Cf4HYPu8LKOD6k0 z6vVEcOkgYEs5jG79Mh3nUQC5sEXUuYjOswDsKYccTWPyH4T+R/N1kqbHgsa85HYST++D/5jCbGe 2siK3zW+/5B9/hQLBcLaE7WR3BkwnD8uwnLHQYOiSxqzk3dcykuJkN+vJCVUgHolfUmoqnrJ8H4t L/JvIOSFGl8GgxlH78cqdlsq+1NTQv+dmj1hIlW96DEmvpamWpmPqQZROuwS1zNDMf45agRWR9jO zkQofV3D6fZjVTQYQrcrK87SclmAhMWFEyZcTa4lAAY0V/vQvHSwddAZev9cGKvVRfKksLIZ30kO EkWsaCv291X5IkEzjgpK24mNZ+PwMwMIBbH9OVgqZbxrnnNPzeEJRyJgJ6NSQqma3/NoNOk6hq3w 7o5WposH1kALihd5PUmGactYW1/8g0/XOn9f6GKSfm9YjM0CYjW0x0x8JKDWB2JC24Ac79v0TRag Erq40cgqSYM2cyZb5R4Jsa48hLfY+LiK+2fxOELnGe5v5ire4s735b8C1jhj2V4KHSIgmvA8cktb O0Lp+9DTZnqIcJPSDjJXnTelAIDM6u+x0JPwARZ1V7zT5wn9PrBPCUeBrmjFe+3q9TEDm0v5un+j BOiApllTwscWSbseWio+9ifqi/+D9pVGSMtBLszUzS9HAc8hQwqtq1g1kMfnU/fqe20rPeFZDdDR NjI7cB3mYSR5UGMsEH5GNY2wDgUvKNULQApiIxX3wKN9A/Zc/yE4YFzVjbTr2xGxbJinJtoNSpWq 2h42ihH1guhVeevJW8ifYSyqUzUz7g+mxy+Qfq+XTNyQWGeuYWVFjLBdhC1dgAo0e/VY5GXykHNK X73oY4PxkPEtyL9uT2jIlkvm5VBxgtplkOWjOAJCJeWhJaU9uX5c1w35w7mcEIlEzemUKpyqzs25 bLGDquNDkN/e43AIjuRy1QgTuyLqHwatCDtnhWFGThM5IXUe52lUHHiQ1/FSojfKjoXWNpJItT9u NUxvxBBJ7WQKUS9jW/bOVSW5Fqr220Ku5MLCkc4Vf+RhQBDeT9mcWHSkXRyQKy30IYTmPT61B0LY zh9yAex1deYSr0OzlgDp/RN+ONrFeBXSvmsKmnQbYoSGExriyBEw8l5mWRb9afAxYBTRGMoZppRU 4sNHs1CltIxso9B+kcsWrXaHv/ensIikZU8n3w/r1P3Cvm11EopvCN9gMvibHgFB9n9dHtnIDA2n +Up6ooejAHSovWilNkSKAEP1zdCoaNXXydYujXAy5DdrpltIaZ8c2z5E6BSTRDemB4O9cTeUVSQi S5lVj/C1/CmjbC4MPJHpLdWkzxVPZeS3wRziDy0+oZNgLJg6FRw4NOpfBX0C1eyQ67lccwx7K7Zv aiir72qkT33uXnL2PSxcMi/oTE1RDq/kF0yuPw+5rgRCa5exSx11U/OBqdtVeEggJC4iRhxBRfna oT/8dx7S88y8qVPiHAKIrWZtxcPkAQguUf9rXLREvOXpGJnkauVFrPkWSXfMidYU0ASEMMhCwdda J9Htm820nK2Q5N0t918MKcDGRl4sg1+q5EcAXzYru0dJDbgaglZIjcf6orqbBoqtjeY4zLMy1EjI 0/ZTLNgMiRUr6oCnpkyT3JV4TSV4t23fb8/cf5JfwikyzBMBPYFU3b5g5kSl8/noK39jX0txifu/ P0G/sozaCrloEzln/3AvXy4P0MfKm6zk0wHx2DTMyG2KerWTOYTGck+uRiMzRzdbY62IXski5DD0 fZJ+HHsG+VsUPE1oEzsuTNVYEZWJGqJDvw/JY13X5ESYVS6tdqxvdIGM7yOBz+5dvSPsdP9f8eJr 0KkBSK8CsWe7m6Xf/KKZEIaAbPwYbJr1bHIYdGgtQZP25biuyxn9htMbsMM4UQjj3tQ8Ebvk756K BqNmH1aaNnkuaLQnc5Jnf+EgownOkDgPSAs3MX5uKtdIgBrER215vzllE6+TeWxZ8vKXiwvjJUTh hFCwpgsG2IIYxe6E4gDMVR/ZMBAWPV3p43uBu5Dd3LXC+EqedotQp0awzt7hIRaavoGugyLyFAc2 9l2ZBLbniTVkWmWewtqFGu66ue+oYuIhLLLVmQVQKHLrRU5bdILqivwgjiqjytZPCNuXzVwDzAyu MNQzMr7YtwYJylXQghRq3ZqsA0wO4/DpYUHVlTb4PeSVR65cKZV5CYhnhN/cXhrQvH/WcDqAVjsb ajcKP+LcKMqubUgXeJRC2anFJe50FlylxlUsLUzR/pYG6nyQ2RhYqH0XLXk/gQ+mcjUmjhJnzGen ApZW8hTY2hWDXFpaWp9OuuMGeNxprGXPFK9o7ITlaw23nATY+3YpvVw2/VO/EB192mqCIkplGwn3 Ig84HV0NTEFFjQ2LL61eXMR6OYnRKoJcAUNrXEtjZKmL/skm5+YfcjP0/KvOry2+O3iOR3JAjoO1 RZVO1tYUD92Nke69t9LA2JVXhamCEm9NpXQIOLf34mT79s6AmZyLGnxj5b8shosZpg5BFmPZo+K5 uzQwq4kVBwSuMP9FYY/9DjMFMnRYBe9/+wFNDlMP8B0zRKxxmji/A2Jza66zc8TrxacCGWlW3G7f 3oMHuE/NtBTVbVJapHyAoG6D4el8qnKBwfKzNQbStH0E+mhDg+ifzfG2OKfEHnCjQEfEgB5YcSF5 NwGQmFkE8Cu3KgNvcwc/g9N4/Nl0Hzj8TDbc2E+KXX5xIOWlWNEt6w0H+iEmUlUSDuQZq7KvQbCs IPTQNTnw5m6pjz+JGXSdQRTplhgrln0taGgWnXu1aQI8DLrCN6bDWBKfF6S7yoTrgVp8iV7YXrIp N5PLk42QkkcEcVa+8rB8Do4kZiec5W+xSv1wObmM1PB7wHG2Oh9rq/oFXjVqnF/AEbWdY+8SatkU 7Any2mBh04Ym7PMN39drRPuVKd2C664cjUkkrXHK5zTJZ9uU7pOBEeNol78xMm9mR1wETAky0ne/ B7uAS/h6XwPq79rD5q+yyktc5uP4ymvsvz9eIE3/FR7/jut6ijCz/T3tGV7bqFb1e7pxPlnz/OZd Y0Fg5f+MO8eG983MeBbEwH3JRjlr17kyX7ofKKhjDveEeu/sZ0n5I0TX+DDVUeISz4sRAccObMAJ /cgaqNQrBWasPaO17EozPBRP5YR5Yr/1anHy8V2sUUvPV3wrI36Yb7xMWnDjbbDYzLmntVgjwwvp q6VjxSwpuWfq7OPWcm19rblqAOcobNHRDGX9CRTA/m12jKoPuDGBD2/2GsDaNrzkV7HkwUt/PHrN WnFud6PEp8EHDY0EVf8Bg/0ff4cPl2U0CE55JM7Ffcee5e+VAPCGbPu8Q6DK8sxpZ4VjT+QYHCHO mPjNnsHuUcx6euT4/ijMyu8NZbe476Vl3lJcCF1A7jQS5Vkk7FmDbXjigjFi168Do51J/K9bRyJU ioa2W266YjCyXqhj6z6svQFXREfgX4elBLfLMwBYGCDy3Om65bU4Ko18Zc/P0Ifn42gpThUHIliA 51B2eP6i6OnaANEfeRJgbFTcs9q8US4AXAmPeq8bgKo/kOK5wyzHBkLmYkkbo42iqWPSpfBk9ICz AloYtLrvRTESEoZQZCXnkLAalnAOGEoL+5BX9Wc41yjow9crA0XFFTKUuWqXf80qqs9gm9vaS4AM AAAydVEh05zHpZG3YZ+qE9NYz/nyI4h8l8iKHnu2vsyK5VvFWcSDiCwdIxuADbG8GIbTwkclb1TJ FXkEiLjiMxvVfJ6FM+PFTFvDJWFAESPd8p+KGqtLCorwzTyGPjqVxb5kw56AY44I2dUEPSi4zBaj 3M4m5qFl9cBhJfZXKkkZ9jBXxBmgfjvkszA/20oEf8YVnohm3XAfcRtCPtrFToejW2w9ol4pGNr+ athRpL5iAG3ctCiN0ZQYXQIMOGGrbr9YzdlKw+gbjKBdDaBjZJjrcSlEEj8BUIJ8mI/l5TrzOZ40 /d/q6Po1E+PnopTv/2bzmYQRtWmLr3Mh/CyrZRExCzJlFxB4xXjpo4yw40Pp0f/vZlmu5IfKwQcR vAabVNctatXMpKU5eP8fUXAEajML0CWEH6fqMDfZpAM9ZObqkQrTOKDfAHTAUdtUXkUwiZjkfZak NfvQXv6m+3XzZIYitz4NKxaNvGKdD5iUbC68OIDWoyLnVAr9gB8egPOEXNGiuyL28WtquTE3T2Fc 48n5OkaVEgq48qcu5CZvI2kWLSABgjNuWW5QPpfNwfYaGIzSIJZNl9jPgO70NtRsMqrp70ShvoEo HrkDFaKoNjhjEn+/yrpgJ72cFXe/TwpFmntZaqHDVGT9yD0eZS4BXNUT1qJhxVfqMnh9PZk9qdBG dXwZYQNbicajNRrqxFlK/okYBx8ui0sl5o7+565UBFumg1Qj10MOBbY0MnJM9B6GJADOTxiNUGNZ HV/BBp5OLNtTiZEtRMlHf/umBfriAR0LrzfUhKkBD5BmX67xr7Wp/xqmChBqe+9BV367GF8HSLx8 7Os/TlGtUovRdF3a2defVaFhdunkRvky8EXr5evgWV+B5Iop2n+6uzr5+p7WJfw0MarNCtgpQiH3 XoePVzRWCD2B4tzxenvhlhx/PX7snSP/V4bt9k8f54yuw/jYRMWSCZMmnEtIZjnHMU6gQMuChIT7 LD92sZsrYfavft7ycLHmx4+108ddQKW8pt/4joFmYDQRAhxWEbyYyCSCFBlA7Fto801BHlYYcGZw yIlOzcFZ1muWxp49fwQrIthPHSJ7ogXeNQMvAE5/ZmHN7Ad4hWVnLjVNDHeAQ9gCYf4oVN3la2wv CdbInm/frpu8aWL+3kQppeDDr9vqXtNP4uiubPtkNDVgA3PDCkSnBqaDuhzZy8NXiUng3rCVbs32 MlLMtDIKoaduh7kxOtmSjFMV4OCN8yCqSwys0V+0U15uD68EYTmt1OslaXOTOpK2mIowJFmVUfTS 1nbAJXrWoeumnQERd1uNfeEeuPOjmOjG0wx1IfW/tZYtZ/uAu596VaqXVtvXB1r2xjCuRh9iAXg8 9sZ1OXZMlTwu07TnTHVxNPq1y/wbxGgUYljbbb1XCt1zeAaKBapMCIAPC9ebIvm2dPHy7PnpbYO0 KoEKrsbth3LNfoAIw7NCG02QmnNK7LlgmtunFojz5Ydd04FIvG4RC/2ukJuGkfFsZgsYBeZI/kuW 4VrBULEZsLhft5JyNDybRbd7Z6Ywe7EP4Kx+V28JqkBQzpTgBIcAGtFbSTzxxdv60HNw1LIP5Slb DhZ0cOdMsZ95XQFHPXJREy9i0EtTHanLx4iKxwgTr1TqV6guC0JG7T9Zf/AvBVA8xjcXVUvH/f1e ziB4579pLjVLCzhRnP0XbiAgIWWJp8HmPvdyVzB1tY6lZNwkD4hUAM/0Dt0tPvkSy5TuBhfnPNFn YI1UzSQLOY4pEx+znkU+T5TdG707mJ09tK1oIS/71RG6iPwOz2Qnu5XvXzBOMYe1tG4l23VTAH5l 9Grbi1W/lgISZ8kbkq2wNttuNbhcPdV+kHrHMIBbCYuCRMz3tKYW9RYvIiNzxX9fXG/aCsCSA027 tbzxKTNw4RpDKooo/xGhzTSkqjdHCIEdzmLNKejAMrH7y7PIpBRu5P1Yb+ADIqIJwhM53aVz5YiK Pf7M0/eB0QGE4pABdEsJgY3WdFJeAHQ9X3IG4jXMM3KPcoaroksuJl1mm2dYpi4Ra6PJsr1aL+/a sk1kOrykUzYyB8IFOqm4w3LPA2P5aYZQaLLYzUQdkDgljoIcQo7+onLiRxAb2rHqLSVZD+2+6aUj LUsXhuETSwMW6O5waLh6UTNMnjpyN1qc1WmDf5g8fdlAseAVFGwwq6phwjR3gzesUPtI5TTMXnuc oWAy9JhR1QUk2fVDqQRJf+XZyGunws9uPBJE9ubQGvQa7nh4zmKIjEgrBF9Clnifq7SmaBRGjlzh /Bi3Bxdq0V+Sal/Zkw6JtU4E/IccmTPLJ8cbT0icvNAyM5Bw6bW3bu5UUZqC+39vZSOQYZma7UwK QX60efq5VZdYNc2UWziuE8JuPOemzEvjE6n9u8QBwfat3LPPmPIZPhREWMD0nqnrnaaZd/d2dkf8 swnBMyDrQyFfKQWDI7hzbfcbPwNG8OtIsRY5atoj2RDRYKy7DbrP5ouK6E8Wnn+mp07Cq0ALEGv8 kibUhYL8wAtzq4wODuNqDouytjgMvgPm0EK2JRs2R8nlN3ZV2nlBFnmNSPO8hmhmOsmicGCdC1s2 K9swQLK0Z34xX3T4ZKaXSDAO1dfJoaylSShIDmgFoY1zTv47FrRYvUUOzHZ3QLmknsZk7Sz+aVrK pVSFmTOJbeSyLW9v6h//+NkrXJBIQCTZPkkh6ahg1oT7QSVgO/gurUbHzZAcQU1++s7LQPE0vA0e qu1ifo92++u75x165c7WB50duiIpkbtJ3JejnBr0pl/Ido4fxnF1AuCMZIM/58lxido6YdrjNwUJ KtfQwVXTMvrpNXen+hC8v9izFU9HbsVpE6Y4dm7DNp5nQDv66L//wySVSQjhQyjcjkkD9HVGigw1 R8IDGG1Zn6SPiQ8HrPlnBv1Cnq5ex5imWm3rWbTOZsMNw80KUc2cikxZsBFnOwiDAPCl7du1FzGr Zs6v/tdv3wPikIkUsiD5AF8i/ZWFShrvBh3vP1qs2Y5nezjmjkgMHIPZfus514eBr/gYt5bkCHzI UzJccC0C98Rylm/kexSJlUz1BsK1ulIg/y8Y2tpuVy7c1d4ekSvqSvJ+A1QkQxvWtu/1w8iSTGWk V1kOubvLPRd+6havG0en7fgfr0hOW24xVpxniWit1Zx3nzFQ7zqmXGaFCRRgyVQ0hGz2sjLdhf2A GHNG+6sK+WQ/Fw1cLaOLr6TM8nf6suio0a7y/xD77kzTZzhc/WP9gGcxLbAUf7U62NShE+Rp4p6F 8TNIQlMaMk849iBt4JnriHuLbuONNpGLLWirs4EI0tlcxPUbHf/Yh0/ocQM+sG+u9sadbLY6KT98 e9yIg0X0wVb7uRvQHJ+piaq5J29JNOuU4PpAtOXNGfGhYHvPYi7i2oQtpBmnHsbIVUdbpqaN3RQd RRX54R4WGxJ4JbOGpB8jYiDDWpDvzx5Xf9BoVRcONrhkVm502kDoIAhr7QjmsIUwxMpaeZ11TK1C OnDRGpxEVhBsAXg8fywT4aMXJB4/6s8zs+rrNKPSl343f3B8Dpqulx/z63A8bFi7JQGossdPe8n9 WCqhkjSwBkWKg0G2E2X8zSfqX0IuBBXm+qbMb4RXyegt0ktoD02Lt3jUKWF7C9cxO7uWWVjFZzZC FyD41eWJ7cGhvYYvO4ox1uM2bjO40qY2DFXxyjkVaFjhoHBEyEyytoQziK8iHc2BNsB7Ae9TpA63 N14n8Q2h91pEUIo4XnNySQmp/Y2mBXVVLt44HTj2MS3qHfNOWbe+IxEC4fTX7h/1QHPE0JKa6wF+ /TokBx9ouiVVhwKTeiMdbJ9otjppDypRQiOzek5mqtjLhweUdEfWC8feAdjVrX6Tec6E1TBg125E Dpshh5T2CE4XJBcCTEY5uOWEiRebvPIcspGg/dNwMID9Ipoa4ZcOLGFMZisSUMVIdmzA8G57P0D8 0/SloVnI2DRFEPYWqZtuMOEhgQmWpYbZpBLIj6iyAVLnMOuehbhaUFNMFZyqAPad6ZPj35SWhoTF W93GWEssbs0z1q267MWY9CyLFbB/pJUAMWtxxHBYzWLFtdTuzo+f6eXJmIA4tMHBBOgP6VCOdWK2 NYbgL0SnuBMlUS5ChMHhv+lvMS1aXJdVAh2KZz0yQP7hbx6xXK7XufwnpAhyUPTSZi34Iojrji+k gUlug9eAJVL7Zq3i2I1kp64JlCdtyvOT49WThyDuYQXjZGXbDk6BY8bsCEFeoxyXftE01KwNn/MR LUergBYLIx/wxkHCm/w3OwXFFZ27WMOOlZPR3bOPB/ATiXpTByEsUcMh8ns9KES4qbrcZYadycxT 7JKas5p4wo5nh5mi2WXT7E9xWyFfQrB5npFdsJjbg26af/KfGo5MZ+Av5WBGYMH5WvWsyF1acCOD e+bvuH7jFgE0Y9Q2tjV9ztMav5WxEizfwn+XoCERh02X9N0WbasuuZwjVKLcWRO4e4SPqRP8SHMi WXBCY6y9RoJhYhAZJWznB42MRpeCFq3oZ4PDMVuN0oGH4cV2WWIhuOQOXN/VvllyAWXCx3hLBTVw 9zPcItF4M1JfhNQrcx9noFjVLhPH4uv53LrzrIDsUjvMUKnX1KGBoeKTNmYNvLa7iSgSr2ccw5At t7oPVTSOsW2BNyX2+dZ+rskBBbXyDS000kLDpM/5tvHsJ2jSuhyw+SjRoJ3VmO6kDs2bds+XZD6y sYKTAGtBLaZLz4gjjybXxU/fMX8glWmI0BnAx+lrDihvHbbg6AJhWjGbHeLNz/AaZwMe5ywwzH07 ZxdD0bjvnH1//sdNL7KAumWG479fmECuJ7xSg0ma1UsTm5e28cawB6885GZ+s6UO9ywkJNVoOPxg pxs0mqM05aKoOe3LNB2URXd4NWewhYySI4KOGOcWjKzivkIk5BNVHXz1WfUvr9qec/5ZymhIUxob jTOQQpDQZl+9uevTDjfuL6F971T1/Ls1Y0AjI/GkXAb7pcb0zMoShx0uTnD8kpQJrWGmE4SOcF7M bJyDp9jqVMgQLOIG54HpWfS+UgI9Kyi8+9npFoqcARUgPuCvBvIbtuSNWMxG7v94A51l+m2XCU/3 YUCkJRk0tyzbDkjKbGQy/yG2LUMBsfbB0m4XlMNguDgvZFfzX9691YJF7Hs0dr3psMYLbchfzUBN IG/qOPPSseBoLLgwve0tKVQLZ/VhekmJgH3Tpqi3Vgvz2KdZq9m4Gatrcat6pAF0PgmehU+xv7z4 1B7WxnHBHguUUFSIN5/gaS41UFpJsoH/waW1nh47AzJLx8BDufT8KjT6mhsjT8+6ESXBUs5ixxZN E5UFL79vtFTfMJGxpkwkZS1ljwAjFwyoqo5GeRezFHTtIAL4TJCGRLItUY6T7VkgeFT6O5PrRqr9 CbgAiFbZTpPmXQuSHAwNOOfTMOsVP1tNqklpLgAtpG8pi2Hbp7glLWtX3oZH0Gejz+uPr9iLpqUx ypOXR3kYhk5MnXnGXTxsOlDg4wOm/nZbNVpf4O8hgxL0qbuKdYNPJ4/PdvsvwMlvw/A6DLKhsQwG K+l3X5Nk17NBqVXvM2bOPZ9mbuzBFzh/W5Q1I1MBuGM25igTlP3ypaYkM/RHb8SohcIs7oFRw/rI ku2holJh4TMxZrp8yaAdumRaJTz97UvcAGvIspnWPv7hFWdXPK4ao/oqhZrKmrXI2RszrG0TXN1p qvb+5Kl113Js1Znd0jEghjWJ8ZXbj56K3xJmSNhBG04+7ULnGIRuU1i2mrAr0SoeTTQVyJQBsMTF u17aWPCQ/eKozoLkBj+qady4H/QqKsVYVPqo+XwLrSIFdpLk4gMtr51Vh751Qyz2mk5ER54fwIgm W7GpOg1VAbMB5PPUggtShbjbLHk75DF7ilcKt7AscTF6fdhHOSPsH1McfTUBW3jbFVxwK8C3lS4j 4rlKFkOwsu+J66+4m99/+JaFdbliJ3zSSafRz5cz73BKAkRqiCF+c0TGKRS4DDE/dtbo4OdshoY6 cEID1Fj2TYosgKV/ynbz7hDOrA33SJJnAHYpwRtRsckP4xr3/R+faWeNL9e5V3CvigOa1thIFIE1 VEylQ467IMHrrtYCj0Ga7TpgFyt4TFJXK36JdybmXoh/ab9lLVQW3n6WrxkUEOdH9tEB6WRoiHbY W2LH55KSugDFMZiokjHWRsFmUD3WIe/ka6cr3c5w8PPZcZhWes0JaCGWuPQQvADPPTMDzuTESJGt cP+R9+13hksuej+vBsQsuP7R4dl7Ol1L9RpbW/SU8eKGjdRMltlhI1t1wY0QNJA152RBeMO+jIfn MJi6J4pJVNBdvPFf9qSsP2Mo2T2R1uD0x4OGZ8dAuMYtku9CRV4SXMzhJPvpLWtKXs6eZ10FWvOT AnsbQk5Mgg24xzgpwIwheDJZSL7/1if/LKe0f7yherre4dikKH7okI2HTpyRELTjJF5VWQRkl26U xgADSlJAi8ttjruxLZPmxPF0eJPNlhRWo//96NGMFUasan4wDBvMYa5henY0Gdbsnwezi+Jz6FGg P3jNieVR38ip8GIg3eX3BLBg1IGw8Bg4HkeuJm0TJc9QN54ZUWIoEdfkn2PpAt7zfUcfuumymiWn hG7Mcz0ImKCnvHDqU0z4Fn7b9sbwmFlVJ+paBBQ8Ur+KjlIL9qgBl77Bi7pVsJ3VS2h0qnb+2X5x y9BzoDiu1z24aCbm8dwdKqhymJIq5LrLts2EAOPmG2bdaCkrBQPnYfh20kNZjIRbuqoyWxdNIGoC kEyk7hERqLKUJ0AgzEai3jtxeGETt3wNJcg/GKmMB4Pg5tuQYR0uS+At1apupV2rHQUOHEWQm6lD jHqkbslfpXhdGiwr6b8oWHN1TtWwNy6gPB59jjUPc7zCj9AEkOGE70zre72ihbdgDaPz+wjn6zSl JYio1dlbut7TkW81d7S85uNqW9MQ2yYqRbsaprWJhf2lULluNKwcF1CF5FfwCZMKOfqaFs2Q+A5P OGocx3S2sD5rG2gBVBQTkhHvnI/Vv24/hTusrfzGq++j71Uy2PXh6aHjjRyLRjm5hlPir56iLHIN qUG/39t1hH1UevA8ZmIjOyW0E0qeVyexpLzjDDDhYRADESTH9yTkpSrph30a5VQdBpTfhzNFvJmJ X95l5eC09XGOiq7+2vfwPh4WKEsEoJNFsacItYQOvAOw5qBZi2VS1z93/auZUJxTYTLY4l7o/ji1 BNIuDDgO27eiRZA/eucbohNK2ukjroJGQYZdH3htIMUhGaa+Ao9HVK7BvbXyiMtdoqw5ID0P4XE2 4Ijg7HiU2tSgvTtOV53SE41IovT5G5BdhCWo34MWmiDmqDtuHefO5CjX31OBY7RX8tG5gMi5ahQh WC/yjBW+cVQ49WhCPPAMciz/l/+aRcZItiu4gd2n9VMorS+p7jXvJWtHGn/XfaW5GMHz5VwE/rgw 9vzI7bsZhtVRS7KZG8p7ZbJnXdvh1VgKlw0z1qUUtuG4zGt7K5CWLMSkuALpVKUSriqLJOVVnj4x opfM9UKVZrhongmL30cbgKh07Mi9l75A13gA1wFF6bOWFWQ9P5oFyiZrh73Y8IyCpFt2u9KePda0 0T0CVmDoCP/ISres6Cle52jQYRO5QeHaVK7JIlXYcaApEqi50yE/mdq4UQmjsoBFMDpm9sda94og MOJ9uRnzWRvo7RfklTJGgQyl9vSlgu0ELRDxlh6MSzF9lIta36aPjIKMKwg4MJD2GMQoylsdPPgC TB/Nf20zxQdomGb7lBI3fTYJQTfXOWtFaocqJvRQp7JvzRyDZj1XMtCdcsShcwXH0K9wW0yXI8r8 igzUB33MtS2OMbPx7NI5HJgBjSKFt9LKrQJR1leRvgAWiQk9vJt9CJWmYLkPAZCOWNa005cm+zqc UGqHfxOpSLqVTsrelzsh2/UholNx9WyHxbgSmNxc4uifGLIkg+ouiOob/sMf5MPJiRKmXxUyMy0c Zs6NOVdQu9nWIeHhZ3qxc454POF68U6rpYsSAve46p2u8tNorsFvpt1Mo7nibl5QvZZV4KFLKaNY HNllMjVJAN0Jp9CvuTCXHz1fh91Civ4y9LKfCEvbkubgyalHUtQJurZQARqgPnE/8Ez+urd3ZVrn fEtv3XnTmCQEMFVpNeGUC7Z1iBZeGkgt+2u9qjrc5Vx3WMDGrJFEYARDhrqMzdajnXZehPCTghGt rrtsb4o0yATpItpnsF2FWUepArethQpSTzZ/B2pH3jclgPgb5sX9RtvcIP7clBv9YyPwYJYH4vMo eb6rcrpDANZXZE8WYuc8/HnnYH1Pqu96zyv+RbXTE9zN4QJmuI3qnUcFaefnymXS+8MGPjo79E82 3GeoUafNzR4ZeXWqV69bNttrwk+b5Ik7nOJySqYVJ4Ky3W0jWQj2ZsCNrq7zkEf9t24U383WGewx q5E+zK5Wue1h24RT5xXsyY7aSB6fiZTIdZ+ySFxL1YHyiv9zXKe2PRLO6IBQWcwq4ZFbx0pDEmXU bYOAczj8+76nx5TZtx8QHeFVMKGYSzaUuZDGiyQh1BucEyxIefz+XvY/PXAorh8q1LHukVz6KHrA 4nvkww7eH65+COQxDpY5w3O0VYIJu11b1FNypYyHLiLWc+fv9jadqRTfJEWM/BaidOG1vrKg5NIq m+A1Queh2vVgURmslVBRX1yH9vy3JYvzVXHXnN8wFvNikqcOdyLiAQ5O2C3tNPbH9QKxHfqBIPPp h/AGVNaJ+9jXFZG4x6dnzIHRn90t5LEuddRlaSVUM6mIiCIw0hUxKjwIPTdVfXKv2dely7aUFb27 UC0Q46hhYVTP7FJHd5Y4RN6K3KmuhBQIecpIlrIEs1SSYpUz9kfoFLEgIBYdF9kwAg67U6fWo1k8 +O38GcfXD0eDPAT8oc3vfgSmAx5p8BX42AK00Ab9dkchn8nfPemqLql6mlB+QwPFsYGoNsRUDxA4 2B112ljases8XB7dPFOUU4vvjGl7Wo3XQkfF2Inm/ndxjxPOvKvI4YexfzvQsogGbkR2uvwW2csE sQ/jw9v4QA6TSNBMletBDKIs502WCMal0n41uk36IqqR+DgUiW/oiRfl0yoRzyPLF1lEbghHmy/C njIa0OVHk5tql24WxmR8HkoAlpYcCWrLhXBAW5nc6cJvDoSLN569oiBfkX/vFuu96U3ZRQ4tUFpR j8Vo7JSYO6MW3Wk2XyspSQwrGW5ZPcXwSZjPYoRRjGa9g3xQ1WTdNHbz499dVqKJK92ekmupO06U iHadMBDGGgU2afOEildalt/tKCJIgW1CsxThqUlaVEh7TTNS+z/RcdK4IqsjsiJWcsoYdtZY/LIw 6Byt4+vZcGo4n987W3nX1lhtrqQZRz1fp4Tar2evYrOT1Qw2cdCseWz4Xiw0WM2Cx2FGQ1cXlIrC HprPX/TpG1YGAlVAwt1+twTmCR2xZzU/4JJ0SWbn5LtIxGPcHxMZB8gxVgfF0tHo5oEBdP2Mxyjj rDBvNHPGb8gc69VcC9BbxWOy0JEHKkJNPN2lwgbNdoeJlXChhoDKXlut0tVKqHgkEcb2viNfL8ol w6dvEzrPxKlBLriGqM9W00eWocSTDpnq0YM/ZmwOEk5LlyaX6cl7gy1x7oaXXWfUuspNiUECqwsF EwP5aE2CF85bYg4G6FQu8JguiZc8gWCBkY7LhVyqfEJUqWJ2TAcdPTbN/m5Q8xl85lNzlPuxZEwJ QZ8PM3psVa4VaJlix1yLkKlA63gREr8zOjhUNLlxXkcKw1UyctWPwRdw2rJfoo9ry+G7OXqcNQjY PQYyWzGGeE8YO5deV4ctF08KXAfmd4XU09qx66D7APwcvkV5nCwPJ2g5mztO4e3aItp2bRPG5aoe 2RMYOEUEvNIoeeY4rfmkYoJyzJ4VQRYDUVGUn7zvXCgaBfEO++wcj2Zq/9B9HqWqdFrq7dsFbamH gCwGDHMjdTNrlFx1h+xCd/JQTXeVjCr/wTEx0k6pwLRhxQuqHvNDVmd/2hSGcJBPmmpgl1NJyh0G V6kwjf4OuknAAgGU49o8qG/sWmomUxq3tWdXBhIhr/u6xC0w507HoFJt3WQpdipNJtaMrHVmv2tI b/bygismznr9y0q4mLpHlxLC3QR2tf8AImog6pkAjJlgOlT2sQ9j/5BjyiLAgmYgIocvXfsiBpOV Y0VsD1l1N0Kr74gDyPl77qOBhFZ6dZUbofSTjPAw0ImulS82+UbHa3jLt4fEeS/F0ZQLvhyBwIEs XXAUk8XBXnAm2B8WjLPGmKkihDTvwcTKpWu9OMoWUE1HlzyhTqC8DfxXrBdj0Akim0TCZ0oIf+oZ AmA9tkKJxRJf2n75h72HxlD5tb11neS3fuhLZ/sbqzo23VS6LYGflfzv4Ps3uYMXZfdsiCF6bu+9 3iEfOe3jSs4AdHmwZeJlRYFBjA/9ZB70s73nebnzotAFuKZfzU8iSw/PLgXqUM389g1I2z+xeQFt l8Md1hUqdi+o/Yxj2yshrr09MzhBcryiDhNJ5SPQQeX8ZNX7Z1qR5BUMLdAFeZjykeyW72Ix/DXj Oij8rLnpPvcob/zw5h1G16WN2T9lKyLbNTCuP4TLAvEiu2RvjYHHXM3F1fjhwEa3sbgaYMEeDte/ PzW8+/GXtMHvOe0nuDzdTyAf8wBMvg3sxcggwpWfmtyBFwrVoYfzZzksltjkGqLIyLeIiHFeNO8h dgJsFNxxX2jJ8W2+ix2YMwoFtFwFe31tTmLYISj2AV91Xk3Mbao+xwpC8pw+JgzzkW1vQH+S2ScP HfuVONZAWgwkp1EmOnNqIvVveZHGOR/XlzEerlcrI1nAJPnlzwt5jJMq6raaIiIAQD33i5L43op8 /Uc8N8jZx3xPAtGd33o85s0P+WFWZkXZBhssr5j1WeOJAYVLa+41rcNE9KfkMqLdv85ceIAjHRL8 /N5cPD0HbSN4UOc6PcImoJFjcAZSG0B/++uUd4fZqekz9TQItUQuUclAdl/lL4W3OrgEALrjXt04 /Ex/ip2axiKfnxpZtURFFzcROPoyeKLq8+7Wglu+UaXPUayuV5i/QjOC8KG17BW3pxUDxEiE8Ua5 bDlTEEXHxzRSlwzAHmhO7RhpCR8qe2uwePByXbCWEyw2MYmD3NTZZKMXa/x27WOeUJNkNmgALjq3 +orxB0eUN+SVQ8t+oLLWytZBPbOVIqoLTp7EEQJKRQ1UQ5LhI3mrtGjRZiJtOwR/YiKSFao7ndxn XxA24TBgLWK1/hTtWJOJAk2gs1ROqrHNHo6FhiX+MfgyHM4ThJG4YEUldGqO+ec7dIVA/imskWWE yiyqPxzmn0+5Y8OVBZv8rAmCgrs9KzF7NW8FMBTxNrHxjBre/tcjEVZJDmhT+eLMv/ozeaWLzI5/ A6RUuzhIB4xcQ3/5RduSv0ktl6xM7HV0FQVmHQLGEl6S9lejHB1UGHPX6rn439rRtTz3kFQHY3Gu zkQ5uOjm1Yg8OdCyG9rdrlpwFEcN83r0CNxfvIFFjtrhLlN4ET3OFmfO8jkPQ9x6p/8G5obJoxv6 pX4hNOnT3bHsNJz8sgePFJEaTf/04BgY6f7igBoBjmL8kP7N7alcaE1yjcgOtAT+dpAgA/lw+pGK nETtkUe3gNx07sKc8Qp4bDQfUsOoWp4Q8fUampjqE2a+PRpEcpkt+du1ncjdlk8skVjYH90w4B+q eHmV1JO/YnJHFNpJscJ1ge0UJxikK/IlNj1wJYSfY9VdTHy5/q6n8t5H73MqnVPZ0LoMxdJqNJXS sABoCLoT3O92sBFTz8jnVbTp6Kbge1m5tiE+kVpEd1T4Xcn6ZxYXrz2P6XSd1OS4Q7ceIzMDDdtE pv6hahFkS6xbqtTu/pE4bTV1uGnNcmn2vUzQBxbsqtXMBBlRRdC/emNtAW5thxHyewYoDL+/Y7DD JH2FRRpTm0ys/g8cfdXHXFIeG6ctYpRQw7vtWWG4TT292oroDTnwlywbxSRL/HlqZ8xECn+aJkSc t1KutwzZWb7y91wXh9OkantXvOlb2v+ytKfxPy6Jek3Xn33UhNZpp152tzD0umtBkVgEeKxIEFKW FDh891mldMkUqP1uAPOj6U3TCBLyT2mdnpHqx6vs+MO5+9oA5aR0eJGQ6d+yAQMZBvXadE0X1Dii g15xsEmKLGPhF92hEPcx5kpYcK6UlCPSK+SqgmAXsf/hEMG0HXUVpyNveSzlYRFepAFbFD8kJq6R +59IEmaHZ7sBZr70fhV/Nk8jCCWQrO1k1vyBQ6E3X/oElD8QSwvUkYQUBRBFqdATt0VA+k3rw6Hy JSV7IkiDyZD58NtnG09jiaYqk4wULuI2N6Yz1MDo8IH1AdiTBZN473ttHqYMxEDscSMtr44cpUQg E/xNzleugEEaPHq2NUmpHWBKoyy8Ca1uXc1jNVX1l4H+fNnXO0Mxfr9Z87LVjbKEgnjXOrJpJUHu pkiAWkuq6bsfSjDBabEIV3UeRwQp7yHMLGlnMX8i4j9GRhn3ZFTF5ZbLTQ+b7LKvmjf2Z9RsRaP3 CUA644uE1l4Z/DDrdmCLHMHYwSq5g2VUrtnvyNvygOZaLvyj9OysA9sMryAiuRUaKTIrz5EDztRY ftRx9vNJGF8CuGQR2t930M9gUE6KvMYXK0og1CXqrzUuo5FT332tOab+L8qLUCFZxFm72eO8voiP d5kNIaigCX5UQrb+aW4p6hEu/+GwZiPjYYyuZVOjfyneoWt6d++sQo+WZlpKKnzBUFLRj0ri1wZY t0G3pWdKyDVfVsS9JZ75GRHRH5+ggJHk1dwsMlr42sm/dUuHBcim9/qywTO4A24/IEsh+4ARCmah /CslK9MnHU2OXSdjZ3zHKoNIGKY7r9z4+JDVRaHASWkc+38dNU9JhPRYQEB+MYRD+ZCv2B64VRuj 06fuOlLQ9WTnViSV4VL1cRslH876BXqeCRZjAmHwiqmZlN1dZI8xWebed4hcUTTVD7xtD3yVG4VS r/5+Rof6cH0C2KVc+REZy+a7KwtnjVs+eXl2lgiElXbQtWxctQEHMy0SFz+ckat/sIEjwKmZhlF8 XMg9lRV75HXfYpFRbExWKDz/u+nmTMKg3Mzxzo+ZuFWvMTfm2UkGDfF9PiFCwPYfYW8ATQNM0aN5 B7oisv3SyoTJ/MXD0BUya7SPcWDIh5qWWITPOH+PFFcJ3Qz9ZRjb6PjjwDnz537NaT0PVjyqfy4H nw0hLYs9hxmWKBm9U2mGKERDNRkpgM7qbu4mGBLPQzpT8rmMwdLfuKZdVPXtPtNWvDg1/R9iOBKL 3qGYDHxhQ7Lc9qB7RPMSkf03IpLDoHwzKuXkE0Yg47eCgrI4FulCir6d0sDxqoNOmn/VGTIyCkGK pFcMKQLXRiBGvM51sdGfbKJ4Dk01o142bZsgTUphL0Oeb+Umv6z8ba9Cok9fHoqICT59QM1BAIFw ludGwjsxF5RvufsirVkAesyb0QXn4pwKB+jzSKZqmJPAPmDlT4DSuY05mO3603EdAYfRggZJDRjv 1lqE2yGxpwUPnnuRYBAixvl88Zm1mrxVdP0Lz9U/fMU9NI7WDBb9WFmgvBaPG/3JZHelEH6YCXxe 5uMXdDGU8zXtK8tVnyRDUiMYDeUjEiJ34EgAnswOeaRNxJgYGLpciiBbU2O1Xekte0vqsocOk2Ik LJFJJM8eDRmhrz5sUv5/mxGreCowx/EG4jzoPWYbOBYO8gBu303c7dRmVdC3JBIRmk/2QYwlMpPo /QtDAIS8L8QQ2bk38cp/NYj1dCNH8GXOXclHffOvrjmBU4zFv6MRQgOC1SYWXonY+RUwtf3JYv6e a85njFtQ4v5MVWTNj2M8qU3Oyrl7A1mdU0m2G3JBxo1nIvbSRNNIiy3xNQiHXbT4AEhaapmz0wRH UqF69hIMJZxqcxHkncw3FDbDbVNCF7yKoYjLZzuTyLvtulDbzoJMxB+ufJp4o27cqURVdpsVLcpg mM/5ZoYXzP7GvN3YgZTfqlltp9Ppqu3khQejK3O0/X/un2XkaHJOpXsySYrCUnsl+2Y6UvVUFZeK PYLv16eHYDfiajwTVX/Li/PkHo1hqGg6eA0K1NXBPKRF8GRimbpWWLJf3/RiWWKQ7j2gyeqSPb3j Elc4rsYIIYaHcup+gwhh89NzloKFqBjdybcWwQq7pCeb4MN2Jepw8LQ3ACNWJId8ydGnxhLDjB3e /j3HixvavXVo4tBFZb1MO6FTBYfZRmF8MtCeyApdCOw+lSpCT3LDwJ2Ilgcg+vEajJlCvSOIvvr5 oI357eOzLVwitPlbsNKESg94f9qVUCxKMSoqaStVd4gRQnXD5oSRUkJMVkXX1RsIJvFjgdf+Sl3J wNYVNaAgctTBXGm0TACyfAYovdTQAcb+eZ454vxeKbTexaJ7tXEaE9U0kV5D6TngnwfBRsxxP0z7 WhVKag3JlUz0PWW+Ozn77KFfre8uwqlQY3k6Un2A7SYrCLGHs1jvkl/u1nUwYtJR3ZAfvKLEz4OH Bq7ex6EHWPJWLuyZql3g6jcjW0QojtMrW3zCLMHyIkBGGlkkzWpWjVt/awZ+5bogGf+vX7W/s+Wi 9rXny+HY1KSzutiVLO3XEc128uxH4xs1WrxZNVeF18eXyt9RnhsgGgLQ3YEDmn1IEIKqCdonqUEt 44/FsuFfaO/zjU605CgoQkgsO8KnptjSJNVoKPZppZzZa2IpfE0yWSf8b8UjwWm8OJjJlFP0/TI4 pW+zDigAyPAPDvkrBqA5u6AonNVhyJClE152AvxqOw7euW2Jl2v3eU9IQQHL+8lp+HSj2FGK1IBy lDajATCRxerPwQyVsYoNHglQ6jtbHg5yH0l002qNjd+5j+RkUHvjU2LpCF6OSF5oL6BTkms+V5wo SmrPHjNIiwWJQIvQpZzPipcG5Rrw1Pjbz0IB9iZSDVMNKE2EHCB3VVD6Plw6zAgNjOvDvRaEO+c2 oGIXRyxRUCwmJNw5onFt8J1oXeryHrNsyebpGCkBoD17CbuP0daKEHiIQdswCrF995wfPbbYtEvj kxq8kqBBMie+Nq+8aa5N6hNSzm6Cp9kGTEyJd2Iuu+JyvUIbVDIONwIJSck9PsULM8vVvcv9uMhA u5LTkBMBI2K3KdjOAl4mRr+23TL5HL1k3p3ixtntNmV0xqg20je9CLk525aZ4ZCqUKyUW5D7IDwh gJ/lt5SxVbpy2IWdDtctS/epWzEVILA6TTkIMfV+NnfA1XgNp/ED/M1wB9nP+kATVyBlGG02YNJH bSTCsQoMhr61pilKLGKrvhr5BzA2mFpCMZ93XetLVaOdaeNy0i0HBnU2sfZeYyw2t8FYRfq0+XUt 2x66X8t5R+tSDuZUo8IFlLpAnBLJ+wAHHkjNSXWySthAWAZgLHa7zwi+5uENlMwRyvCZewhaIDbv 1WlBXWMkDqFEiZouQ4ic8x0z18q5NQoMk+PDscIrA+EZWpaWz7xKlFxLIfvRO9+6ZpFIOriXr/aj dtEMaya26+cHPMOgwZbh0UP2BeEB9t0IViHI8uGa9iHV4AqgonryEzP1go9H1J6SmArLyql7yAaN FdD27exkjp7KT3rldFN72u/rmK9Zkop4hEDywdF6flZN54QA2V5jr4IMkC1f/VrW3VJYpvTV4OEh 1rmrJe8GKUf9Fiq1TShofZEykcmpZ43lZZvMTXy2AgNrUcH9c/RNdGsnd+8m6HS2AmCUb7A5ESSs eza4SisQkQvOUNjiWK5APbAnmIq8Ikv++P3rNnKevTfKNJrM1sRjyHpKCkzimWPXXMY5QGeciVzh 1lyqMKTgFEIO1wG/Hyq5Tjrrct4P1+yQaNdheHGNly3YbqEvGWvMc/mss5lgAID9/ZVF6H+oKvBZ sp0HfU7kibKySWjpzAFBHfKEsTWEZ0NGXZ1Gs+v+H7ZZRCzLMrfH6+Y16A9YmWas3kzjy297bd8F gyrqa6r7suy9B8MXRC8BuACu8Y4G9BNcicBQ+zFclnPKyYsW9Z2Ip48vdNDrItiiY5mlFFzYD/bL hXhoAELN5lIuW5ai/dcDHVUtCZkDkhz+ClqeXFnES3XVk0d/4T0Mn78e9u4TVsjPzRbLNirySffk RGecT7JyCYuP1hgn/HDFm65nOalOSyX1IowAla2ZTndtPt5ZD14Zb65+KDztIMydiEd3vQciAOuT 38k78AzuvBvxnGQuHu7LjXwZ7NMgzsbfCw3BpZkggYwWuf78gX6Qo8zKmT4shxWpQwQvSgIQsJ+t rvJMQJbtZfjslum5+jyFmyOSIQX4KRL5jh76NILulS4W5aF0xJ3n2WGFa2acEcWRxHuZPkFhg2Ui eBiyo84CRIqMS77CD2OKCg9SYqtic1eCAsRaegkE9Vrj71PFdHbfBvhppgeiyr3ffpIOBYmnCzep l64NFQtowjrIc/jiCADjQY+1qj9ucegg+M/ZTsr4R7k/WFekKv0nPpVIr5hx1sOFwJXNGfONujYw 6bvtVkf6FaRIiWBVbW3dEwXm2cyhcenRYhhlpwoeNjXMiRLJz4HHqCqfE7cEKd2+NnBgYi69yAru mhiB8XYT//Vd+2Pc0EHVj1v1avxX4MKrfLpzhnqIM4xq+uHn1tdeCbOw1VvdbhL8PGNUg6w5Bfek mAoyw5XDwTM4UtiIBR0dMqfCMnPhYUZ4LmG1EHbdK7pts1hNJgWFS1zWl4KslIne5vgwsUW7nFE/ VlVz74JYIkFI3r57leeGz0CCDvVvP0y54y6Y0P7nP4BIq1MCveDGBoS3f7EVsQwn4sSRbEZUVkG0 gzydnFVh4HggU3+3eKf+n+4rbFQORVt51laBGXevfO/gPBjXuakmy/CXA+rjB0/hjIUKy5dtqNqH 96j9hzdtm/1NqYXeDqKs3NIMHf3wlaQ/A7PImREpqCVMh16uSMGNWEmWOgZIVZ8Txcz14zW/HyBI F38uah5G1gGcO7KKHnTNCaPe+SP1wAAWu4YMJ6Z5snTlh5Zx0SNnHnGsBJkxLkdIEh9F3WSlyE9r eVFNKAi2uEyASslbcZfJxkIDiqeM3Xwdznw6gnaGKEyhT/js36FWMX3Z1XNgAmAYF91AFF6j63kn ONvSqjSUV8oN6g6WpaX1TjXxx2XbxzVVQW5tqKXvo1UIE+WDR9LdWWHsHDKRx5UJXJ6BGxW7LKqP bWv8TG5kXFLKA1T+g+4yj5pbeVfNEfvkRNtsma2utcqfSMUWlH4oabwp1dRSnB7Rx2mSq+0ezMgU JdBqHPBpx6ZZRhYDDaDPVLnGvdhds4MLP8bC3wfSg1okiJIw55K6ukEp6WpX9sW+H+Gvd9bKjQ+N d140M7OXtyFK9vrW1GXsnD2N40tWWDE2eo2l7cyQnQ8keqkXl1imHrDIPzeWyadKQbhVse9VLBsk 25iHWtYoVlEme51HRuKTEcr0YtrZJGTGAdmrbOe77SVH62UxOD0+FmVVfi3VozLF33PKKhd/neE9 w4KWD+tlKoulhlMa6ZI0miUmlJhKc1I9pyP5zNZJruGesPB3HQ3ybMXDaXGjDHPXncH5NxXSQlz+ ePG00KnCfHYgQmVZcMU5Oo+xqacT32kWTNPo8CiG848n5ADigMwFKkIVC3JNrwx0Mm+cNZGJAl+X M1UrRNG7BgVj8yxF8fsEkRzXBxp9xDvETbKpzKMy0yg9jAAiXyr5oRcDKRVKZGjD2tDICFO/Rlyu 12O+Nh9KiFmnwc+RUT6/Oh8OgvZYC57aDcucyD7i88J2u3RM+EnwykbBiS12+p+TtdBq+/Tn5nZY hVYSmIKZPGZTNsjI/XEqJanQvz2nKWW1r9kdwJVZ0/OlEu7Erf0dzjNxIHuu796MhPNB257qZ6TG bR43jWeo43LCfWJKISc6IA5MHwem9/NwBKlMVMv1yb31C3wo75Tv+zV4ZyFsm/m28nq/prDnsxPf UIrtRpDDNeYPJ9CCf1H+iTT8pcrCc0lhpDxNwhTefLXuISRviCqrmxsYbC8gblk7ZAd7otCtnKp8 ZbqdgfuIL0XxhJXb5gzQ2rSwJ29d4+HOb4ru9bYl0sTTy2AbM/a5xb/kV++YOEVWLqFfcOz6BtmC F0VsDU0QgmUvEivtw+r+cAViHwG6MGMa0eyrVD4SwX/vzDiTCPlCsLF+iDj8Fv0ndx8bI8yXIHI6 M84YV0+d0aj5x7RiGPK/Nx81GIlNZ/I8jB58YL5ikH6BueHxjKSQgBtX9mEVI6NegZe4pc6jQTXt I5uCmWaIYESsLFbt6xzadFr+J1//XLQ3wp+lWjK0whJiblnUYaNEUJcY3APmU07DrLnW6N9YFr0T vRzuWvUgugp/bIrlsiC4WfGB3Qjc3iYVAR6cNjCjrDdm/Yux5t/SjzFiHwPTdd+cOE9KYzKkiKX4 lrELLebP57/lb8GxLYz9dGd9sDTM3Itxph5FIjWRNf5eT1QXwmApcAvu1Ssoy8zKmMqVhofLUuwg 1fdaTH/7C+LlWknUZX9eOj3mDrBAJMr/YxHopqxyJiuFEzUMmlsxW6FGDuEqHMhihxJZVoqahy/k mFlRNycztNi6QJOjGL1JNwMJ09kO3fjjQA7v+6VXOlgOH5DiOY8fU/Ig7yLzkNjMucs2DThJPaCx 9zisiovp007cBfX8RXdJSHj38TZh+sObxrNrBwad/178dL07ZzaUHBIqIToAlJR0/05bxxN4yc0z fC5T/RtlLzExeHFXaqm9Iv91G8X3edDEjj8UAyXdO4c8NR5QeHtXhTCsyXPKgETfmRqkzttHM6m8 H8/fLe7vo9IoPyiMrW1KAI5iK3eK5xf5RCrv3Xim+Y2DUwaqLQ2lZqJy078OWq3JtI74X5hxNBTc R1hjr+b1B+AwwvxiHuqQbcYpLVW15rwAJbsRnnsAzW0FLVtXDC3ScCjxD+zmboBO2WMYjuoC6n79 66MvV5VEZmVi7433vAQMK3TCPRhWQl//uD0G9sCA/N2uLPacomeTUVhdifvNpn9Gcze+ReNsEXdX o1VBSvbT5oMaRN5S55zSDx1k3sKuASAWr3qJ4kWrRCYAjCOPwtcUvC7dUuKjxVmqeJa3UmPcRMX2 Ko/jOpXEjlZ6PtmivNHFcaHs60SHA83+e7i05U/+5vjPeWrGbe5X6v531Xy0rDkpMBxhLf3vo+Or tdPy13IeB9efWi1g8rQ8zHPYeQei37L7B3HOIXqDFhiVpQuxlqqDG8r6ByNbAd4Vu0mz+fNhvuF3 qw3H5ktzKptmuntRsOoruYpeg2DV3ZWVJ33kiRcoUlFiOngVKLP4MYDLuK2Ce9v2qQOsoinUyQmI Yvrpme2sD79JRhPTVUQ2tVbuY8LvwKvJnYsY66+XmLFaqzxVWf3XNopu/ADxtudDIr9xgKCEM1yM gM37uhUc4psZqNEN35tRkdL/d6vESjXUUThFPMRU2kP+Kmj29yT42BTP773wqKpZg9S/AjVqmIab /X9XHipX+J2YLTCZG5Yi4LJxHHbESkLoYjhnqw8sDu0DS+Njdw9Sqz5Q01L2fkN2QhC8xmGHY5ii M4EG4hN4zAQPMQe9VzM+5z2dWEMgFyDKnajsCmIBk/57++tPthQyQjWSTGLw1SD1Suu5eSzdOuT5 04P5ERM2N73+xbnt+V8R+L0ofrDSJifLQ5Zj5NO1AQJe/jxMCvrjOohTIDobwssy+cpGtJkvAFku CvAhNqqyixmhOAhGf7gD0PQ7HQcf1aZJ4ja8n/Rb5HQFi/dQkKOisg2iLg35FfylePKUb1AKgW3E d4z/KjDzS1mfF+v29XvT6XFSLo5+rmBBFCAVHHWeVh0CYumcz0dlqko08kHkc7kmK5vXKE5PNBFY LrbDgEgYGoycuuhJtQ4vajBl4J7TKZyRBCp2WWWe7iM/eg+dUZSsS1J4q+53CW+T2FjYT0NSctQU OjLb2LhETqUQoWG42LPbjMd1P4NCCVV46p8E/7LYTZaroXpNRuwtPgq2mhgsSRmNMvWjZTPDw0oi uxTMs9r8/0NvmyruK3bWpkCrrhjIW84CV4U8qLvYSXD8JG9IWfDQ2celAngA9jK7VqI+Y89rfVmY KvZoqIaUK7shP6QhwNgO5JU4u8TPrSx3Th3PxRTv9znQjJcorbvmNWExIb8jQ7PYy1SDlzEFfT9/ friiY5ci/sZUCpd5NTCJlTAClSdXIwcswSpCMEgagPOlnabWHCI8DRKOkY7b5vAXcwNRGszIXJ0d LvDFYhvylxG/z7s1RZpWEcqrLNSU4H0E5HpET1exu+04Qzl0S6FXCu+Jb8Jgai7kV5P4ycMpyohV WJiOdy/P2iXu18gcPg7984AVGDWrhZIaqlJA4a4M/jk6cj1eGAK3x4x8QJeN5ZIfa6BLe3LsaS5z pLdK2hknyS1BQAmK42+baXLEnt6j9wsoKfrdEzbObH6vXdDzAoRIioYSYUG8haeF+xbIvQKZJ2ck HvvTt/6myGB4ZlDZjE6DeIkM1c5IHIpkLIgzOxE+qyC8VwUVcUoXEOQTUJDWnNa5hlZ8v7rko2fo z4uMJlFlXKYR+9lVCfO6LF5z/sTntv1gwkin+hWwgsVSon7I6revXSC6AmpcagmyjpMkOf/ksGi1 4jAoONmQt1bsdPRNVmhsk/DP5BE4NlcZdwYPqaDC1fhKnwzPp9+GiffYsFEpB08auqEFx6cGfoDQ pIauVj28E2csOUmkr4p3uo2YiXFDldoWsAXxqM6NWShp3ncs1IzKI1xzTacEjzo6cKydEkAZyvyy KthTb8GM4Q8InZFT4rYKJuZajTOeFX7iVmT2Q0QOKiSVsOJiBw7F8h1x2r9eSKJCeOYq53XoK9U2 7J5BP080E40S1uuL21K3uYjddz1hl/bhDT9tElL4/7hEcwUcwEeni2/7Tv3tlETbljBKaU+Gxap5 /3K9gPhqAy7Z7FoCUal76bZDGpkfpZ7VJw27eNOhb+fJoqNMS4CVrG4LvbBJ0k+WFDh+CQV8Tjf1 Qz6aOGdAkZURdo8IkXbCOcajZfPj2W74oDstgYzOl6w0kPNim+/sPx1a7/di3L53DjQw00SJWQWa hI+OJPoparcnGRrpM2HkvEkl9vznGsbafx00PQ+AY9NbUqmWWatOdiE+cZezVLWmFdpmLbbJ7F5h hM4Xy46YhuieQVY4FFqy6cHCTDJKK8Mutxb5o1Y7XKUMyLWh3dhlkBcpu84/8lgSKeafjfpvJBKt c9Atx8cWUXy+vZemBOVi3dA9OiIsJrGd4YaHYR6edDlVtoAVZ0CsQEu0EF5tvXdw0ezcqfXMlOZD FZiIcrrlchWzWMP4rBjbevAtkg6tkpouc4OeZt4iBKzTZ90jUocr9JKNR3p8svadNMxX4ShOSGz/ RtM3O8pJh+HC5F+GwBK6jHgFeor9rEQeLwwwBKvqJbRECDjBVTHF0nfHSayzTfsNkSI1elUWWkrn TaBxpnbduXMHq8uRzkQA4dcrR+77qRfhxK8DHUMQ+Crr2m3D8/FLTNEjvv5aFUiXWUuWtGQnZV+c iXODeoclCOA3ZtFYzFPjhhamtpnYtAOBwSy/iIPdaFtpEOu74g/BRJYX+AOjAdOfj/oDymbVyYQ3 puQdQrnuEqrEoBz7cq7G2lpb07lrS3MoDR2ZkWJjC09TR1oOaB/lRInmb9s+RuX/jZJ87Kx4d2lY +YM+784EeySxg0iv6UeGCxpWWzFIJlEa8CfOiL2U8B3qJyDtvTVrj97yUeJwf2HcNAUOQN2LaWRJ OzZfrWN97lsdVLV7ecT5hOkyHCn6n6Vcr8nK5DJIsXk2qWG+oDq7n2Z2ItlPxm2Egzl1tUmODRft rS1JBQ+bx2kKbGRVDeBs7uTuelUcEp9W70j26D7LWc74PLZvPeRf870i61590/EemsoZDl36JWn6 sGl4St5kuEEzwVgYJLKbEzZe1MwKqNdBiKiIctmOuOMH2Cmkww8S58d1PWrUS3v2KjkbTau2XoUE d7D31YMtkmiiSSqVozXHI9naFRdZdXSnzAmQrOGQ3+JsgYT5QKnoCyzjRUP1FOAuQXwMCJC4MREl xdZel3suWiwKwpQRkiXglcqU20mNI9CE1vM60k3k4ncprDy1rut2Gud7h2AuAz5iVD3SfEmwH5zF GqUpQETsP8MhCa0nYMcrI9JOkqbJ+60GVZ3PUYAwT7yhuwBjYYlx8znSDv9/xv+UBZVbp2BWUWiW NcqKT+i+NQbNHJV8GewsuxzN0dxgjWHMWJqD7iRxrD2xcsvHGwql2e/LKIRh+AG14N8ymboGb9A2 DbHpxnlVFdLAqfazFgcyV+WUG/tFc/T2GsRXtpRS7L0gaNvQ/Lzg1PX8zdc26sJCd6TC0W8M2o+d LJmDoAUSkLED/eOj4mcRKONiRvpaa2XBp0i81GZ1N+x94uga6hvlgn2F5YKeVwjew3V45Nq/vxpz TSv1vg6SfNDoe1K7KjFiCKI4e7zJKYh9k8E+QnZc6Qc0I+uBDStlsqUQ6UyfYMHp1ufMJBy4tDM7 bRE4cfwTBoCA8L+WLS3sE3LrM33p2/r8beNE6AemxQ7hpkGx3BcdjxlI41ejGOcbYTCjZ20GUwNm FclQUki1hKcz2hWJmu/ijDIN7CoIPzDcIt0ITTvKzx7PmB3Fs6gJFG7xohsWuWJesx7oPUlBhlv9 cPXRRaOBjwKO139PZ52dlrqistkYERCHaGlKbv/JOW7vFsNyTr2T0lQrvI+BIhjNl3DUwM8fDcvS Kw7WgSo8U6FNFROZmiZ8WtLRgacInlYuJFm7cbYJ7WHRYUpRgfZfQRIDhA+rgtNzKoFEUqkmp/Y0 Crkj0gk/RNnkpcxgcCkTlNfq3nSpcyKYsN9VCH3rwgZEjfuGo76h8m2d+tM4lkxCKlNzov9kXO0d Y3/K/XhfxjIevqLHuIf1l+Nc7jra1yD9+aEl4FQqJLBf0zWwCzaMAxkmDFTTqtFlpVAoak+nGM5n GeARj7q40Wi9ZoGaswB+YXvn/SsJo6HcWNLuCX/kzKOrRjTD7/eVFFO7rYqU+jwFRj9lCqkLsyvA z9SNmYCsW9+IZG1x24FIGNP72Dqf/lx22LaO/l6uD/3Iqy56mSfTbM5V7rJRFSVz2sj4ptXHL9N0 Tisox/UdYzTDh2YTeaKzwjb5Yj7qudoGlc9KXukwuEEDcK7XxsExTvWv2cVgHS9K9ahkFdRT5WHG qPET3J5Xq/ZU4LK7ftd8F9ZKTB19ZjQ9tinkshsy5Ivt7Ol5mSybgWeOfbrKCm0m8KQ98wizTSaL XeBzDSKj8nFzirGiWBH8nUN5zyqrVY2y1Uyg97HVKTU/HR/mhrYSRCMkI6BfAoKmktvPUdd3yPCy Odb7bwPbEcZWngVKo5Z5anAQ02NnuXvWJyVbf7kUdZI4QdHKLdRsfbm+BvnGoCVuihB3RnqHuVNP tg6yiFmE1LNRzJMHXmP/Ibg8S7aQ06YlfogCoicl56t4J+jO5/VGd1mnYvrmMvTVwde0hSFtMjMM DVnTno3iOAtBcl8D6xSCllWXZAk27vW8t/flJMIZWa1SKQPNu4KA0R7TLPGtZY0vtqJu1R+p5oxk 7hmrmCVZUBhaWrfjsXe/XhTJvD9gTMLohRYqlJSgQzXWmCBlEM8yxL4/mVNaNqCT06MLYGupw2ZX tXjEalY3IF0cJys2OUeFpXJGl02m3+lAMEOOWcOIeHNToQGiu+yos2Vz6Hb2U/o79UHer9y92pgn rSx+NyfaBlRgBhxCShmhEn7kk5DDzsDdDE2qUeBTuWt5sTx4Wbg3yHVESbyY7uvzZzOHtWbvlRlY PEbQYVib32inSSP8yfhpe7aTQ1MEry1OG4Bl+YdS7+PB+IwPqHbWML4fzkzxAv/xqvGv8IlKKuWd y0QrPfy2zr5ZsOxKrZW0Pu6+i0v3//kZVITkGjc+Tr+nIkUnqoDA/SQIQWn+sLdnI7K1dultDXS6 xizz9EqOCjKxAcROTcsb75E0pMBU/XpRAwBlh4R1QsH2uVXtMFRCBNkD/Av4pE8WcEk48Lp4tJGw bvQtyY8XoBeDSe1Nn+CDtChK2bcUuMtScG10wjq0R53D9v9bKBQhQgqbCFqaweX4RS7mQPcUNUM2 HFXepJ02ePtbt7kNObvuHJuwE5/mOCv3l0M2bB7OckOYBUjTpc7MqQpTPk+jpJrljPvgg0rdXhLx PBtOKsLknxfl091g9hdlQdoGk+l2vWXaFmgw8EC/nHQ4aWex1+0DSGAHdyZlhLkTCqcQ2mvC+H+o CJqEd0Q0OLmAJ84CHfRAlmQ5SblK4+nLobfS3fohX74J3y2v0DUK0qTAlgRFR1+5P6gdwygA+A78 xDv+3Ft3LwJ/IzJFeAX2LvtSdTKCLqzRz9RJc/YeqP+6SLZdHRDKNZpMzfDCLTa0BE7lwENtEDjZ obnQ9nIlrDGGqk7s7IC/k16t36C8R6F1XR77Z4FSXKaJwXEVHcV8TscWdZ3cGxt1YaoWik8wDr+4 9IYx6JuD8PmbZAmzXqotIa6vorhOoSzIrJJY/x0/cIaEobPtyM1s/DlnVXK17JKOsTO+fXTcYRoB S6i9H0EoGiz9YhEzdob0v5/L00jpz36nv5sYvo0m1mLrUY8BRPer/CoCtdI4dr9HxpfaRngj1nmA G10Iq1kUq4h9DcjezMQ3JZoUrLBww+Iz6OvMGz4uAde1F+J/zenDsBdgOh7lBtbJkLaOlCcupeC9 nWnd7Xa5MbqsTUzIFPilT7wvoxobYveJ4YtbUvygGOAUxT4wucSy6UmOulbPGP4wME5L7Nzi4oJf /Kmdcoejw93lAyb/I0KIdpm7hKv1WHDXg4OwQKorqdUhhzXbgQl6SHTWjn1uvtJ7M7mrxgLSZRqz sGfdKa06C7tJW8Gpau6Ugq9yzW6FRrsShs7oEmIWRCr1yLi09nQiO12m15aZqGCUtVEzQrCVyPas rH+A1Ytzum2gVwoOIaHXf0L1m9F9N/wvWHlDG7HH7+49MZ15y7DxMFv4aUrs3g/u+4Hr0wWbWWUp mbOb4h3Qc58iwmdI+cJErk6WBWC43+d94wvQEWXtF6EdYvVwaaPdPySXdUKa459hpeuv4baacRo2 TDQCviBS8p5rCwa4YXoUCTapC8zKgCzwuUwFMRw2t19kxQBvJ0Px6CQeBMtnDk4hcrpX63g4qzVY Ctln3EtUDgnLUYOkyZ5uMweV0y+we2CCfo31rat+5cMl5aR1DrAc3BLRnuLJFgrGHjv7zIfYM7S6 g9di4WxpC8J12LwCtj8Abir7PVPA+uU3sY7H9+QH9fFJYtxYcQxPMvEwzb6dJr7a2kXJdkxFcW4S +09yCgBwNrMTpMrooJYELQSzNHNRHunf1rXdUWn022eKB8ZskjqtOSOEEo7GOZdSsW5oBpAEe4K1 p8HWxZngjSd0+SVkA+AQSjseN6byvPsCImiXn5j+OnLTffZ0oOVpvghuptJIfI0O3Nzpf3BZ07tH bxOKdZOvfsC8/xT5nBU8uWCcP5P2lRrEGRghgME/BwyH506X3OhsQWAyZGPT6ZQyUWVaVNz+1+lg MIvoozON4G/ydTydzQ6NWETa4j0VewKaEmQd9ojdbcA8N6uyK2VSlwlsRBmbKkUd+FPsoTS+fNOm MJjXMZB/ZvmgRM75WMK4YzEQWiiMPWXGIx3sRJkWiu5PHPeuqFej18HV9eZhQAXd3kPZ2LKpLWNd 3EWCc0IqfrGXtdAqfLy+nzSVOu3SlY4kMCu+AbBxofvN2NfdNIwUnpkDhnlT2utfiiUuA4hTIr3B CerFp/BlLrk05zBh/L8SwvBAOXhfEKr7dDu9/tY9WbmBV8PoeuQUin0RzCAHtE2vUbGUMNf6g+oQ ER0i6NjL4Mi7Zo7szxrhBtNu7gtDAfC7zGhFQWLZ6Phx4MipOl0QuEttB5BAFWr2PMO4gmvoIyp0 pEnqhhQ5mOaC6Mh2N8fJlaAxid6EpRFtBsZ5SHzVAvKrMMJZg+X+p/+FgEoO7/ajXDVRrVtQejf5 NNcOUWyplmMmewcS2aQZfwYxjpS3oehYC8hFw6+bOBW1XdQkp3PlrWlyWgpx4wZPEx2q5rW6u04J sBKdH+sBAMSdSga/U7Yf8SLGPewDOJ7kqAIUZ0iYyGFugqKZLY8aTT7M6d6skrtFXZZvCdtLLYNh x1cfw9Wgp6asrhf0/UCebqKomL4HYqBwXVQPHEHZ6aakl/MjK6PZsjCakNV459Ow0yNxq4mHYp1m EZffwjpzOWA9YgjqxfbkDDAepBLYBZzbZGpP8ESRIafNhJ23YZzSsbtRDto+82KmrpKDgFnJMmkF dHtYtA/Bju92SJfZo4HGegzTtAO1KK8WuSBhSPUfawoweCGe6rdwG/Z8it2Y4GVbYY9oy0EwA9sI Et6unBH5reQStUWoWVDI+VGSA4LUTDbAG4JWcABe/4ST9IEd8nQe1nf3p548mUCYeQEIBat6Jjpf ZE0QC0p8y7N31FzE51TaMdELbxmXm+yisKbKVC9wrq7bLZ8YqIYgsB3+jUq4Cu/Gk4HOsmlOroGe aaQGvGSKkRFVNlec7H5kvJMJW/Icv1IOB43gDWI/W1g66KlBA+6JMpBMtoBSndKofrlBoQrZIspy caY9H33oihuC+F5gLpk8aLWWtBDivFX86RD3MvK9RIb82YLBde9fuJQJDLA0WslRX23jKZf9opqz OOQwXq4ExrpQUVenkbhUzSE0bphqucgC6Zr1U/WRFAhNLEJ6GuHTM1smKtsNSAGF9fARYoKRQSyv hncT/uv2dOgO8qUMluMHHQeUadh0ArN72jdXMbQnIz+EQvrUazvYbC+XlWnnGFy1zn6QH+TtF7rU sDRMbDqWWenGIHCUxuP0yFp6PbWdaQm59fxO7btK4dtu1TBTQUR7L88D3Q2mbUlY6BX8ukR68I/I lcJsYXKxRaR5PbnO2LKGnZ4qYCvXAMi1jwb8Z/JT6DSQG5RL2Hs76ag3xu7VFBmHXyZWQxNC27v7 SJGC0DeLeHjfGN6CZq71iE5hn696nBY4ls4rOQAVN1qGHyRTZGK44aGB8TyN63cDOnNZY5dOQhGa fxRrLuJVh5kOARaJ5oERl22qiQkNsTNc/V6+CSONMRYH3v7X4/oVlpKytny6vMHxLn9Wl7YgPue9 cwk0S8HWxpLRdrnJ3MHyKRTGRLM+1C8Do9oDIHiBfnjBWXYrX7Gn5cHvUETPXVLmkUPtFmu791+u OaD4pzhPl4S4pUIn0uCPMCXXW5Y3hoh9waiBk77rhTEpvtNwoX7ancB3OoWfWunC5Iz8lsnvp1FX o96+Uf3z0Fr6kIlgX3QBsdnoVTuJxTS1xcjB/meqE6eM3tSHHJHbyJWJPSKabdR8+fNt+CsQUtI9 tsrDO7tIZuCkQUQPSVg3tZag5WaMB8c3oPYVFf+gR4HRp4Sxp25ODOsChmNG9/Y2YN/nmvsEBogU 4HqxsHfV6yILfPNpubb3DCcHj7hwwSwe1U3pNI4omgrTNkitvYThkX/T7s6FSizPmuV5q47RIxEm V9bab5hm7gekkSaMmdN/yhHyCZatbh/PRlzHbsufAiZq6En8dtVZ6ZlWGJgXGVAr3aQ3Xbv7Cay/ azbXZYlG4Bw5YDhCD6xmARCbE9m7IRIaN9dpsB3+WFtl//FPPAujZzUGBZSKl7CRaEXSd46Ubi5m ThaYJeABZMjdaYc9HAqbe+cQ/RbWvcxTfutHcW+sid9j9gpLFEBUgr7gTSwDloVeFRnN4Nvl125K bJstR+tbanH+BbMXGSuZkn+iZj9s9GXdodsIQjBXLTpqcgUJfar36Wh9ennEIMi7kPqn1Y2uRGkA LF2621/FNCvyMeN3DDTB82xppFw3KGb+RnOEmvOlpfRJeFUJwjTe9PMCYL5yIUEXavDKxWQqjL9G Sg15feD2f6akay4or6t5TdR4HoaGom3dEltZK9+P5DXr/dU8KcgM4qSDz7yGMBw8rGeM0IUQ/syF 4fmxE2KmwQeUMJNE3kkuO0cWvCdnoYLB9TwnZOT72Ec8yTO7cE/Bd+2vma9jBN+uA6hn+E+dexfG jKBEotbyKMy7sRPn+OyVRriyqOvyCY5wZlWyn4vLMxc6FGMhLyaTuX1B7VNIALKzU2WFsnLHHEAp PC8ruDcFZNls4xGMuqgJ0nm0zNg3cJ9atFZytXEODzWMobFR5K/y2AKUt/EFAikmnuhnsxAEMPo+ vAGD1cvnHLavEyWSaQBmX0Yu6iMaWwG3NeKcSRqPoBJStN7FTUWN1yqM1pTKwUs8bl+S3lvHm0Sz 28kaSVwpo4cycTZ+gfv+5Puo8uvEiRCkpDIe8he1HJZ20uuPq04R1+Gl/S8lNiUbnmobM1z6QtkP LFaztEZADpAtL/GlXmpkgPzGV5WQd6+ZALj83a2V/+/AkvHtTgyFnuUWywh9op2XWVH71r+1ApHs LHuq7KQfVH5HJsFTZKDMISvdcnoLzf5LZKcl+nlwuyhCDf7xhj4HouiUeuYKP+sw0ceyk8eH7qQ6 ZBYXvchZOh/PWgKcOuQgddJGSle8SRNcO+DVJWy0wSXe9StFzLo8soxbFkUkQIdWw8qGts970Fy7 mgZQW6CzJU0sT+iqSsCyY0q/8g77BW2n3A+HC22VTNvdkICP0uNUUXrdwL1vmK3vuWXmpkq5e91U v8inG0XOGH1tac5Iwu0AWorPd26hKR1VeRKMw9QzKGs1o+Em19H52lBlYPkmPQ7A+QoAHYH4YrUD 1Fd++HZD5BlvJYaF5LBBJutDx/W304J9apPmGM/9LSJefJ3Ji6QrzdijtHC6G0uOUcp4ZeGDJGJU /MjhqM30G23+cXtHPjOObYpB5+nd6gwUIzed6BE1IsbY3U2ywv+zZOz3VTD9pYl5j3Amb5ZPBM8K IqJzKTwuNA6W99MHpHaw6BHhMMavutyh+iX/rXGvrj9z4zE637DGmprEkvGkJNq3OfwYLHpgkblp UIQNCgESo4N+wMdPZIbKj2+X4DFWVk8rQyW8Q0KReYFCNFSoRiTcWxVa9arTMykC8SJzoawKQNqd whB/+SeT5BbXyNEzHftVwyfLheZpf7JsPjBt0VRuyhDiFU8Lraopw0GgHhRn+yP9l0SGUprZ21+B J6GFh7ZsSKPQzGzI1RjjHrSrVGoes2AnW/4tlwCR80e7Iaz4DpMAhR8eho6GN5+w26CFZ/CoO7LR KpB1Eihy3AoP6y6z4FWJGUfY7ujiq8K5dACpQKuViqX3ImcRLu3Jrqqeeba3J3hWtzT/774RcDqb uQJkEsdZalWSW4cNgxHhtZaSzW8sIfNgbusWV/GRJMkxpBI/gPkIsu+cZt/jEsjgqyywMfiWHaUH 4CJcjcoB081Opt9NPUpLy15KLjqmdd8IqF6Y9pCrLycMgjXXTmJemUapqX1NQ6h3uQXtlLq9F7vJ 30sI/Fje4ByLV8DuW75VMebI0WOwX16Q+07jC0JIiyc5GS4WMWtAn+O3H0MMN6dF3v8DIgLaDE3u V30CSxYn5nan36OZ6bhwroycV9x1D1OR2U3tWbjyjlRBmVATCP5OfyNcDA+Yibm+MoYdac7dMXV/ Z83QyXpZyTuOdwZPVvtH0jIULL4CkCvKvpOXCQu/rM8kSBBPgT2B6mYh6fZJ0+4FEsEH7JHXxICk EYkyAvlWFDED5zjJeCDpriBNrBhvg7nSqovMEo81dylM1whqPUqxh3+x8gRUctpgqMB3kSsDdn7h MVy8PrWr4e4oMerUqR+tbJdzRvgcOyOeT19aWUIBnYOsE/paHHAfJGkTRCjW5ty+p6GAxrPvWut0 31KI3lr9DEQtLSZWOzr4xjzafQl5afj6SAxd6t1tAI9U8LLluhKQ96HC9wnu5QlEUlUNyvNtXy7M IN8ifM4c18GIGBV8bu9fe8Q87nEExseWQOih9JIz6xmCyAN/6hbX6u+S1WoYetVuOdjgSu3C7mM7 CL8k1+x9o82blPoLF/L0MNZRpEfxjgCr+e3E8H74EF40jGyU7dyWoEKDL4dlm+u1pAGpg6/i00tM S/pxZIamPEivAhKxgpByu57y7RK0HSDLNwU0v7piz6h/5LwF2hx3tAoSMXos7zi3gAtMPVXOZIDK sbYfHxQxletDGjLtzClgH7Fu6+p+RghTw0bxDpj7+a6x8CTcqlVWQGUsjHtbp63S/xCB6OCc5+Vy 4Cr+91htZ5mK/Oukzj79Z6yY7WmVppLiCmppYBuIDMZ6ttEEAsj17wVx7K2Hysnvr4OLC9u4oMfB QehlwsHebldR1ZQrNyUwKw9GDzwc8Apn6SXe7dONq2yezjvaTAWGalDdCY1e4QKxrxfrRjqHU+eW dRSdnvSSiM6Ny+h2W3+OfSeNHMdDoJYiWB+8Z7XEi288jMmHH+eoEZ3L16J+ffLPSPEe1tLjj7to pL7Gyd193fHyJO9diYG8yCMQJTqbBzRbGY5D5utKBLG/GIfSiJDM2GT7oakVbbt7TGJNt2njuqOm emJzi6CU88hBky4W4rBV4RGHMIynHCh87+5/cSUtlYlx73rIhXh73sws6NMpz7T9YlQ9bUCOrEZ6 mgquiHx5AdB8cwwkRDvq8zsQqqnz8sxr+anPw7dAkconGM1xgyLNO76JdjKwsXK89iKRj8OyIDmi 5qs0p2c2r29K714PHT1V1MK3A8xqF7PkkY0hDNz7iHmGsdADwZ5yyMQcTib06isCihVqfCStpyac 81JMY/r7YH/YO12FrVb2kdGmt3gSRjQq3loePJiEQ2U1eeZEWIuaIyfWpRGHU1EvSC/b3/smFM71 7FcPBW3ZUwBpMKimWuuGj71RHfwDaBxoTPaijb0JKNPU7WwD5YJQPJQeYZ2C7lEWFyZJ1cl+16l7 9+YKyPC4w9THKTAKDtzO/GC7dSalhSCWxfL+o31uQb3D3nks8mE/OHY804rCrXP50hbqN5nRfbcr vF/dVZ3nyFa2rkQ0gCbuQdFpJJFEgDCKpOzSS9hILECIExifUNTfZYFnN84obuksYyk0En1bNbaU AK+fshTk3KF5QAddCC9Lgkcx2YveIpoXoLgr6CrGJrPh8zCLC0pqxD9LkFpyVHwbI88dJz8ye/kn k862rmdtp4iS7EXa1zx2FpesWp7yXxwJmjptooaRVneQhntkKzKdJ1OY/CcLCWdi7lOe8YUHnBBZ 4hUykrpHGOt7mLhm2jw22rkoqK+dLGVZGG0MF3t94wWRjFQ7On1K1rxJoo1AbkYxL031BtwFVXZQ lV6AwTTNItO+rKSCcGQbMQSB6QnNwZkHXouR9kuX8AdgxkMNBM2o4Ho6NgIBhjjoeJp8gRbWgxit s3b28M9mW31M1EqQVYcVIeQa6uoMWFEYv/O5KBNaQrdJ1VsUn+22C7cpRKG0EtsT27PPm02Plczp kzHz8UUdkNuXNuNuPLicfUsMMTdH+Ed6ExQ+dnZiVAMlLYJyjSuQ0AVckVfvSP5FI7XA+S6GeqYY rUERh/MzdsKtsykHGGvb1jXoVW1l6RDSurGMWp5MOHdWXy+RLv0Q0DO8WJl+EH87LiTw4cJfdkKG oBTJsJI4q91w22BPjzUadmiqPx9qhnq/MsUDYc0aYWTI5GUKdLGz+f9WzUW853S/clvGPacP5Kmn Pouqob9ohKUNIhgof/LLMYNicK4TgnZ0PsTpwapfm9GJWhlJriAUqlNcCHOfmFNccILNj/PTxtru fBgGKoIwLvS8TtJpJI8+hSYf/ht4oiQoowacS4OTTXn8gf+yxz97U/UJVkmxiJeVr7CJxXf8F9ob jbZt0zTRqapBPSvP8S8QUg6iTs+hYeTPljfYZbIZgq9cAggnd3+c2c/wzTAK7kqxEptml23IBb88 QCyVBLBlij0pzdIf7dOIJp9E4yH1Y5lRny7HZNS1BsqSu9l+YoZhjKsrjKeFKeODe4fHOAR/wogZ Ftf/HMQ/ReNPBoKO1NbtG1K1l8q7VAAweQZSL0xaLs54pe/jV5b8aE/vMMYqzyg5WMuwvjcOO9Bz 10hhaXzvAvYcVv6c9v+xmJTnVa1XzB5+tw02xwDEXoryyxibyNc6lyU3sMNF42F9MB0MCQ63UDRr 2Tas8JnF5wWAF1FBtF/JDG3ZG8UbFXGvfme7ECsupA9/efglE19YL1Xu0PlzSEvIz3F2KskFGKzm GW1kKF5/UYhJl87CXYTQLZJY3h39mUmACPMouSGdzMGaTgDXoVd973tLoAYUwXgX5GdsWBozpBNY kCwx+HQ5w9Xj+2xfEgkGwOmsSWLVGEDPYiCF0UuPLFlIVQhMQmZMbuldnAtrcawyqnRKjxxZ2qKn p6QOSFGrFOJgC8C6cvnTzoIujSRoxDz5k6r84ubi4DptofU2d/0m4YHlGyaKiHC6KRtq7VWb9Pkx UQw+bF5ABA8eEbFDQ5O1s2WLifdEZsEImndbkLgcjvfrEUCS3Pacbfoa7YE530c9nS9Nj/yP40Jy snOkd4WFC+HVevfgprF/1bPJlrCJv8mEMG7w1dfOPnIm34WCBZC7ZXidGz1cfRa3m+6MSfhD4zYP 5SBJThPxgJ1/DvVQcIoKtvm0IL09JaZSCOCQgPg7SNrYEBms8FH0aF6aDQWnUSfBOlQkXP/Fjtgj ryP4HVgo9KkjmZnd8Zn0U/cJs2i1AT30KAO47I6/zd/H/RdYcqkCIMfKpzwDpDddMjnik7jnr78R EGMV2DVV1QX/ZjtwnILxEeaOsXA4z8k9xa6eDfG/EkKB6mL5rEcHXM967bffCLb2pHhYa7Y+9yBT GQlSyGP1Ry9+J0KkxC2hQUdsQ//pBGlQ4VbOYjEv9ezEsAB8xHS9L5zGnFt5c++XmCUKmQbtGemw qsed1O8DDbrLMupBLZQukzMhl+StcPPo4AxohiBgEm3pZGRiUrI6FKy27EAiiUxNn61y+SD5p+np zul+kDiEn0gEMUsoLIBRp91z72f8Bu/HrqU4M7vPr2GZo/qDozaf3yghKCz6K6HPBqU3KnJKABr6 Qgx3pigv73CawD3AhW1l0m3JdP/MZTNEOsVZrRlL3rH/yAT/WgdLsg7dm6jrh37ifLZh72dkaCbv JgqgG398qIjOccQN16J1Qk+MVQsWefJi/EWdy3bnQyNAG/M4N7gX3LpmzX2VSBFJUUOrNLta9Ktl SswKpRRwRDKbB/TDMMuiqUD8lcar6hh6qaI0k7oJUGzFBVUPL7HuhxQTogRmCWje1zV45E9TdhP4 L7DPjBI9GPKxLctsif2SBofRrV1AtBpg33JWHrUqazwfZCqE2A77EPFA0f57V45nGccJex6EJ+oC joiZ6oThgcesebN4Zl+WpqikqOSz10VKWvdf9005BdqxIagp/J4wvw5s5AykEBvA3kNOL8YXoRIj VyYdNmfR15cB6DCY77m3twfca4Ne5crDaxezwVXwlaLPeNlXwYlC31p62zdvtGLpKu5IE+2OiAuH xM/NZtVkoYPMjE6X17W3luRZp1xRxFvh1hwN0cmVEK26UtvUhwOP5j5rj0R1/dGRhupDA6G/FY0x au/rJjnnTLhp6zAOVW1ew5HMXhclA9VQQnmE7BRbU+l7eaA02DCcGIkZFPMD5NVxoi7qa7uAXmFh G/+rBi7nVzLU1rlZy2JhtQcMT4GsgcnIvv22rxmDDvQdE6OJcP8s5I3Q9TchongkiN53gIDCPUW4 Ka35TwP2ZLXi0iwC7q+045qRI4NDJpaiYndgvk8gtOWuGV5QW/clo/KJRoOjVAvO6m6ZcJXfrWO7 hcOdESKKYLkhQNBLiQdiwuZVuNA4eGfR3I3NDWGeEqg17nOm71S6mElEHdkAxRizNf6XJHBB7ftw 6zo30Kp20/BwSZ6awoo2v6p3iNz5w8tizkFECvdHdmHg5uarbBPnfavYzGvHmS7oF4HquC0YN4Dp r3VuwFpzOL6Svd0bLgJdNNYYPYBljcUvjiEHm7iXfj2Fue/OcS7mmP7yyKhoudhuHrdY63WDkV17 isLHQxz8IwVS+AU6CyRRTBnqmzipUOCGlU7nuCuUD0FikOkIbrFibX40iPrkJ7aYmHpO4HrrVw7I Wz4t0PkX+iYbaclqQIIUVm/8w4+ALP5b5cRhClGgQO5S6ezhgc9/ZlwXxNOTTKqjXllCUO9RxeXq 4CIlmC3LX7LQyxMv9pu6hRQTwCRbxGfJVY46faE9sFMIRL6KiGc1/6EHAd/l/7Sj7oRLgNs5ZYdG 6U6k3BQ4Apg89UDadtp5F+zwt7WCgH++UkquRcR8EyC5gj6irblw2+ccdjDF1U1Vt8lH9oaNy/0+ ykUpr6RN6aXS5jcWi5KnRl/Z8RPDumgvxWNVKxWZhqeABaQ2RkRGkIHqUx6zbO6zeHnAIjNcv9o+ MqEr8OGKnkmpMHtVvRIhriNlWrJP4gD1xJU6DPhRPsgHdLVvqCnQNNgkdWkpx0CTCvsfO778SNPo f3trifTnbWHq8NWT9R9N9nGAISFYQCkj1naiqo7WbRQVAs1hI0bGgMAnezf4eR6UEolPq7NlE6Od SjXJjewa63MU0BsgUb8Buywp7HwgAFyr7UQnqMyCR1pR4uCBwUUW+EdkUxdkzKSIZIHhxhEk2ZIl N4OgvBCpEQSGGzp32+RCX6Pyh6LOsRTDDRTsG12knTFAdbnODAT+8SV4/QNfe3uk/pGkXldBS3Ir ZyZ42dQVBScQUr5HwSri+iOrnNyM7ntHSH6nsD3iR0c4A9EDHEsGbCti0BB9oxEuAIY6F9cpvIZB TLc8jZ3tpYqLtIxxS4t71aAGQPFhQ1mHeBavM134mRW1ndSIey8skVC5qV333RKDTRGTKl7ZaLPk FplKEt0x3QdFVH1Yq7YqwtsujnMKTFhoiFAswb9SAWvvF+q4HZ1udB6W1i0kCSTsIN+1QMErhhaK 0utZ2Zjpu+vbrHZagwKuw5Tf6ialPKdIqvV3hG14uvofrwrtuPuuRaftDHMQiIlnkwX8+5+r/QP+ fz24CZJnXQyIqxKnSw1KEJgOnU2RsLHcioeV+ojdQfTKsoxZjJkQ8bGoxIBL68qReaAiuTJ9vmFc bJpChuLzJe2JW52kTI7a8EEcTDt3NmWGlxSuwmAot/daejx8FrDI34HdzQqCmwQebD3iivdpshdV w8W626tniLTIIo+EXvzwOhbL5L83YerRnlH92W8PWDhsR3jIpZJmP/eOj7x0ck8JeWteR8mGcK2j i1wb/ztZ6l9uSRxxRtkv1RzLXMcuq7oSYjkEWEKmyc6J4inVpN74c5QyCWG4R+SY1BzHaEuS8Zjh HxG4WMmYDDswcWeTMooIdSlLXmZOQ+h09nOXFw+SHxAoqdqj/bMdV+QahJqtyumGJ01j8+wRRt5V fzIGUPi/VipkSQbByo5D6+MVKanQhMsea2ddY5bqUE50u8dDUvyM4yfOkzlvLt8rQkHADZDIoaLg yhHjGBsQ67vr2WBuoXJgin3SigHr2y0KlRcSDs2Z3DFwf2MSSRW00cE/kpwGBjMaG4Ok/qeS2ePq jqdJJF8xihgb71086b4wrnoDLPJriLJyBk84AQj0L7iXPjeCNMGYSfnQ3uuBpDRU0wHSA4V94GBW soJCrDl44eWrmVSqwjE4jsL2XNHnmyeS89xnDCDjCMotJXb4E4VZXyxNWLVlff2okZjxBJbavaff 6BqQ9BmJzbRNIByFvrybSMgn4LixiXdQXGxuJaGU0rWgzsNm96jS2BXsHO7qmjb4wmtgh0oOIWkk xzRMoPe/HX5RzquB26c2BgIavVGsIZWZmUdL0GzEQPgX7dl5DqpMMYFxSam0IHBPgOLKuzz4Bb4B 8+8QrgwP+ihxnsTqaGFErdasz882844fTFbZl9XCOhI2OL5pLmiTMFDtXelHC7v8Ql8ImNnJZcwq DMws3SUdiNC7krrdF826VznwT4euEEspf6xnkoD76Ydsqi8jMbqrCMdSSiKa9gvc3l6rpd9wlg8V gkztOV3Wei9Vb4InA1zpeBxv55UPzqqNN6yozwD6aGzXj+TqQ9f/CXvm4iBeYVWpk5KtBCe531ZB jIoh9Qy8KrsBko7GSAf6N15GKSjVtxKtD/n+jmuAaKkfSRMILP6kFj4g/Aj0mSoiAb06x6yPqgHt NY/gZA7SYVpCfbfrVGrPZ62yDZJ2bss3SSKTcpgP/LeKM8ffJ+4biBxk9IFZ2EbpFKbT9auAtPeh JhAaTcf0wC/vL3SqU4v//q250JNVcpHx3hhJgrX+PAP4Aj+Q2CTuJO/nfADdrihcXUNT74MahDNe B1E/oH/U3w3ibtt0bHfZHAj74iRgljJeGMnS2BLjxoSAeQRascos3Y2AKEZqtCK+nBbDP0Zn191D +R52I3hIgCFef8ltaZWvnzokCAU7IobWZiZ+TTX4rsWJ+DEb8JbwThmJYlKJIE2WlwQGAZp+7/EC N8VlU6bUgwBr0zPadsI6COQYHJrEynJOFrYr/Mb5NLgr61Q3geKZDN+DJBre9e3vJYc8Gb+nzMKs jQs6pSClL3XJtQbQ4eucP7NgeGf2N3a6smQkfKW+8IT50PywEHVpWFCoUzIDhzx5sqFSciBX+Elj KdHX7DgJKkgmKphhCj/iIEeGDYJVfsel5pukZ1Ixuyb1wq48HG4pp29BpHyuKDAbn4pfJARYrqCp I0u/pa+FZ1CLcAmDOggp4yW5c1EBSN9jUbskfvqMs6dUyA+zPV//T0fnloJO8LtkAUe4Qx7STCBg rCNiZUF1ObMreJMbIYbtZVHPTdfFAIb2dihbD+49zH3p2ZcMUkRsgQiyO0+wYmtxHAkSjSBP3CM7 FKX8rMIILDaMvxSNDkirj1d6Gr7wTGBGhmoYN/8l7c6IsJgxhTRgp1+Af4zHA/lbV95ntvCajfsE kI7f4JLa1cUnDwp1U6EnK6ly/IE8Ls50cYZknN+0gNwhyOHa8Sr8TqkjxNdJ9qDrDv1S+adWfr6E TFMINzvct0CbUouhYMIrbT031sx/2TwYubwofw7Pdd2Pv0EL53GkOpI6NpyTRM2cUjOxhkWzhY8Y ZOE4jvnKGoXQkXM1/AF/fu+BXAMuW94Kir47c3QhNVErvmCnDwr3YxYnYGuxc4tQsmFk64Fi64N6 htpTLyM9HSkiBVCNvqYEf46RhVyDNuKxeizqCs2EkqjLJQLm6q9JOcbaDePTpSyFzhywFlqtNXWL oh6WjStTkofGWiX0pdfBcFv1Hh6bZL2HL83euL8p5/XGfBxwGNYXL9voKFDXIOrTsQJzZvMCh4QX P++nOWVlkSeaV1z6XP54uNYbEt3Rav8wHPYx9gLUn46MfH/vUrBgmCaG2qdQOL1mLh0c/vnRIAa/ SMV36LfGkNuC9mNBB/VUsA/PIJC/MlMdfMp+TqnWTy/jzn8k6j6YR1SHTVEPP/Hs+HEPnSpNdZs8 O5GBMzkJikVpRVAuQ2v41YZCn46VElpjZqTkpY2cnwbb0J710KxqytlcmM83bo24Gj01Wj/c3zjo wwM2+FkR1+AGsyD2+NSrmw6Dd/KjpSANOLFma8ZtaS1HhSJhQNl3uPUbd4KzDkd4aGs4RAqLf4PB lKx+p3sh9geYr1xwMlD8Gq0kVqQtAJggfwNL4PHgAFK7ZKweyb9h8k0tC8QOGzCy8SXG2uFpqnQ1 pKlGC5AmrC4xlVKEXgWWERYd2qiinwKuR93P3MMejMx10ABuifxBtyzB7+sXp7OHII80R4NyDEt9 B24VVV6io2BkLm0MTpR0DWVTgxg2Y7hRR3kBnNLxKbGGxDD6H5KM6esu4t1wrApUrsHQokcCvz5v 0xOMKKM+wKwtfym4veUNYIvduGMP5/DSK6ihVdowxdFpJc9cDpDfuyDHNuzEWvF00q9JyXlHADeM iVf9gzVUbf253oGQY5UXbURm1sclu7ctWUwCqm6oZQxMHe6+l3VPdTQP4YiOBdmgCV8xaZVEooMr rN7okhI0hnOxldA/yUBbda9RJy50Kk37/iOBRJcupvsN697yd0DhFI/hW6EWkc4ANQUFZqWVpt4I XgE0FlWBzq5nN0I+U5Ir3NOepsjqxxPIa3XSfgxJ5R++sP0kJ/YROerBOu68eSgh6MVqAVIWIftq 1pyEPGZfWrghDXi6+A7s89GHYJRKBKyVVDpv9xJmFLs1tAZZcJsJ+3IRluVLV0lWluI7xA9m/pti WP0wxHi8TgQZ2bck3RLcmrZZaunleQv1SdTytF1NBu0fsGlNriL5KLsCS+RAfPy/eg4tXk7FLKNq 0BI2SnIBQQHsaekoG8PX/I1xOK9OTtnL+QQ70TaNfrY6tEFMp6Woh9NB09jYGS+WjJOaEba1YjW2 9F0hxHRVvFKGXnVp1JcQJfF3MW72Vk5OJ6tMu3bkU92euWkobsbQ4nwGfskJEs05SCMo2YLzEFbs m5dllKX8S1WOK2tqtSh5fxallUORBFbA0LByFv5g0kHm7Bld1Gf3OV14khga7orIhgsbRoPKcdli 6Y5eq3tSTn1SHsTQ+FzvyCkwj2OhBpSMtC+/8qdQMOBfF7tjCZftT4Kc/LYtn6j3VxYQBcAKaIzz bZ3SwMOtqIhthI/6q5vXMPVbiKD7yMqpisdyh6atEye/pK+B0clSaoI2lXQZv8OCxz8pQdnmO1Ll Ud1BhEK1t9RDr7vZGtaBAi2dd+VIRMrJpSHXLUOJD2cJuhGKnTJVcp5m/7eVdzLdYAYvTG/KdRti /FFBrXb+GqLJUgK02cnGYOjMWs4MsVGGPokgvsB2jQ7s2iDRdRLcdHWmm9x/T5Ug38O8hbCPOWyc eSm+wXvl9etVeKnaXbfNfs6/CMcTm1Swa/2+gkjn+S4VOC/CaCxwjXyK8ZSvW3kVe34nLSyCPblP UYsJJYemtBkaI7yICHKmOVv+FN1NWgDoWZ8XoeAv826qa84rK6aAPjVQ8+giFx8K2rGao7Z9Vsuy y3LruLIfm8nsFUowzUjiAJKxeyYjrIyBzpahdg1AaWXYINGZ220Z4E00WKvb8uJ8cRbd2m+e8vQT yC2puaix7hLRvcXmYwj5P0mQCCe3odRU3LIteVo77/lnlyO4Tm/GnBh+g62M/iN768BehDe1q9EZ zTbiFYgytHU3TJVq6bVhxLwNX2dF9kq7T2rmvpH1vh9Fo4YnPEsfYssYJY8TTFH5vUTNN8JCFMmO 499hDobQiFwF8ooeOGZt9lc2tOw45NZgj+hfGo6D7M87ZIAfLdv2QoyYBDoMDFgktTixjM4TOwt/ U34bGACIgISvwaFWmhsoRkxMsmTOxquXDrC7geLkmm7ooyDiewjlDJZNYh59XWEqEg9La3Wkvj56 h8jqcYOAgMTQznY+oY1Fy98OaqjWX4jH3wd24fDPjm598QYJLPEZdAJhQ6w/yFPaAfzIfR3rubPH mW9sa1wP4kBKa6rgHMiCjG5WiEesSweTlIsAt/HNVunphSmJOpGjrExEDhMAbiwpxbl4Bqb5KusQ jXtah80qvhPkFUEo/vXuWd3DycdajQtjqsRHA3tAdWN3Z/ds95Gj09s06B82ILRc1o5TCJ0sNFkV nKJCF8wiTxF8xZ2NlBSgOSBgVVvOmBw8Z/t+F3q3z5A34ApyMsjGAqWOmV/MOr4SXtOEoPwZFxJ7 pAgHCNuIsv+F22lsTniDaEtcetvJjO1UHktSORYfQJeX17N4ggnhG8hTNIQH0i8/0jiKBcLidQc4 PDq9M+0OlpNModLLpN3cYVFYCXNq7u2xR5LiwINK5SdboFPe68g1ubmQNoNaABKhk2lWQWPlJtCa LykA4yjdmWqVi2sFiDYHnMo24bCxheK3CyF/Y54oWS7S5yHv8sRixtXE8fHbuMGrF+5G6a0phP3Y bqVNlPrKAKo+WHVh+sRNVWOvQRpxVx/xtzacRIgjrCooBwMl2JA9f3RJTPfTv1wN6R2dur0/UCwn Pr5IsaEkLE4ca8ylGBeABp7O4U6o5V3kN/3DcqQcOujGYlN3XhFWUUERm1jaE34gvJa+l4mZzcUH qzgh7/+d13UXuw0UtsgOTV/QOtm6N8hy6vtovSX7hkXVdoZNARXl9x/Ofg1M6NpvOFzRaWBCBgFk chpw7Ibi/eSxAgYHGsmFHGPEBMA8Oy7YQzbPBgIXUitpknzCL4VNFa/ifeyD/hy2y54APQ9O8ca/ Q84+xhk3+mYRaS/HIz0e1kbkH0QWJK5PfmmAoc8kCQNOxQzBnIqis/e1Vkmp/vfV6QDbjUlMzzX3 YFtPiw7ukHvSxpwzv1sBFgdqDD6b3dvsbRS8esCpdo+q4tW65T8Cs8uOYlOz/uJEW1VlEcN2rIu5 QEn2Z3+vi4C4IHUX+dKpFr2Se1e/0PH6lfjJ9y9KyU7/Ll1TtjLMwMHS5YMo2ekxXBoUfiNJlHfp NmGbDDp2cnUofGK2GBVrGQHmPF+Fq+Sj60h5RUHMwEhCfcn3IPNegIbBgAj1h9q8S6XYta/bzmQJ mSoHrJw3nTRIh7aP6p6gJ0ORZGlafYR90PpbXbvYGLh3WaohqyBr+P2NircBPEK5MYtSObTQdWxl 1IQDwYN0wcQvhlJF12hGs4Ha6R9tdplroHHzlbLQVDQszHM6Sj5jLGjkHrHVnTJPVmivAJPkwfCO bNGoiD0JUbN3o4T6iRhJ80Q9erb9YYrICO0U9N99ZpX2x459Ahruwd8rFRgei1xhfJZfoVYXZjq9 l6YXstVSGUDt6+lqQSM7kjiHAtYmGxRloo6jtE7dpNuogdmNA7sUbVVX/bIKRcuZYnvqNmIi+jTz jTC1l34EB27Bhz/FT89jFO5VmnkIDWJCCOXSV2T7jKmkL+GZiBvdPkL/cPZYddGOf6H083Df0eqs oTdPKKg1DKhEV79+QWi90iYlqQ6MO7IOFw3JQs+nWQ/yez1k1cy04kKMnhDU9ZIyJGyGLQk4jcp2 Jw1Hv0SX+PocuuejRZBtw8FSTlmIckZkwwQfohKuvyhhHUZqR5QFX4XVkqV3mL7t4piFfWpEdwrY n9JoZ6gMZnq3RogoXP1txglSCjRc03mQKNF5nBdr7SY5VWpfGq7xB89ZUwii1Mnb6voUDGWEfOvc Cpf8VW0hWw+yXzdvD8bHagNPSOEBr8fwgCNrU5uWe9eYKyhhMGtOUjVgybW/vClOfMLO1z8f+OzU l+scz8LxaA+JbfJ10/LimYMiRoyXaNHwrGK/LcwHvMWyo32reBtRhda4CzQoGC+lwEzbY/fzdSqd SIa0WhfDWaYT6K0e/3SbtJroZf8HO5PMItI6dYUuIqafcJIGl13iJGF7oWxGiCKbBGdl5cNrfEcn orCi89W2Lgh42n50RLF0eWVR85dHyhxmtLENpiQ41ir1dYyDqHxZh8blJigCwqVoYN2I9bAk4sWh VyUXlq1B6GVRSY+awdTh5gFIDGzVt0WWrv+QFPe3ZExyqeekKSfmV0OxBG3ZqpLNEw3Gf9KN2pwI nEAFWSnQvUmL1w5hNDV9U9qkH0XBUpD+qqo69gDVTu3FFVQHXlIirKB/GBYVTgVJFL2AHz0xvonn jNikms5Vn1sQgI/q6jsQTHtxF7nIcfyihN7/lDmfGh/NuE17eiJDfoL1KuwnYvl7VTVkl8j+UvQF gEg1Q7E5yVfo+gCrQhVI3b3Y0VRVbXjmSSfsqpjcfQupAaVgSox+GMbgCouW67kswAFgyDPGQfdq FVCuhejd74vqEsgakfLCQfi4pf2nkoKeiMWYz9ew0fcH2ifRYpquZpfLE0UxYFuopn2+DkMJqAeY 3Y85nUaXX1um3BZ6AB46zkxTdZOxS3+k+nBwOxnClzWtn8rADSzM4VRahqYyBCg+JXBw2QWiTV7t YxnYpez3jyUlcVKZvcJlrv0uapr4hHZhT1e3O4lnvHWL3bWE3/IcOycLo2BOUO8RsEMwAz7NcO97 pUSo0CtBls1/A7dnABlztAZwy7a6D0NW0IX97FmvHwlxSGPQ56JnP0F5MhzS9NIxfub+tX8UUPHJ wM5AE7cZyEgmLRfWF3omaVDQk+4DFQnd69t8Vxcng5TVzgvQayzydsznQpcQb9NO7NFwd5a499l+ QHrUiI+IpUp4lWla/FYy2pCcYYcpAwADPsJ1YbY1yjFUZdqZeW0KMEJCcjtGaLIBxPAa7EFrYRAG DXHtUe+QfdCpgAXeqGvSrJvsS76AALqtaBYDwhF/ZPGwAgBO/UpOeJytT+oM7gJNvboJAYSV+PjI LanDY5cHAXcNd9c5WRQC3kD/78AioXJ19IXLS5Ku6ygjgGmQykQqNCwGqFnyv9Ln2KRQbVUhdsR4 EFa4Pyk+Cv/Mf+r1J5ID91fwSr47BlZGtdovEP5HUGtFbsxv0XuKGsfna24VJAKHaoNvLCdOwPs8 dsl1bxyc/Iw6/ev86sH8qxOtbMaDP6YhtC79/ij4356vfJ6FRQiQQkqOVMUF6CbxCpEPosqLL/cD fpXdnMG5iSAMaStjYP6LN1L2J/W0rk3IcCX7i1LKxFxPejUKYymhXkevLT7zmX6Xenc+RIR7m+v+ BMdd9RU0MTm3SG0P50EJgtSQKYlEuFQHL4cteRbJqxD+dWd8IMH42kgV3ft1cJpwb8xjGmDB+9qz qm/cO9ktio6b/J7bHDEejvTlpzQdw9JdtunJWF7oNW7r6cbVO1fXOSnUmCYDRYvROBgchH3X5d2j x4EOfiYWA1bUP4p+Xev8ZSuqOH+UFgp8VrfUc2Es9Hg/ouh8INwT3Vx9QUYmxRqE1VnWVUFpXoh8 m/TYP/kLMm8oJ2WAM042TnHw/X6f7KkyQanhwCDi78xKJpA2LkLa8fTceK5y8zF8o61J1uZsD3u+ TNqWABx+GvTk7Qf1emVRXDp9WL36WxrPggge37g5EDP0PHpHJo5HP5apE5gf47A1uJiPYdxNs8y1 iEL1rcnpRJhASJ7bFr5T5L4JjdMB+2MYtHbKAgk/GgYAZ2ocCVl+lhf02M+r3jCjw5TAv6+zQcyD 7j9n+kk6RmkLlPmbHBO/E+5oANwM26n/wS/lvdD/Q30rjhc34sfAWBMRhFBVETILV3FrYfmS3ERE ha4JkCbu+Cm9ZTMrLXvcD+dVXceJOzC7BQbDOSWDVcaSvnZY2LMtuhEmSbf0ZwmKUlf3WjWRtVTq +z/1q7vwUks+tNT6saPnNSRb2VgEjeVoD7Y6iO1oCqUjqwdh7nslR9PjM983c3roeDiNjFoSYlMK 3QX8l+R+Q/SkmOGqiAAd5oiW1oQflgpVb4n0LqwKZBI37q2hxQxn3yKvVvhh2ssJz/5Kbso6/V3V XnXzcdoRE1UFcyPmOpEUWcicFAbF/KD2K3DQ68EzseFTVkS0EvTH0RWCFUH4fgNqZA+LEIhg53Wo 8W/DMCTWwSvPglvEymBLHZO321VSz0974fhwPmlLAd32s1T1b6D7oRjlMIAOi6nxB8ttNsICzLwJ iC2ngOYpCDhAw6/6bDgV0usYGpbvC8s46N+wpsq60udUhbhusnpdXzV5gcs7kzhollXQvtNE7uUK VnvDN5hgpTgfDHZizlzukmNuq5AGLYZz4L9Api0SklWUelSeHwQRZFLU+lDrR0nLwYBH7Vwkr4VW yXBHz9au3HRzWQ+JBcAyaX5KelE9Ev8j1HFjTYZelm9YlMUs7hOJI3wnxTEqyOn9YlPei/5jXwp8 P5CiSWyLtA4FM+luquNdeyzHYJRCC0XME3FsRlV3BP49aXyHM7h8x+SoqqSj9zCu4IYWlsnVM4ip 77EdxJZSl6/VPW1iJ36p/kdJKq4rHnGQoK960atTJtGXMGvrWeBjaYVbbhI9qJ5pDdc1nSZJAbxE eaI+1/w+NHi5DfzHL5VMZKYWqtsyHkUwedHr9AvLkH+1dZ/lnvQQmLAceyCPvreQAFmrdYVs0OEJ 2AD6L53v83d+CEmFT5qb87IMV+uirIlmyfABdccA5mqRQkAUxTwD8GOWY/eNS8FtGiMjnmFoclQc dbeNqnhHWO1kkDpCUgY/+3rqaTLTMpd60/Zy3qu8pGkb/M7dR1aOhwdFI5d7W/jVAocS+ckoaZZ9 VJxubD/tZ9Zp9yX9cvrBmB4qNJPu/GhZfv16jGYzzXLK+DQPSLkbP1iqFFUZRiRUJaT0m5T7twmy 5sRLmFGxRXXaG69FECxAe3nj1VESlLNycEXmLpnRBtzF/lC323+uEfTwpGqFLjWDcSh2uD8fDSLk HEO707JgiqbvbicegbUJmXPWqGapuK/OzvchyQ0ySvSTJZJVSkRdvskpGT8wI2AgsrLpRTFu84g4 vm8GTn6j5sEeZ9Lf1pn7NnaKErmSRO7KiDow97bWxsvsLT3W8Pz4/KlGM4KLudZ0KICnFImEOSc/ 1lTZP2HOGz3TQtwzCoS5aKNIybxV+bmHEvQG2mBskUA/lufpzHFu45L+DsWPl3/iiEKnmj8gpp37 WydhybdFJa6qNe+UWCBwESXt+IWkTe6QJ03EpnnsvAvBlNnP58jt+Ht/IT8wi9evXwvGBnG5KNtZ bzMwzNccqwZsRDdF64TDAC/Ih5CRRQ7ek6n+JzrDxKIPntybtnS8miGIkrlt91CT6tMLLh66cJuk KpuZVIUj9nE1IQLjEdvqAY8tTEaFiyj0G3dWCXS7L50jYkT6T6Ek1cAsz3Ys1G2BBVNIImm4MJGR F2o0NMG6sraNICAZ93xvEQg+4elXvGMb4yOo5Q3efObiSFIyiOg7hnl+PUQYHgXCj0hjRSqF3sce 9ajZhpzeirW5j7JNDDXbcBrxFhQ5ZiGv391tUxcXW8LKeA3JN0RqhzVzwudXP0XH/QktUl+pAi4Q UqjTwoAyGvQ3rmkAmhKMkjsrjaaz5cyMyxBWcdGeFcYkVh4zcGKXFIgclA6KzXDtzbjptZrHQaoS SXkj8XfyrgrlpYG7VPIGeQH5xDu33+9/CLD8JHS4kA1UBKozu+g2ucpd3Xinhvc4JMvxmbLO11vA o7J2mYWHKDcYaz6O+P2hgmiszSx1W3bdeZayAUvSV9WCBiS/JytCCFHccHN2OQe3Ive0XkkI0T0P tQUW/rC0u/B1+R/9clziNXErAPACPr7Q72Wc7hd1/hpNlyeJEeXoVqrg47mkb4LGrkMMEUlObQcH MXp2h1X0qUwXlqqKcmG4nchwlKLXiI4qCuE9sQN9QrPiIaXGvNxSOF/VkmqIgxPSQRHr4mMoPNEz ViRHwj2svNOc1qDIG63SyXYVN19e8r0Sy8Bs/c/ODMaU1Q4NhUakA+yRwpZg4JhD4EqjiacSJhzj xVyRVk9Pdxl/2RVpyOxY0LBWeWo6P7tomlDrIyyXO9uI8MvF/u9pS5rd9Ht5WlrCh+0+jDtpfPtt uQ1/WI8m7bWFURtVbrACrnBjnm9ipmJz/eO2YUNZ8Qo3q3UACsxuUxkcRLHHskpErX7iX/DUNHQn zvxMPxim5OIkBIqWfVH3C2UbOGL91S1EpbJh3dBt75YefmjqJG0fCuBvJJsTLcGZZJS1iqYlCSbS tuvpigKyzBxaoRAWaoPcdov8RFV2O9uWQC2DNX7qx+4osL/tjW97GCEEXvJxFh7nKj0DRDSCXpEL ISgVjhJpW3zCtDaKKM5Da9ba9yLx21rjZVzs90SLAGs8ns/yrGH6TXjGbIW81bRhHJz+/O0VYhx0 bOSoaUXeWAWA8VrlT33CqHo3tDxSNfktZK1fARMMKfEHxLktuSTjHJsAkgGFf4gCYFtcx2gWUInq C9YFoVe3X/9+Fc0hAR54b/j+dcRbUUZgksDFhk83mCTKIufNSYuHI2VXF55y7rZd1DjxgXzwcIev RRlPLF6OelZIQPjLkzUyIFudqoHVx12IqxgJyz11b1bu40wErx6reGJ2wWdW8bHRzLKvYcvstGhW Gy/l+giExhV35NkMVGiPiKEUzBPwK631QudHdJ7d/W/Yx0G3+IJ2fMFbjCe9EE1v0mm+EROU37Dq flfgpLTG9IIzEuRly8MajPCKyganS3BpWcSxdKPiA3gHFMCTvs4YTfCzpN5+dgex+B9nmkSUju4+ nezRiiVZOyH0pO1dafEDCn3w7OksPnkAo2nb7rU8D/+rlyP9d7l+jDtRV8r8w+HVBYv9uUaSLhEf 4oAs5i66LtDbmqn2qrXmGd/K4savxaxyTVCORxsXC6akyDnoD1jWfCMXb3RVDB0hEfcQU5ujUVQR eYBM0qgo2kT+vt+H7NmWSqUkB1dhQFgKM5/I/+7Brv/etUU9fYOzsLQ4CCQryGM6nh2t+0EEcS+h lnrzMkCh1pZaegH5wGIPhKbKesPBDFl/vtklY/S2o9S2kI12cMDcJ3spBbcqEDzcvOYHDVo84Ra1 SqqF0t7zhhm+ZSmIPE1hbEPMWPpR0+XPn7sds49Iup8+1QdFpjVCPL7+foatouxUr0bT5/Z0Ekkx RboZI7rb+iR3pNzqSOdt3qV9rISl1nYcqi3pQFpEoeXrq/vFSNtN16X5Y3mZA4lNBuGaRg+0QNPv WR4mhoQtnGfdgNjSjG0K0R0ql+n9/7jxGjdKP+4IqmcX2L0mCY/7t0JgV0euqZ4SevSIGRJhojiO Wmun91e7YsZLJwRI54AJC+iC027eMiiUT1/FbpZzStT7ig0rCLxBdlWfWwWd+0p/kBJpg3k9Dm2T l8YgjCP3ZlA5li35I6dzAKq0e+KwfxbQxcmKFdsupuZqdf3D0zUu8lyMxCceebpoJi3MTjHwJH1B i+3JcdIQJiY9TPedDY+t1rmkxMaeulEWyjXaaAtdg9KDjlEKEiOExRurUs9ANQ339v3y1P+5nT5O xiMcfXrrWXW/EDuzZYBvrNVxXhsxCMWtgS5PC9KWqA8sUGOu4actiwVn6h1EBKkvtmqXwEu41qTI OV8RsI9k+Ndmz9Wgs55ic4zejLPj4L0kB4LauNvTleh+uekLTOSr6X+G0edtuYGaPRVPSReV83N3 uPwMIWOWQmWloCvcQ5p+cLwPajnl7JG2bNBLYFvUpHECEZqtwWvJ/FwA4jL0LNTNJ/ARVQGiWOiF js35kVg98HbGyQ8NMus9YZ3o0Pf0R3m2i04R/cSYvAwHkSt14/z1lv1VqxjVrOrTfTAF7JtM/mlh u69gbqmrAa45pwqEnSKECNiFYRkrzzZMYrTLcV1BSVCxNs3igWk/5OJ5lXAELW5LCI9Qv4OwBBxb t9V922Fh0UPlvh4Wwzr6RJr3bxECaXSU+uvxWLDgbj0jk4kRGhgHFS6SemhjPF9HL/mH9QDTRobF 8JXPnukVmAj85I/dcRWwOOs/lr1PdPPFhi106PjgOb/J06fc1fkCZIiAPxcrcQY9tFM+7+aY4P2j uR45lRMGLIOImVyYTWNgWPRhMXaeXIoAJE8c1/Sp9fD1hmhUVfzCWS33ADYz8h8eUD6omKD7nASO i1IKm68UzOL6MPpkb+iqK0bnq73wCSLSHjU5FdBmkyoYb0Wtw5olW7+AlYB01crjf/EAFXnBc6V+ U8QlmljYRbzOzmrJWaWG6bvjoId8KroppPeRhXHoKaQ9cHjMyJLhQMpQbcWvVpI8fr3e0rJ0LBOA vlwdxltJMkF0AIo+QSpq+vRBjAutPVSrMGc2oF/xKreraE13wY0duo86BrZEQMAp2g4IBAR4HRIA UJrqQDbiW/yzhCk1fFgAUlNgVRMfkDNtPJKJFS3zly0gxlEy5ub4mH8av1ie2pRzmOeC7NAXIP5A +lArjALbfSiycR/W28yO4pMBm+3rIjc7k3EsmTv3RZFC6kgaodamwQSVBKBmiowPKr9CglzCfVOd cCepCawdxxomsjf2YI3kGVWFX/mg7TuDvdVWPiJjpl3RXvuVWQhHTN3XnBxCgQnaEOnbhsLHDt/m RfnN4n/FZMJnVuKbN2C330disqngl/YWnyMTCULlvnHMwosLewXoW/aECPK4reSr6hlzTi/tSD8K H/f8mltean/RkwDyCgvgNYMtQ0oN5LNAkrsD16xaaF34AyAz4N27Yilj9b+B2tL4sTEO6KYSaqf/ c4AhL4XwuiSPQ7U40aSsAzU8+001Y7+ZLR+iO7L2+skBJLxVxqaT3PwKpENLcvRCRyNGG+OKFNUz LopAOWAkOgO5nhi3mG7F0YV2yeeF1mJTG3QmOJX+GdxW15JQZnrKSBRQkNgFDCnJBQxFk85aXJ3F Pc0z1sjgKtQCf9NAf0gm1tFy/aK8TAJS4kjhjyGSP+e6E5oawqCfvyB8pofubzODKDUd/Wklo75W T263iUQnjy62noJIAMbAbcSwXLFntagBuMW1daG2jwHiN6feeYGb/Tl5Fi6O7VqIspTEDSTHLiEu sRI62YH6h7UqsIG6RqvL+Tz7zZwQmIxyd+C72rRmMVJM84Jl3GgrMUkqUiRoHuRzzVzLrjNz9bPI Wec27/bGl2C+56phLAlyFcLoYL0I/zLspv+b0Nh/e5jALU6syBRlpcNeOOS4qnhY+eI0cbxje2Kb JRLkEbKDvs9DbrPKy3UFHNBM346kjgzUwAUzjmhrQzCYyJ5cZ51EaMQS3FLuUByKlG/Z7xVMARd8 OSglr7rWnG7cQe7ipjzShIxyqg7pk/ccVsygHb40ow5KyMKS3XAavGRIWP4FZ4YqOIGw/WotXOEb j62ePx1uhI3M0pC1cZ6LAYvcq+emL8LmA/axTGc+AswtesfD/P77JcaZiY01H65lWfCtQvf4HDdS UaU9I9cTfxdAq73WcUm5SgYAWW6NtvMxanJrhNWP7FlUBriM+jeCa2ttstKkJwLUWJ/LE9LBUOG4 hytk1IWAVToZU3bG41o9+Yk0iRqyILL0hkk5z3+Lo7kf6GEe9sdZ61Te8HPgFbEdvPPrjz+itqLT q9FVMz0+0O2dfsM2YnuvdnDayNgtklS5TM8vDebAmBExouLwijuGnMEknjkW68m4QoJ3IXMb14ru PQMhnAbo38K8yJUKKQs1qCcw3Kra/AHXEpHsTu40N/otQSyBSqQWAN9cllauXJ2j/f+A9ficSVso d9YlCF6KZaE6pKpqhAk1YqMjvKxYy+dhDsUWZ+16bBv3P6COZoKbH8zRqzRT23WeJpFfvrmRSx4Y 5CeTdGyPqIq1N6/4lksZF8jEzRdIVrOqyQGKqsqJPHftMApy8Y/WRe3Ut3FUAbLcyylzcrZ9ehOI TBdF6zRTKSAPNN93NQ757Dzy1IwyuOBDt344ObarjlsqYEIpXRZHXFnfWqgO4zKR3cS2dC4S4Dsg gJJg1XJMlRHCZfofD19Hzy5Jg9FQh8/+hLJ1ZQxnFOtIEZaRXxgIl9sYzcLPNQAdG8yqxN/wZ20Q w/lwpMAHtwbAP3ZWYKe99wwBFU/OQJLGV+Bs5MjUs6dl2c5dgNPxbCkl8C3cgALO2FFU2UhDmZNG q8aOAhpErrmumlXkDmNcnXuUq8ElI8gH65jXfZa8Kgrz7Z8sjyRT4+GRUo3ygbr0Exu1K3XHrTlO /9p1FlL1cn+VC98VoEssqSMgYCmyAqXKRGCvB6zX1T8MiwCmllM1jZArWuABlU+CHp1nwlUl5Kx+ Z0kTM0KUzG36jVN4EN0JMAceeO1QLbA/mP2u+cBf4OC983iTIGGa0OrqfRLDu0EkuED1a9WefN/Q BWb7yqT82JQxqn/q0aG/eTDX9AEPfGGqkH9ctRsX6VF3vMXBWQZSeMGf1eztqDn3f5OOegZr35c6 sNp/DyAVwCS+8UsTA2Z4wc1kIXi9m0XgQ63r+R+Maoulbyh1Gy6O9AH0UzzZ5flpF9tu4tNxka/p OEVQuXg16vzzf7qdHs1NNcq7dmK0XxFySlhHSNXctyqucd67kcqfSAbPc9idmzgGtJ5Y4VNlT0wR aNUsfLJlcKJhnZoGCC64xclbNfdEqvWdExMdOsIj8258B85Lt1pk+Y/LXz6BvoJAvtZ3bwSGoeUN nlIyebPQnR3+nB7hvsaxve5Daf2xoQsR1k88WPIG9spjcQheleGW3YidgAFn8G4VO3hE0k/SN1/Z EHAvCwhJFXY9abJ++GY4vQ54KAAfmtC4V5iCdgEBTcg7zjL+I1zLzaUhkc3FtNwqL65OQ4gOYO/2 /3AyVk5qEGKS6Wd125j+1hRyDHb5j4qcFs3kxE4O/cog3z6M0et1WHF/cQC9pfkkDZffFUXY9DSs 325hvL9Z+312BTItGQMDS+NS/cT6ou5o+1bTAn1EhkcNEbf46xX6uKXr3RZQ8F22FojUQfU4Efkr tj5SGYImgWdZlCipWW9s1NY7v2EHOZObtZHyFiyLuRxqhnEgpbNJEsaRPIcshaX+6X2nr/oGqX7D fVbIlPzPVd2mVYwajp9x2uwVx51SmWHAXapmQyeUfZ8SVbI4hs9NuXvVeK4mqiRnlRXCpdqiIQWA F5EIDHymz7z8YW7PkYu0hOamJYh1trxC4QypUkhCXmSFu46xo5dIjDT7JupwW9oz9YCAZYRKMAMe WE8e63J+GbFzcr0RwQzt0xRDNQ/VZqT5Qlr6O0WjtSBY1aKmJaFtZ6ns+XBZ3TyD1+nC1Pm5Hljn NEOLJb0vpGQeYzYi9BozgbU/2dFxy3sTZNkJAJXEQA8x4VafUUX2YY8WYcetGc2kFQy2JgUE+wvV SVcOF/25Gld6jcgH7L03xDksIF29JnZhWDZQ9nPmYp2HymsCdsekGqla8Kp/uLbZ8hSy5gpMUyNl waXnjvtcMwyO3MtK3RGyvOd6xtfnf803sl6zs1zlZUc7oFxqC7RhL/V+Bs2rboYlT9t0m9kd8bOZ uoINxG9pnmMvcAZf3s3gLaXd7NMOos+WsKyWtYnUC1TYT2M0m2b0cgZgHs1o9voTpiCeFKQryR7Y b5/xXpsWXBw5aww7MQgFtR/cH3GFUDiQ4Fs6RuHH1f9L55JVIKQ9D/OC7Vs0burW5vxVZPo4qyDd UHPmqUvykfnnl2/icsMztMAnmn8uKtcsjcOo47+RJWD36le2sM29JD2W/0GCC3jHFS7Bs/Gz291X hm8m818JW5yaHrVruoRpg3sGLZw98dVExtoHdT59/G//fs0wdKP19LcuevaWQA5TZbZ4URSXAIpq ujokVFTnzzFQJ6he7K2EIpsz8y3Z/hBwALQWOMTivMsyl7UNn6rO2p+IW8DX/6u0QO6IW7nvllgF iLdH5R2IMPMFwmGsUQpQIBtJIa1rhbryJA2J2+Zo6oS/aDqn+LxwNXcdNxo/ml1H/eWmQ4NtRDWI aHk6Qqh45BZlfaKYu1Oy6J8QwfzIHpPqJoH/MbELRDoayb82OGTmUmBt/28bFi8qXQBjgIWhpA0I /OVTeNV/eDTJKw5N+NVrqambp0v0WkxGQ8iW2Pb3CHTHv2dQc9sCgWVxpg+xp5QRq4UyrsByHQOA rXYsYLxrfm0xbWFOjZzlHzCPXDGxEQk+WoDo+6tibZV3TNK1mwXQGHHke0CzsJW04bLHWuM+XlxU atxF510tSC9cahQa2mGJmA5wWgbq8shZfNt3gc8oPzujpXwbXOWQI3PNgiAhCZll1psV6eX01N4O 8zzeiN3v+J0yj7P0ywgCjs+iJVEsfb6Rzqu8YKT84Ngv152vxQnutF8CRI8wIn4tK8D/FrsSlmoX 3ffwg7e/RSGjBINVnLw9jy4MzgfOFvs5j9AUEhrPzcDoZbliv4/NQHMaiS6Iq8CBo5cDGb+M8/0L luGYcTAjSaACfCJw2Ud65ga48rxDKjousbSdorwvV9JD6EyJ0Y7CFy7ogdERqAKZB2lxB9Ux04nb WW/HZ02sZm8chPJ46On/c27vwlB8aw681NZIDDUfzkGdQWsqxk7g5CzMyUiH8S+8t8aqUS3yx1IU D84tB2rDlL/1iv9FMSwK9iOYA8LbsMjJGSkTx3Hq6XQK8wYE3/oXVcoaXZ6qnqoE9ntYftpZNSN9 o3mE6egqd+pEet8qPAc2A/aYRqkGkjy2n2aTBfIk7HPgwXAt94jE6/qrGVR3U0Vx1yx2iBT3k5mb kEgtsm+t02GXYtCQpEWVbwjLXAqCza3ahR4PgjlZMAPbHqDpOdGCnF16GnrhC3EOsCDDg0Mv/wlx VFYwrBF5DCwtxECNvt2/dD5QJZdkFj7X27jCvVWb+473j7YinxWWLIs93/3Fm6dmAJdll7RBUtfu Iy/15ffUu0qzxWY9Fe8DPfOafcB+9bbVfUuJk1A3HRSwXGd3RMXaXGF7N86oLkKcIqxwA1MXgDvU akYHoGrlgsj/Q8v94jwkWUOC8cCFHKFr31aSfKEUvKyOJt9OxDQovVkUYWFa8m5aBTkkgLUqxU+W SVk0GJ40nqx0ZsEK6gMEkMPL8ufJRWh/S+e9eed24uRpjlsoRYm3FAf8aA1HsiwPFGv4YpKZdPfR +9d2QOMFKfOFalMv0FxNIeINYmg69W3iWW1NcQfrfeXYKOEJ7C9GPSm8buGDmO93Nfz0BaPEy3h8 fS3oh5BV0NOvsGxYVDjEBXzzo2GlVL4H6TwBCVnFzSqo/bI8npf2p2mF8CcRxHfGHT8u1zhvAqmq 7hq8aZePxoWMT7Zi2LQ7PvHIyHAUsLasOr3yKGN0dFiA9/vm0nmTzNaiDYoyfNow4hgJlFp0GLcK ZJ4UXy0Uw0tq+Z8+JKzF/TxSmtHqKkJe/nHpRtv546KHc1Sarv+bcIPuE9ieb3ZsLy9akjfYdnuw eqY4UB/ufeGYQq++lEcsxXlYXEWDvDdkbicOVB+OWWq53eh4Co/LWJUDc760hJZ7cz9run1Gh63F sB7UbRoSHloFv28312xxATET7bbSw9NJN4bgP3xet4c84adGL40+Y6+PIoO2mkG5CLCpmL7IY8gl ARnebJ/dwtZylllJsDYbZUwEdsX4AJO8xTTWc2hgwi5jcNSzL0PjV0RtvsmeARbjcxjkiFsOWluk VSlYuGdXxjg7yGekjN/D5o/O/tQgUI2jZ4n7p7Ya+0QNNu/4hAG1ki/tVXB5GTUI2e8EMk4QOqbo uZ3YAOiC25evF+Gv30hcTl6KDEUkOSDKCCh5owSA3yh2pRko8avo9m+aHKpOk05Qnyfl5INXtBUu n7/YmpwLmXftwGD0+vM6/H+CZsSS3ZyY0o3EyAzeSp9HwqGKuMO3977oQDASuWMQRoVICNdpgIiI puLg1LA/hoVh0FUNiaWcjBj4trB7O14/EKmK4vsaOu0odXyb+UIc2H2VTmmanXYvSF4qeCG23Sdq I6rW2RlYJoVG/nPsrulzGAdcIwHiE+Iq9vFBy9aY1eFEhas6MlFkEtfmvAyOLXozvtTSpcV8mj0H Feybh1FUH2E4ZI7ZPRcak8HfxNKmeDC4yucco1S5PeGpocV+7xge8SbGl9ywGlVSxkmPgkD1YNzm BnqAWkarD6w54oM/vCdPxGmFH7gim21MDtRhYWwsk35yO3booCbA5EcJmwMyApadzU8+LHb/pNDW EMi5tuupFUqz1NX+Ir5xa3qoGDzI7EYo6qIGCYzCUKyOIPynq5z5ATrV+/hO8Gw/cDz97JZjXFj3 xLynjpqDDFmno/MqVzKr17NdiQsR6XV8Jj3U+NGifxdQRsJxDVjN6STxOosXdmXl2JygzZUQp9mH EgPpWuewht7JdMoA1k0ttwcs+v8ZK3ingJYQySYWKNKf3OkNaQO/mVwPaLII9SpxAK7f5L9rTd3d Fi4v2aGlKtNtseh0Okryfq7hIPw9mtQ1j2brutVzaou6agv52AmEeZWi6uARjY6+VPE/S3nVR/UK jlxUxdeYAz59Y630v8QgumR7fAfvy19u690pVhNV3cbK7P4AUfpc4+iHku3LE83wBwHT6w/Wmqc/ Omn3FQoF1EhbXeJKmFhg4yorUCnRBUH1xqoJJ8qBiSXrlb36i8B5wz1YTIY0P4hFtiiP56qjdTKD c2ZLugPvoJIo5ClZOYjpEuEcUHh1iPJyPwYhF/+Ch9yqzXa0TD2nBxIzm5hpq+zIvCuoL/A+2pBy 0noYZ4qX2CcmJt6dAP5wlW1qPP7vBtjdDH4nOLIpcFeqjoktFC6iq074UFy/eUXHbzR3HpRN+wDo P77GTFGlY56dlgQdmqo8+0Ao5um63pB2fCPH2Pw/Mqz2+fyU9oCnektkefdUyrsA6x3YtevI2hPf 1W+24vGutJ4oCo4aDUegkYbK4XXGdSDyllwk9jNva1e2026manYGDjPGw+UqLLJCwnpooOlAdUSf Xn1FuzZbZC/4KmFTN57yo0QgNRGEhGY1FMods9EungWNDLY1/RH1nMM1MimC+e2IT2D7ZsX0e8va F82x4mTojRH8E0+pKMV/M1+kgL6n64fDUF99wCWUeNkzK7De74guNJRspA1zBnoz3/aThlz30t+p Qjfpm5xpxBFI7Mm2SE741Twf9+630qspmK7lKPcVbXDYR5SJzAZGY7BTDbounQaWBkp9p1J/KJAK XXItrO5Nmdsnfza7Y0qIbi65Hn1hl7aaWtefY30rQg9R6ivIQ76quBAKzLBpzctJFv6xBuH7zsqT itCcx5qMVvsdCn5sgB9WVfLKhTb/+g9fjYMJjvDQVsFo9/2nrcoEJ1p9Ub+sFh6S+D+iggXS0MeW Uu0eORjPP5v6zYhcTQ8sHtQW/5V3hqvk6QOfCFtEpFCnnwtY+ugZ34O0SZvs5cRjLFlsQ+nkArt7 ApewwiWVCcLsGVmyNw7qLJ+6VCA/cw/jIHZD6wvewuV6UX8nzllvfHG+BUNQg4GLPbbTY4EOgCT+ auLtJ2wsdE4TOdubbTymuwqwNONfnqWktrd4SJyglTDWpALZ6E9PXtmIgCAjATcKxDqAp0wEGHX9 IKHUGa0L0ZC11KUWU7/+bxB4WPa2MOAj13PbptHSNisGJuog+bY2kU1TZmwr12ViA8CxEVnjMNmE AcxAE0PVn02vt5oqMu7jvbsFkeZBPstzudSYFtlWJwpYpYDS+QQhySTJWnzZ7W9xIm3SHKm7oB1c fHnrlEcOA1AxBOTdWjep+cmjRNSkgizwVCbEE2oo6IZEiXtcHtm2OWeBWTrDDqVaaMFRNykNRofd kjsS7GUFJMSykX4ptyudKS2NcOSKDgt/Tkut3OWudLjXn5mN1bKikp7Prp4fy/sEXPtRaVzYYcnZ lK0Fu6QhuIaOu4yPsNeYQ//ANERnThCGVUghNl6t2tLBIHwkg9iqnTHks4LYJyBKKmXn9Q6aFFVE aUbWVSmBeOB68nie4yfJ+JsFDJnYn1iKPKF7lK0sPBV6SSY0QUb2tlbDR1DXh4DNFuiA7viOhFhE UbEiXUMfLKZPibao/iQGxqUm3wiCXuJdXeFmSdIwNSuSQhxj7x6i8zfuIA+idL6ppGnWvuZGWWnn WDNSBQP6ICwm5FMaUDOHUzTdvW+BJ5N+LJHN0z5061fYMxa1G7QC6WNjycw5xm0zk9PEsri64Wlz EeI+K4SSc8EuOs6W/5evF6Pjhmg/gwJni5bbZ3MDCkYy8BZ9YS8UDfqPVJS4UmXcENSt2lKo7F7Q 7zcWPqX1/O8KHtL3WvNXk49i9+AfOANQtYdv2JMhXXuPe1ZE8e7s28CtJ7YxNEAj13Dws1ThRXxb 5a2BCocJJLbArq8dS8ay7XQW50GPuzAluvwwBFxOmE+I/4/UDQFs6y3ZxRV8dyzlUpGi0WL2cC9V PnjbR3uRUjFFLVE+UcEsljYZ6HA3HvnqbwNHxppjtTDwKzet+K7Vy6mBm6+VI3hMGbTHi7vrRnLm Y0NGGb6Bt2kI5RZFSZh6V1UqHjDx5r81m7LulANJc80NHPF8t7bKPPg2x+iaQo4bcRkdcuF6OKCu zvkIgQXzOyo3ZOl4S/hg0nBKIgYRK6nHAAcSrjszAwDPTe0asPWasz6fL5jq4hi1mxBXjKx41C9G hZWVtim0HAcImUh7diA3teEunDyaJu06GdMUuqijmnamckLKXifIKO/io7y5UaWaly8iNB6O4gca 5BOUcXMXm7xwH9pvzevOTqwxGW3IVujuE9RuRKPq+1PbcJR/oDBTRL9SooL+6MNJfdcuTTlNCzp1 MrmGlpoF7RUFUQOtN7df2Nn5pnND831sSgNauifKIg3QnsbNA8Karbz22nBTdgjr4WFAuUC/U+jH zZ1eOMVsximP93LC6zn8O3/FTJWSg/1DBZKAvMnoIOVzuyqDLsXSd5tq3l6Ktk5X/LLpVUuBeHJ0 7eWQ8p5KkWrKZSJmYJl2718Z3YoKmw4/ORM81hiC2Ed0T8/pkVDRTFuufOpdCF6DgNZDZHMy8oG8 3W/xs/77Xcz0SU1LVeHw9ga53rt6dFI6QKgpHG7ckhbpBDvsjjr2uqINTlUTTOK4gAybkTypxn07 ySaDFSkc0wt6TQmTztVUfvbbAR4mlM40cTMttDX2lSi3K90T4pUNorPBlY4YJd/UwERmIkaWrEfR lOk97VVa8uks7p3Fxna1FPdWVNA72y4KMpTUz/fkgSJ1xzJWZui80IZJIdjGW/3bEvIZrmiXwKqW Rt3lVxHckQMYV7LEpvZvLb8XSQ363EW/mruq6rcSYfAg0tVT7BB6vXgfdF1IWj0qy7XA7YAaUX65 4EdhdMxpRcgpvqdKgOeJRtCg8S4SiFWttR3m7VqSxXL6kRdjfAHJaCOMWE6h1MAs7IZeD1U6PKhO jCV8YyVLEysrqqBtYOFf4Ut+TCK86hgUwwtKP8FjnBSCF8uvDcoZAiqMMe7k0CLoFHNDdyMukFkN eBVvrHrRfuLYZXlraJ/slchFQNUbQxrYFTUgQ8V2mfuP5uDoYILPdmDVzg3kj8zCeGHFJoMLiR+R OsetYPafYMyYRP/oLJ4NJXlgKxpYCNEADi5a7ivnMIBiPggmmP72IfEpfrfGjTRymHGVvCyc7Rd8 GfWjY+wrRcLxjUy3dkyz+QEJA/6ZAoDNkEgHjKLCs6XOYN2ohCDXZo/lqU7miDpCM8BGzF7Cs9n6 MCSuu9YNA4F+frYdDsA54j3BPzP1s2VcCvr99f5YT2YV6hEewlScIWQoHu8JrlnLWLp4b3OF36Fo wL2NKWvyuO0DFniglg2hboXjGO5eKDDbcBK41wPh/x/CQ17JnudlXtJTBm4K9HmYF6asE8N4dGqm o5tcArCjRYZZIh6vsw3L1ofQ2u2OLUBW+w4MD3MTvAIirxQ/ozJnvkWL7TopBU8fnas1L6579oj7 nbLKkBEdCcmsZUEtXmO0f242eAiNPr+b+7Ufk1txLKsacR2I0qZe/p7nOJJssvEhTjSgET2U631Q QksC9Xb1P7LRCXWLxOYeLbiVIO4mA0t9MjsbWj5Y1v/Men3Aj/Z9qVqpwTds7vg7/OW/Bdd7Wyha iZO0QcesfwSmyzzcZNHJdnIaHOkDICunHJxqE0aD0MZ8zmB+nL4QkxLXb6t+K2/BkjPzjfatVyrI qYOedWkVpTwflLSImTbW4U9GaFrne1g9F2oPoCivswlsWUL0Glewr+yGh23f6P3lL3pfJuQ3bjSV EeTX0vyYap1O2JWFF16zDVqrO7tMOXj52RoCNCD1xvPbKvlf13HRazQwLFwI8uy3OEQ85qQLc3KS TTBBbL3Imvi+0qgLe6WKrlXgiJ2aYAdV9ZXu+Y2JsDuKQ8xjxUMuoSY4hOV4b3u4JUX1/SFJFGiG esDV47ElyHVosQ0zL4Rwh+Oxj1gVisKd2w/6VQBXqM7La1rY7vF0JwUdu9nB/momIJ4m4I6U60k8 dny9MTC2DfGaiAHJoZvQozkRwCAD6QbRzZc7A4DqIDPwM12Q2P6/Mn74AzYAdDdk1wUWVK7ifuIp ZCJDQVe1Vc7IbFaeXrIv+QXgtGIXyjLUebSfMTPsm/CfBD5ezYcW8EmYpWD9x8cY6TvaETg7q6tj 1lN98/BEvtjo16ta5fFhQBnMMb1H8NpbLD74XpDR+v56n3UvEHkHfENRpN7abNS3ebh04OhSfGt+ 316oLnif7wKibaE4r0hljXk9wbJd7C/802yh2SLv4r7ry90bEbndOtHhGWGgm5OOw5iCmsT4ljhp 7GA2gROAXyGq4jnu6xwWsi/FmScJFZUpnzYb0iU2dyOy2R4uOlhiK4nxWEjXTZQpHOn087ChQnEG UB8Y3jbVb81Vm0cBU3a+xjfo3gWNsviy2ygzIBTWtpaB4UMVE1/fMNPOpKFNdNuGnH0YwfdVNaOq yzv9JiOdihLZIYI9QmetIxMgbJOUrVpbyg9ddxw0WVVbyeRny795vqGNlTS7mzSLwP8PoMOwU7Io Gmf9r1wuKJSOA3l+vLeIqa7SIWQYo38QyL7R63CW/rB0EwOEJr2067KGXQUTuSJs7So9cr1PtPnd pWl7ynVaaC0MB8Jn/OTcanPQyzP/ZIOyTkpnOkxvMVbDPNj4QIW+ssAcD9K6ZW9HGtyJfoJYyB1Y Y+3tZxaXBRViXdhmhLXalE8znIyu3pQddlDp0Q/UrRzHgaWamrcdCyZoH2lCtQFbmfytdaE+H6N2 k6JO9kJ7LTchEbf26jyzjZwoZA8/fjbqd+O3GtEwl3fVoQIB/FW8X5vU5P0E2Nizepi4q15LVF/t iw2fV/9Pgx7LRT6E6W4egBQTTIcEfuHw2NcasJLDts1NURd9jEIKu0OtzfL48RIcH67I49Nhxr2Q Kfpp/0kPP55osIxKtmn/nnAHvtFNbzXOXtllJ7TTTYYXzAskAvyfOvpqUVTPHRRyWElb/0xuU7Vc AU+nqnh3EZUj/kmBXcg/NS4JQuPkB/t84bxK+jil/yn/EgGlWYa/KZ4N7VkA2r4eReLtp02Va3GN xcQNjWaR/EIvz5mogD+hHeyg6XPotcEMYiUtH/kOqplStfROC4ezhTEOfaPB03c0Y4g8hOhcCYO4 VtRXHAf2IqbTxJqnupsogsy4GzTV0vIOrGoF3HtBI/+RGcPRjSMqh1wWm4lvB+RwAkM80Fh5oQUn Dm9ecvYcbjTMIsurVrdimeGbgBEgYSpMQTgE+mw8/cBNCqiQy6XZHjW0NjI4QeUDvfUkBNTny1KY Q+U7HIddBY5ASH5CrC0r1n2+OUuekLryypByQB5siAnfRVFn5jv7gddJeQ8Qt9kZvBColZaEMt8N 8drixFtEIo4elj4hRqtzfXB3ROXvmpc2HbOlw9peXMANFnMU/GPAbcMuIbDPksBhgvHXcWiEoF4C v0Mwx8YGkdVoKg5BDN/HIaPWXI1bH4Re1+dZTmn77D/OGZE62lQKf0+UDB+4Eul/CP3iRIOLFGWC KeYkhvF3Akj0BTfBkDCa8R/9S6kthBzqX+JdVddFUkveHq95eNGd3ZTRJ1mqBA35NtQNq0OXe644 6821HI8JjXyXG4cndE8c3YjfOCSwqy59JZNGK510qulOlsldSKYURDNZx/ghP6XcnFvEKizX/0mq gbvuEnHC1Pnrv75CfoLG4VH4dxj5nWNf4WeXMbwMwOZSMN5+nczsEdbRmNtqVJ6dCa1C8b8ESKsG 6KTFw3bhutX+AviIE4XqL6iq+QZcbMSQeM1XQONURTlBkOXrYiPM2++Z/0pjEKGf/vebcEMfIbks abHjORCz+R7J/v7EdDSLuR6CKO5aTSMVxa6jNaS+6Y1n80BxtCPPRKUJR3W8YDiqC7iLtadjdMYz Ebdp7YjYEpaKujhKh1TX6znQaBxoXeBYu+5UR7KuiSABNHudDFCR0ReOmYFLEmdL2k2J5Aen3IkN zvyRPfTHDgCN28sDu5F91DwZpt69LU1Up5Fsx9V/LVewGb851ljwps7bQR4HDwU45dPJgus8Mwyk AZyGkdFU3uj/pyqkH6vkIF7/8fqFGGVJKI8VR/enpVUAmZgR48MdQ833WNAFgF3UJeE6c36Vwq3b qnlOLnxY62V/GWO1ZORf19LvhXqvBPMzpw7IRp/W/vrNWQO3K6LZFS77K7KalkxDcGPokDYfd/ir CKESGIK7oa1XR9nzq4dJMip1SVSKqKBznZctarCslzaBgd43Xzb2MnANT/0eFBILDid7CRWCaR9h bYobF6yEkghmzYRLTlQY+nFy2+JQ+lj/I+76d4Y5ivPsv5JzQtUF0Clpc6MpnNr5BJRH5/TnNGbj otyFElOVfyh/aeBI7aQpMewXFgBebwYMSJM9u87/kpb2mlIixEpVeHrWYfW9k8fW5Y18bCThFaSX NdgsQcqFcmRsdKNQH7OsB6VTbi5dR8oAo5RT9vSBVhyVhSDW3L9fvQQhDvLxSD4HquxzCbiMfXI/ f9jUpo9IZQP0Ia2kVV0ec090qaA/fGpnBXlcR+DU/fO1SYvK+nOdA39I3VqHlXApORnwvTCIcc43 Ey9sA0Xx9dwrRiTWpMn2Hkffh55jywp2ORNcRUv4zI9MA6eLZXrn1YuYzdfwqMr9Albipr9sG5Je LD/KJ8HV03egVy6Lz6Kj6K1N4+8G7HNTULCF4ygepx9HMFAp1xlqMKn8XATa6eecNLCJn+gRViQ2 nu/d63AwZpiovyrBE8ii4w+a3ttOyLd4o6Lh3oBCn91K0igzAwksLgGLyL/ml5ZDvKwENHXxF+qI DKoFXHtbPGprd8ekX9QkSNaYQBt25LMm7A3yulwty9SHeEj/khBBKsEPOu9drINq1n/0SOZLjlvs 1RarMzO5Ya+LmMAnFYC+hlu4wVw9CRzh/NJdxXlHjapyjJ/XErkUFZAMwN7IVEVpnqI6zK8EG8OD sJAGjP5wFWOUsgKEemd44frvzVGFqlvtkcI5S676puG1+YAvARmLrVB3AHgV8RigZWFXeQk6BWvn s+0vteDH8C01AZ6VJuX6Hb2yMG+jzKnZMsh0nis3wOhB6MvsbC6yJcsFrWNi4IaeJ7Tp6jrrlvw6 9HQkORiCRXV+EDGQrhnnLqxc/Foudpb+IFo3N3Tkym0E/UrKZlnm2L0as8+i6PSt+l95EcSttycA aYMxWlIdEmP9hrn8CsI/TEJ8aTmEOaLHeugOKVavEe2TeeTfpgYOQX1gcVF62NTSR+7pZJinWh9K Vyu4xy1w9XsikR73TkIajV5a6QNY2ewEk5Qae/xJ48+1gbuP9QFGYhBgSY+7+Mi1/dPoMyLFnFTp 4X7Ez2gBtaMIqZsZxvxSUQkXtgWkv6uDzOTLjizDAHZN60lCIRqgLFv0MIDAOCp5EZ4EUA7qMakx pJP8/1vZytxhesHWNRaD10LhNKfMxOi3HZ8sfJmMLVeFkRYSHEXeL1m02i4z2oA/YYj6u86uYGnM xXgrVHw+x/UDkIRADUJjgr/yM/xkW4Ei8viX7zO4+JMRcZa1BD1vMP/xPK8oSEoa5fmZ22YV6FYM SENq2DCzgverhBRz7bsaUgGLA6Vn5uq7Ltl/xAov0MWmw+hjfUxPSZKe96FULX92KzRBsy+H6KDo a2KSoHoThVvtcQcYdnaP+EwgE/ToNhuwBDkJtxGgCGOUwkDKbinoM8ymdHkPWR0PeR0D9oRwJ+ih enZIc4QN4SwRMbkVjazJdDnS2DyK0xLruPLHVzvu2mL2zdlgemuYcE/OMPeInKfaWv41p9UmV6l2 FONKEqbM7NYiadAxh7G8h6VdhuqLdi8CBGS+ZgQHcBIR91e6X8dvfFbykt5bJk6f1N+jI9dHDHiL LoscnvOPqUH3ezd8E9Na/kL/bsvSiUy+UeUAgZi82ewXvy4f1Uo/VqEBnw1GqWT1pAeIeZkavsR+ +DB1owOVM175szXrPbWJ5NnWUuYIh31ptpXuDB9Mp0ghJXdzVl9diW13j3miwSo6ARM1D2d2Cr2z lNBzuMRXzeVx4Y+qHQFJBmdzIxqVYAsTWtlC3HIB+INgwuUtZ4PY+AjHgJslHpdIXe46c7TO30Uy R0YFlWh08GO/4UZdoYRNPpaqinD3Rx7NxE65ARoeF0V5M8zsom+Yg9BqdBzcWaTbIeLRclCpofTR Ez5vOe/BEKIiZd0c5D6sLDdow/3BI2gSvjwcLUKNYVWeqkEN9esSc4+jWyk+O6Y6wO0OUhW/7wxG D9j/XlBsmz8e3bHCtaY3KHLyFS46idHQSCe3X39DoEsQIWNnRwlS/n/E16BRz/azpdU0V9IuCzj1 mjmkBlRKNS1Z0PSLBFuzBE/nEbhYX4aJ95nxN6HPAXMDheuoPPjavFk8iuOgJgFf7LeuN5SkcPXx g8dXaQtjWhxjOuoOPCzBJUOp9KIn8D7OdJnz8w/5vqSswiM6wUjTOQSdFt4xXfa5C2R7RIldIaOU hgziJhk9ioFScJXE+dzVciJuktZ2Q+ZaS6z0gAMfOrUNyNdmcIvrjCoypTyUpvspIf8lLb0cZAFh Mo3Px9ytk3Cd4iW1uX/hPrvtNftH0XWVnxIeuUDYDOOKW5A4K0Cvl3xUD6VN6Gq6qxampPrzIekM qx6202ot6AR5fZFeFSMAbxufmuBk6Zx8XvJEX6i+Pqj+3SbOA9m+Fibk1vfwaWMhHuHXUxHj5mDq Zc77BBLzepj29hak2rM/WpGYl1fj+2UEfL0p7AoEhpm/whYJhZHmFwVD+vGLEYzCli50XdyTqePW PotVcg/jwfRk4pHSRmlohorrarIbLJ45RaTUdW4CpCykAVIuVr9wKgVYQy52sCpZTDgbpfYm78rD gQiygNSWagntgwAy7MIECnF+XhvXtialBlWWFOgUm/Et1Q8KyIM96xMDA+UbqFXV4cquNqACxYM1 7EFDyadbk5GjFljAcuWZ9LNp6AWvL9R+O8VvfLU7E+hfoqO0gHHCnTtPpDllIlbuffyFnYoNmUPA +3sFIfYo+OK1pkc3QKFSpkouaWNxz/BX0huBBk4T3U+Rg6VmZoadOOvZ2G3rjF5DhRMIwY+wyE7S DrsqF9xsZGRQ6pmlHtVAI1eyeAIA+LXiT07HLFRw9JQhD2yfYsSkq+hK8N3Xxy1vn5WxOsPXPU57 9U8aS0DrHvkz5zWFGaM5a8ESr6jzZpg5mTwrtbxLElkUrf4s+7HSb1uMFuUtaOL/tnuMg7qPK4SU Gff4CD+vIR27/tEkO0Y1v1YNdoAb3+xMuXSeM4uzchXhYmIzHm4O/GObC5HurdqWlrJQkyYJgHyu 6qodjhisd1HP6rpUh34VVdRJ78DtNaNDUCDeIqN7YMKd1zOZw5HIRHNb4xTP2yJC7XCN6XABJwB0 Wqn0vHncTBpje8Zvin0hVJqrvKpt9d8VqM6hNUImaZajHKFVEcJKENwfhFrVXkszZSHq57iZYNdO N+1ZP5wrMvgfeB3bNRT8aakXxMB0kHxatOG9EvcZZeDS/b2ocfw/ZiL/Lqk/DTw6PPDzB1lu/NjO ZnmnN3/h9L4xOTQD6LMTJ1W4cyEcDhQjCec3Sy6kva5CoqmVdqX/TGXCgPWJz833xgZUj+Ud6cI5 T+IG0bIf1J58nuO6tx2jJgv1cWkU3LBoW0JwRhe5kMg1wkM6XnMI+Xf31/sTdCtZBD77h1ltfcU9 Pm01g2TvhUnKRgUDdjb7XvpZ2909/Wbq2aRq2OsYLRHQ6OX/WGMXK85Wk+0ZgMZw4JzUGpKpq2JL NYgu3HA2EE5JnkqS6/+AMtN7NvRcx1U8yc6VHMe5+NBMCZJoRRgK3KijLXwQ25gU4rgf8Evw7CQ7 gbWY9e3xariHPVkVXZKt8E04z58r0a/t45xOd9cFKW4eoxnhrWSsk0QvUJIbbKbbOecX3rYcvyPR KcBIGEU1L+w00A08tAZcilvu3DKm9R8YVdHDMLTOEA7CitD+gLMJWzzru+UDswojXreg8Ml48tEc 7zGknQRppkRO/vh/HHHSzjrFilt8jlmj215mCGNnVI1pHHE9a0wkNC79l/S902IkcaRCRCHrKo9q BBIXjKPLtvPhbac46Q6jOImQ967umVyFAewpkEVVB3vVo/S4xv1vww1uegHtwB5bhGj2cpcSRjXm zFupbOyz8dixqNee1Ur/oT85tTvRVg8wbjCwHXMaL1CxMn1cw1EyoMuXWez4W+4nruNB8nBKIodH hcP0eh/+SyR5nVytERdMbeFYF1JhClnuKNIBBWVD5mKg5dV79FcXgoWbYGQB5Oe2TI4nPLpeHxf6 Ic1q8MbQrY/IFAWDDROXxR1OO+tZgYZvpfSWmSQ755PBQ9q3aw/eV1X5JO01r7vyK0YKwvWexbyN jmvrllQ1T4PpigikHz3woqfmN8sVbluW2AeSeWrywWBJz3k6pNhIFPWUYBA2+nwPR/pQNHp+M/Bh r48/Ikptw+lmUPACogN+rvHdgRecsGYjBzOSHF9spVFyoaxAlTaIU9a3a1Ke9bXdU5ekbBq4VfaY nsbgp0nNLQ5PynJ2bwqUV8bLiBWW8DJEpNLcNwckvQzU7pkygwkwbjSUOjv5remqt9Ntveshcwip htna2DT6ARBPhmY/sPCg35VV/C5o7lxa740WmCrzYYgm+qu6PfByT5KLUPJr7e99qhYDeAcHKlg2 eQHIxT2NoFbp3BAs3cHSzzKf5bcFxfmu+JnHO8m3v+EjKdjpec2KLMRxTWCUFht5zC0faEqpUqJP aLQuZnbR7srfehZSXcOiSnc+guurP98Xies5Ear5erqaRivHEaPVEL5rtXpEVwziuFSG67UpZo7j nECx1cVO/oAc372pFxM3Es/4Y22isZPgpViLQ7Du/fC3azJhCPLpL7B7D/cGmmRhTnRXp1sURUzV WlbEKKH/ySMdYJWXhLLwEb4UtaW8oHWbwm11qHiVYAkPdrSHSWtzBMv6pFuKZbBCuiSZl0ots9eQ 3Bmc4AD1AGtJhnc78OYP2kekPUOtYfmH9YDRbDmFFJ+w180G/BU14aaYSs5phFldejKwip9uqE55 M7A0SwydHJHG7l5WWE8Gos9mdCoLyESmiaV7QVqKD9RWN46rEXVS5SL++y8qol9njY2Uqn0uJwhH 01uAiJBS4lzNERJukC9FMFcZK4tH21WuNdKlDOfaxhfrFbdB6QAf5rIOSV2p0Uf7J6GANJcM84d3 9GNeX1cHCmAYZXpLtDJfHv9eErd1XJkfLo/KWzYChAwoxS1HZDYftgrVbk6DkS7SsNS4rdkbdYHT NVgAoQQCL3tbGdZWCdSNbK4UzAbDhitxrJgNIa0ue5oLgTHsnn/Ab/0Paz60qR/nkra8s4B+vT2j lhQT86bfrSk6NoTmScIoA/dMk+uiPSN65Q93RXol5y08hVK+2XoM4lNLd4AokxRiBDPixCoWEU2X gM5wQLuFgNlejJMSE0EH7uwZW7viXxAqiUmYVssIc59JMn7jR8gGrbSEgpuPiws1xsFwEQsf1LvF ln1xO75fTL4ExETDlYQHP47zPBtXNLowg3KngKTc0U2NC4H2M6kJFNNTx0fJQx1AHJpfOQ1Iu6P6 lV+7rxtFo4syTQxjyJqDIh0/CgManr7bCPXY7ESCjowuZRA22FUUDP2Nv9YvZL1llVhdSNMIt1Jr saJeq+/JX+5Ol5EvlKRnTe60dVnaIHf37NtpgX52SpxcEF9QMQbESgj4RJSuQAQcqM5CXiOpn/ne xJAtbEchacp4d9xuSrwwf12TTVt6XRjXJKQBZ/bnRSDBuH5gVZXVMyLBELa33fYMeGII5FQtDniO HTaCbvoB3SvMmq6iA1lv6gTtW98sJltDeNL1zYc6I0RrTghYoyYVLVREJ+KcrIaK2SElOSGy/ROX NjTfdE1eZke5O/0I1Qj2U2R5gbFM5amkzB3aQtvJu+DDWaZUArPkisP3SofuonkhWLwi22Poczgj +nxQi4gB81/EWkLK0aX4xjKoj9cyeGZLeSeOjTn8RVkgfuyedz0eAoq99j+bh6ZSzwGIOJCcNrZi 16ZhH+b6zWZrNnc5OYmmNmTrvcO5a+mOOISjyphHdckzMCR65jF3UlCk3YcRyp/weXBtaGp9e4CL jZbR177GvrOYicEQmN9D5hO3nbmnoFRXs2m8YIHy93YNMhEY3EdiWFgYztXAhSbDhIppHNgCaB94 wlK5RthPG5guYD/+1EfM236bRVBUD/PuNeGXE/pke0/8VcEipX2Kvg3bkunHlhsCNui41rbm3yha rQRy4vK6LUJiAY0Mz6Zmp7HxfHjyWLKIX42NmHUUaW+AtoT56XpVcYyk9SBaDI7DOG/GzoFw2784 FoJpUeIvhOmdaFUtMrFlvyvxsEGSz0ytCotEaG/rYNQwm0Gt2p6cfiXdB23x6t4WlqwXv6+xWwqE fQHcC8iq4qZMnCeCTi95MbfKIRZ0X4pT6e8JRY4/wYbc/c/5WCL/4cPlsn0JClD7Uzfamf9dz4od f7LtlZoxo9c160Glc+fkQHJilY6+xFpCFR5nnZtJYsGiEehKyKryBEqzjpKsIuB18sRWAH1I6Udc 9fAQnNq2NpMmcvFrabPMaFcKU5QWh5B617//LhM8E8dv3SkDj03KfMwul0HMeVjuoKaUQLywp3yR XI3QOKRntxYw5TYJBLH7Vsu+6DGwQ/2JKRUjMxcSA4ZV8mAEYtsRwK9jgCh/rPkiHm7S7vXupDwr vVJRKsWLKW8rHp30ALspVIygzQzr6u6UdC7d8HJDUH2U8+8SbrtVuFiYsXJufg8nklaaJ3iANKJ7 ut5dyaF0x0lZOhvW1oaYY9yCzPJ0v5KVCwt/kBqEqHO5czlqUxfdY1/UsNi8bNICLqw3EM9t/t74 wX0RkJGvkyJW4HdqopNRN9ngxVHU6jsrqw2N7tPGzR3rQhAI1UTiGA+AFigOsE21z0Zh2Utd48SR CEtJRs8uUQARkX2EdLC8fBU/0e6UMe+WdOU7RRaQ+1jTmdOWGCYQ2Zv8RuNGsCOiTQLnugVrYaFN UOiBYoUEsSDiwPxRW0bxbj7UDZHOE5meAuqkazVFvzzn7GWH6U1dnMdjb5Frh9+I4iU/eE9gnr3H l4nFuNsG4z2urbzPgx+tErAfMh+hUyKya1o8SebBKeqGRIiwvlqB6fggJI+MJEnFMXwl5PBfb1ZC itQsN1P6LAm7Ig0pJ1UohO0IOZw6tZYud8eDOCzehl5GV6KPzEQBg/M481XUD3ZLgMmcZi9mCi0O kOhX95ZWw7sttOtNP1eunz3EWwHhxvhfDivy3MMEgs3jpMevVZPHJUbHyLxy0++Phjlh/BldIcgS iFDuh8WOhpjGcMq58GzR+BqL7i/2s8OBBdzk7oc56FIvn6juPHVvbAKq8DCAPoyYs1PzeIWmuvE3 1qq+iwF2HSAec6VnBwUM57/NOoC/DGY836DY1hBEXyUtY+DqQHDI7crn33oB4xtkfeTpLzm3NlhK iZeHbBDyRdLpFxO4DpmBLyeK59lN0SDh3GQxVPfE2ZtvJFxH2h8k4U/xIJASZTp3hDJFjgeUB5L7 bqGgIEvwp6r4O1t0gep/EsQ4q7lcq5i12lKW15QJIBM/S5OrKILFR8ThJVMCF9WOkL3M2Ojb4sj+ yEf35ldcF33CFj5qdGbvDICVyXnFEWq30Gp0yO8ZMUOE/RcglUjTjcTKsRzmiZi7CDgLbAqCZ0cr rn7a13KTJCvjM+mlGwWYKF4IZAcVLPJUL9KvH+qPZFV8wom9zENRVO2Xo2it9FykCrxaXQrFsqvO gq/tnrxPSZ7jk7yauhsiOdGueOW4D/yHzWvbtc3zRuK2m5ziDmmV6llVmfDMmb1RZzkidMbEYWLr pFRVkMgbP7vzptEtPuyQaMlgnPsJvttFF3agUE6TdZ2J+19nf2mm1+HppUPrTCIngLCvgrMbmuRq lJBhujp/9E9Azfb3DYf2WMcngjopyyWwumb+yXfLQqvkaT6LZ6KYp8Nho1ou8pjSWQ858ogPc4L5 qGIB6kvnjTp6YqAC+6Jg6cm2NQd10QLzwlJo2HkdUw+QEPKWmcuL6Gc6tThOMXMGa0zWZuaBfIl5 Ac32bdOwHRY9pb3X8zJW/d/6CzteUBM74fpNIHnjU76yhXzLdgOa9rifqUyIHuVrW3EiRJpvWy81 hqw0ikYoCv0S99RCKl542pEuG2IPl4GkKofIap/8fOI3BmREjhjTkLEmwsnAbxK8h6G/MIW51YiE DKNTwBYle9oiKutCBWLt9WAMZMwc6qTAvy8/M2FiTqnS3d6wt8R53wQPUSMzfAtEsVGHFNspRHP+ 2ixb35e2l8Rqk/1H+an8uf1dQ2CHmW664a+j9essW5w9TCNCTNXpV8YaUWa0J+LFv+8r8RwEIWau bnOXTDfWS5K7x+2NMegm6GPDq7Snbha7IcqnkOLTWA+TG9A7j7EEpNBUAQ+PWJcx/6vq84ePxXqG zbObCTG1xkY080MDjCf5VZ4AwEqBiiAkPXpzcWX4CUyMYBF4ULcscjD4I1128P94uEehc2qNXAen VJ2vBesncQty12f+rjq+Jjta2Sm7DMePegOGHX1rRTdFFZ+X1A+GEy5irImA1iTEaoIcH5W3qenT JjcdF0BnMZxF3vhUY7QrOfwUcz2FSYjTXPoIVCq4JCYqlKR5cvgT2jnp2h35zvl13TmwQCAxJloa iApd/MVK4qTOrPkTFFw2NY3rIkymPv6tQdwuICt9FPxcBMlazTU1ZhwofPmSmyzSIdzFmdKrWzIZ mvvIuzs4mT92VpJxaT9J/GoT23Fcup7wB+jZ85BZ/g8Mm3cv4XO6L9FOvgIkqZ65adnmhindNZD5 N26wKebqFpSdhdsCZTYBZIMehFX/HzX+zQtABdrJakB3cZadu8OAjl60oebS3m99L9JGpJwqwpzd 5IP/lIpBSeOeBqg76gCdfMs9Qbhpy1UvsJ5TrPi/Am0R6Lr2BM0EpOKv2kBr5jaZDhqj0yWIHKUy B71qfwE5ASgeJhFezE22lVO+MQXuRT1HFsWIMwHB+f++vxAuTnckcroD3+d5C8eYBI0+akLpWji6 IQqBcDGLAcpeHMh+KvGZvL53s9BXSnm06jkrtciRukjRDYGQuOTQpymBUCQmT9ScoW4Vj+cvcnRX DmIG5yQ8z7xTrUd5sH6J2gilDgKvl2IGjzKlWD6wYbBTwUODnAwHxXUp2ZHpqWSltfMIoEwFkJko Bpp+4aUiR8T7vhOU/05J7ABfhGX9H5rxNk5Tz2Yvq8To4HYbuw/OxPk/Ru5cwb+vtx+2EY0Fw9Xd xlT9gWoUHprtNNXhWx+nFt1It2X0dKI+RJJkXF59gAsAjgLV5wxlw05WaOl2Hb1IsXebjCsWAqt+ MpeNNLGccTnNg3SybfJYaHFjl+AxSfwwyv12AzEiDtWeiYIUtu0gUFa5iR1DTImwh+AXX+Dx4y3x 26Q/XtypwxLieddyPCbN3HkNiEARjn2/UxP1QrlVjoewkjolKc3x0e5CEJPiGPJVBbPcEx9P6ESX EQ+E2QYt71Oyk0nDGU+6Ez8jRBsqVv7Kt3AbNM54v6IFtnvuUJCBIWWRE98/uEAek8TnmTNNMTzZ FR5VEoHJycRdCmbSvPpe3auOR7h2VXa68OISpX16gt0aEvt1xv29iPPEF+q6Yar0PDaDYxIkOcK6 ePXixqxq6qKWV81pbWKiBclwplXMUuutf5GT1tLi5PVnxdpjSMc3k9hGU9detcmF8832bg1ibapm K7Hsk95qbOojYjBDsqbXiJzhYnThS0tHFHKU5vGTXzGbCvW0g7HrkinPl0oh0yPCDH7Xh+0N6Xrm 6obZbWX6snEEhAxHIboqYnIGowlSFXkamkge1Lo4QIFcVQoTd54gLQZx9bbwJDktFbJZja1mcglh jSjicu9Cio8giBdEiBhs2evvfc3seoGbm4uP04LYLWZUb149gbLC7J2BGkcfRc1EleFv6nFvPuoG ViuY+/bgckRq8D6hPC3ymfOdBz3d0hsyenyYwdcFkm8pdTjfMdj5fQ/7Xb+S36l4P3pJ6KXpULzF LxwDQTDsa8yBJrBA236lYQNRYvNDrm5rA8Fzhys7HNKcD94oBLbj1OtQphfRF6Utoj+acX8mSSx+ MrsO9Eds/OyRbKXRj1CQEgJ3nOAO+KkjkfyXhfv79kJNlxzpVtpUDMln5KvnzbZQaN/uu7GgzZ8p QR66jLcdSikiPyHIhfVx9Nc0uM/bd7ydTX8Oexj4H/k9Y9imobNtdTN0w4zXA6UrWPC5Xk3f/Ika GXK8q5Pnulsgu+dbNzl1WP2tXddTfLrCRX9cQJ2DrtEKFyTsh9dU0C6P5/105fAtMVPgMJyfbVC6 v2YlLXZlVKZ6IEq3EYiVe6d5IvN7fZAHPl9zgU8622/xJ6qInCun7dxj90TLhzkF6tNCNIAYBRIE E2w0SS2lyNO706bT2uMT7nisWUaYCWHu7/opgp6bT4IllZMc2CMhPXVOAJhGWE1MRmg4a7qd19n/ BufFTxjuKGw8Qk8PKaVDJRZRdt4ZhQ25IQyRgFm3Z7xfohl1Ak2/W6zVxSttIWVyToe84DqKcbFV wwoQJw61Ewhpjh8Dhb3Gdgz/Va+1jwWEt4jeZILQxKO67Xk+MfvUFbE9taXph70jAfvh9n1V0z0J xQCVhlykO9/2OoHgMV2IYyKyIzXRNiuPvhK6He3n2xcSasd6HBXwgzbk6qdxT1H3/gy8bKgA3DDN /olLHlrMIlh3uaUBAl2g7ZmqloKVoWcypCnTS8BY+tCUTR9Dp5YbUb5trTiZJLA33ZtNmYNi4hyQ tM2CztbrwTkUeBMtsYBHKuL1LSA6ao8yn/xDxiUbdrrciUgRnNSCpvpqYq3Un7YSyPnOkQgktVBB Q3jDMyu5hls1JstDxKwlYKexuLkekOOS9/FdilF9Q71U3KtCPkOCVmGOWLCAhJT5tzTxhs2sFr0K n3S9dTyJj6lpXxQL3K2BrOf6r85vCKnG0/Ll57wnIFWSUuYH7iU+fOvVpH5Shd4R1SCqh8dVq8A9 ypaGqZHmJM9eazLNZ1q9SXfpzz9P1j4azpbHWHhMWihvj7F9pkBLxi5DLtvxU8NstmnpmWJYU3DS jFcRdu71i91ETOoVWOtYcCnwksdr9BOeMyAd+PifPR73BAHwEqTPK/w9oKvzfKR0n2ij7Bd3t8JW DwgMzJ7furanVh44gXzOpb58olwBYwaY1gqLr362zZITpqO6FuP615QknrNsUknrhk15uOzQO0I8 gHh5BZXyM3OnQI3pdzPBZjBpf4GKU7taXI6UYTkgr8Tnw7Vx4VJ+TIY2/yEqyEVkiygGIJNWoqDX n7u5kTDUd/xv62U6f9v4b87ag4IPZZda5lmxwxeOuOgjp+q8DSHScFU/IwRGxc2+Wl8xbemNcjKn llMn/f4bW4bLUfJ5GpuQhTRynCCoiM2i3Oim+LO4xOgIHM4qNEXWADuwQ80XtchI3IMIEdM9ZypA WuJrUitigxCAyVQy1MLjTh3ogbeIAQLaJLUP0xwMWhz+lDbLvkyPc3lAHqSNzTjKhKKZTOau3YGe umMlobpcNUadMFlOWhGiV0zR8qUPdHmVPGzzZ+aT51tYpNF7eHWqs39AUTVxe636IrLB9qK+ICQy CyedksEwts4efXYpZngVz/HILHcFbAcKZeXeoYRkMtGiDzaJBQWg+uKoBTa0UCEOBmiq5BlGXNG6 OvqywC0/wJi7BTVR/9ssFU65dn9bk/uApeAIzTIfTM6NIglbYdzfGnko9rZKYomC73mDz2NQttTt TjcxzPtpW/x0HuRuiv5PVgJfF/zpxnaYxYu9Z8xOrXzSBZqU661iuKrhq4Mq5O+oAIcnEpNewmyw 0kOinZl9A/XIZcDWwbIBDcv2qylK1k1LdbPfxReqFJEK3zeGjefIYSoMlwTeYn6rOLV2zxxqJ0vV 7JPk5bNr/5mnSbMoSlXO1OFD5ItGafpLJkp+fBjxqGpCjfSIcpfLx6D0ugftqoq3/ozsEvK5Jdjb FBXeptrlc1kWXgDmBJwIyjrmC5LZkOm2rJCQnl7AjNSQ/qb3hiheccKCkLUDfvi7eQl8l/irc2aQ Bzu7B9VMYpI9UC3/R0FVM4Q9hZ2WhhFInOnJfCXeevzhwJiqHR7/dLoo+Sws6uFfeajDr6k7Wwf9 uE/bCqzqMjpOkigSqK708jSqa+sW5fwDdXv8m8WbHjHXBMlV/AeOtZtSISBUL3QrRVNANyxVWtmN ZIEeT4pM0SyGT87ApzZftCXsn+I/ynMRS6jrOmiTtudP+JA1FtXr7u4MYTITfMWyt/yPkyOuqNUw 0/36x0DW/v0S46tPFMNZ1ZfDaUPDLondul80CgqTN9q/+Pp2qjNaikTW+W13VFsNT4xipL8Zf07q yJBLaXMWZv8Ho+6RsvFzoa49919eTm4/eZfdE9YqDdyHj8R94XjVlnyjjK6ua1+G9uLpninb67aO BfP6+MiWcn80LrGW9ykBA7KR0poNkn79SkLUOgHfq2BlV8ey68pGDDgKVcQkKFj44/pXX8+7Yw4t /ODkK1W4E8+OLsY2jBwxdpFiDbQrFYlSRGMhBOUICyE2s0zbLWxRa8Gd611fGrquxqm2CmTPkdZk 3EFUSU/AoZNTin7vxd2B5h1g6wbkxBWI9Z/+l1PxwAmilSIIsZlHukpC/Y/IF5oqEd+szOpPCuAB CISAcOfn/yig9QM84fUcz4vfwxYzFH/SUVa8zOXj5aljs/KuihLV9UI9MvqM9pKrVadgyYNYS9Oj iXvMXLhfRUHKapOi9wdySu9K/nGkz8RMGYFr3ksAdEs7IMoFMQ6OqRR6YDJjERh6wTTybrUOsqQc ezv7e2KjFIRUvb2zN+951Kpi3SUPqNgBiWmctML/Os4Yc6sOr9bWhYdvb6QjGReQ6fUAusOo3yEH pXw0XIADCcxxXBp9re3YEuD+WAXyoK0XdA9ldCx9FU04B669jvNLB0zrgB+Yf/5gfJ3CS77gAce4 tBJVJMGGGgRpa/3sjb8UoZ6UbtNwEASKJNOOBB+uTEVLFsOM8t1fqINuSEMsloREqE0q8Dtv9C1s o/1TELZo9L8meGNs61SJWrbfAJ7eQwcY/mt15qtyGwnifIvfc0U3IZBlIIPDZqa/NhE1VS2bkSdD nETs99KSV8fMgQu+KK5AATQbIAifznCX1iPyoTP/Epnvbs+0sqoYt23kr2wIsWEipjID+n+QIts4 PoS2Z7YQ/+P+JcsUplRqALRnpsMuoHTNfLk8ADf0LNOE3zPtqXbVcGLtHYY3gK1aFu39LFNHoWFk j7KpsBIVTlvrDOEgnjHQL8Fc485uP9iyRfDg4DLJnUT2M9quVWi5+Oi7+eWLT2KM6Et5DiocQX+I 2+Z0qNwzqSoeTlrLmmNdA+8TsC+Nc3rD2USpQXimBxy39eQ32+snOKDq/s/y1uOhmTDCpae0/b3S 0fDAVC7PVt48o1BeRNdkIX195I6bYwx0S0/ck9vtXr7E9eszwQIjxoOc7LOkfprxwa/Fyllbc8b6 Z1o2uF0qMdRnyzFXTA4UdD0ujJiepBf4KAyMKBpa9oXn4u2h3UeoH/nsJVsCPe1fV2HPhXIMHvw3 9z9I7HFu1cJs+9cqj+UoH8gaW/W9E4slvpbxYuQqohKuDl18MTOpJ1eVi3zot17nNWq7+gdprk+z 2D5h9EMA4piBkVtXC191QEL6flUPjKGQR5H8iNsqffpcD4UN4jWe60l43nuiWd9NZ+RAaHCjYUE4 ooYWKv+h8nvbsv4v4fGDgVOOnuhdHSVW9o0Ua3xybDGuJEKBeIpDvrymvQy/G7hEJio0TMxrrrzJ NKTQkKgtgFPDxEBJFqlytUJ7jp+tef4QdHtJS9irF4z+IAl8YbeFUf9toUpfMEp3Q6WxjGa2G/Pe fiGSYMFAHJMdDWf0kRtGKYYmwMR2Ogp4LOwQ9N8A+sxGhnf+yb1yIPWIg1Pj/LAi67dirT7khUMn gkUHtbVdc2jL7W+uRTrdzZOox0iFomqEW0zvFJMdgSCXYIWikT4ibu1/mYAieVa8bARzffI8noRu ZvKDtoA9pvLP5Gb5sBpPKl3I0FnlmplM1z6vWBp7Wx/cqwCMmJlx2jEWQszIJ18xtFc2D+yN1fFv xdk8hfiwX/B+xvauNXsRZ1bjhdRmvPP/A5miKY5aAYx/CUPeSRTo6mya2q1me/0PDY2MSUUuS+b8 xp/Hh9tb6d2rXO3+uKb66ZRloza0BPCMPr1oLQAT9RXCFcY8V71Lw5+Dp19Ui0PVWK4+djpNobqK 6cokmf4GiGSZDgIh7cdr88cOUV0ddEGkDwu4c9wQKuTcUoNbk2IvecauuQMuhB1Oe6T/SgUTnU2T xaz6ndmxABFtlSYVRp742AY4O8gQawN0S2t1wW6Dl6muNCItvjnKztwKCXn1Gcf0uDZcZybyEAOt MIduA+ct0Tddg2RDCuDM8psj486huF5yvdYUFPct9CoxwoYmr+Ts/2WkBkEB/6Xl5ZxPIOU+Xh1P 3tZAwLmtH/PVm3uktKwuP9RfK11bfyNDs/HOao45DojooNwH/FG6WTxnTsF3763eRy7+CPBmuOY9 8VxgicUkbDN3l8tcqrusvwLFaWPaGODFWIFEc22qY6Npu2kW2WBoQKAe/qUlJg9E7hFt6EBQg+LM FV/RBrw/zu97VABWWRYcKJuXqb8w7EuPCcq1V59CuEMClgfoZgqs8vFTdHVSksSr9QutIq1DJdyG mpaBBjibU4oSK0XGcMiyy3pcvGGq25ayiaVn4rxff8++zlZb5cXlSbgz/6lQ6HTTsnFGjCbKAFHt yPyVJyrvrLtazXElXch6YBUZb5Hnvc2hZ09b4rJRtRiV49suKblhe+97gNKjw1tKbjtdm2HCJYXR TkPoWI1Q5gbc7Trv8whPGd1IdSdCzXBmUZ84EN+NktL+Vzh1UybmbLKvxZiRVIdDQ0gwfYXmyBv3 ya0NreDJmy0b6pFMjNqCPEkhvPpbj5t+ZDbMaNflDI8vsYvQvp/uVWvsO8fuU+tlclIv8J74FOQU SzrS1eR1J2TwxZqkcLAUVCYlIEIXEaeqf0CedVDmXST2++E5nMwpKCVbFoRuwD3gQd+nla03HTwM bPpmj+rNfObacQrc90RZ7T6y3ECCivGG5L8UxlSt20hY6kbrc29ZvtXbk6gH42dG8KgGRafeFjZA 8tJGo3+pkse7XhQT42L1JzoJnzHjDT8noyFWSSX3qD7Ih+KVXp+tdHyQpajwgjf1qwzF4aY45EPy vF+DPqgw15uGyDBQ1kKmY72LtsKaJtXHRdVsmHNcks0P760i8yqgSWlA6mAOJPuYRUjNlUxTBcLj icoOtenKpmaQtOptFtq+EBkcyWEbhyk7apETIxuaHfYIeURBMzSdsKvL/ItXxzqSoffPn0XF99hF SjKugCNLkV5cJT7FCQe4u3OIcIhu7IRnsQHXFKvgTnnczf+UBTE51Vti/pW8+3YMbrB17MwCzJ3M fVvZWnQSwv+5Hw6p4OqfY/B4hnj1syekt3U3e2qtSU/Mu2GeTvy2MoxhVoE7Wp7chtN0CWzzCYqK f6UZXbeOPUBAU1rBrzB3416uBqOHVP6gDX5Dr3M54CjVxwOnH5p3P7kpFd6HQGLP1X5n2yN96tKK EIms5yb2fM4QlqhBoHpSf15EpziIk7FG4bGOPLEG2g8tSptkR0igPuz5X5Tcriw0MR90tPuZLNhs PNkhkyjTWUnTxpfEYFrJKBxwSkcY4Dke0Se+656jGWDLe/4bwlLGcEldFcGwlC/8USsn8m9wzKtw dDixsJR87CTfi2uCWRPv1IxNnOP1SB+58VHJsbDUFymqPK1xnHt33gdbG79AtGjiGVR82bKa+Nih CF9ps0MMzgopd8TTAAM5FO2Hetz+7KY7/0iZjMesszDTJcmGFF6kK0xedPcLjDiRRmGGS8K6+srb +BVHfc2hyavUqhZQXwCX3PAPr/fJW7fG3Re+hEgj4qjvndbiUgdzrsDztdSvLe+NvD75FiLmPR0K +UNKsk5654LfDKFJCOPbzMHfMXCrENS3mQxt8N+58t5p4JnVQw6WVQ0fSFkt6IQmTy0p6ZmcZpV5 Z/0slyh/P8XenMQjfqez/L78cDIJwt5VI9krANMLZ1KwiF05FyN0LzSIAdkR/Z5SeioAdhbufISp k/JAgM0MpkmgwKbrwJgE2p5f7QsZWWY4F2EHXn3qGQ1eUn16uXsOvA19MgSRs3UAMjzmUl4ExHGF MPkBckZueHHz7In0ZUt3VvTDLdSY1fMv+R07pCLtm8VzTv6L0IZ3wlds6ysGgXPz7PoVreajbt2e XAdOviDA2cwrCpfuiji/BU3UDy1rmt1Eynow3kah8EyZIQZW6U6hjydxBPB1F8pPee2LJxUXV/WO 5OYZ46Cfh2IELxNVi4OCsqqfzjXtkGpGHS8HEI0JTJlh4V4cqhoW6OtaO/xUrZyBKsOIxkskuORX SIjfucMtKyEBZA/cdsVKgTfDRfgfHXFGUZNweQmTR+PSVsUjH91Xcib5gw1Ysk2wgkwyh5FOpLmc rp0WSWAPzR/bEw6Qrfyh4GIl8fsfgAPkQ/CyVT/HdZaYfzFCZVwmSmiDEzw9pT+WUDb/MJ1a3ToS UN52g8O4bLyBZAibVnmQyKFQwfb7b9NmLdUhTr49VEBOLwcDXRbDAB/VBPZi29lIDXTCJp7MNBYL f0qa1/99klGUDRGSfDAYV34jc0XbkA+351x0414ATLbyvhkXpbPCC3XwEcOYNMe6Gtu/w4zMKwXS Cfs8KYCbhfSN52I0uokR7vnDpImZFtw5iWeBUB5cRg3OBbVqQvLQg5tVELK7xsXQkpV2A6KOHcDs 7ixXNdpbOcXs3tiqdwBAsfLAswRzklao0z36TZP8cQH5smMcOTMPpiX1Q1DLw8vurwt8uRMUNZ7U obYKRIuEh3/8W54hNu/vovFw1U/bRCN+dCXbdwm4gqFAFxY2powTrlHUtB2ZHqxa0IR/irZddjdf +1jgildwW172bckqBhHrXLbSlRPSml5R5dVRTHKGxtMp6X4gt7tOtfsxA5viyfheMrW0VlGzAmek Jauso/dWJy5u9m+B6dJjf+jANulbnYX8zAfYDL5GQjfmC8fWYL+mPbkYMh/aIde4I04mpk29F9nL lao8rw0075Awchb7G2W5cTcqmdMtfmI0GqBsTia/BBYQ10ixIXeIeTXsHgtj+AFMuMhWC3eY061z k1Bt/jFJFKwONfJ0akGJvbop/rQukzb9eggkQgm7EdZv8n9jWoSE/BvjdPr0i9c2qQrRtFXwwUm6 sR9lqVAVYwF8PhIHlenNxuu6bBzxIeWgSNvtNXKiXcTsIj4Od2y4yJN5nFc30SH1RlS3SFjtfyEe W/RGFmpNhf/Xy84pbIKk5bLWIhLPtuFetkfk8PZlRis3lWWWZa8WyrREc/R7nhWpNsTDg6jg5EDw 51nnzcjHJD1Pup9kQOWb6ZxFmVl90Pa8TIewVfedNbjcnHXFulVgPeYib0y5aWZnzvNUlmXchq72 +8xYb3+DqZyslqEfKcur6MmkUVt+cLxAL+aa+F540tuqgN4N5Q7RxiKGBeSnTmSYBlJsulsAqHvp P0/WKnbsu1DwvEAK4KRgtn89M1X/cZij4f4vTlNXfpByDCAGEw2rccHRgDal1T92+qL0oUK/A9T/ lKKzHTAdUcItJ5fignfzmZfBioyZhHkTyh6ki46G6dmZBHocasSV/6l96Te7hXJ53ixeXe458GVB Uhz2fsA3Z2EfPDF4sRieMO/ORSOuSdAB81RSSestTIoIbPmE6DFS9Xa0X4yI6yCDRNCmfuK3TFLd wWNRw6Y+a5dX4sehWMplb/0yyVZu2piUOXWUcL9RLgU6IuffBbwPs/cy9eVSAQT3XgSqr2GOWo7f 8H4HGeYmiSqrzMjLXI1DC0naWCbuzzTcB+ilRcvGGKkJ0HRl4WecL9Iwg9zStQCiNAZj/Hm5rW4A lHy77zWgNww+EvwZhs74gexlWCdCVEi5F0JTB1NqOGLdtc1mR+gDqFMAoMQ+CXBl7rtx97aspFlm IcH97ivkegvQRuIWoJCfXmIvmr5Pf5ZZM37cHhwnNerfWE9mSwXzqdk8peKG/d+R+KCKdos5Hfca fOhZIOrV75/IfEkAFfYINXVvgZWpOMp6RRj57Xaq510top2zfZSJLOpwXojuaQUvw/AzJ6m9373R fC48MbLY669XsnzCNaWhZAIXkLbYom7LHicsPTaN3qlzKLFAQ26ULzly0FgYIPOExobdmWG964KW RGDVjLmyEofqbIqIMI4A6TeqlC6iAg64ftJft0UCMOcW/rggZvi+2bzHABk2//7vWQPQPNrnumh1 slpcPn0VvV42FG9qviXl5SKmRZaVNpxzkjkQjDdmDeNKoenlCyiasMISdIT36LDq6Dzg5EOM0LAI UiC/mTxXJnwqPsv0kYvvu4d8QKDXdcZ9CGg5dpiSiA+50+gSmn1dPsKEU5bXZxCrKxj9csX6LVfx IYamPtBX1GqGUOSzi6nkt9hLp+eDYqMjWPUTQqSu2ACDSVymVCyWpVn8lsksLtOAMTZuqlZMHjwZ SCYzULSqT7R3rXWvG+74P2NXNEj6DkOsjcG8yBMNrOTJ+w3H0b+msTLzEWrQWDw6CANUUVPe4xpN lE+2Wu8HSfj55mnRkCuh9Bp0QJFhwxMVrgwvMoIL6gXWb5xw4pDCixiAIyXld87eaNXdMQkgqRPl tLlug0NLk5iNoYCR+W9qKkIRNXHptvvoZclDCAxanYDQ2UXE6vhlYH3RzANXe20LUWs2x8t/mhhR dBOW7JFk6mn+Hhz5GaaVWL5QAadpTqi3VncbfXLPnrfITsjUJ01bCmW+z3YsmOnZkv4Bv7hNh7yh B9PyQXln97q75wH/N1Rt2t1jXHZJcwZ+NasLFX0zt2gJzIuqKzqbNE+2R9KNhg7KV5zDySmungPG nT4uZL/lP5CRscu6P5VvaxsYfp0LS1OtBKNYXpFbQLHFqtJt5RzuMKqOBO+FT9ZY38RhIzLMt06d jXWsBzNbRYvTckIupS83pmIBOXh2lFM2Sg3m+Mv/7shNZvmPxIYx50tFr/KcPTJ+iJbHwaMLKCkP imUiIxA01qdOnSWjUgBkozQf3a0Co9eI7pdJRng1PQQgOsLWZTmcpPWWthqApFpwf/fA9ABzu4mb Vq3hvWm4j80ZWaivyhlT4DlgWUAM6d+5SoGdvdArfU9p0/iEDOs8cH11czxykUezSwDzTHev2ny3 ZXHt4cu63RLTDOpbdcI9lIgt4C8WyNE23ZSL4ZxO65fmmdt8mpHF8bOqXWF8d4BSt096D8J7p0R5 3ELrF7DyYP+CqkwCccf2McS1UJNMJ2nX1vN+DsZP/onDdLF3REkaLDPhIIkxeHAHrU4I1sYPd3J1 9NLXOMOdyl9S/8ccc6sZQqyvjfsyHs25ALsFXhNgjIGV5PF7xDoEisw0gv3h1iWK/4j5fqRtn16y ROKF2TDaRaTngvnqb4Ijm2ayY3u7zlebiNQ9iAs0OjEOUQns4ASzA5O7ev2kpLtj+caIYNONvatx 3m2s86FopWXDs9hdm0yaEarw6aiExUWmBmjDhB79XWrjh3BvcZndBwuLoxCm+sZcus3yOfJRnI7q KiOGYU7T5Z6bk+yr54DAM4jZo1Gz9PP/3cWYG9CXCB/iJvPui9Te8m2diijL0VrZgOBQP9l4ZNy7 pT+DYXFi09PhVbywpfLgsjBnYKDpVWJ/vk4Fc1TmfS3VU85DxO2XePdYPTR7U5Vt6hccLviWboWw +dxzG46FfusvlMOPTTWQ2tqqPrv9dP8rGrIijLULJDx+bMo6jwXQx9YcqPHaunwTMiBOn9+0G/eu lbPpl7Cm4Rvf6bj9RCjHR1ZrT58QU7BZUjShR5jkoIHn37MzM4C8rNlItg723nOMr3YewDDhVpOB MpEirIdOTUU4OasLB7Q0IayCv0rvunK8GfB3kqoQLImta9BklqzypZwUGiDZdohGLFBjeK0DqybN Vn0e+8BwJ635rtZHC3uCArfgLvdLIj6difqQ8XJlSzBZNbTeMyUOFNZHZA8lvfZ2JB1K+Y/9W87S ER2JrmfSJmKvq+eQi5vwInb2aBcON44qVchxtQ83X9zpJ4P1PZUj39ptO7lZwm6XOmw/fzgllbeI 0gfWw5Um2WCeKpFBFZnTjxZKkD7HIN1HL+4G+JqI1OR7heB8UtRh8gj7QanAxJfO4jjxgCwBJo+7 tE3EpgIa7knkUDZM+UUb25qyd7eLK9Minm0mGYRl+5NW/zck2SwFvFhjRpSLgi26BmJ7VJPJrxkr 5YRiuUuIR+SJluZav+K2awRU8v426LhKtwByCMrfBOBPuyVKfT2ct+/CPIAkBZtEOXZzfguPvdbT 5WcN6QHpxfjQrCDden3sxKxsirbGMhSVAFRk1+udoWhMxnffaP/kHU+nHTlV2Mychy17u/HRc91b PID1sznHGuFdaHkrMD8uDqYEm8zTDkDqEy2WwRCF3hxPEbwKwe3hREutCKhNWhNH9CWMlaLT2YOI nRRiDODM/P/ndqghEAGvba3KCyONbYWoHPO5GJHouH5FEdb2WkUsgUYrRm8tgNwd7v6Aa6sYKfVe 2KF9gLO4yJkbuyA5g3KkrChFy4d7iunXHxr+A+vwAqeKHiAs6KriypHt0hl+EfHUnJKafTpsOh1V QgtTiVwir9AHxOzDmvegZVjaT6fJxEqIPmfllHNQLfUD4/0SZbhRnCTP3OiFke7zG+b6ua27n8BQ nBexusd+xcCdSJOpGUuV+inNqRZdRfdBKfxUOp6wgUSbs6tY7cVzIY3l2Q2Zos99FW0kJ9QmldQ/ VAkTMzp7LQfO5EukFVSWA17jIwub++bqhBPK12mRgvWChsU/Br7Lm5daxPsKWQs48xHoTHkXjVRW nzZfaJ9mkYqxJdP76pZfNHboHaJBvG6i2iVwW3rGMRWZKpWt8N6SZqVNfU3+Q6nj7UWgi5DvWhOc ZyS9F6oxhHgu13+CNTmmq7uKP6ygurEV7CQg9roWqFOupaBh36hW4tK3ZaijBFOYrjR0XDyvG6Ly GSR22y2FhGc1KLF0hkdkHlvDS0dRkaZXY6I/p34fMp91i1yFIDWd1SbyJEn6IBbrd9D2dqcSREzR Z7hrQJCGYxrCqThnVrSeFIegwK8sdM2bx8ynRQ4rtMIGwlCz/3cq9Zs9cq3jkNexEio9Qa8D8VAr 8h3DHsHShckhPEQw6JH7R3QP2nK1d+WswYw+9n6WQ4WOu+dQech9jOI1Vt0wYpZQTYfh+5sP+suE utIIofc3Q/MXocMs8zDbfaIprK+ygt5kOCCbZbnqAwOr1EWPo4KhzeHKHhG2gzkvtx0vJrIwCSQV Dpt0psI0kABMgmU/42vYjXMuVT83VHePOU6u2mUMgvGUZh4Kt2rbigGtF/SR184PpPUwoDsP+/Zp XI0P2j0Wgsb+AskPfrF9ox4FyE3A/1xHigbC/y8NSR+r7V7/4ZBIALLnFE4kZxqlLHdb2i0v9U2V KKYLvWLNHz0MZBa3c0hI+xMzJqzpvfLUP6qdfHt05mmbjiuhfWu0JGyNnfA98qLpaqXh6qyL+7E7 iuNmHydBeGilSqPLZ9tswA03gC5QtCmQE70C6BYpnghLypC8XfOLniYDOHGVNR+0IPTORRYmI8kp nMHtPmDWnT3s/DvexpRSY+yk5cwGpvFwjOPGjpr2xDCSxrBha+q9ufl5wKw/vf5tsLyPNgAv9qW1 g1xajVtWq+sv0N2m9GbJ/m3Zfcs/BO01GNoUk66H+Ki0m6WhvHqgFowcItepDyTbByCFsTEC59l3 j3kWt4w7a6DfX27YUcXhzV3GJselQ8b+5j3+yhOC89u15hbGK3Wc+rDkMdYEXeQfq5AUix/ejwzv cvzOUanK5P3hpjsPnyeUxNlabJF0vZS9NVcjwvfx+7af6R5L48+qo/HpThS2YA3srUXJcyYH+qiw Rf8I19l3XC0jdrpY2NAr4O1J1TnWLwu69GMfZeJnBHLqwutmo6YcRs9NveUNf682awzoDg+4+mXc go26EtyfLi/P84jo1MxBZB0WzZYyz752g9lBgyDBugqtYedV5z4/ED8yA1z9qk2lPhcF3Sgcq1Ff MdimxS87qImdEQID0336XE4LfylczAK2Ulx4gqCWv4p2hAmpObK8jG/HOEvFxJWtXGusYJxbJGdU F+1M48c/Iwi8NPZzRA/FoPJ1gOCNtIRPtAyu8lWCc3VxEZrkXeNsnJbxITtb5mZPefhdYHWGpvUr y0s2ICGPG03Zeunb0/GJe1Y+kkRjBJyB93ORTKdzHxoFgu6jONrjAGT1NMCHGWxf7eWwNPiVTDC6 l4bDvygQr/o6mKT44Icj84hl6zxHDQ7UvWEozzsZkOoBEhKA8EjRkESFYn6beXtPO0DVPQxYj8rd W1x5gfN1WIgaLwsoLBtP/sGhb9dt6c6ny9JBw+zO4qCyu6n9dVf/1sKuVI/ScatcdQOz/hsThH1b e5lJWCh4nzRG+wAnncVFQ7eZvP+eCrTb9eWmieSSG1Z8eOk0KfQLgF2vVdzuehI6aZ38nJ+f+sjk UM0VJvliSjS0mmvH5JuWqfOGYG5JBPz+vJKCe73qwSZChQwk+3rOeYz8DVT6ldCQ6lJNF3wZfUHk J8jAWGodVC9hyzOlXo3JDNa3UpC5GNsRAxcj3rP6K8wlwQ5b9kQWbyxwtVZDYKc2Zmutr1hRi8Rh RPaqn3Ifzj1VOuYql1T0Cd1jmery0BpRCBL1Q0ZExfxgC3G3BReXRhbGwcDMa8S2N2NiMxhkunN8 GxW8nQnKT1rJWsrwUXLCDTIC+DSq7f+D6GTL8NxZt75MgFFXAnW6UFCFUe/Xfnd525NEhdoviUDQ WrZKu/srx1zzN6AKaN9pch28KOdxUCw3DSwMl+nsMaSPNYpxTgovrdgP1gDgsBKeku7UItHIzaIY OsKsyRDnm3BKqTfCxkcA0YIssgoqL2tztXJs2HLTal0qsuzLqMuwOd0t4I1S/1J2xOIvnndWJBtv DDTFPEqr7S01zIZBKqP6O5rZSHGQQx0fh5hrXsrkoExj/y/FXnSIFmao4vamxbsZQAmN6Z90d0Zh T24KVjCDU8HaRkbybEhAEqNCjBmEOAxRXkLa7TwwtJQnPeqQB5TQF0Chpwz5tBFYtNtJ8a+Bjjw0 iXAZZE3ZAnodlmPML76fCBhJrf37hnAKPd3ix8/korPI6rj/L4I6ktpOa0w+DeZWb+ING8L9rCpv 5SipVCVsdSy/Je5Xu0OPPSbTxAPNa4hU/1V579Mf7P15ScvxWgnzSX6OZITCKOYLlth5If9Hfemf cR+GD5qRcIQMlpbt4Qg8HyvqCjoT0wlN2nYnKPOxeDG3MnJvD8uj+wo4OktInV79ksVFaYg2ZFJf lHWm8NlGBf7vH/0qHCv00II9H6lqxpIdxZb7yqMauC09fGxfc8t9uAKAVEd2p/m3Q1TXf3su+nUp /30pNUi2AkmCtgq/gRXOKUCdvXibZUDcRz5fDuLRmKV6BwLY02gvsvRircbh68gBjytjYqH6kawU 0h0XmVEKzzW8bfBpGRmwrWza1vO7FX5uu1Dbq29B5ZC+TRApmWvKlC5c+809OF3Bg+vS5WiCqMUF TjJXRmijglHg5xI0gmEz+z7j/XqDIM6WjFEnhjhbcc94NtlKZYLa6v/zW4mntbtWLnZdbGC/mRHX CCkYaLmFWySrNJW9sl4Ngv93stZnsYxQHb0JH0Xxc1/v4H6CHmCBz+esijGNqkxt6GdVWAoT/T1G mFSvIJMELVEzY24KtOPnkLiKWTs8w8NRal8kOgu+q7fVT1yp6VBHyI+1Y+6kkpZbmp0q5vbSs5mI 6Dv4EgPGsqED3RjCkf5R43ZrrvUjFiMWnyk4m/LfBH/9X/6mmfHlyQOeUb7lDsarKd9nnXXBdvRC 1QpmOtDCKIiourD0F/9lEbs22eaBol1QIyPwMbfslXi7n/0IU+v8ZYJzpCWVLb05/QJ0EZTLYFMR dAZCm5KbcT0iYuRSuK8zfXM9OqfGpeEsujPY1pdf+Bzt4twq5ls7QyHuTKZivWXqhZSMlrVkm89p hZ3lPBCuBPyJNZRCV1QPkoY1PLBsNIqJBOkuELzbVZ1tJgzG6JVZgbQMVP/GDbyysr6Eodw7ulsx g4UfFWhGGm5cSqVZt78BBfw7UGeEcDjjdW0PNySLK3DA81VlSoxAdns5ZhoOUxEQ2WNz9cj7MyuK kHFVudJv+07OOetYOzYZNO6hUm+OZ4JwfYb3QCUt0stCXes4Q3htQezOwc7fxARdDHBLvyMoseVf dh7FnGRhXcVd6mldSgyLT7Rd6RfxlEvcWXpw2cymzEGEoMkL4YaFZZmdXW+Gdx12q5atxt7YyExw 2EcBNIBOGc9eUIeOzqIgP2GZNSKUsQi/XzdPXz4VqqmjepRh+IQ8j0i69NMnEkNyy0sYtB+THrWO IEtL9GAtThnvLpZPwkIVMouHhWtEe6PoZ+blbv3RUDcDg4YwG8EQEedSig9++D6igvsOMayFO+G/ Yrs2UkxBwPu7KEuBo0DwHFS+HSprb5I6uPDikP5bAKNGRQDjm2IsS2sy8qKs2cSd4DhCPe+aLr3t 3vdcVdKFRVYQY8OsQeUHF6TBAls1u+xa7vhzKtCqD+0asBZOWtwAUDLEvjQQylXD8Bh6y4Kg9csO BZqH46j0zTazsDcfZYkAR28G9HRAclnHu3+ZrSu4mL4LVGLkVVqChcs6l0kDscPEz1WRDdRisLdK SAhzS55PQpDpTt3r7nNGdGTemDYn/Qhn2FcJ4jtzCusk85SzququGqaGKsmUVNtpWy59XoS2VSRH 5f1f5WGWwhEfQKf9Li9YTPj7wr8DFPQdW5zJ0p8dDkJ6//YaoFDcaLzrG4DBuE65JCCOT6qxsKu2 DULaWXzejY/NZ+U7JjSbfJQPClZPJlgBjlMlAcZC4E844sF7wVCKUVx76OReAKs+b+Sx0bZBd9+c nn68Vmk+yIH0SjQ0cD4Def0VFPDxZxxA3WB4yB8E70rX+PD55rF01PN5Mxod3CAglJRZcIYZBKMT UOMWJpul/XztEEiVEeYnIxwygNwv7msL0ehlbOciwBTPoe0iqNpV6aV5ipwIDsAPZ/XQwk74ZsfO qHkVQVM4xoah3IP88E7FKJLIWofhaFklbLEBYjID9L6uCIy91xC8gR/WhwvkkB8SbJX/voS8HvvV QpwdIRwKujv1BBayy4kzhGIfJQbY4JxKpKZpwvF7XJHYyhBkErzJHPiK+qZwJahrkjb1X4512aA1 LEaxoa0jfWEasiiXZoeAE7A5/2EoZPnRvdxepqCqXT7cYFRnR5z4bEB/43T2C54uWmzGdc03Xg6K ayg24nLVAfWUDDBSmaUedmmwymR2epDRqr6mAxxW1GeTVUigi8q/kFqASfcgxRc1vplaAJgMnl9G n/bA4RR6rZMyp6YepOkpMAvE6m4WAe2RlJtbPenV/Z7Nnq0eCE9ukPMCU4wWdb+9FQC6xVeWKfGL +fD2eg7Lwt7z5b2uVcagNU/Ge1EwCA5ESp6OF1CAYr5E8X+WfXIr56rJ01c1PXqX68NvRBDiSxtJ Zanh71evrlqGor0AzIxTMzpV9hEFSiAz1v7gPA/u6bWORFHk3CZQlyj0+jBulPGS9OTQ4RcbJMHm kqKNyTLdkmGSrFIT4+yqjZWCG20PrziJ7qR7QXMtq65RbUR3wfSa6LPv+4DY56T6FMnKbbjaDMl+ 5XvZZcXxiCkNqXo0RkBmK0iADx4b1Rw1i8OrBTAabLGEqemo1tKZQqReYROExHf8CTg6GN9c4dWK 9Iw/kV2YkSbFN7R0AqeqAdF2WKq3fXVN/+O9osAo1aWh1Mk2smVU7VOwTFIQiXrVxAqcSN9qJLWm ml5hIddB2n995zVJJjieaHegRCgHwK3d60fQLbKc7/YttPPOULLoCXrNDLvd0A5CQOWKV2sweTmQ oTXGnjX1ZUxYgRV74Z8z23PB4VCXmjEbT0XS7A0emVJ4+zlwV+Cb/LV3vYIKyzVVXVLerxmtVDQT Px5FbogXiFTora6WyBx5f104uSxxyn1K+O7dnmuZoXFmZhgaDRFzgfjYsJu+dd+qYTyoT2E7x2IC lGEiNA8shHYNecAMz/bibtli4LuoJUV0TLq0mZy8dafJ8oToXfwL6I31D+az7lzkXQbGkWVftJ6k Y4rA32YLqOMgSocOFiJWyX/H07/uYfxLZPPG++dGM7grs9aDcQWbsjF8dkf9LMrTnBTvkMriWg5Z wM6UtcJWis7K93MQx5L9aiQJ3fWrPDyyBYXT7KJinnxBg7EoDMBEtCQMH6ez9sGIoFIB4nn3qZFX uJGWCdWhiZn3nRGxoHtwqP2kg+rhjiTI4Jx02EbQEGJ66zV1QG8OjCAifmwqGaB/xYIsIrwyBx/C TqUYjBMnSPrWmzxA1MAchEIX4FnA+AkwqJ64wQgJWm6pTyBwkZkyqN88SBmn1DwuR1ziSKH+yQWb S4PQwtL5lPuJ4oIUam3wAPaE7erkCQIJA+GxX63gownn1BTEgRTMhZmFCLc5zMxTZlPCai0zhRkG 53Ph2Lf6NSmkvvqu/iDivZzK06R1xMzLBdCXhMAftzOhzElWTqZNQcBxE7YIErvN3peS9FVUn1XP H9n3L6UUSkQwy8KWBE59Vn3R7+E5YbF86YCSqZiOLqCM1rDbf9zoCC0XJYyOJZKXrOENm7ukzJ7B fnJHTQSxgJaKfNgorS7Hp7FzBfsS1GqnhZvuZHxusZGXV87a73K/VXejsOs1Ytk2mEa8hgL+cVkW 2u+LHDdc/54kTkL8P0orTIGVukWJiTq1e0A2pnE5vVpdViLRI66RlhlsQaOED7jKHCn4CW+U8nPg 9Bk4w5X/PnzxCaEva2N4V8HNlY6oakLN18lmWRDKauLmfs+5TYQ4qkpdotd3AJgx05aG8UusJ3XY +BgygJ2f/XJj2LDwvW8661I/3vSYjuqqChjz58s7yjlIrv6JunBWcA/RxhjKwYR5c4MYDTeGT3F2 z08ZGvUUHn1b6C1odv8mMaORROngJovc7DsSO6p/C9gAxVhwaUHgGjuav3FRgVwxCXpT32dDusHT Ys+fQRnwDSftVNOlr/Vms/97dfgepPUTHWKqI3zyTXGrTF1/TxiqLnEnnv7XyErnpCKTjfGdQhzA sd3m1r9j1H77EjTHuNLwvTSyX5FPluxQmeN6VxFYHGWk6HaDdDMskZNxHFhYfzN2XxRfGCqPcFOI lHkbwLGv9vtIXpwCOJjcjN+H0RyCj2ETySjOKxv/IZi4WMWQZno7bBMNGx4zgyRJnKVScfh8GjHw Sf83Y3sgDhRJuRBOjDKAJq6BDmG30KgizQ+gTUmn/dtFqINuSfsY+RCzZAQHh3gq5XCE9aB8d4Nc mLvH4MNLuOxwOiEDdagJBqtwRVlV5kQoKqB/deKpMpGQ3i1MUCjTriT37R6xi07npY2KJBaMer10 dHhAJq4xsw5f/AIO3/QsjpivKDbIVF+ymM9e20ZJbR11JXveslcnYBX1OijBskAleVuhLZaXOrpv sZPRLLFIiKvEDutQitjWnUT3fzBvMmwr8Ip5t4k1y91EM9BG/qkHcgBrK20mwmo4HxiP6dTtVUz5 PI8TaZbai96fDAf8cJ86qaMYGDfPbA+E5egrsYA195ryzNaGz3vS7CbvMNOOk0FFC+ZzKRf78SAd pBMvBJ4tABLNNREz0yhsW/45ip2JVNxxHOxqhosIUfYcm1I1BcRjnysc/XySdXqJMxExIEWkUQ7F XNYxzuSS8zyjw/rdh/adJQ/DlfBMJTr5bYux4K7jAJTQ0suslT490/dMzRMoeffYKqabYXIBm80o i4wMTFVvw6+l5S3O6AbkQ/xl1pKc6h/XiNLn/DD/3+ZPgNfS9PaitNxj/HaA2EeYQTdCvyUWmFXv CwJWvqF1traB+agTFcUAJtTzqsPBSPV5MHRIscGLDVyfz0EFnzYEWHfDhkf9gRRn6v5ykApmBJyo h2v7wgf/4nPE2B31JzolXS9RVqtU1G8lMPUxrlV3QrLGi9CblDK1bs+hNuW9uFnUMc184fG2JqQM sNIVPTxHW5chyRbI2uhqrJNJdFm/GDDf0zWR2G5Cu7TSbJ15xirzSWlfXRTvm2mXWivHoco8jK3n Hno08PslPjii2EXsypxHn9Fq5LQVpTWmF4jxaFP9ZeeH72oGXrdXURfAnkeiKvh2lG8VPbSDof5n fr8yZvjVLW2a6HHBeCERIEC48OfMBRJO8KO6w/cdodt8IZm/apK5ssTFn3+E/NFROXhkPW7Qfm+0 enMpXRs5a6CfooWm7qxcHt68hlWRTe9MHtfWx8JTe490HOUtpMMrpG/3DSyqvq3/rZF7NJa8EGyS oEWyQ7+Q5nq4vJe4+6chQKxd1Hy9fqsFgrbjoolbWK3jtZdzUR3TZwkbADUqZN8bhlzrnh5WlxGF XdUA+46Xz7QW0dkPe2Z3ow3KMi/Q+4MBa8b5N6GBfSc9iylRFkxenmTeVH1ukKque1SEo/TZT5rj yE/cFLJxGKNkTWUSPfJoyq6VmEYDsnD5EeKo4Uje9Q49FP7oKgWEYJnipoEr6+O1Cz35XDNJknat TLoO2kZM54J9DVIFeTVeEnTPY1ZHpV1r3+JzskzixLAqG1iiNf7o76Ranav66wH/2E3jmv60389O JU+bJFFoIZZj0XmKQIy72Cvjm02okloUdrLAB6zHwVZSGkp6mw1mjzUd9Wq3538jEFk7sQ2NeK4V C3Der9qreB++bXnJvbomuzH7HisOm4LzdOYPuD9QCv5WW7uSLAjkr5AgWCuOJazul39DG9PhRRZr Mwjw4+XGQ5NBH+jsOkUwnuVTlSLIeXMx55WQLAH5hF/RZNE1i0TvLdCPMyv1aS2Y4p7sUwkUp88Z AvLz7ok/P7q4b1PhnqbEvTJeKwKKQQyq1noDurgjKrvyxCRP6/4tkIyJQm9Cdx3N4Y21IuwTpHHx cvIosumH8Izct7XeYUpRhmSUW/lv1L2JOIRG+A92ihMHOhzJQFJZqn4duRQBbqJYZ+p2kRN1/yZ4 rk+4SwTSr3nFAIgS55uJQBOuEKBcJdcxkLB27nxKlgaZ/lCs7pAn2rQqpmWxoPwSJKEoJaoO8lOo VPL0Z10RZ/fhliU5tV7xC6f1ItMFEmhkYprI9c3jtY5u78d1ho2dQ2wMGnrHgrIA6iUT/mN73JMS OIivx1NmN3NHZKHd6ipXX4bD++YV89KdfDhq8cCTGHJADvXsQoQsnhggvSLCW8IpMir9qbiKWI7s JHDcmYpgwks6smnM2Vj5fcLNzV/hhXOiWGUnCI2hxC17L27oyzxKGPTIwOSFUp/Y7fD4YSufZaEF d3oeHhMx4kWgAsXVwxW5ouSbe5cRAdyAFBiwp5ffn5V4QwJEkHSI3fczFgDFC4cZYRhD64nxHqHJ yS4liRUoktWjsHwPOV2mMKZ+J745fhtl4+D/iF+allhBunROB0sWWQTMWa9WlNpnouXSlR/vHZcp RJGKh6gbFZ5/uBc/MUiIEn2Zk7BLcYcmXEbZ/P8OW4ploWJkoBkjFPrUu76UquE1Hfk4txoZyNw2 k8GBzxs3W8mgtVEEHWAzStldRMXhmpA2KkKzkhrIIy/CSzCorxJqAks/2zuM4LckQmeiRCQOf05C OkzIUUKv6npWE2kphrTYjAdiuXfNkyw+G5Lg/X9464QYOSrUlWs2w/rR+ZoYBvMEspSDt8z5AKYe v704/3pgO3FuoqGYzqQJjSuoxfNnS4hOF0CYfq4jhJavHUEUCE+cYz4Liorwb1462ARDiQ0CL7hd 1LfF/b2AeE47b1tGA+naJ6rMoAHjMjAQd7NFzfQkYRUzOxnvEi83m4WHwRBxERtYrjGO5uwgJmT2 G+HjLAQCDkJj/O3+mR+O6HvqM7aI0Kr/SloPzZTrNIDsE2RDlLA2KBxeCBKlSWAzeJvuQI425jab L81iyfHOs65tsP/tAc3cm6hn+eB4e2d8KwRUike8DyxoCNRO8p1kLTc6FQ973WU4NvvOKqajw4w0 HpWAzBUetJVOjd2r0S/bR6/uP3ULGwMkKBg0UWH8B7V4Pr9XdS2ksARPG2myB8GcKevRJo3EL9IQ q73mn/OMW5zCkE37qEk5fQfE0tGrM25GHaYQpexJlvBj6j6+0gBo9aTbxmMnj94QXj4PdfLYna3l j6ZWmBuYHxIpDjCAW+/t10G0fUteJxgI7Dme0Uqx2X+iKyIkpFDFti4P9qXNrtU4OQeFjwj0bokE Qu42D1US7JkytqDsXUdeO+5hN4GOpQqXXieOIUMLD/zgVJY6DwUQgKYsfCduVCeExAsSpNCgVUMd u3AOl+lU3Pct5nnw7AYDLjdTVJsfjmcQ6Om0lkE9/IBihSRHJF1VuKD74ijmp7Xu+NFunnLLVaUa ASSbJISSTXK3HN5A0wb0gLnDujQDvJ4nGKv7eNrDcb0/RolkH38+Rpe5qFuWI/RmPvhMZZ38507s 6N215fJMLJfLzvrxuKxZbio1xym5oJBIpvBM8MXufiDqgCI8RU+aF/zXkoliEbwl/FIwgx8UpS2K +C+vBTYATiXxAjvGlolOu4OfBtQb3LTyahJ8WRiyXZZtzeEfJ6bOY3ksSACXzOrtNOCZN6OtF5bn BeyhcLVi5bsxnb3rGVsbwZyEo/NjUi0iTLwB+Nkbsk3vgcmsvoz3bErDijuz4lklkOt42Xvj3I6T Nythtp6Td4MrCdSMiVC9OhrItmnL+IcYKMmsD4QHDQx7EmbsVlCZdDEpHKYw+w+HNnbq2MWJXch2 wYi9vAnlr4GGYBz05tpF6Wbo9p0B1OXPWfDnToSZdv9Fc8/DwKlIkZpcep9x3S81AkMu3WIk0UKR ok74h76dLBw6Qg9Ui0/2aN19IF+pmYfSMNsvimOmOYV5KLBB+LRyGMyWUcIauv4XJ1TpEktCkfVL f66HF9Z2m2PeXibWnoX/lEUNa/Oz2tOD3UUzASWaRMgrwwRyx0I6lJllc8s8hSBMIjveB+1KEuYx J0pXO/Emau3MoUYJDEE59jzQGcAyzNgQGnN7aV7G8Xaiun2HzioPqmq4aYt/mEKVwIFUXH2rLEt+ Pw6CHhfQ9y/bbTOA3S3/dhlyhAkhXPbjJj1117O3mulRCCiKtsPQJHL1SsqIlWy6fAP+UVzEO3fP ugmoNUY8FjduwMnQdC57YuHpJlzZxYZrb3vpQdK4nfeh8ZROaprrRaf76cGEcI2AyVd8ee1DYCu2 nkG286BuVjrJ1bMju8qa+kDO0GWAqCsxzaukL5Wwi5LUntpyd0uXJm9QS+s8ySg2A0W4uqomKD10 aHK+iJ2QsLQjkFoUePWJY11bM6J2kw4s7G/tSa8o8QcUrpQ9lmy5QUABrBuf/C5gUBf1JDNDOo/x CrbniUJrrYi6VUORddzcRxAU8wYEH2cA7wsarrZ44VAroPogdd+oNZQ1BdtF+HABoQWzMyjBSlDj AlhMSpwwvd33m0qUoML2v3zLC3PAsThYHMHzDyAGW6EGeiD5aMQDfUS/GIlMK0I8nm97kgJxHwFF oyVlHMgn8H32wr7TuIa85np5WXrneL3HQnhvnglVdQ3f4/6/88tjz7B33pW20CDhJTwTxvBcbwoM KvWmhYUce1ptqJAqWOEYRYmQtogzt7c9xbbjzVDo7+2Je93gNpWYKxKpubX4eWtbvazjnEr20bU/ 1YUABduv1PxeRvV6t+8PpIiLJKCr++6mS+vnpYyp+rFBjRjK2BQ6lixy6gIqJOIvhTs5CfVlJ4uS HwbF7xIOa8bhQKwHC0U/zWVn3hGG2gLQnhfJ3a3t0jr34m1GMuilLNiDR8tXGeBNWZUbQZjXDGKB 7PIvvdkrXVd3HaseN26onutx/kuQTIi3RR0hapAzakWm0eT9MQWdyCg9VDXleLMcxehReusJNmw2 8KVxCcqhlmNggtj+COrgXFYLhFDCEZijzPfG/kTYi1asrGW8bY4rQJ4wBn0W1Q5hB5siMAWIzHP7 ij9zIygBqS90Ibpd70Zu3jCRTRjiebcOhyeLc0tk2so4Y/s4jXSf2Y0JUnF13EA6WsU3RjQIai3B J0viDmOfqgrmPjhyy3j1Vqawt1Ln0UprRVMwJUttvHv9CUx+Gi14m0HRJWxpMbmJ03t8m51TaYTz n138JZ9UkmSFlLPkUCCtrmUMFGsCB1XqR7x894g17Y3Jz3WrEnAqNMQPJu9hVGFYcSARPPiCSZ9C o94334ZIhWWfw2+YZiP+JTC0lqOMm5/Zgd8ChNT3iTY3MU0C2957Pc+HzuZfqOFahqN64mh/V337 4RL/LK6vPcaCaCzD945uh5w0V85ekpqH2ydrrM5BX721C5JEfCqt5pPiylq7p+2dEpj8WcF+I4P7 wBSzGwE7WM+RxpiKLm3JUdWDaBZ8UB2yieTOpNhKqKvrn0QzQQTcN388xOt5+LNMdKUQu+0HT15W vSKH89R2EUT9y+2kNSpoATq9y/W9LmvX6EBar5i0DJ6sk8Du4j+6OWE7ECTnGBWfIagIjkq7PQB/ fo6X6fy0Z+dBCnxGCtFueI3SBjbSEzweVcmDPxVpnWBn7YLSEbNQAxuDRPbKsloRT3D/c7aA5Dv5 q8/5OUzQcAidcpYl07nkJpDN+LeTjK2HWlJ8cJDw/8ollWeju+HIH0mmBEKxQ6y5R99LW5pT7WSH gkg/SulOzM+CUgatXG/5usXsLaXe22yh8Zf/qBOVGf4UqfSAFdME/QvsDU9K4VfMpzgvhC5uy4xQ WXkdMOPkwv3Uufo4XLNZDT4/FzTu3/D8lcB+VeRCmS1fpjAXe//nnzOKafiladx3Q3cbTMZbkOVR W2ruEX1ehmtiR9eZYPw4fflhtWV9CGv5AmLSjwS36kP/49S88+vC53550/j26Y8MN/DoCb94Zm82 ZMNtReF5vPuXPKaUWAibICUwU44MR7MSKVJcjkbfNW2z40G0+MkgErysHPQSEYi3aRVWZ2pUgtus 40jpgr/Jgbqe6pAXklZP8rrgJxf1absH2PACdwfo50LZDMsjSN9epDa2Ui1XvqGojIC+3BYGWhnc SUMe0jB2mOEBDGSaRn0mXsi7CLihF3PqXFQuLtyHkL+bR2e15bY8G1IyQ0j2jFAXWSuBb6dhU9IT UO8YgwRXf5C6Jn5EGz4PA/muo026qE/W7D4dhJgkNNtqe5cH+H4QAiqLJd4B6FPI56WByJJWs7BZ nG5UZxoKtlRG5N0OipXCOOEEcxqiBp07gRnwmfPi7OdzXtLLQYkEaolEIiOU8PZEdflczWd/G7Z0 WUaww+3kzqtTEnhjFX6+lpToleyn75cVQhD6PdEyJjY0EzCKUl3mOPSG6gEUtaabHe5ex373Q4Xw 4y5uhEqynrjH4h7vXEeMmLfmLCoWLWrA9V7WgHb0h7aABBbH6XVL/A4MNmy1qZrFrf1tA1Gbe4eC VR04upRaAKnEeHmAD+CLPDJUnRfhkUKNBmwRRnZEg8L9zLjR8RLxvUO5apvIgNBFEMdP3CZRGDCa Kn5Dcl5jeF9zlU4OvnMorWBEv6jX8PVPbNE5T+SmYYEzEx2K9S8wVi42q6SmpcebyFF3GkyLJSRG T1ty6C7DWWyTNfitywRwLX+pHLwUmkTS/JQvM9ycps9zw0PX3sGUjwqTUQ2q4QF0GmgKDIgK9Mtj HTNjC4rcLTsIlZqvQRJTn48dvqGzyLoZjsos7hveL103EzNCcwfbyLMGdJxRdlHNs4gd6MIxINLH fRsynJJPRWp+d0DWdR79ddT/vjg3l1K3VfRamD/QCX3y05OvsJx1MxfFG3NM50GOXEV3IqBbTsoz byfPGuqE770FJhLr9w8bpOasxGZsexWXOep6ibj/ZbnEu4IIgsvUQZk5Hkw/YQvqmYqs7LI14N6c JGdrKqQ7Uj2FIwCuclBKDV7j/riGIkn7PZOVniuRMaT4bakRtS1r+HRxGa2fku8O7WpJBVKOhnGd 1rEEzt+GRm9adlzvCJTkK/+yM96A4mXPctWayf4O7SvuqPKCZILp6524f5P7JdNcuMGhd28oswk/ Gsu+xOsU1taw6Qv+Ng9OIvkZ3S3IfoleuEjPHYdiqzCCTbnctRUnaXkg6ImAdIdAm5+Y05Zut7no BkQ2Td78B7ZL4EFCjw4qNaB08deQx/DvG6daQ9QrYq9wLwuCXtbZjyMQaYtsq3OHp3ldiJWqy6bD 13c9aKX890DJJS2shCnQbH0DVHvKKzqsom9k5K6QOdpmCKTfbACdEapbukDsHxo+Akca9NHF1RH2 KFthg4MK3ACn8VeHLowek4jaV1FIId9qzYOWpoCCQDYYMQ/T8A4A+U2Xus3WA3s3nlEofSRIZBdV fKkgfIkbd3mkcEp6jKMB24Ag93eOp3J1tc4rhAvUv4KO2nCXs/Z4nmOIynwnc9dsJ3twLT5cC9Ji D7/LORx6u+zZgqQRiV3AMtH6gq09ZcC4M7evwUmU+iJ/6FVngKL96Yl7r/WEaTq2VlAREvWIAAB3 oKu0PcphXU5OGnfd64kOPODrk0eXCJESlHclfcO5cVg4UbwNp/dYzS9BoFxCebiUiioh5fDP+5Cd l17fF6x6eNVFoJHBf947dTuE3lAmkuIXMWS+1wHD9ne/h3QrqKHQvttx/fDm9FG5uRmBUX9MVFVE w7qocSJV5IfB9Psq9zdr/LH9uBfs/pxvjhtOlmcYxDfSotyUGjq/Fx7jkH7Z3k/MIridRGDP+hNc txvIZjjbuYVGYEKb9p2NTUnfin0WWu7U0txUK25MGZeNS2aNsGtMjNURgAH8w9YDjoJe9t2luHSZ ssbrw5AmhwlISXQLHoOc2zCr9M9PQgpcRfy0jTVX4lG2rkCYrJ/3h/ffndrChfsZjxMACuDrUdev f/k7MColav20Y68cSHwZGLiyDMQJuiIpFc2lw691tB/4nUcGzjrJTMxV3ZJYFe/lgLFR56Op1WvZ Ezgc5kMxwuUnGs+iqbNuTZFqLDCnIZF8gdY3AvCRAWNsRfw5ajCY2Z7Dwd43zQ6KEPEb3QXIJ2Lc GTf1Sqn4Daf1xnrICLvg8y4ibppjv5Zee7ElCbm3cuQrsCTlMhNkxRfi6gJ7xRG1qQ1h2Bcr2LdJ SwC2iLigE7GBUkrmWShLCo4iUoX5U2AA1evK0yyb4shKXC5oVqbUJ0GXSsSwmH1wpmF0LrMbD3FO OufpFMm/838r3zOdm5QRo1UJkmMWZDf9NrzG0aBtTlvqtP5sljUi78BuXo2nCq0lyXsMTQDmAuwt DyrXusQl4SK0dzqsdTDNQW/jIdBLSyYop94iB1aWxZN8gmHC2bDPVvwtRcT9vabmxj3HKBdOa0PR ruD+Dwr8B4MftLT57FfL2BVSigPU3tDVZyx2bR9DIHzFvF4lzyy2gZHoCvLvbq4PYsR/NsGKgJUQ pXGOLMBvuNwICxpM+KJD6dQtxyNBXc2Gg4yqtQvSC4fQ3BJNGnwTJwGWXZ+sVziQejyCQ9PJ+63O uvjfWJSQTHkpm2jm86PdMoxVmK5C1O6mY6OssoN0604vH9FWWLaLyCMuwq+JANkb0/m64AjMj1ZG uSNw0N+ylS1DS3jRAcB+KDsHsGar8Rf6+HD+XyzscV7In0+ez90xsfwVeaY2kOlIIV7msFMfoeCZ Z0O/CuYJYF4GMGijmDMzajf1IUgmm+j15DmnYK9Rz83awmBUOaXQRGaJt/SwcRQx4bysWbPNC9Gt nMwAP/Yk/207cdiowrBLd+PzNI9SZFuosGDgqcGyt11kjFiOSz7MteRlej63hPFmu1vu7vlf6R3L YU047SjmWJ0Qt0qXIulCrSGpESZCNQUV2uw2BU+2Vq5KOi8gGdY/6ATenEeaP0mN8Rq8Oqhod8KZ aGcgu3XLYUd+8e+TSmJU3MTC5IJTrp1GxW7/jwFtyQh43/OQh9ilRvDj5O8/d4x4hPGAkP6QCx/Z hgA1K21C8R2xDlxSYdhexYVoNGVI+2FpyPz5FQPT18OkwEWs1VII76r9Ct3Xu1c7v8vUWDLEOpRk WeLIkA8+0ym4lX8KFqbpmqJeEi+SvUTa26A3WoLw+KsRjcQH8Ebm2sD6dXMcaBq2lL+8ZaE0oeio AMtaqg6+rGB311qAh9koNeuHr0FG7s0RP5a3CFhVIp5I91Dd/W2bK3P1jUD7xShdvNFI2roHMzFk ON9uwdT2obySkZHYfWGXfjDN/H8iRh+LaLbsQ44b/zUUTWSv9aBz0aGdkyf5PPhbp6knyeL9Aws/ iNEq/O9w3iXiXyteGx5ziJJD9hdRmaAeQZA+lNO4X0UfGL/MBFkgNjmqDsMRghZ3rOdBJJP0MS0C lnQ6sVbR1TBargs+NMYhH0uzHKdzSQ2B8sxSfutRCwSHn8V5sM4kBAiWkU7wmuq+9QR4xBuXQRVm I0KBT7pk5ArE5DV8tQl0pzj1GwELAvAfosdYUmbHd1XyjHrblkJ0xR0JkRVMc2/RoxQPk7XrcujA epVzXYG4/rK18ETthCqslIQwoeDSmSTnIdwlQJnMuxbcV6s7mpxG5Q/eU+rrP+G8EJz3m9xpNK21 sukfpPtaBjiiSY5Ik1j+wfz3mlV1qcR7yXYXWAKLHMHDcFKUbFy3lqQhoiHUCC+0S4NApRGtNxD8 zyZlE3Et2NqPibnbIeRyfYE5z14Hkkp3SkjwFoTEyhGkjXMVGaARgrSovHEu+HD76DWISx48Pj6D heEwKspqgElEhFX2+6Uqelznf/dINs4pv72GzylPieSsrv+QY5tmZNvyIuK/zy8USeCbruhOSomn wcJO0SC31srBr/POYdmwLv+/RcFYtDR91nfy64Mw7TpjtrhXgz/5/RXYXtDm6EzcedPltsMrbhlk CIME4Qpfh+DD4/+QDEtcKtJvBN6k4DpdONDjcBhE1i7RBxdcfVnXEFry6jdPn6O05nPFJ9DLRrJ9 PtNMP4BFXMCQJsdROx8J+YmSRc4b/uSv4umMSr3xkIBiPw2rQTWWniRXP2oYImUXEtYUXRbYmijF IJZvaL33kR4fhbB1571eP/0QDFhnrl4LWvWj3dArG9zpHCQN5S3YdpcHhRSRhI1CUIKWytvfCZJB h61XW/b4Rj049BpksxWDQqP1KkwVr+zLFeuKCrsfBTMiYu3J+mwygZpvrR2HHsMQsvhg/cOWo8gE KKyLqWp+IDYD3Wp2H8icJ8udRy5n7LVPUV4MMivPcGaorfgyEt8zL6yf5EUpLEnOn1sjawNwkQA7 XSA5/ooIDvB7Y0QN0e2/HJeW2u0c/Tc4aU94o1kz30NbT6ap5BygPjvLJS+iyD99+oRkdQrUx6t4 iK0ZZuv58dcU+RGTW3NSiW5SiPKgonw8cJQTVSwm0sdHHwqZq8OP1/NiAlKK5XheU30X1Otb3Xtd uHW47MnWshYZ2qldVmt0MV2gcvfe0hasTIeh4RtI6yjjknHHZ+e2uQPpyI9TZKAk39zKyVWyTLVP AsHkBkPJ0h5tIAjYRDJQ4UwTT6LavPG/kIapGwgIsSu0RwAr4KVAm72Im7ZkTY9JV+p+XaYhdjXQ qJNHE7rSjB3+Qe0rMwF3JiCZgRY6vBV94LeLLdBpWy33bKMyc3YHD4zyycSy/Uztprv7d/jum4Q8 racGauV7o7rJy5+J0lqHz1NdtkOewZjytE3M2fsCqEHotmToCGTVHEb7VwYYo4KUYifxxLbleZsZ H/ICqmvtdxA52QhT8bPp0CWsckZD/s94Ip3RzYuQWlbssg2o9YRK958pQVLn9pLW0N0t2D/W9GKy wOpowUFp8E02PKAYEImk/JcKU0eU3wZ5reb+m1HZKgGDPRrVKW4cCQ7E9rfvvzVYQ8nWdXqQdC5f zCx0CiuAOVOoZQnhItrNFPqaLZJJopuLSrbDIKl+WsUA7BMhYic47wrNJYAbEV381X8ExuxJfC1v vOamvgv/wLhlm0wDaM8zQzNdSBnrHxQYSN2AME7oJgLtIgmH38BdVJN2lsPB5IXA7UYchWgku+jm ItwWPMYUl6ldgvYVsuUGWGqQGMqhnW7zAC8tLImfRcM8gXVLW/QFX5ejHC7gQ0eWEW55StSURiTD ICySnTQC+fo7o2eBkE8WjFJSJAVrCdMVUHYe2MtVrAjScMkNxS+UouBuht9BWdkAzYT+lcySe3Zc ZQcg2UYhcCJfCdA0MdbCYXyln0KOZ9gnAOltJ5oBt7Ol8pfBsVwMY3Ok0nQuXm/UZjIcTtK1hMtl N0X/bBoVZqWVBEXkkIdYyZwxKo/IaLx3XT/9rRq59UMvo9Wi58ri/Ymts37O6QybxqRzoc/lQpDA 2QyYVJ9me9o0PCaHPFDhaZC+nXJzSdSSRcKsVmTxtIA5ZxHSk+adi34A0gcclzplEhHfuk6yHIIh 3Esy0txt9Vd77xDqQsxyLcs+4bhS5zHThiPCJL0SiJjg2OT31U/CwDF4y6Ri7FiqNzqPjIkEQVcw t4PcnQlR7rWsymPcpA5wszGgW+6TX6NKMIeo933TF3JyWs2j+EhH248Hbx5Asjk9EPd2fWEMnt5b Qhm3Iiz12vgcgw7S79m77wYdES1dyJVw9eOVLM5xvEI5t9TpxLMBp7I6QrYxyUBV2QRI1BeipXsx w3QrME1SfawXNXdUW/100eXsn62LPhI9Q8RvIcsCl9HbLOgiIjM+z9taSjPhZGfAWgBUv1kpCOcP 6z90O4Jd4epcfi91hJBQlLkObWwcq/aWjutwJ9c+052NOi3QAUMaFjJ1AqUHBsYhjv9FhGv1rq7N z9aAvsdmxg7Cc29QVKG2HHdmMm540SLvx3ZvusnvNSdX3Z7QplYT/duRXSeqw8XyfqHG9zWKgvGI zy6X5GzDQy5BRK7G/B5Up9qHNDELiNPnQtJAQEXssYLFILJtCfc44iQ0+D5m8Qq7r88lUHLVNu0l lenbORAuMBnI62kKFhRezLBQC72dLtCq08Pd3zTzhbPpjSGNhwOMPPPkSEpQyeGCZGmLrOo3iJap CQwgfRVHscG2znZSPXGax6eRqg09FzuzyDmbZT31dFhiACEJdS4+CZk+K5pEoh1qVSGer7Uiu7W5 aot1V4CjkLQQcrzZfmRTo54FeL/e8xX+IjCS3kH7slwSN3RTWPKnjvXqh7Rbr4IBFYewuZR2oWDI mi84pVjNFeTAT3jbtnXGPX7bIvmj3L/bdu3K8/wwW4R/TJa9Hyk/Nl2LZu86NZUlu5jr/9VqFl5X OmITe0DZQLgiJDDo5pv2phx7HTGe4FqlgX0KCjIi7AOzD4h5jzlbmr10k059hM/bFNHe2Jepx7Fb ixbOF0RZAOUUClU0FVXhEKtP39JLwomFjMDpi4xeW0Z7pfg4ObhQi39U1wkBfTw6fhZeV8Lx0Cx2 jIVR/dmJdL7GgnTotDNTfoCKvVIAB5Ss7JCf6Mv0mQBGbFuJ1QqgMUJFMw2AXUQCbDWIPhbSBXq9 pqt2QIXa/8Z8+qEd5DqstKGctZwChVxHkcsoCbnqgdEDGiClN7XaQqZ42foRHVplhBdUxkX+xieD UW73vbzrwvfMzWzsO4iH5PqzlNYjpN3KyVevtYtBG2jNhFQfUn+A8HNjfIkRs8RJFLk3bhGcl2Id YaMDm/eIGMo3mAeDri0xMHhYav9oUf6y2VWx9cK2uJEwZBlG7ndKxM3xY3BvhnBarmdHB89qWWVQ i8OjP5fPm0H3xSmgW8s14qWV7ndF02NJx2izwqvTeGV47xuZ1kSOdWGYYEY9x0ywcKyqoJnY80TN rP4M2ibecLC51xtg6hIvmA6XDXn1C2/nWRy/kJEBYHY463E73S7WW1brlIcwepDCszZDEo8/aEVm BhRpJeaXyWfTfdyem90KCyXG5rAaWFAzD3qYrsh/8PWcjKODIybpQ689vj67263eTEbwa2LmcItc Q9kLlB2AocKb5kPMBKwgHVcVQtcL+3SQkBPQoQ9JaMXattA5Q6Rg+It2rdMl6FyW/lsAk0NcWUts 2B18Puqzn7y8iOeTSJp0uLLoUfTu08/urhbtdY5xc/0evHdRaEm3lmNbwMimIPNefxbbSlZ1zeky EjyXiUybVXZvgL8MCKdqSmVP4v6zxQcN/P7P2Sr7n8n56lF0zVGUlg7UBuokKY+nac/fZy2iG5Tq U60th8z372JnmrbWhnL+iMwR6io1/vA2pEikuVMxnio6kFv8V93a8PKjYzwMbGP7RAcdXkLOlNlG HNXomKMPTJLhxYrr3RcyIrP4QXNWVpVmRYrEGMijz6VixwG45o5a/mjy3N+mCkPqEqRGANjYUsdS pws6blh1HHWWSU3YPkHjHTIEdH23GXia3uodGYFtYeTCQJgwpPZS+HSAuCUCVrnDjkYJWfMKmgmx +RUFXU0PxmoexMTU2Nr6U3b9wqGe5izqpvd7Zof+syzihv0xvEZrmpOyqqWoq/cVWkArNHnuwRZZ QpTtpe1pQ7RPUFyGDJeyfhuPt0csSg+l4Vjj/+j/1avCbPZd2zMc5GltVaiP2/hYX80EaIKAzv9f wQ4LMraMWXv9CR0U1uABmdX1HHBG+/AhyF/RcU+YXCZPxHcIJnhOnu+Lhv7nOM94iKZHcYESW2g6 ZNJu6m6wetd98GSJK00RThb0vqE9mobt8iyXlpIcyxGaqXutrKL+RZYdfWTNn3gkUkF4TK2IgcAC AffSNobg+jkENbvPuGuuTp42XUiI9jpgt6AEr7rALBOahBF/uWA5vMW1kYe8M6BwDb2xbX3Ql9hH JXc3ppdCZW1l9Ik7/ysmIzEEzfOthPokH4SHQftssJQ8lfaLy8KOeVvYzqg//YhTL0MgsWl0agWM a+L69zwGlfwxPMcoUqdHEbxC1PAdtqEkTT84PVmBvh/Bif8KvROcXTpxV+lTOdidujOaBB/Ukb7z Oe5JDfB8Wa/DqkZwraDkf3LlyhGRnecomFWesi8LBTROseoDXEkq8inZZk4PhkXHBEQ9VwAOjwL4 26qdavTdgNs/GAfOqP1vqco8ucwODOZiJJ+S0c2OckydSFtudZP3t1OltimLGgxWyIyyorT+1q50 3c2wEhOGP5cx297RCLZ5Z6S1bewVM0lcLbr3qwyJrDDfP3ySNYNzbYVLZ8K+RoBGDXuuH3Jbei8l 8hdBApkA7gBKGmWZvJd2eLgAzdDA27eJZ7aai2A2JZ7wyiy74wmAkxHKC5RCQMl/5CLX0grCGBSj NEX0RVVYLLxQyTpKHT6+crCezJ3B6R2LPFZtFGsU+/AOvJE9mWV0mJx77Ra2pdPz860kpjHvXoqy 5iAKUUgz1PwOdSFrVyzlSATJbxUp+Vwbrr1buB9K3qC099RcIfisj2pme1J0C0vyTXSE5xcrNUXs oKNfJduXesYteTBVg+Gg1yS00OMHWgXDB4EeCOJm/YOt4KZre3/xgd7mrfL40xAnlvTR+YmTtYbm 3k0ewNOQnPW7/hIkdMoWK7DhTD6gQZN7CDCEBhxjGr+xeAmC6RdUJ2v/VTtdKSbCglZXGEE7A7Rp dyDhR6JbNg/SJO3HXWlYNY5U7d1IWtXPSxImgLOG7BkijqOSwOkdGWWwPdGQ3y0NXxj1vT6lfuUC 3bZhUpuQUq6TEkmE+ccfWW5nhe0fjohyJRXSyZEihKr8b8nFlhav/RtO7bNbw7YWd//QC3/VBvrg rq1khb5yfHw4i176frIVv5aSF9hOLHjupKsQhfbihdIgZZLaG+Yrb1HcKLmDL+pPpw29sJLmWIhV GHOeWNPFpo0HPC9XaXdoFW2qjIZw6xRx8+z8x/J3sySdqAso2PFmY68XP/KI/WgzeVrSxOWUeUIc i4Lsf1JV/fQk62SffYtUde7/Tho/IgnAeW3IZtmlXtzqi7WFAyF5JxVABrZ/IrbKDT6Jc4DfV9OO 3q69qQIqhn1O6DeZwgyUaqMI4DNN6kssBBoe092536fYX7XKzzXi8n9u473yUHGKEViWIWlc45oX TVnUMxVuY18kDP2Zx6bhKsIuDvQyhPYe8ZO5cdzCc/Z3j6vuHGKW2gFyxaXoFyaC9L8GCn/NIc3B jhV/pxlvyZpQA8tLPU1L+NzTKmzoWqHu8HuXldWUNCYnEUCJ6DzBQqwW4IHR/Iyk38+hP15kCGix UIdjEtBC67Y+LV9C5wxcbgL+Z5MfsUpEP+1Ehd6LTtHmHgqfKjTByHqGK4lXFH0Nfb0om2mKXAwR qM6YotJUmsBvgL4Y1HGwkoU8TEr625MMi2lWNJpt2MwI5z8Ll3dmqcwW+n89xkAgccR1aBswBl1D MNRmkc5y1gY+R4H65VBl1Aa8JWx0iqijCHR6vvuPd66gBWH1dsrRftqKx3SI8uZ11atBrSmIeMe4 q61+Qhf5gtMYFBl1bA20aZSh8514ywIOQrVKt81jSYXrMKP/heqtQMKyPIKWnMBbzSnrmkpb9DuI iQZIr/ufQ1E/FWbJIHuiFi5/+3CZ18HrN+4GxYjSJPCIDVDSmPlWkA0bcqAOUX5f16njr96ArHr0 uaInXYh/1OOMS9fEk6419p0yZeehcgmVveW/W1sxT010E3RjEz6Zn48ivJL//OvksLfcTCzk+800 jUDRAsYoUpSkzyWnQCJMw6pxDeKL0a8gpCVceYr8Wo74Kt7zSXNIeKhS322V60hm0SbuRQpFS02K dkwzt/O22hwhqOwLk/AAGbYWa/ZbmN3I3NNsoKyNFcqs+wtsrw/uJJSv9nqYOhx0wzFlQsCSSDJj zRqFjgBYUBOSYD25pxkbUFJH3Tef1LHkOHUpT2ZJh16iy/TaSyWT0GvKt+0BgyILHoRHKdCszefr 6tumTnrHGGmygEAv+XSS82Gp+er1P2t+axISK+J8FACa75Rls2nz/RXqUZNvHBOysJ6sPntYsUEl ZyWIlJV3QS6abe/C3Z+YLyGatHk4UncVDUkm1I+jqSvV2kZcfJCH89Li6FXXn8hDWpRgS0utDKO/ hEm+salvmB+p5xfAAZUNANvoDdJ0mkwKmloy9oqlDvPPYkmD4I1q+ThPGCYJx+PJikp2ofxmcdc/ awhHcYmrpxGMIWc5anAxrda5nrD04cH7zB4HJTbkfd3CMLylE728xTI+Ba/WCP9pYnB1QYuqroUo l8w+iC556wEHksnhcY9wrK0wVEqbRyDs+gPM/JMcFcQ5EVZmjUvo1zwrbZW20X2K4Tw/FM6Z4e2s Rq3EHQ1DgoDscZMn3LGWmFr3gHODBK0dh03Zmcd18BSluhYd6mdDhv2L0xbvOPM4rY4yX/Y6KAOq eDXAeXsT5IGl6DRERUH82IWfS+xL/dj0VPmuZOAzR/y/4KILlHdALmyeFSl6DP28RVKnTlkd5rGb 95zTNoi2tCjMFNLVWGNg4ujc3J2OyRjL0m7SWV52wZqXo/79qz5bRN7C6XMPakc/rN63JD/2ytKN 0uBW5u9n1VeRkWamcVhkmopLwbikQzJarKLvXPp3byqKePKL1jLsPqLwRspPZSfrhqMo7oycKasb OBvtN5eHzw4s30LdSw1IOBHIxoJ1LKwVcBEIG9eAzDyCTGuRRzbSyQpBPDn2yElwApnuJNjzgrNy VxXAL+TjOd0uPcTzm7FKN/BRI1PXAJOmwp4MGMOkwyF+Sx80H4bU7Yv6Q93ABA2a+5hT7k26s1V4 sh8f73v/Ur+xtqCBt5jVlivfQ0nPHxltl798iBWXic5VaYICV6V864jXOf5vhQWKB9Y8YtPbyM+H MXPxO98LXfy8P38DCQrHK1EdfUl4sTaIdEKi1KM2p6ZAOnwPyphftshImDrS1JaArvBO7KNqtFg0 jBQln+GqQwngT8kCi0RMSOcVgVCRR+DFHxr6gQrfx0fB+VYtTJvBMC1ULCl8W9IsQJgWam+KPNIV YLFnSJ+lzA11FlCxOIoVNhBuqgFi3alpSeaaNvXj0Pmwevkpq+ldKVB//otplyhRzxsG/JMrTVen ZrIXXpN3XmkqNNJrx9p82kRaVsrHeooD4GhmUGwi+kmDIl8TCfZVTJ8QNq1k0OWZQ+c+nbD2ZKuB ip6FIGrYEba3+VkTRjChGSLWHMN33jEJmI0e0Sq2RBya4aFdmWNiwbHOcjV/w9QL9cz7aElYfZJs 1tJMbfJwn13rt+lWaTICGnwwg9wyQv32qN8GvBR4aQe2EfSB66wj1gY6+n6Kr9TYZDEKHO1MBHFN A2Lv5YmeX3bzJgXHG8VHDcLyS4p3buOAK43TT8vpqV9eEt4QQ7NkiS1BBAQ35UEvraRXTSxxUyCe 0HDeq/1DKQJsBYwZ/7SX7ETR1ZLKTqBCr3KrpjDL1Fd3SDaGA184Y1IMP98dYargsRJIzEmENf6n WyOMF5Dn245VGEQX0SCphpH4Pu68EdtZFUG4y1mCSoj/ZRRpINiSzzyzomxqpvN9zppiACyrGWUi 9w4zqz3LvJxVAy28DQatRQ+2jADCLNzrHYN5CkFXtGVbaDx/QitkkGveQWN0aAkOivdydjfbMzGR fy3uQ7acF8qiy294LNAQkCVnnLc94cAB77zQg774D+Bj8YihvR/5kVLxsxku5H14Z3aL/KSogVS+ 60NBXZMWNzJLw4rpjXQL0D+6LTCUHgso5sSBv0ILM9/RgHFgXPrWjAcI1WoV1QrXbO6Sgyu3Yn62 Go9UdxajLO/bCMtpyxwRg99EGybLEZMLIh46rnvQXgSOXkU6h9/HNw19o+dGGKLaVpxwFHuZ39lx eycsCuopEAARYu26AQWupmWZPQcVhEs1UZgnWV0Z7zdueoBzVrMsMC/bIdu16waae9UytL3qSmtP in76h8LSY1zogKfiBO/HMizj0aWGjafJ4+kV4FboaPq3pExv8AccJVbTs3FWbR//wkCjOQ73rsjS jfZwsWgD5RKoWopoD0sIaMUiitQSkTlOeYx6YPPC7DprTU4MvdOkztF6et/1JSHiAGAHhmAHAdgw UBUagZ9QqaTTmKo5VdBWGJErokOv3zp8uXPF0BqEYJcwewbdzfQ7N685E7pCfScFexmpgiwqSUvV i1OreFriMIT5mMwTWc8+I0/2B4NFkH4hA85nWwUZfQE4RUnvtik6GAE/Z0w0S++/U0AAKP428IkY WZNE1T+zoyXv9OQXxXx0XZ4eT7gZIiBXENTB8c6x9JIoXUMgBvYchP5Ma15Z84q9Apk3fflc/DdF MjTRfjXmtWVMevZwttrPObR/ybCMAKzO8aoEot/lmHuYLl9NWZQQFAiUKQBDhB2ps34Wh6o/uBae kbOQQcB8JQUzviVx6exdp0EouB5EKjm26+xHBO6zMiXmkxI830DIzgcmF/v0Viv2qnLtt5bpb8Pb eRkPsaU2uTNfpnY3fRApgYXUvx9Ye+4XtAONTePKVPTC53AFITfNWW8/RwzuHkGkBm8zk1ukdCzf W4Vv7xJsa01Nkp4FHbgzstfGaokm8oQymYJckgSIRm9YhtGb/vwDsR0gLI+ghz29gTSX26vcZeK3 zvRLfzIulvk3Hxye4c/aa4QF1HeBlGygVQTlWiUGaf2PsvOwcWcrGpyy+apzcS8SMp14gI//Qkje wxBz0XuP9qfeKo/WOi9arDT1fh7lUBTXACyXCnV3FcMDtjrTlC0XELDnhivdXKEd1XcZhGENjBRS 7lNp6Irp9ALEAEEQUp/M8MBpjYXhbq8pZH9xnhwpdo3rVcSruXl3yhD+eNsKpket6+Sd+8gv4Ejc AHpuLQGDnTdvXKEZi1YqrMJq+2N8uEgDq2+AMdn4dlVatqsH2jc00TxkgWeibOEFpDD2MPdMUiBY F8mYABLlCx3dw3hk0tCaPDiDCIiQLCLvD6GQgoQjDUmKMYGGffpvqCWRNfwxRErHO3xBqFgmDi4O llIiYOowbUy0QtcZ1vLTGvMvfiDNmUQ7fOs1ZEitfkNfBgs17zqlkkf+lIih5U/MHJEXS9r6s/fn ujoh19HOqa+kFKRrfnsvivI23guQ4qSSBM0RUM0NGVvblquzXTwP6LMTGFN5WOohXu5FIUiWnQ/9 Bz2lT67cP+TjnhP34XbFhbM1hgZkQlVPDTNofw5FyaAP0mpCz5aSyRPsO1wFK2NWY/PRBCUbSSOU dbyCF//LsYYEjldsJMPpNztxGqmHgv0ckXy4cOa5P7TGjs2Pyp/pR7sgw7ci1HhY8Hd/QHwsd2dN p1+fpUm/e2tVm+EXarMbN6E5bCTsBi8aRSqsPQCwGzvk8Mb59a/hXZ+V8OyS7Y1+usnqaHAEDubc xco+Zru7RzgSJvtetRZHnD93Y5cYcHMhEBbTVoP5la+N5ARUOycWz0uE0fO8p+NJeopuGj5AOEsY o9cTcUF8SzcokADxQq/aCQePRXd+fpTv0gfdK35EtbbUeOGZsR6ubzUrjYJX37mEcFb/3WT1IRZB UhClolA/MrltBNN/tfIOMULkUNPTvoRZBQb0Gk5sJwOTVfrY45ggjl63QwwPA6xNWNnKto56ZS9B A7ZyriIvjKTrjo9Ty/Uo3b28Bs6RSfsrXJFd/c4awDpaugur4XhyzLS4p0/65O4f3iy96mLdSfqi JJE86AYIBR5cKgmmixFkYVQ9pwYWCjjSG2Ddj9wEmuoMSWSO50XvEZMBpiXY6S1Qw3fkKyPk9dW8 rjGQelEPbi5z/3ABOW01Xe7aY14TOyMYJkE/omg2nxXkqt1WiJEmpT1qc44xB5j6mJBIPkiMqNkI 0+wms7Q+ng+h/ZbxnsllPidz0GHQR3jBh6IhLGfowmphiMTPFJ7aYt1pdPiLfVbi8dScE0UbpHkE iiRih4KXgiWcpbgDl0cZR05ACVev66Jjs1m6R6pFH5QEGOdo3I4HFu6Sw3S9GQ9xLiyJlnmkY/sH 9PYsp+Zja8MrQir4K2XhkImJOX/ViFDrtK176H+mrTPEdg5Tcr4J9ur8wEoDX4EoAErXnPDXGvYC 1D9tDGlo7wlKr4TRC0p87Y7cR2RPYS8uQ2FEKH9sfLuxUWu731f/0GvltX/s4e/cg3czUc9AiV9S z0bRyawr4qr+FmAlrP2hJKxROgDPHqCpKrJtF3ER5xpa913IFsWFxjxWSZJfTGH0LiCGQNQ8K4Ml bu9A62Y0Sgb+fKJyYRXOlyWvklnq8mTsEc/5npGGrJoNNj2rXysIvg5L4FyoU05zuCT9Ug/TFdlU Ixwd5zGOjBgMqd6yOYxThx4KxLY0F6zxbEkS87mUvsbnqUYFe8bt5BIA5DJzqpC+g5UtyoL3vB7f QleDwVVW5Emzfh2JkRWcoR/Wz7+JU1O5XQjSBthd/uAwDsDIQS12P4+y8RnWCRKHKHJh0lO5Elql kfXzuhSwAmFWX1WplFbOQz7c0n1HNpO6XY7vJpaEhH3qqq6pojnTv9Etfi4XjIEU0HH3sEEKN5Tg a9ZoJrp7PWM8cfNQGLFa0M6SaU65OYAGoskflBslhEEn68WD8KTT6+Z3HLGCjFHRkk5/CAj1jcGn N5FhmLEjUgLvMtBvQYIzWlg0ODCIJY/KkqrefRdvyhlb6zyzYex9JtMWszJDph3A76/GoAN1glJz iG7QPu9tqetS/D+lyGAtWHec/PAgnO9MQcFERsGMJ9Gg85wIZC3hYdNwxQT60/sUDIWU2Zm543fg E9D5JYaF12CQpUZAywE3T+duxR+l3yoiodYJlvzZGP4ZvXx8IgcVyH0csB2PXvkAJpACQJ34Re9s R/tjcTjlF2onNK2JInhBqRUzvuS79Kviqmnz4dJ1J4dV5wDigAmS+98Ej1eFK6i71VFwCzNCnYot 5UPkXaeUhRCxCRXQEFZZ1sYj8+V/5y+upB6FWNQOb7oaRjkd0z0r1lU+riakdtUZpWzQTm0GzSgE t1ELnn/RMWAm9BNvUorw2B8Yj6VfnqkbhdNqGAqA3iB8i+L1RX7kX1fmKxAUu2rxdBrHN/b99Arp eVM8+YhqgxeIVY9SGM0O+94dB/+Qgmnl26Bws89Q+SZa0OUI7P6D5sZeg34otrdwh9UIbWrf0UDy nG1+CsLTOfn6Z06lOVIGVYBzyntCQVuc7Qm5htoJ4+3XDtMpBYKA7YvtfrmGg2DVo4MaAS4r1+Qc jQGzVt09QzdZZJe8Gs9K+JH5MODam48gpzL5mD+F5hu8h10goXweO9ujUAq6ZTxOh6FJ0fbaiizv 53wdLM6xL7hWnktWTSFJODg7GHJe5QNHBY+Dyc0tEPEiABOrChlrayqqgQOrd9ppjoAW/0A3BjJJ TOp0H5l05al81ZvDFwNixzyGpzDIO6XpF+MA+h1lQh2UZepU43ceW+l6FIF62b77695xbgUlteLL +dk/BJH7JEKWU7vUSlWeqXBlC4GcEj8yNXPfb5q9L8xck99L3MQ1os7cEi67YoC6V0FSvRqfFg6h JstoAxoDY6y3lAmlSpGP+1ijo6awpJjNP9IQHRSDvSMVg3Z4sMGN3Rrh0S3ULOpq7Z3sigyMYGWG 1+0MqDokrjml1ipjjDI4hYLZxJKt/eQHHATbRhv74c6xvfLDDoYqRs67KIDXoEXrpGWLtYYjqV1v Ho6uowUl7ku8BpBPj4kVgC1jOUS1UhN8MqRsfZ8e9hmM+X/gUwMckkCCl1pe9h0YVVRZFKpEQnbb ufqmbGZ+5H8fag4IjNWF+jjJOqA5aAlVbc1Qc0m6gmWUf9xPUrHOYbyDIfDYsje6e80Bz+rrPKZO 2+P6kCGizJ4QhdhOxem5QQmuCXe7L83BcR8L5kijD+g1G3Zmvu/c8HY5fbDD9UEKlItt7XIwFxA6 R/UcMejNEmbw6Q9GgKD2maxt/5iT+N3xZ6PcSKtC13RaY1gpEBDUKYzkaanJ8DJWDwu0qSp3O/Lu R0KvGIy2YFddfHmixNO69BETlfyfqNbktZnyKcDJAFKy2aJ19VsztTRHqAFAV0dK7ztZHSG1dG3z /ZVVStk5zkiDkkOEIl2KUr3D1VKqBm9PqkknmbpL4J+QCKwS4dHT49iDYZfBBJ7/1cQBVkFqrWN8 uaxoM/Nu15scU3NtqAjFObt/yruuAvgzyH9K+Ad4Ru/pjOcRG81uvMh5cKmMcKhOnlt+UVxertQs 44Qz3mK2cL+shgwWRVle9VEbBh+bvDYBnY2h1mCSD8AMyBobIqLZ2G3X0n5BKbT7N+kci+u62rQ+ /X8amCkDd1emgXufYa/dyAkPdWETPuNGY96MFUcUOwacWPJMi5YdffMPMeye9EYE+5fwaSyt52rC uecVEY+MNo1yFVMXmE8DQlQVD58uNNzQbgbnotVTstbt5CeKFqfGw+ku2n74YQlbPdYNKPlbrT+k fOpcv+d6zdwwLyD6wYP+MBELV3ZTC7yL4AW3J404y2zC+7dEesF4rKQBEPBIL5ecrg2Rr/OFvO8D B3+SwpAcb1mog8lOmm/34j7ooaFuAhIE/N7gW54tuv4kq8d7uv9lEmUpwviOdFEYFS+nA67HeeHg 9VdJbbdx5M+olfhQSM6Ek15ewx9v1YQP5YNftrPS1AaEplsKA51oedTuwCiIBGL3QHQWzVr1tjlO dwB2dyCNzVD/MWz1fDgvbn/I7KRBkUkWdVyZxBZXae0x8DBk2AgLMvMzLGh+9jFXe82IoE97Sp2h yW1O4tZHxGbLpVxItINdKQw44oddaXYB+iBguDlBvky43krqCjbvsTOaEq0UFDaztzwadK9scDul nOYObELELjniKBQP19U5WFpy8xT7i0EmlvPoeOT2Cbmf3hIX7YladQPBgEeQi1zaOORjXsgrW1XO WobCmhZ+W6ylk+1pc6uhV5byFD5D+ebha/gEWHV+Lrhj43zTKB9VEEXTzptAUAZeMRECSZHrTMRb lSslK+4ap4lqPoxZnFiL1+VhnepL8LE0JAEN78hqtsxunebaGSp2GL36kXJr0KZ9y7dJKfC10WzN DI6/CqOs2XjIDIxOUUdgLiSAcxiSL6dzihPrQsPOArwnEpl6kfGjXvtniUi+hjp2WKAh6giU5Kdm XhyYXFSFsJEI1mUhsAzQVE7tXE6zl/iOfXj+64jU96PW8JP7hftHZ3/WYRN7ClgLK3lKyNsxg+74 UvlO1hEh6d/d3JRETohLkQ5oBEOQntc/YtMlH5ypzoGHDEriUX9wWARYbGVm9ordhSZBxF1ufkoX C0IipSXUH0FJ8XSTDVK+wfPL2PxBlUOxuGb3IsWWykEQD+50ol4znxjl1egSua8JBdlJXfD19lD8 FBCwHSiNndpCTVIfTEZE8Y0GNerZ5kt4vX/bu+oWFf5pOzaqJCIyAy6gEbY2xQozAsrzoNHkpvpf YE1tYUUD25hyXewl17H0j7HAkBVwHnVUGdyVQ5201e5kiRRipUL213gsf7QhH0Iprik1EU9KYBUf cOwTxUotZUkqWMegshdtd1X+MEMhx3g6uxiPIyjM9J6GIZP4mPfOx/tpC6AQXftms7CAcLSzHlu1 bK7moQ55yK03bxr79ee/5g4gvPETDEM13rKLPBMPbttN0B2qHwvNukNTNbL10S1wdDrzRUPx+gEJ 65ftXD4zomQqs2TdL1as4280Hk5MjK6BkxKYo5YBfrdapWgoinBl8uk+ucDW44WEdSuYj+OMo/un DEHbC904ouniup2JqFiNQstVzvIC+fV5XrMHoMwPQIonkFR345lH6TLoyoGuoXToa+BOoNJ/PtiS b8k4tP2D+nHd+IApzVJ9N9fYhXo4TXozMLLA0Y9Awa6FN+rnwjHHW5ODdTMGRE5ZSp0t3nbXhLX2 2wWXPE8Pna/RrpxIpOxRIAVF96GDXG9Yakd1im+WdK4YUgNlFmLL/MxcguegfIbaDrFa5sRsexaj DGgZmZtEjc+eQbWCQaQDDsPPAk9OgcY8hIaewsbcRw0iHyFxhO2neGCmjD6ESDJPM672/0HQ1qb9 8FMhA7E4S8xRhEZpNSFAOk2fegoJZrx5I2i7eGDcDr8M9NnEv7mVgzUhr/c1HGKKMoq9GSzNHERS ZTNKqpI+GbMaH/7RR1mcGDniYAFoo7QZmlRVDlyCwB7H6YsjdA1FXRogLs9sK0GiSnbtGy9tUJPr L87eYQWOLsiUPJkzS8Bi0g7Cq46Kefcoe8a3/+vjqGVoEOvT2YssNhP+TaLFv188rFqkCZRzm0g3 +6ejmUkWhWSbN0V7/2lJmp3NWvuposHYoga0EXEmPsdGgV4SrCZModqzJotC0JvCuYpjucZb8F4Z QknwC+SV2sQSUSy+o571CNW1pJyr2T8YA42zfE1LCi4H5DwL56KgIZYtYaxNK+MTicDNuVK6aCcm 3taJXWjPgRGBpRkHJxkw+h8dcdpp6QTzng6jWeco1flxGf/haVhTUxtdjLdpOZsAYrMlmxvb+34g XNDnAXorTE6b32OrYACtnJCYFQxaxTUNG6LSVMx+noMRa0j9batCMzFqYCOs4wv9AdxA3zWAPeV2 H7NCoGV5JPgamQQlQmrnBI1pJpNAYamtu8Dxo7yL7uaZtwAKzfqyiLwGFKYm4qs0sKmGjZn32wSq N4ZtmKHBpQUOy4nEuO+Qentumr7Eoaa+p5sOOBJtAk+Z0QVkQE80ch6XRCVyFI2gReJ7GuJNlkIg G3RCtjBZiRc+HhcteGcSg+q+w1oOGE3VXRADelFJ8VEufEi6clWh42AAOqDUbTWUnOIGSQXjXdVA QrHWTMu9FX/8rhrwu+odm1u9B5EpwDzRDO65zFf0sI37zOPU75CGjetRY/4mnq2G/mR/wRbijyB6 XTIAIODR9AENmeizaouWzY6nBKzAZAylHlpCb4ab/rDQMcfzxn5O5Sk+JdFB7YlQl05w0wnukhLa n3A4TwFpFkVkK2C63XKyCP0hZNJjL0/oFxX/6EKZc5rDaAoS6v0+7/9gYurPeRjf3gclqT3TLuH1 C1Xrm5xFzsJUJnR9jjL1r9PfVKSK8qwJB2zj8yv9seiTqUB6rXCGtG6eBfnKqn5pPvoHKOfEaYm0 tpBFTafVTLeqgovNOjCaH86VHcm7WRVU6rmSKPv9dAOFNSYfQ34qaLFxJPza0tr35SXV5E9WrXOB IRlGW9KrNniEAbgnTXXpZwTCIa040CaHSJsjTqlTM+JNhLcMR2j++AlI4A5r1rYEfYAY7yl5wpnQ lNo32n3IBgo5iqGH4CipDVx+lzVbJ8A3JyevVNuBf2qbL8as2ohdpexLlDWZE8hbfeTdoxUhHaMV IbUobkhkPgr/PbalidJz1QDIQTf+BjyjvKCPI31a6nuByzwaGNdw1Ri+RRurp8PPDtlODT6Q0aTq bIHocra3pD7fuy8QJF0P1M8UskBZ10/z0C9PgARIc1dM37QUtVYQWX3/Nx4gyp3vWa+/aLixyUMp U63uyWLA8LTwnNB6lwUT8+QaKVe6ufRyIhrtvcetVFvEprL+QBZVbFjER/d15ISHGpTZ6LjEYVlU HQ+t5gimGXUcv85yuQ7STpIdF/g5rNO4Zy3flbvT//b1qkrEoVvGzUZqW/SwOMdMNkDVQTXucQ5l qaR20UgsjvFRrPl0VbUj4yUNfngtBsZc++ipmWKJrapwx+CJWMENccFtgyg+cgGnFmAgPpROgAx2 fE2yqZtWGwKohdCewqGYBmxW7oDnNgRn4Z4LU+DzR/B8rKEa/HH/4rNpIgvo0sDRS1Z/KOzrANRF eKPK4W57V0Z6cZGkWNN3Y8ZrJYugHseNzhfszIckbKCt5vFvQS+uufgJXYHX0dREF/M9BFJJ0qwE MqMjF16oGDLjjr2BgaIq5n+tWnmfrsVKILaCyWDLHzG8ZSg9GzllPbsarHruSqtiLeAcjG7FKR0F kTWhJ/FmIA/1xOlUEjbmz6DtIjtP/vgEjee1iZ12VrQR/Kx78RMwM18y+dYPwVDeVkrgklR2tKen 1ERwCR3sDWgQEHrU/6cHWPY5WK089L7bmubh1NmU3ZtgU7RgTAiedG4kfy9W6JvABs3JkWYNAWaT WE345YX1aetKisTU0HoS2uDSklktP2Sb54sXeaWh0/LekTF00GWbuyEFXCR3pUoJ5kSYhPR9ozZ7 gZxmyXkEzS196xL4Obgbc9ap8TfVTzfB11WNxkeoLh2bNWR8a9QBl7cvWE0Bap6qF07PsHG/idBK kniCxm4IPSCSogpxu0BOBbQpUN7vnMUQZM3DX/TelD6lQnCxOQgG9hU8K9Tk8YDv73/iiqP+7/Qb ZJkk2+vq6DNN34Fn6KXP2Fky/IrUsF4427p8AQuQ6FxA/S6Z7idxpbmFTGy+0+qk13iofSK6xzXE 0T9S7nrL0QWFlDr4UjcYfR4wcGAs08MpoEPtRVC9LWbZuL8f2DDbmdVZtB81Y5cmdfhKxbA57CZM jxdIA761jMAc1nar7+rVwVgTa5SW8BkyR7ukuw7MMN/Y1tS/7G9Jf/FZBXZapF99ItYmo07nclA3 0PauG/S/HzUuZByifT/F7uEjqTIpkO/NrJIbksGGH7FICm7jWtVNC7ZoBpSWkiKKFLwn9KIN9hNf lqlV86xobNp+WOwKCcRVF85cMICHdx6zlId4NsAxOq54u2HWAPZJOqrbgmtoYMBp021m2e+PZrSF MPt0fyHd05v0VusVhoL8uGY7NYyJzPyGoafWYGH3VzEkQaqs1R6ga4pA2SsOzNEjaAmdJEPRzy5H EDq0lRAVtXQY039b0rsYozv8i6iD5Vg+garXcL9eK5rfevCJSHHRLBV5rfxoIDSbP7XnNDjsStuH GotZjCSVszwW5nS69K3H5P/2v+x5DNlezfpmETW9I8ASay+2S9+VcPeDVEDZbwPhpKdCY6Ptc8Sa 0/ywbfuLWCHDcEbZ21RLCIIe8zV9s88THCIYUjzgoowyESwsOPenskV/fNRAUwDT9OhzHezoSTH4 ZhzKHDjOkbOL/Vw4/0YtbP5hQyXmf5xAXXO6/SkdE2mpA/XTqwqT7gclmNb1hVeNSZFb4YYt5iNq v6d8VlCe8F+FhbDhXXZU+DXB1LaQ3FrPObzmlKw2/ehIZUPUXnvJ6o3EIL5AUHz9etqLu0/uGKK4 +RmMGCyF2vTmQbWLKQ3t9TsODM+5vKAVAmQ/xLNe0tt/Z9SqlFX8UccQXjlZk0TgzsshpKGaUkzI eOueRxefsQo4EDeL9B0RbbWza8IAK5w/GBMQ2QGt85H8R9SWF+sdg8QsQIvBf1kU0E5CC/wMofVG ORY7XLkDuOx0aoMYvrE1UMx6PAewVxec+lK42DrF+qUQPBIr+u/QgY7hizUkIyHykTymQnWOpL9l ld5Eom+xHxGZFoYcHZiHF2YifJfI47UT2yKiVVSzix9sQJL8Mk5PVl0maFoKlX/HBkwKF5/MirjJ 2LlHIeCnHC+yhoGT+LApvEANYIJEpetbMW6nUm2jLsIFcWxOT6wOoWCLeTVwdJoaqmYfT/akwAUo FJSRwBURjPfezROH5cEwS9yd/apvkknkz0vw1go3uGJ+D4+wECrQ4qS++bACHFYP18UleaV1eMye VSMp+wbZh8BGaLMOsS7l7bEeX3/UroNvwpzkvdawaZo2nuNb0l0tVDDsdAS/cZBlfG4d9QA2wLk6 7TABMtOCpiaKqwUuPLZ2kz07bK9YDBrurghBAubfBLZJQlvGDpbgc2EA6D9hfzxYPGmxI2S7Jmo6 Hjr4xC3GpCmqsAgv0vLFRj32TFGvcEw/mdFLrSg6B9KmocnriEQJf+QUyyJiBZCi86s8XI3UudP6 o2N9+Bz+kUYWSLGwWbAjxg+zktEHLDXc/aDIrwO9yJ7wHXKuhlugHO9ishazFaz7JNAWh2MM7WoS 7d1AGgP8umDb0aU1ylxpieilD0Qwi57WfEVMsNqJ3wbe7SiHihdqDsycILvylQ3NxHh5/lTyeu8G xY7YZDP8IlNu4mGTeW0cWY8nj7+gF4c4mirX6bBOuoLx1y5eglm3bnrmlU0C14aVlK5dVTI7HMGn mdNtNvm2ZicNtlA4gTwNDavY8mzDfGukOXXOtC91unOtBiSx4zll2FUnKgElQqi2Is+eBRGNfaRd xzawqjdEt+Eh62/UMadpS5/yDP3EUnWx3vUQHc/4qc5FWiaaxsmLN2hDXmGWuUe/LvtfPsGyxPS8 86WY5TJeTkMhP2kV+xD6yuJJ/FtsHBrVZEtQYRBHPnmyK5aQw825b+EYcXrCY3F1hP9b1fiwgiLn 8/uztB44kQ3wH44kn+Ywz+dnQxaCJFR2sou2cLZLQE3U6hWieJgFzX3EgAN5DAo1AYQcXfmU3+ww 75tIcj2JnKVWA5t/nuFo6+ZE8mS0LP7jPlAyXcEeISuP6W38uqhSe460PAW3uiB+VjrwRMRcntCZ 2a6zmGb85MSqyLZeF1z6lCOHcVJZ0e9apzVrgGxAZULjqkPraxnceLCC0Gn81NMV8V1lsuzhS1kK X6PYNVmfZkoiysZhT65Gn0gP5v282M3GDlVv8mJXbd8FV016ogIC89c5g0JK7C9xH+zjAptNVS+Y vUhHwAlODLQ4Am543martHOeOhtzoKKS3LE7nkPAmDu9dwFgt3/tevkgZ8wKBrkM5m/81pdDRgSE 878TxiyDGrPgEyzn9Ik4VMnA6iU/mYJ1iwb1xWplEON1J5/M03HogYVgRPP1TJ7COaiz127LH1OP E7P7QftQ1uikb0VNFty5WMEF3YeAXyTi+1OMDOlDeRB52qUP09A3RkI8sLdOHO6vw70u7eX7gHyv SmaAicmvMndMlFeW7ddzgibPEye0XsCn+dYnmSMJYuPhLq5lM2Ud2Gk7jFxaKuZ6Tcmtkk7Mge1U 2mCxROhs49NHYYjgD/C1SjSvFpwqVDmGkGVlpCucKidV00lGK6PJLzEDCG9aETuL+PTCZ7IFyjfe VdTdOyKmP3oEorJW71nTFCGr42ONLrxX3UNjE0luYArGkgjVwix9QglG/kmOWnLwZRdccpx+0DJf yFgPPSIfq9phytD3jETkYiZazw34P0cyuILNc0iOpbcjgFNKPbyd4TX7Wts80nZBzZHHQUCbfVXv TNq4QW+yb5zTrsvoEH4EOxQaVQZUmSAeCKHraHFnWPBI58ahSEp4onuIrwhB8B+ACKILQLjiP8+b FVTtems2l2F3254uxxX2Tj5C/qh+8NZS59JaCT7dgKVZ+vbl9yAh3CSJ8roi++xMGiGAjCyB910o /t9H8nHZxZRXUuFw5BMlA5MxRjAjkA2W0JFZBkdkd75CTtfDwGO8MxFYzbOhP669JBuoyeMZcsvD TQgQufA7AfGWyR+e6+Ke+Npa/KDmIks2Ruk0SVEOigBem6c0toNx8WH/+zuOn2212iXQGUYe97Sb dRcYhWMX1WQ3oiCh6Tq9BHlBDik6jnLcb1+11EJJEMSPuAuXvRSWmPSfrcuDrswFPq5e1MiMBNXL qFor08OW3tzPbK+abdReCJEhs4rzdnpaBI3ibR7cubmhsANtK2kK6nMcuQh/fcMGf6bBuzO/v/Kd o9pP2jNFQaWiXt75GItqu6yH3HGDo47Bvsem+HCPWTrlizL2cDyuGtEgfjef9OTGJ4OG5ifXM8+u CAfewd2pRxbUIuhg29j+L+U6rC0PhUzgLHUrmp/xTnOmFGnaYCfuFIl2B3hQHKyStZv72su7zRT2 rjGJ2B4c7po5wx62fRHouw7nDYizLhDcbpIK/9/z2Nml4C1Md0APMQvdKO74uHmvfcaJO3KVBVbX 4EUVYAW5aLH+pXKBV0mxrbEpLn28sIpH1fD5YroV6B/CkVLrt4tZioLx5aPczqB5Zrwz5zGksi2S INYH2FvGJ/kdIGV51M4c3dDysljqlvOuSNAGVGAqgJtK2QEkwKLhzLQYcb0QoctsSfQLXOd2Cr2O pJlItNDIxKWSnp55WVBV2BOeEbEO35JfIy4Wxeek67mikfcUq78i5ngJcglNt45Al4huOAghMCTg cP4P2bpVmnKUWdKHcMN1A7z71w+ps0n5gS14grFHtchZRISXnPS/dnNM16RxyybETYqN3m24ZueR XDZfvdUa/KRATzT2hp91BQtNHkIK4VX4yjDTN0QTWPGj9jwnoyj11pmsbJFbGs05Di0TkE6pjrKQ 253tC0ZDdRpYsKI6cswGdCqoerp4Om/x1DG4F/bY3wR4/Mbpbsf62RFbs291tWH3cdoiQiLo0EVD QNezWY7jHOwo0RMLKmkXw8tb61WazGS81UDmW91dHSNiTR2RiXoDncFdosPOhilW3pdamWXgTqwm 4npJA9pX+EPB/1MlY7upghJ7uM/yXZRCYC1miZAexHDla9q+EaHoIHhzkzmyC+6wa+UogN6TdwJM 33PW3PuTdkeOQ84eXm01PnnTtaY/pW39c1aCGV8KeavFT504ebfyq4HmSk8IEGrR6oQhPWc6xrpE CRvR517pSg1OoBXKBE9GLMdQH6MabOIBBEfAnJxTQgvfXWfJS4Kx2dqLhRB9SMyuPfuJyxGe9I/k 3sJwtaFMwQk9rPE/z8olmybHT25pWL4q2WgDvxJjRySI3xbeQaMIFAgX/ehgYrzFkOETQmfOY3K9 jOMQoHXgU/QSxoHTFyWjIOHtvrm275KUZmFMWSzr6oIRYMMXgzKH175OjaFgQNOtqzz9WR3DCHJw 3AN3ZF30T+khs/Q2TAop1AJ7u/KdadT2QwVPRp/IIazUFayqb31JogYdDZFz78eAGnFul/hurdKp AZ+lQc2UHZB8XxUaLjUJA+Df64WrEPvdCPxrAWwXXCzs88ou+zbQTarsntrvKVpZHZQdDtJS2O0h e2ghkjZUsGN88V67JUTLGw8Al9UfSG54dXqz4XbHRwfblbQVUyI/LWP2d2V4sSx1PaX4tDP94+HZ bHIXJouUTdKqFxogZngE8+2Vl6kPvF95i8SewmD80ebsAvKEYsM/fG2BTYTp8ndyB6q+Hai0d8EG SbpNjcbH198FyWpJNbAYz2WNTWUs6lIj6lhBsMwetj35Lt8tfq0rdCBHMfqD8mcRUFDnRTgbU+vP pJsa3wnlsAoiMHTamZVAY0cLXGDwcgYXoYz+BcL0MZeeN011uOFvHMdxgun+A0y0kTr5Ilz3DxFz PS9pysYAA2iXw1fqzp8ZJOh5NK3glaeqBl2si6/WE7iGkW91WFnZv/oEgPP04D/H6WF+Xh6t5YbH TeJ+TsVtUvQ11hw3Fpd90YKIEN2ldW7yj62MkJDaZsIyoBAiD/9X3w+heOrMZyX6+/MBiogsMZYl 9DODCevDD0s2cbUOGiiZsdjwT1ZK1n83kbYJuaSfHgq29yY+z60QSdmMqy6OWC90/u6UvaBxMhvf Z/wd+qO2+b0c0mIko+fFKobRm6TDBpivJC5gshBvzewHHjSEyOmMCngk9QGYnx8qdH1903n3WHfK urMakMV9aLELt5dvKmQPZGZkxdtr+mJS3thNP3y3VS9M9J2ewNkgseVpljSZbpJbRNJep1vGufGP NdpAyCZi6EJqx7AO4mDkD0TyKteLZojTB7wSZo49KLk/EHOvIFYVxNWNd29TZBR7RwEwFVrbz+2q JOSwSrVz8yj3UaPi/qydzSzlMtHEJT7H4dOCxTMsy+e8zGS2LR7S+uK5x0kjdt33vrTBOfpeFlBe /MQG6OCdMhg23TbagTnAmTPkqzOQS00AtERxXpivYwxfuy7wP8SsEhc7YTbzWiOfdMP225K/kuH8 X1+pyWBFWfDLN4nk3hAMApDzy9LRApvNkGAeegAN4jFIwbJ83bfKUtGd54lwuTSK+VCQJsdRy/io oYmYLoFC/jkHjZBPyygzZY23DiKYKPy4PHJf1pW9NBcaRDcnT+gbdXrCWVf80bKtw0oYGpKxkgCD HEOftuuJ6zJwPcyYWFXZ/k+KbMQ0aiEIUYv1Qd9w25uTi2rNAKw9GqhUL8P0OXDSdYdvOl2OHbRh EZQJUxeCgKnBdxu54PA6usIHwFfGyrW6kFnpMabu+/MYUaO4sCEjB3o5QNoed1UAMCaseYX0oSwV 50xj4rDTURDFyyCkc9tRJDldlt8Qb46TeAYiSQ2cgYRlMgnSRNmfLx7UwY4Y6cNw/QOyVqSIdLd9 3E081RQgOBZHiVwsW1ol99SPEtNXzM1lVIFFS5Wca1wWwxIePFBEpb5kW21I/Y8xgbRl1UknFDbg PM7fvedVATr2S0kUcs0VxL4y5zbWRniKrmEv3OkfFj7YaQUIf2wy7+w+yWTxnfylHW8B89zlFmi5 VeehOjxvp3sxQcD1I5scuMer69DL2NbIHq4FubEqe730dqZPeErbRz+xTZquKxb/TQWRwACUw7V5 4E/zouf/Ozofsdavtppt0viSUgzcvwtFzPxyHnRUzSOejyvUGHZ3qwlPhj48vckqUNBDf9qEc4JH VjsuMpnYaNvFFXN+yfTFau1CldwvYHbDD3GaxrpWCuIkQ4q9A+ejKFO/HLRbv3hniyG7SsK3ZdFa Hg7MVEsoO9kFOx2IEo63ZDQM1t1h6QlsDUbbnRrBNADxnartDBY15JbUuQR2wjDJsD7F7jTxMKpe DSR1dGG9xVbAlek9LWOQJatXacLkzR2R/t5qsM/pMo7tRVhYghkOaUIC+4dnB1ZFvXdzhv/Yhs/4 uPxughnjtqq8Bf1fhNpp5mvfuVpz4eYF7Dk0oDmuVTXs4w6Udrn4R7pHHYtMep/V8sWqpoIhWPtI k1f3hostgs6VAX3kMyNjPhsA19u2NpvWt3PJ0Is393i5ixs8+iewY9xiPNsv1eg08Fjqd44k9OfA lMP/9jG/NBbLKRvYBHqhicI1gyoDY05ddtNUsAOeoxGSDicVXftqwkp6xE/nI5sOpfYlS6Toh1T1 KIjjqAS5cBXe+ruBcpf85a+iVZEnr0jvSwxNbrUHmhzAkDwfRnq8Q5IK/bbZwU82w9jZt2B3x7vn 3NIRLAnc7BleAY1ALi8XMZgJMCvSpD/mazUGcXYSgXMFHCpWbUpTSjwMYtWjwhX1hfs8l+gJBfEr 84yi68bCYjFgUw26sKbs9tqbmdwcVqxoO2JrsQdAsrmoNIqWwZQVJqRGNxEbii5Pp3AxG0cFixTG jQcLmJ9vQ8BOZTq/UFhXuvyA5dQz9T2CnmEgscerDJAXqy61CaigI0NbaOvq1Mdp/8v7KLWvnkgH luZH61RFBB9e+GVZKxQ2vSzeJKacFyLfLn6zJgwXG6CA/OWjuzUvykmoNSfgnaWvB3tsNYAsE/j+ SDy4t67xWron9KPvb5hRni4/uvGRcZmensk7y508WD1nVpQwZSyNFZsIXm5t8HVbPtQjCNLX4OM6 OM/ryXvB8+cT/F8YdbGL0lgtqkQ4fLU0iZWy1nbMm1FZvMNUxEfk4+pkaH/Iid7Mh2F+0zR4y+5M 37XWCQtohkQQBb1MCHF9vqVK5mi8fwmPY7ULK6jWV7MKKnhszH1suNzCVlrhUonxFXxqh8Lm/Tkd atCiezKUQ10RGtxhOc6HZZV2gp6N1xeNm9CCl6TARkhofI7y+eT12km/xPQVMO9e6qupHcER4Q61 8sfCrXszVWuhzR3r52HC9k6PsJntI2yRrq5TtrA9ezPsVDtqoE6btKugj/W9IqVVWFzdVbiO00fi 2P25D74+XeNLfHUn4aa609vDg27/ANqNrxVklRuL+sDj5Ld4OxZhBtRQc6rimCTRB5WWLp1ULw8G xiPEFJjkIOCwFMx6NOJS0vHUKXZXhzQEiZS9YUoKU24+FPzfrquwN+OipvtMPqEpPtpmNRNqMIVq 0eesPn6l1Sm8NUqRAFHLjWrwaZg5cvZzOdsmlOOWprqB892/kCMy6Y7VYYdpZo9o7Sjtnu3GK9+3 E7nzLWq5STxNsiLFP+xi/OuNX0uimLXqy5PW/8TJdPMq3eA1LTlsnvKAC7Rn/2Gk98rXFVxa8dNo qo3SlbGwkupJoy5cHPhlvmVgeEOFPu69XL8GueQ6wzlRujs/DtCv0QroCoFSIsm6rawAmUjPice+ 3T1nSjkWjzueCQr7mQRiC31aPn0fvBk5qyXrCDFpxjqbkfvxvnJ4JR1LXJkWfY5z4k8FiKA3gp8d 4hXyd3ub4rSOa+QnukPE4MNRDPNHnkLTJSH2M9gbyzB0hkI3ONrQ+hJX8agINkHqFAoy+aEDO40w XEZEQXJWP1gwMv1IisgEaWrsNjzKw/wCNERC/it6E7pTrKGxg7beSuh4Yse3KRKFHzpRA8CpLxa0 zFWRmJg3aBI8/xVPUHb0+KaSSahNYMkuvWEecaqfWXV2zapiYFUYetXOiVcmzVy3B90yOkCk/7ZA DKxAfOEl64Z4u/sXWJQB12OqlNGssdrgnf6FsvbrINqxyV7Ai3+tCc4jmxz2/9Xd9hRbCf2tKw2y BkgoPz4Hm/nTRdSj1wndOMu+0rHdED/U6CzV6ASgCP1rasLaOJ0gcNswARAdQ9rKtDlHZzWGtDjf skdrSfuhLzH6lgXi1phtlNVE+Wd/rVWB6ZG3MnawE9tbA9OFPIdgCdc8F8A4lFTMRr/JLfT7N/BE VRvNxbgDIbL7iL5mWd8RQ59KOftknjkqeT7EDNNvQXyfZZb0FVIAOqzed+5mGjHjU47HAvbD7DCA VGIEmeY0Bs97zQdmaCIG89wVdrxsI5sYtzvUMqXBEPG1wUuLFC8knFuxar0FBIti5G3lqjDsSAE7 eUF1H976oo/7Q5PD5NOxxQvc2Uev4vfr0NM7hDA4y6GIKrda2n4YRUgWF3L1e7thJFqs8nIDZgKJ agdcO2tbFdSvEHgzlVp9PqM1JjxelmQjpcBJo8qJkWn2Jd/JJuGlNSyTa+KyJflpyTSiUJJJ3Bpk 7rgX72uUsNhQBVCMpKpcilXLnMgfvPnjB3II4ckE/DAumveGKvW7B8Yy/RMHZbrRpW63THzWfDU2 nuE75iOT/AQSFVLePFZE8q1VIyiqk6aWKAlIbLzA6cFrn3KXwTXOYgBNrX0wZeYTaCPMtUUB7W28 01xHSYp4vBjPy+hAquJ3m9x3+II9GtswyrwZGCZG1m0OfLUb7WkpiQmYA6ZCy55LsNUHpIujee0V LhnyiPGksrXqNDeLstWBHHFND2JndMPu/08qHS9Rj9FbuosQz3FAnPPkPNfFtdg5M1oXNVFDOW3+ 4SkRlOOUmRymih5woxgtP3JmZEZCmxWQ3pOmfKBxLowr4eIfnxF8DI+jBVpeKFdAtFs1LDOf0u7J YUP0vEcBVtSUJzXjIQ7AEvn5tlc4wSlK3Au/3xVQK006gn/jRFFBNeJMXsz4VarrQUNQrjdtpvFy GlJvwFqP7BwmPQ4wcUwVgoOYCIzOyJqUsJk63B16IQ5TQvL5Wdq4LaAVM+9t49WvONZiLNpi4+Z6 EVQOYcIK4sYRr4486fKvPDIb2AKI6F4eUstB796Y6T9iDF92Xc3SI3oSeg6tU4irnJan5jrKty+V qp0nznWg+jBnRaN0pBLinODP1L5/wqefVanCwsfsyI0f8nrZebURq7oFVjQmtDaM3Kk+xQ+v6a3I 4BpgZ66Y33hQlsY+5zieLpoJlFBETV4sRU9z6a2ymekQ0MKs7b4lNT3jD4yz1G0lHQZ3yrBhf9dO Wf10KylO1jhKUi+RQpz6FRElqJBokeznX08zcFOqAGlEC8X+wXGhDY/kTM/d+4YL+b07OZYSgx6b CTrKMFSaWzmGOQzE8bWP+tLIQg7C1YL284GPNLkATPHPXRbXy8g6NU9X324uPVDu/kQEEtihXw6X a+Hm7t0O9C9lqCxnmRfRpa3HhrmY3XNaJYYAjFGzvg5/xezuGr6rzXDxXpeqjrPBf/l+d9NDg0iA wiC80Rexqe5DLVLnLOFJZCLz4q14HFiFdAG2+q0r1YrX7bM8T+xKciSiNaVZa+FfadLqOyhYyma+ VnpkEHQTBN/dDFNU38WMEKJNw3M99Fvfc47L1NtUOxf+3lWsbJ9/JagrzDbDxhAPAzcFvB3ilNox ssAqqT3pm0gm4OjxNGi5cRtQX3VN/GO6yWbtt2cg1ZKwJplUv4LEdv3e6kVsN/7sKLMU26lHLphW Gs8gLgRknlF+1ZdKdYLPrnsEuUpb+nnVTP6FYMZzz9fX5eQ2ASmv35vKVUIjBEZWEmETUgykW74t E0NnGSO1lVDIidiUBeQHaGZJxZxnNNylBzyAGcGi0biyfnMC17FF//DmHQCRuIZhDeJ6/lXUmHtS jtE4XZKJs8RFjrj4AMeq0ZNPYs/pJ3vICTsz7yBXlEu94aD3qFPftyZcJ/l1NiiLzNzP4Am90J98 eaKQ3UA7rw4kr6QkbLfOpffuZ2qzv17L0jpTukVu1Vi8Q5VyiclHYspdLOvQ8CFQyL1ctKqye0k/ QdQ4FEk4XgD0LxsT447PYrgJfwWII6vfCsKbN0m78RQFK+O649UUzoIswNTIBjkvc/wO9gG4Kdt/ W16jMVzly6X7GcCz0Y/ow6hfb8tTb8GDy42PXBoDYmGBLnxOz23rVsn2sx5Vyj+FYqeeGlhS+W7k UcunwbfZJqMwQi4phGvMUfehPkiJxVxKQCik16K0+TyuZnPUKbQgteuLIU3b/ACRNbCfKfP72uLf ZX02g5y/O46rnjLgESKgHDs0RJVw2ApM2kMQbq9zxspbu0vv31Zglxr8TO2QJ1vokL9d1g+t5svt rPO3zfdtspCyy9pYxqSta3JRsvs0MLMV5655kmYNFF+CYz/NCGEZGYTexl0CK/Tz3Wsmkrlcia2W 1/AaQE2t5uOrI92d7R9Zab4Tc3FIGSy9YLb+eO9xGJrEezVCaE9tPFlJ2oLO5SZJb9YXry+ZkdiP 2KauriM3+U213DdkFskAK6mOmzce1/gF5R37pPCUXj0xEwhiN2OvCncTJSyDwc0yDoAdLT6QuuL6 qiAtToPDEkis0RVOw9XArvnGB+OORt9m715cbcstBGU62Ap778x23A3IHYn0ybOgKy7KxXxRKfO5 lrkTVJF7wBL3OghHKlao38POPM0F85NDky77DGZpd6xHGUUHv+CKz/7a44l7SG16cYXvhA8llphj Bbmv15gjpSVFIXj2G3qijdk+yXdfc857PsqtGpS/qyVl5wx+yhwhiUZqVmvT71x8g+Bt5eOAGV1J VmyVnq7S88GPgOSfSc7qGGfJbDhiQqTJSZUF0IayiIm0b3F6KaEJ5UjythqlMavn8ibNC96ZnjIQ YiVotE+Pjfj2jjo6v6OLKL6hFPezlhmK0POn8nnDGa7zNnRD1ZT/zWK5DdsvqmI8H5tt6T3sROnK kM9bLqAAH+cam5se8rznyFuVUSL6uXNflcbJI7rY5kZelP9YABRVAPcyyviYjhb+cbImuNCksCeX KpvHb7EVXUmZFSf4p4ZTMzazPU0jZruc7V24DGbdIXWAQ2jrFR3ZJHdcKOBYnkzKtDyhMM7LDTMP O4UC1aEsDKY6Drtvgyy7ai4THi4eJlnPVBzHngu3Ry7rowA0KLZSVTENCLaAkZKE4vm7pRRwhERZ mw05wUjza27kYizyawRavnHYRJK6wGSrh4TytdaKOOs7M8sc5dIGux3jTWT5hSeQbnlbuTCDYgVZ dHPlrzMmemMYy7kEnkApiUH1jN40uyWAXi8jb2hjotE/C8nbyM7+qKG3vYpFx8ADKYTq9YnSYiJr 200GBoBwp4opeJMiYt2TqmLCh4eJI8JTJ8fMlS3x1aVKpxCiqff3MAEto4vdogS++lEqHZyh3uNl Du6PpZrRNJuBrX4iZ+OVXmrBrE0MDY057R3hr1UbwvOTyo6U98+35AfHNxlY1053Ub1u7cIKMt0g L9T/RTF7o5uaiuMA9RxcPDv0Sukims2P3pzxA/gO6NR/bdlaMhKE2SC9zhqlMCCCptXcFdf8uU5z A+T2ABnQwKemkEPV5gTP38wtab1atyXk0IbNgi6tX/IyOZB+SF6ni9W0YDj3feWZWCU1QZxFvsoL TqSkts4ngjEf8Hh8XJwbbBFuOBAx8e4KkQwhKaBLsaBWWEsnBlYDg3N6KVpaPBK5bTt/N5pZXlKE 2gnAzXq9hMYUUiPNfxvEP6jdlxWMhsNjxHOkkBrvwrlclXM2WSmaLzx5OCAHkM9IO0Q/LlFX1i7/ AcsL/kO2xMb02lACuZgq2j0/SIbszd1xe3cyzwMq3Ho/6MRGo1r4Q65HUqdExm3WFXqft/3KotkH ppTdul1ZeF7Puc3DA5XA5yOZkHs0zX9EJdYa1BAq8KHshz9mdWFkuRZmEp/V3ghO2xCyTXozNzwT h5yPQu6qwbD2db3+0uh0HgetsxAM0l55ENJUAhziOU5f+sQhcePQMwWimclxx6wHecyUT36thY8r XyIJVCMbhpKRc9wluAKX53w0ef4+icyzjStEyIBoqtFnOy9miafcD40UvqZaPMQD9BD3sdubjq30 Tc81tJBjaK/UAvOuioPRSrXxmpGrKasHcgTfo3IebCQUnz6I2WP85hWNi8DzvdK9lnyg3TgsWx/a 39uKdig3NTxSNe3eJVA+5N5+1NxtL2EwrFcEmDBbX75ozr0dGi/U9CwnC8XSfuHHpOxI5O0Iarf1 6HcY/MXa8hfSCZRtbVi5SGoVfNuPj8QzxoPRfzNOEC/ikr+OwOUvATG73jBISrgbw0aSoVf1H/pp xAaLIbwjnPqGpoZCH4OYFoy64wIvyhsdIz49Yl+o2i6NqzUMPm1GTljE4vsfZb199zUfyIEAywhP T34J17HxKg316O+GnbsRB1jBgEgtCy7AwvGN2R54Pqp7xBIbpY8ObYf/EnoMVX/WFPIeUUND+rTO eG4rma63textIzl/HP7qex/DL+EEYnJyf9oEv5jlEkyfkg2kt7TbayV79Oh6PS/ekgCWyL/Oo+ix eNsb/rXzK67QXDW8eVA7lIwPaXBYIaaFuL9/g+FZfCJ/FAjvRR3hNlUZVIUnsgZVrGosgcM128C6 i7YlrbleFAH2xaU4gbnNoN1C8WIHloaAQT1qhawZZMqK2jmef+FFWnKYlrhtd/ALBh1/koKJO/JT 5HuWxqVyIafB0YxvXcSPSu1wZPIbac1IGupH5W9BTyAelfpEPj0WhI+WtwpCeNMMS3HdBQ68PgBS zd98OvvCTCaEeuCHw21/BVHsBp8r0LIyiwb8GxyuqmQ6Z66bBc4ujujQranuDvK5Gwh8PyaoTifG XmPtRTAoR2aVqGK3UsG6z/00UmsfOTMSDGicgULew+AJjvR/H+A3IIpkLpsXN4ZG2TgsoLdeu6pp a2w8hUm4aS6AnYyA+HagY3KEIsqhCRxY7Z9dEY82Y9UriEh9ds/JlzjxOYqWgSavNd+MR6VC3Agu 4wdPoc5xIJFmAN5FdN7z+Tz5Fvqyg7Hg0frtilglPz+pc4wnlnpFAc94A8F4tRDhqPcpw5mQF5Yb iLDq7oDNwLVbfozeyXgDLJr5s4MIgaKU+Mrs2yXE5+zsB0Gkgk6SMeimCrDeRxBdj6Lvr31YJcy8 wQmflvkXOUjHqCMaCI1rtJu39UOtIllp34CSVQauqN6mPgybcTu5Oiu+6LxwRGm/SG8DISk87NoI PbcLZnOcPjadwli6/y/YRD+w/xSZl3MiJiFPR5UJ/AFcCVQ4aXG2yaWyg6JS/HYMx5hTGdGNMFhX jR3pWD6AAkLct1qVaxx+HneGm8dnJ7hEryy9lBeIln9KgdKA28PXf4z6dMBEH4kDVqDxCbcb4jGC jAFWglGGvMLSkTIM7Bvj7JdqhxJRubrhEYzJUSiEdPJRS6Ak+4Bg4QRyaXOTUTuLIRqXbOAC/wVW juoI7c+iT/xVQ329q43T4zurdwc1EYhYALtWfRjQD8QsqmCOjr65Oj8Rf41ygzwF+RlNSCy4nsxp k/XvC7kEGknXoB5jq7FX6dWOYGhiwjEtZQW3G8/Q+Jfxcy7H9X+Ks2L6uqvxFIk5uDbdzpEXXIVV YU8TIRAzisg9pcNngmpZKGZbqNaUiHdTghPwVvUexRfMdHLyPOXN4qgXsJVF/Pcb4omHBaiG1TEk 5q0H6c3sm9cCkmNAb2LbaI4lAvtNd+SNcwk4icX3P0pPXQynsu66GJdeJ1MXXn2Jn5yhMJUHsPGT uaTC3T5S5ZEBkxqIICagWU5tH+BRAMyEiZCEia25g1Dj6I0JYo27nXYg9ffRVqEjzi9pKfb17Mg6 FMYS31UEXkFhmXtXYFoLsYPe2b/oAKz6+JWm8ULT+pUfSnXGavVlFtvMLWURFj6x0M0Xd2UUe9lP hkuMu23Rg3VYwikiaCE3mnF42W4mzPk76Hths31R7k2XxDmq636isxIVR8cR5n3BdFoxibC2EwMn NtCt18AKH7rKMZTUJNUTUIbj8ho3qyc5+cSi9V5vkQgsF1ARhH21VU59qUGMSL1F6q65wL0FCWab HIvpCWn3y+FbTaNvhoFX4KIlm9ZCBQyJmbOUAcS0QswhxA/gHJ1mxmMk0tGuykfCiaCsxC1J15wk fvWeKJdp5MGG6QAE9a2zLuHVnIXKkV4trHxZFj8NmRiqFZGpqwmlkAmok3jbdcLzJ5+651TO0u0N eG74XZzJ9XtW+YLxq3XeV5WbMi+L6+JwU3qXJ7+W0iLtuRLmFNUa9WOnO6jNpgxYBDULCXRHN2gT lGsCI8cWBWwtlah1wIZevjdAhqvsyRHiTlLT0mywFcmy5JzwbHMn/M76mAG0L45LWhV8S6F7+oEd Jx1UENGXVIfsfe0CGPtW6oaiWdM2oPZ+icIQGtJ+sU6eFjQ/8Gvy8/vb6QGWILqEVYlN2O4ywhnS PhWs9+XoxGIfGjfS6C65uusT4GqAShw81z7tP2KWeKaeAkw/AFOiiBw/Qo4E/Bev1qni8ICVHle/ Mb9HzqF3DWN9bA3XF/IjQ4Bk8OaYuGtMf+wQF27ho8cxAYu00zRiAWw5GfanahG8jRCRs8vkZGYi 1gqJcqdF2lVFmE0hq7ICoMaIMoErxH2HVK3kpq6zh47DeJImVUEOwE1GnfniQPMUeEDtxR8jXRrb uL63tJqka4xnUDtJFN2kxpHNYRxw+KZkjM7Jv4zzWBV6DWIhQEryuWiDWegrG4eZpnJrQZQ0TYR0 x+rmelFMChtIb4jY3+MoPTuau22303es12htArOUF0fNMGFMb6Z0udzT0hKeo2CTONJIfAIfvMSP Rxp36j0GFWKYcLYF1OA8dEaaRSpOdy0L93vYZ2c6kGdqCeJUJGS1K5MdCNP3CivbbZK+p25vtyMi 7ZARa6Luo9bvdNKLE5Cm/N9BPOFC5zpMp4EjnajsXuFRhtjgF/obuQXHMGZ9WhCf9pNawlv4CtD/ 1vhOwdqDm8Y/VYSceGl5EXA7zdM0dCttERb06OyRtSqrWgYIyw+aA/thxbuVYem71HgWKO5SBBB+ PeqbWJNbPVFty+VVPz5bwNnJVEP/vpX5U7psI8r+B02PuqeqdNBAJHQweR7ajDQ4/JauB4e5xxiR +JHFzHrrTwU/L8XSsCcCxqOAuI8FYBd6dYkXSxTIPYJD4YbCfuzZVqYfsyftCR9eY/WjQPLYv+0j fbM4Ra5p3Zphx8GkgLKv6lSkwejzYO10jLKFhknf25WgDbU+O+8VMoLGGbWj5HUumnljZWMIxZ4g qpcO1C0ye0AulB/nZacLKbWmBiQ71svuZ7CX+9GtagfeixXCzHQIChh9vRgeC1HyoLM7u5pxiCd2 wVtNZIE442Btt6G0inpadtYfe4Ln20kJxM4bwjfpN0YedeWblHICb9se9WFQNoGII+qdFgU32L9Z UeFNX36W+kPLgu3eCvVmlJjZYm5C2njL/XTFyr3RLc2Dg4sShoFnPgdfeDE5ilEuPQz96S7gSBsN mpI7Vbh5TVcsMQ9UXrAIE/kRYO+gD0uTzEyYB6ojxFK9PGepZdnBDBbayXddg/d1QFRhOhZTCl0T FWbH3OJhjL31++gUESOFFMgUCWlkxF7w7lMfxJYR2Pqvua1i2dKizCZKBLq5HL1IHjwC+eyeGhNi LOtD6qGYvU/5CAuehlezpEdDL+TlIiTRQ9bBbKTG4ekMPS5JrZc7z+w5H7S1u7ZtSUhMvxovb5ti WNBHwqEw3FW6wSKqF1VXb9luoDpM5TVCZ56v1c/RxKKV7kE0IROKCVVdQnZDgC8/w9V7ykvRZCBP Yh6uNVtpGX2x+NbceO0dmZ5+2HuHXK7olAQKQRLeybYzJV39RAOFxNIouua2xZ30JHHl8UJkoY64 5czJqiwAO5MVulBl7VB3V7/U2mv3oMxrrFY9nDz+OZoxZTO8sSjxlvM8weh52WD4cqY4fdcJmU2F B6BaKdRBd393ya1Cs6RNRJvshW1F2L5x6krxkJ0KsDc3wx1n996wvUbNk8PN3Sh9Y0qSDcaLdWKY R5pSIXUYJDlRHAAehpTBibhE/XnRzJmo9/GheF72C3LH3+v//6tU809hoZeQx0s7CnVm35pXwsf5 gYP9mdtoOZ3Wf0Kbe2U7k09Tx8ZlKeJ4Mf7KztaF0vCEgP/1M1BDcEO62eWFsLxcaAWUqGR/XxLa fv1vJac8uInMU33ZfiEvrWaStoeYbpYXBwwOfThKJpTyHSo16O44/x34XisT8CHQ7WZSzH6nSz2m PM6/CqVVO7WHayKhrupVGNww3bOt53KwAP+fQlkF5VGjEOE5P7KrXfGaSLtMgnmZhT/KUOFGTBxR zlOekr0E95ycacGR5MC4YGgvVPjl0vPeX113GfRwejDWZwTDhg7JkItAJe3oNMnQA+46clF/95kz FwA3Yu+Jeru6gGHiaCJ2CapkMeylO4HxU/tvrJdAFSsr9J70/UvspFsqjAXvxkoNJ5cGNxuS/fKF LHtoVNocgK577m2kaITzhsWwbY7TQGeNWeTWfdjTRrP3g6SPQjE+Vs2WnrwdowZm5R2jBP/mmdmF hVHgAmM7eMTlpBoNqV+yUKOhvOMfchuo+RHYKq1KvnyvcgYB/2421F//s5YZgMuN0zLB9oPLKW0j wCYaoSpdgVGOmXQDeycOF992AkCUt1PkyO+Lt5exzRw1kxaj16xzwJwoL9VQgHnK62HPZiKBZxed +YJaYVsOL39EJVpNbrKWT6J4SG3EKSc+87iQaa7Oyxru5l/xE93oXfvuNRpu2D9q70i8/QLxZo6P RIn75gKgMnV5oyeDuqfMjsYk7pzZQDtbVbqmq164QCiGiqlwxIf0r2gEKeBLbIXzIEcViGoZlDl+ qLccqnZFMDPkTgtRBdtXyFp4miX5Z92P7q2Phshkaad1wK6SaRAgJmIQCM5GWpFjRwwJMmCsLJoR lk31HMKoPiikDQY9HAOPGbkZD7FEqaTSgx+Jb7QSu0ThqOeJSX+mhkFuf/sjBz1w0I7tl507VjZA 6g/h1pk+NuI8NLFBa6VADSrqE9c16S9qJ9qQX1muVJUVcVPMpzbd2BZ0BzibkNz5QXYAT18NgOJH cT2uuJQJUH2Qx/jivg708CzDUAvI1hbKDjXS/xRkvorCjG/+1IqDkUcuWeMrsglrTqJJ7EUv1BHy uEqxdjGgqF9A2tJ7tVDBQFq01tYNbBkUuCfMZu3sUa5ZcmoXE96InvcDoj9Au+M6zoK8k1tII7e7 TBOMB4xte3l3z6xX+V7f1hLzNGiLAOvqhCzl+2nXL1tKiUkYkvklVsPg2O46LYo7KUV83xlgtFD3 k7k+CWWu3BsBPQW0DnicWV9QUkgpVaFwJhswpyDN0P3iqZqm71uu1zaZ1wLIYXh3TS+9pPMvzhIy tzw69g0dJNa2clqwK5RZgIW5uffbSfirUHodH5OS0g/Xb7JZdDGzXlBV2tUuq18Q6Ut51ZI873qH 8fUL/uR5NMG713gilOOBRHHyI5oVPrRwItTuKrCbnc7iusczI8/FnFs3EgDctxqqjjtYaYcL8WDP XGc4h0hZiwulBdLLViDw4eUb/pRRI4Ye8o7uZtSOvQcTdy/KswV5ZPofzNuDGdiVXDwWNExESAt0 YyOyanD9cW1WRhB+bmGbJJhFqoU9ujww69bgbACetfuCnfXKmEQUdruaKh/EN/Z7/hyUQgxjh5hJ uGLsAAiWYhe8wqaLWZa6ZZb1vWAHY3LcolRUR0Qi/BDRmuSbl1PhjzxHJvegETPQayEzV6b6PVIE 5wGHa/s54R7R/hOMn6oaUOla1OykGh++m4K3r6A5854fH8LnMQLC3aucDCXbhgF1R0Dg8c43z+kg XDeXs61k9Twmk5bfALkje52PErnHL1VtQ9vhs5ig+iWnbCJ/mepARxTEDslxkjQS2XAALkJh5NtQ s5h++c6y1CgQubFjf3PY5tj9CTSI6eFm4CMi3vg8Qkhv/7x7oDzO6bmLY9evFxUhrshgxWZ/v1OQ bnOa+hgJwB5GaKwKSk+ETptkv5HePV9zCrs7AqGMEHod6Q2A4nyKvPJgBFCoOn1WlxyO4/bsJYtf 738qtY1Ht3Th70S8Oia23Z7unavfD6oys3HxjmCGpsOhanNOFpT8q3Tl8n3VHp+GHU/p8lQ4wPmF QnZ3twKCJW2Mp1jCkyOK1sHz2pW5Wejvqk6jTcrF1cR6CuXbwVIYp5N7XQbPcAY8agis32D2jlOX 9CHYkzzHne6RtMH6Lx5JfEG76pdaqp5f46uBt28Jl/+F1IH0fTuzM1GzGqCT7PpGSW0RmyIf9d12 /7CPNV+5pvlbWJbUbahsl/sHyo/Ned3WXjyZ2VUh2lshfFI+4/SBeIIIpbquavwx9D2OmdlEgpvj bOQglvBeBdWRvENkHsaj2ITk1cH9aXIzywrwwpt4dicx0DM88Go2uJpfAMmYKx6AgVwEQGL9pLKW dgaFQfvENKnE8V7jX+FEw5efohfXgvL2O/RKfgQknzzxQd3Jptjt3JLCljkjSEXUT0hf/L4RMZ14 weZf0JdxK07mScfTfexAHW4H163s5a7QC2T2gbv/p4zPAxuJr68l6aiiPJUruLI6g+ndGCYA+Qml NWlQZJl34OHbunTrMDNm66SwtAyvAg1pzeNFcfeKCP50B+hEDf2dn3H+XDYaeCx25OspPTH3r00q bMQEK8/e+gYO4KhOVHQkUKtuKo2k4wRKdp/BCsJtpLTN/EQyY5NjOnSYshBKY4CR3LSzDdP6LR8I DtdKmS63DW+qRwkYn9sSSS5utnWFkxiJp+cuMra6Zhu5LkoyGJsm7/y/EVGvHJiF65OgQFAmtTug eFVjP41NIpkBUthUI9+OYz1n426YTtd+sou/vO8DXs7weNUFAS3ZMYTKipIz8MF6bPk5rSEo/7cr 4m0kGJdCN799MZ7Z07JNSoI7Ly3Seznvec4GQBdyT8TGb3/H81TDYjLqTHV8by+VdUfFZoAMlEVb HPe45FMRwTQvQvhVeaHgDsJtCp3+4a9PmFldax1JvwwRr1f+ItqGTbmNcEcIheBC2HpHa+uEpq81 g46lT+EYIbkERj1sx82wFFm0DphJPWCrJbZS42or1PW/+SF6XAfCjaZaTVamNoPusWj6Z5E4VEy2 4SHHmZ051x7MCLZ170JYQYnOgokXmsjnVfCc6hqDIioFe3/IbL0+BgIMC5kXhc4qr6V2VIDKZliT mbgfZqRbpi9wKdJrOh6mVB3rG+DWZsFuuS6Xkv9SdQ1jcEDVXF4g8A09gCUsk/ZaxQsKhIUFH4W0 0YZrEbAJtt0vaHTlIVTsslRiDsLIFBJ+Q57KAM8j3newd43Ah9II3bBycZnQPm0XItFNGl0n8AOZ YCURob6UVkkw1RZQTsLNhSg8bGeTQogKd+m+bmiIzGD5c5qBtEigOZs4jPGoYlJqIi4Hqu88o+JB r+P7F4Seh+EaVLBv6DE2sHJStMzsRAXeQagI5hbRb7/JAgw1XQ4+BaUPPHEZyNEFnxI3IkcXNgKB M9h3f8V5+z/yJ4ymOMCxtSlAzlPrid+5FtDtLfLL3p+Lb0to8c3+FPLDdd364C9yTcAph3PVaym0 g7TQDmUJu1MVI3lAFNBm78vhPssbsdTC2buXgb+VLolEzY7bF/CdhXR5aGB9TPcLJIKwXbus6rsN dwDEOZbuV4BL6IYHSre73aldZxOs+oe4NjuR6zSd9s6Boqe8cPgeJptlapiSYFr0D3wnU9S9xJGF fTwlc3ITKOCbojVTJgyuannaTlwND7OyhGJzUE+XlQHb8G5afWS4vi4x+CtYnsRFFkxKANAartV6 t7CwE+9SkL4kH56gWwMZolaYsfAl6ZYiNxB/xkEXyk86I13wPc5amLA5V7FtFD6cLOpOzyRFhg48 ICY7x9pmNnZAGBP8SqbNExRiN3bo75Oy+L0Q6jZI0S+98omgcbKVDucUYF2jztOMQlwPxm2wF6fR bMprPa9zApMfY+5wn40PERm/qUPW2uqHMdGKbCBMNrCqI2tMYcxlB2AZ/nkh4VXLWE+QwNz/rOZR w54/ujd6WswcVW6Ek1iQmdZUloheUHELtQZKhKCY1uB5OOBWNj6kCHVeaW+yH1mXuuI6Ux2pFmHV 9b7VOwzbLvAyDm11DEPV4hMSSxgE/G20c5C6UjyX6JiN6vcK76BK4r7Ij6mgppVebLXd2DKl8m5h ZsUES6k3xezfVPleSVOs2AaOYYwNKhEV+hy+fikb/Ntgc3Bdq5dg+VLPxvdjUWps7BwHT9RAF4Dy HMWOkmPU2dE3wygishuQoHLunWQEnjoSl7CbbElA2mJYm8lDuI7bISf3TU6Zlb2BEka90OP28WR9 AUmuLEd+zsrS9wYQyMK3wyojmMHlSGzsYgX3JSX2ugOqYMep5PkXsBzl/J3imDXs9PavBYRQsDXc SQqXR6TVhLLraY7qmbtswBHRm5jpW0Uebcj6XUCGYbSlSt8t4SC4wsXBmJXZbfPApbKjoDWkuAOr tdkLUdn86oxtgvzJcAAMTcPpFpnTGv22+KjhjNDcU0zv8COTAPAOdVahwUmPgxYUz7CBuf7OUicc Kp6SkeoqeQcn3laWde7fEwpQQItshzMarPJuQUPPK9ffdJchVl0IdbztCl+IJi02ebT4t0KxYctG 5e+VOTo8cpHdNCsXwXqaHPX0XgfIbVd6GpXvV4GOn6hamP2m1MLi2NGYth+z78Hu4CfcZLnG3rgy nWvpJtM9cjjgcEUYeUBMpQxfreHPl6poJAGILylw7kDXukIEN+et8NDKlT+9ujBddXPTqqkyj8Xa w8AdGbfKkiTdY/qXRWalu0XNfpVi0QiJERIR3dZ7C4kJHRYXH6ox5H6Pw6qzs/2IBXl12PfDG+Nd PqtTsGr27OFLz8LME9OWP7jrCKxFA6Ua25Q8sVMITB1+O7UUtlnQLh1a1hYo2LXRnrvOgZzQa+hf sJ9spAVa5H8Ez5nkXHrcHXC5vwCBs6Yoo9DxOzSF95ZLVJeUoA7UxFjoTR1es7C/1oGkOp4Fc+EX cfBNGwNC85nowSVggcB6sCh0jbL9nqYU2mo31idoaI/RRIOJ5wEatUk0EqxucNUwQ5qX1B1pHtmS 7pSebIfKmSp3ro4duvEBBA7U1+cfr1hUvD6NkF2Z2NOJlRAwwKYDyJxPFvNWJEFDiNcb9q49ZqTy sK+RW9DSE9YK1QjJ9fBlEPfzDLUYIrTp9/9geUgc+R8cPW97yD3D/90X7RgcWeiwoGziy+eAjfNX E77W+ybkljsUG3kct8ajS+rEHmepVe8BT2ImMrHeORiTQ7bfB26Y/wq6EY//LTjCNNFLIZG//ucb LfjKicCENYxrrRUVWd8VIN1OG+pBrcxA4Eb32wHfSTgAuUdEYk3uDMOsZRXDn4NSMkJgfGk+uz/f tAzn0lgkZgABvlO6dhTmh/LEuVBzXkC51yMm3dmm19r8tdMx0uQ3op3CPPmeemyzX6i9HCbw+xbR 5HErAwPgM8GCvjR/hYzgBAM5v8E23uXqTwMqf8ZhRZ4NbGaKO80qMPECr4aKk15nGFCkS0zbB1dl FvdyKvMXJoalxVHymKSjRSNZcJgmsUko77XtIH7w8LLZWD2fmItHLysJzIU0AD7v6iLsRM8okbG4 kkxVptXrNce0eQVwRZSh9Q0qhiKi3IgTUieY6LLSutGQ83wz+TdDaJ9C7bReTY+6xvcntDltiZYu rAEBGMWhsyTQ3QHuAhNLEtKrRkHKdVESE2eF2sp0gZmHqrGZxi6slSPHC+eVNoSio213nlMGauM0 OldFa/E3+Jh0BCLAJq/z1bM2pgA1mu/H7pXs7YP9szbRW+Yby8WgwucBaekXD3Qj/x1MjGvocBS/ Oolk0mp/bp16hT5jKgo4mppQYK7PVWO3EkHYArSG0nMResFB18BxHmf07TzPqVgAg3PGtqOXG+GB YGbFeiFMnySsi9JkEJgByEeT4RQOSAUeISKvZQQfWyN1fOJuX6avYP5SZ2malMyT7us0Qlg1moLj vFK9EtjO9TZtP8umBeNRZU1iRyDeFHbm4h3rv3CdVxu4cA2hL2xYlr8f1O10EcOjcpQ4Y48xwYuD GFsoaAOi63wYQzfgUlgqShSfiwiDhSW/NbDvtyDnMRWx3QIxg4eLUJeZYrbW/2+bhUmR6QrrYYZR 1ob+FfyDfNre0mWtcyEU8VyQubGxJ13iV1jxceOCFW295RzmJOB72Ls+l5pr4PHy/vc0G9IfVfJ+ K0fKTbxjqcnCuScZA6PdkRSYiK2iLqv5dD4ATPZrMzwZ0BdwWpAZkpgRWo0QxFU1uCUl2zVvVYsx LldmPtcS9XGwJA52mQL61YB4SvD0s+yfWkKuHCtFTxAnvk1y7yJf2Hh1Gox74Z5ngsRXaSdz9HgP PK1R30Wzghyq56Rwl4QzWaG0ROUXeZccBKYp644QsEXXm+Xl6ZWhMpc8FM2oNigcOE4iJIOg1IQj LK5WNAgSUmSH+YC9+dB/pxmHmn4HnOXHz4oLXFrZTVCrBpByPBOWY+8bfs8Kkns7EMrhLrhhaMCg t74RxEV7gJzS42h0iaGZwSbzKwAsFzV3Em/IrXDFHjzoipT3rbfRXjzg0xrc37eYAw+Xx3qU8LIB N+mKOohnoQhqy5FsgQd/OBdUoBsRg0jXgrgHSJuTq3wuWHy8yFpZNMx4ZpoCgK8AjOIx+3355blr 9DTFOWwmzEzbPbbyFaqVFwkQWXJJUwG96eUmrL4aqBKw8mPtmsLU7wdbAUIWmgPqacxUF2JydRdU TPefkro5EwJ9V4kBwIhTt+oapzWGv04r6bov+H4pf7WVo2VA2WXI7E39RM9r+7WEM435wMWBTTmk MX4BRDK50aHRuAteoGzqUXU4NXYlCJ+8TrJvGxipukWhAB/I3gtR3hteHdhZT5J5yyFVvCFPgdVe lmNwF+gifmzbmOwuLOcbYXzUQ2O3CvKyxrMyxqjh3TMPL2c2s/z+KCn9nWVtzzVhv/MOabvROHyW Gw2DI3Na3e8DJ5CzjGNGEbgwC9HECoe9Gg3HOCDM6BgH/xFfb+k9GKboXDN7NJqnmQ7cJddhGRrl dvd+qOaBTQpil357tg9EmoVYO5Au72bBvBznEm5UKLwWGfL0XmRbbL6wCpSnN99mrRaVPba9tcPL oysKLdY9QB54ptnyt2Bl6WDzJrhwf8b9HuJr2RQBVMLC9z5dMh2kkAbhLfGlP7K++j0C7MvjWLO+ h4D1FLzUyVAFRcJHIb1hPu3j0K+I4ZYRT0CtvYh7LYg7vdoPbVgW9Ob6OGdzbjcv4OrG0tCL6r70 mZ5U/9NQLLMGZEcGq8dG38DX7QSFo5Qn5xUzQxz7I6tdwZeHS9OQ2xELyLbxIlRyAYmn3+1Vdp11 PLz9smIAph1w7NEzoJ5yeNDifhydWGBGVNTRCyheQQ4AjdmGyBlhMBXwBUhyb323n0Q1LxXa/KFi D+Sptigrvz8G+nr2EZiSwRoerbjHuh9SZJQI/hv+ihf4KS3tmphd72FaQ/SC/vxTgJp89JWS4VzT mGYAvfHBkgEI5t2i1LY4YievepC4OZTOezrXsGSwjigM8d+VyTkFHP9CLnCD5p6EGyY0pHLXdDIQ 77aJ2MVN3ez8W/ECCUp2qDPo4hVNL5bwGvSSZrwegHl+ylnzji+1HeHewm+tEsG9RcMK7LOHtRG9 coxLJnGpMKmu4qQ+M3vfYUyoxgjU0R6iguMKeglm1z84wrYctJFnwikJUAHfhC8jNtrxAhkH7e// kE56B/LYRXqAA8n2H9uHXzLDwGmJXBhA0GnsxPyjVytdlZurrDdgbV7smwg+HpwdA2PTYxXqnwwk fS211q76gXgRsIzqEbtDVLesQOv4Rp/3DwFe3LZj3V3EsjWO7m9+/8TVBR0QiI6TJqjVaZgHA81u Y06ml7oBKQxyMRX63/67QgkhJt+Dbe79KVqUFNrn6J8nBMmSDKh4uTs2dvQCF5qjwz76bOITraDF oJXyVSIgmND/nKl48mFkSDNZ3pQ8NYy2qVz2O0gbQG/H9cv/Gpa8GGNmQJoAOkuFRiwVihflBDtw vdJ3LLVlyS74XAn2lrh8VZjJJvB+tirRK7ad3oEUuvSGwoe8+8uSYaVx3JrTgo98+FJJ2mEMupVx p1QHEd8OX6t8INFDuCxHLvYbdEaNdlI6CZY3VO9/BQx9bgwI287nX6/DtufO/6LieHzm0rSlaVBn ObeNNkweT7JdzcpnnFxWeC6O7TP+CWEWzMCgl/qce0g8pAzdYh04X2jypxrVQ47zMJkiuz2qTUHs 3U7HK4LwMMn12VinJJv4eqM8YnXanxrsbXfI1d++OoltRaroWXHY9/av+o2aMaUcw0SliIwtLeHk ZO2RCPXj9FvTIxjmsv4+ZmYPhbF4zYlxD0pi20NCjAiWVTUVu1umQZ6nPWH486KkJ2ii/L0AFdJ/ aTpGcOoYq+7QjPedskP038FVr7pgEE0mm0mZFJFehFI3kELXbyc+5H2bMpJo4+YVph+VqnIk5Bsb f1cM8B5t/rgMRkxe5Lqb20THtcSu4kyCimHl1lTKYkHgHC8tWRnLXQDaNEdhJDdiMDrlXpEje2Qp 8EddUMRQHjIfyhjTV61CZf+iDsHWPOPCQgApMKMFona64leJNciM4E+5eFhK/9l/UDHkoUyW20H8 sZxdLx1HJpZNIgtCthnuGpvC70tl2UANQZQoNJ70h2sMJUJ6/fPzF0RtWuWCzm3rXq/0TvHVHWnH 4W4MnPDyShHCP+VQgbTRKI3ZXduG/JktcNH2Z+iBhSuyktlF+zCJOZ9an/x4if3S9L1b/TYeI9H3 mbfuSjkGr4KQKEohZL/D4DfjcfEzYkJ11nmVgkSeN9r2Nu7sRJy+Y52O8dz4rRkM/+ZE1Tbu2LtQ fgDjeRq8qe/lyS+GcmksHKCZnPokFYS5xuQihYxccbwrj+6uYoDfLYXnbbVamSTT9ko2tZQa5ZUS HONxZC1ryL8lYSXP5qQ3A2wtozvWg+QPFxRANymIiCxPF7qA46+jq3GiwCWAe1Z5vbrLkRzUEbkI 5BNIL156HcTQu5NwQUCiTEHKJq4iU1+1qWN+rLLxcR3kTh19mK4+BypR8T99Qn7I69nwP0Zg32cM O9089JGE0WmfYCy0Jc6bjSj0UqdWFUp+oE3goRN8pv+vonGB8FG2KNYZXylkanT2dty8NwtLxA5E 2A95y4Jik9BmcYmzG2gtky9fAPykbvWG50gJyAqz3GS43/N5K8fQiEF9oNHAYeVDuM6iRGyXpud5 qxbeJhRLMOoXFuwAQzHLAwTrbwyS0A1gtXmtVbwfBXGzUYRz2NW3D2rkLCTa6kEUpRbSjuzFM0c/ Pey1jamJsainYWUNwSKKkFcbXl6ysvgWKnRBUhCU+aOu7JNxbNCIi4FzL0Ap5IsFcB15JBz/A715 +cg4f485DOVvszCRgmPun0NS07Zny5LXXO8vXEsjDdXXYNubmajAukZ7VPKXZinj04QdW62o6XwF 0qoRnbRQPKvr7ejSLyDfMdgUdZqmgh9Gl5My9T4fwt9yLwsVpLyPYEgBqGEHPhkMo6weBT6/J6eV ffyxuliNx30bB537uvxjlWffucuIEfjR472ecqJbUnp9b1lkA5fwYUSWPIdnXIUFhEft2PdIrjmW ZFAfTIwe6J4hRcDksgguaOD3LTf2Mn3SAMGmiL1+RwhcpTOVgd2/1FnGfydEn5ttB00y7U/5xVuT bQ5Z9u4batk4VSLCiu+dD8BVApNforIq4MfJtVMW6pXQ8fGma3VQi7AUmya5eJIbNxRMoZOCw3Yq yu6/AhCX1p6KTLrc3G7wt69E+1pBWU7vMknrbKNmrbySok47A82U9/EVxaxtL2iZd/pEq0TwAJdQ l1/kBr/GBMNqmR4NYTvogEQjBYzfsZh37wSWdY4MmMje/TwUWSTVo+sEqDsFNDufmtbyAWuxEe7n sehEHVILrlbSaAjIoZBCGYeoEq013jpq7j5IfXCdqQBk4ivJCHXPg8rRw3SmLjDHLy8mP/UObPPo KEuSuYeQy5cKTvBo/eTfownDYaL+O4YqhQw4C6Dsd/3ao0Rd9wDvyG/YCPcwLzE6UCfeLCmyC+kO LDAdRNOwihA47XLsrdFD7ABbwfck59a1xZ9X5As4u/xtPHHD6y8OcqJv4MBHO9cwlBJeI35/Xgou kG0QlgcZbbufPJiHak+MtbRU45TWSV7uwuBw9VQqPfJFwHvebgxwfs57ZKh8irGd3010v/IVPSjO ZpKVcj4IFFIRvfJ24A1aGnGQpyFVTYKuAwOH6av100NgPfIyDSyK4oNnYNnB16f78NL+8C8gRodJ dS407Jd6R0NtiUE3XTeFaYeG/9xpUdUfInToM2N+MX/XQZSOkumYCa0X7s89Jm0Q9UoP93uQ82SN sOKZSrKuxAv0Bls1IqQ7dn+hydzBHHukPcBM/PV6YCCLs3pbEIr1pex75Fff2c3oSPaO/WcPW28Z iKMbUItMRUp094sxu9I89yB306WkoCAO7AlPLI55lVaXW0d3C7YXCrWQeji8d8Fzlwe8QN1BZCGQ 7RCMDk5Vpn9tmEZqy6NYhX8ZNmGnw+Lydn0krBi5J98cQBgvpqgaxvKge6Kzm269slqiQgJfUikx hEf++aCTZgADZIgIYLm5ADmGuxRvk6OxS4tk5Mt0bO2wtja2+Qf6TwDaHhtuuFe84kjCZCOUr7L6 PrByvlzlMYx22BpjJaku4kaThKVysC1jIHFii6cSwR85ubJQrvYh2CLhat6wY2xfdEX3vcvbKg6Y 7Ud24NX9qfo9E9r99zbxw3RgA1slHaTpYhP2MW5nXvnDKsDztb3l1+aqpMtM1Y0EILIeKvVRwcdc iNwp2sbMMxRWxzTwU3UfEcParUxkrtQ1K0WbrSYg8A3TTXgohNCP6PNpm7u+UHZIDHm+qxLY+KpE kqJMq9CcNkr+GRuqvJBREUJ67miY7x6jeEc+rhHGJfKQt+1rCaz/aJSsfLOqGB6Ef8Do2L3iBiJL BqFZeF2QN3+nyx9QK3tKkxNUCbLz6xSTSEof9d0rkazzI9K+vu0U6v4r4FuPfcIyVbUTZLdXZXvG F0D77EfmX/JLuy7L9lFNnYHBFVOkkXxqADhzkEPXnRJELaZeactf6y3Mn3qzh8AwwkwvOp+v/ehk SGOR2U0Zt9ETz73m3xMnN6d+Y0cRxavpMyMi0vSg0mIjFIb95rnW5WZCx0tRuSYerho+46hic+wx oC+P9AfIOPeRmBIhtMuWWxUVUY5GW4X1I15pIregKoFW/Dq+UiabpuVo0Q5LR2M1Oi316Jc5a7YY Vh7MQMCSbZiE/gRv4VqdqbepM/5QQXaN0H6XByDGsnlAZViSXsqxrlmUwCYi9NcQG4A6qQRs2DoA BCYqdSAgX/6DC6UNYTYWgWAz3r2Rg4OWh0lppXZ69jZLHJHxkzUkYsTWVFTE8i9KyNoaiGr5bUcv laMlNzfrZHjJGfjD8rwuxf825A5qDkIaE2HyYtRXkRgrNIjZAaUdjL2yUZxjCXnIBvz1EIAqIEuT tFDWLp8hOj+l6AouZYX3M72KUz55Tk+zznGp+BeZpx5CJSFmC2/4oMTlx5mzb/mzzPRVDW70ZxX7 NBVQvnoj0E5JqelQg9DmNkKbxvvUnqmupO6+YAUk5LPZN2yc8Mat128q/Qtv2M1BcNtMpHVPqfW8 dolhHRzCU1X79v5Y0r/1xQcazXXkADgZhwW1ekCuM8m0Amqq98w8vlIWFHMU3YT1cX2mtfGQ0WEb WwQ2cuHKJXiaPvZRvw5wG2UlJdpzYKkYVQ8/CoZaEKp9z/PML7NlgUGIbEvBMyKbu6RmJsGrIhzs n7q01WTqjlWvstK94epf4Vz3RuCkXIJUHTB1nNHZ48njHpRaKb362Am8I8evnD+wDbfaFkV2po1I ExvdHepgo8AAOCbDHAbo4R0WycT2rrchCwDPGmp2oUW9oSfBi1jR4aZtApUbQwSvkBJyZqsEY4vD 4wkyrauB0ZkI/5Dtui4XAnudQyBltuGc86MnMlgPPqgvvOZ2dxs1koZm5+vnoT8wGvwW+WZli/NC qn1DHoDtl9lOGhNHM31seMPot3TGtj39o4qtEaGU22QtLTPs1baRcfUjABmQq6oKUwInKqs1SvPD STxBykL2D8IbKYOIe4qtb1feTCBRBqTadZJGD0OwAwN8rxuj7eVqmf/aAuYLPoa93o0lTuk7hwA1 /JssgeiCB5cR6a3/E9cxwIYa8tYTawT1cpG8bWKn70H6jvLIdNdCJ90HN2ehu+uyhZ9VCb1w8vi3 o9sD+Rxl0m8TLnSC7ayRD5WKVEj43RPmP9IhVRVjaho2vA3mqqdhYrdhOeSJY35DPA8ZD6IT4mHc /n34psGOYaRAlxGM8NswGKdmgEFHktlJXucrb2ntUCJmx7gq9CFSYZcJz/SDY6nHDCkHVdDov2/9 he/yoXnIHRnUX6UD8gGhA5n8Wg1kpf1ixk1h5I8Z9YdvnLhRO3axKfC4LRdUWlp/Cf3SplYvPWj1 g5k8GteiL2WAkXq8yyqYlqdJbVdKTedBSNNjKCjzLptN30+O16NfLjp7dvFUXj29STSac7bf5ZWf KBaHUvT4kXPpVAAQ7PaM5pO31vE67vLqqFTcsnP6YI2b52IOVbOJu1Q90EZVuVIe5n6XwV2/cO4d uQNfTTZMSotkaU9E0f3hduriOllDOziZm8yGFnJbmKv5y4OHW1/Ci38IegQT5yY5wN1gQ8974Lqi joFbuK0JUzFlolv42nCZI1wZCsq8UxZNpuYIX+ZDowG0L/pCnDVLwgGyhIl4vvXfmhuVljdk6gOk 1zF9fVLTI2kmQpwMp/680oyne51IGxdJ+GFChmI9KSCwNSEOLWXVIFsHrSdn+rwxXgVuHBQtPGyC Bk4FPjaO0UO5B29uEDkhmRxq6NWxvCeOlzCZnpFN2/id4zH8mLNPgoBPQ+zMCS4eTqoCJQbUIE4A sjQ2uX50+jQdcC0frzBDB06OEwtiB2yzBnn9YX9/SenZxDJQJcbeuZ3qKG01l6/bV8fnEyacf0r9 IzRn6GC1dpllitLHwUPnUbHj2KbbLCQhwqKQJTzTY56Sju8cW4HtAaL0hktJZudZJD4qpjGj3zuK KExB/Mf1rwUOIEUp7aCHdnUwFQkg+6VZLAsk8/LWa4prKXKvemeZtdK8fdZFsJPs7StdpgVHPhBH AhYRS3xeZFEkJXt2+5dAi5pbCfZq7n3ZgC3XWStf8AYWo9aR4l1IlZ+iMuBefsAirkMYAkaOUXG7 NUJe2bt+ex20QGblvhbf6AvubRbxc9jymoF7FPed1xBnvTOzE3c/Wl7rXCueCj5LnIoz4wVmwX7V g/FQ0Hs6j9WvwqVJijylHKfjdYJezZHu7Y4TB38+0gy2gOejGHD4e8hxuq0RtRqBdLfuBiL9QTR4 4/ve+uyUlzIXvoToZC1cXBwLSdyGjhNfNpbEMxapGglmbYmwC3dhVUMQgh+DPjRe1XfJpwFNLxMA NWX2KjhOoKvEj9FSORBk0OJhCDpbXgBD/SByjOR2ptkoedaa13hhbLdmVxIHwBsXh20YfDKgooah B8TAGeI8xJ1oImOjE9yOJzJG20xiqmNN/xZnk1mBmzWtSa6XWRpPqwEypl2J+Z10QgePtlj8910a MOZfr8W7Zc9C+1pQ8nlS9laSAU7ujh7IzmwykB1YWggdG3omw0nvxXJijJUFAqmznNfGltPQpTQ2 mmGoXlEz5EZ+9KZIPzaxlMkrUxMRAjd/seB6SZIav7Mco5RfEeH0bZA2cfC5+n7omFNj89IZ056y T2K2AN3ndiOtzYkPrRbqFvcPrsOJm5pHMDdrzEar/Fa6JTHoz3pCOrMUNSGsjVQU+oz/vxBVxwcI 0ANZStQP/uwbCY1uU7heH8q+MATOy8opMB78OZd9I+aLBpkIVYs2HjrUaDPnxqcN+1EdT0F9oRTN YDf1IDhmpkfbB/5joIyFxlTsX8ooqB8NK08uHqPFht6BZqN1lk4j9N1KHg+6gowvvkCxDcb8e3Xo vJejlp1h2BZrIWdR+3i2H6gC1j3CsmWNGE8R4Fu1b8oydLlOMbCoY5BOyfZrwe8om5tWiLJO6oqt klNKeYnOk29tFK/lFT65sj0lhe4cpI2ixA4ZG1WCYcGAx2+ORzNaJHgg/NJu8XrIc2nObamDF8g/ QxYH6uSukAU82Npi7i3rjC7Mv0Z+uSbb0H7KNyFR/H8CM3iEHjHlKNHxmIHnVIWLMVYxPNS4apZs EDOyxiU4RZax436lJdVB03rrkiLvdLTXQZVlq2/4Rp489lXbYZxq6Z3O1JOE7ADT6O32oFKU0/kB xxHEB6Xg60cSfg5Bq+OI2aaCgQmlA9vYMTQ7wDvXCLdBjT1MtjqFEu7V1dXqb9Ci1ERHn2x4fhsE NIsLx9eOQqz5/OJGNRdXMKQvgSDCUWqJtxrrxRsjIUfUndc3iusNOTErFC/cJTW2/8nSlGtOVI47 Zrsvv51kNPr3Sg/0HQpKT+TXsGl/qEFaYx8m/z4N9Fi3v/wqz/9wIgwezxESVlQYKs9sRl518wmd 3iJ9uxrJwaNaJ9zpd/VR4IZOoj+WlcjG4ezM4iWvJZ+3gxkb62+UvRcxTZDCd+AAQzCjY/EZRp5B NN6m8q+8XeFFE9FU0RrJM5cDlG2yRUzGO6yO7ArB4j1buHKh6LWOr94bcIQLBi8ELa3+lKJG2HuQ o06zadEtVKaNcc2WZmh33fM95vL6KLj+A88gcxQLx90jr8oriW83171JGGMjFpmg/2hQ6WaZYru5 ysiT4CdGOs2s/ESaRtMq+fL7iV5nsam2jMbUT2osszxtgkTgpbV3OyLEood3ejDFxJjJxJPvkVPg hSebhTTVP+zo9UoDE6cY6koO+BrQLDZTfVMSD7PbP/SrKO02xC/3ongAdiud3lYaG3JYu+RX5q41 tX/8r8c4lIo5k4ZqVqg2lM0lbrEPPaCnH4k/TIQyhS5jcnZtbvtmQ7L58S22zmrRLi4nxjatdBCe AYUDZ2nmo4gkHc9udoJ+7oqd4dBnzRb0P5FaM/DuBPxL6bY+wKTvpXiec13AI2mEG9VIzxOAjtHu FD5v/ASnMk2abkc9ccozvHCOxlb5/Rk0Ha28ZQJ+HnI9FKm/W0ggg292szE4GNMLlkQD56hca8nT UktXsNPJFLqbalEq8tye0TMIKK4hqi3slpaNVNMisUCJ8LDf6sE72j5C/6F+wJ5s0JleFCKGaMq6 xHdFSFi/kYVoz5vHg7DfLnGq78dzHM06POCaCtQtjQplqd/YK9kPLhQpDJIvDSiCjgEMrH1f2tR9 6gWdgO96XFZB0yBoGliqwtr7QSlg+tsTiI3cDK0je5UN2qjDRaNhgTliIhdBpbQEQuKJL6TGfRqU xn0CZ1yVTGciFpGjrwqknNFhw7F5RaOZr/dYoXrNHkC0A3Eq31r2W1QdScUXB0g1UKqlvtLJvMe4 PMJSeO4wZSXiVvu4dEv6EVDCZbz0lwQNQyGxQOUq9I/mP+JbdksARUQ/zzyaOpQsamPctJ+0NVGo eQ8wt8YoqL9+ojxOyQI193UNfMH2tXkBaL1N+u6I502837EsxlyHUsHj+jczEIauHLIhepj/em5I lDPxt8qUQADLTir0gjjerA+QuFqeDXCe+S/zOKskk0MtLB1C4PkaUgAYYEpaD4AXqZGwZXavZ0nE Cm8TfbzOs8DozOwlUGqkk3/lwrXNz+S9qnrUS/1WJSrTKBulcJLdZxnqr2t2BNYQqqym+Tm9h/4m 1LW6ML24DiTa4PE7JkY6fpACO3TxPVMKi/fyGhiyE5adw4oaOycmRR3xhOwWNaov5RtIxYMP6vS+ OnVixdykzQKu6ouxPQv3DTLeBMxQak/2ocvrmKogLPUw/Z5mX9djlNIKEukBhrmuT58sRFz2okIk nDoHVxKt6fdgUPOkif8eBqvPdXwWaAvWBXQQ6IUZXFera/eZ40ACoW0NEKCGy0yc7BG4JXUtGkCE 0ZlzGCbnzX4L1WBlhf+I/8JPqvL7/owMpeF9pRRCsZWaS2CclLynG2JElHPUeWIGRH+bFVeq9MG+ mnELaXUBJ4wOt8S2DeFQOJtyDMeYwA3rt+G+Zv64qQZOSJdFc4zW/BcTY12AQ/5sJXURWZUKvAJz gGEMHBCYhfB8c42pjLdTpQDvxjsxFGffaJdp1zf1oLW1C4tdzYIJ7aEKBYyD8RZSqamSyoGYEdzX FkniyCSrq/jAW+vbGe0YhZlKBg9w+B25ksujJrEHE82O+geLX6E1/6ZQd9LePv+HIE6lOAHLu8Ss c9OmKor6JtQM4Ze7rWfMqYOzVayHvrUDbik5zJJ8raHTRi16ola64uAmHDB9NeFjUYKhcAnz4tb4 voAEKdAvH1xxAJcPNo8NloGMnVvWCXgOEMqRJ6q4n/RgXAdWTonvGquCrWHOV8BOyjaZ1mfle5sQ fJw8W7Kidl1CyeHaI9vQHvDfotjhoYjVFbxkNmRyphqSzyTnQZFvP43FmjwbCvILb+U11//9fwDM kzJl+i463lLlIq6w3Xpy7rTbXhJ2oNEvD/6wdbVI59h4glvwk6NdFNV11mb1lUefLwji9P2x+Z5K GCB5+gW0jvIiq0T410s01XypI005WncAHxoqRIwjScIcVFnrf9oZFUJQIiZhBgX/hUiIZHps6Pr+ ezJdOw/CWv+Pxk4yehuvzDstQAup1f0WPXWrtk/L7pgLelKyIdZutF18YzdfyFkUdgPnP+oc32l1 W5k1fQgLFmAWPny8GYmvtmehr+EJj14n30t5ryPJpxn3/xk6v5kN12I14a+ItjtaVYE0vjLGKM7G Er8qliIxwqE1sbobfpuw0kL4yhxsbJiLrdc4wqc/wwEwXhsKOaFUgDwQKOHfQs6cU2chsgSALVys tqnUVto2GptbO7cQxeXwd5ViCBbExWkgJqOLytQa+0wjiFKxXwFNKoJsybFKmIrpLDHVdqMsv2we m47utuzvYNL2BxAiIeotbWeyquj0yrjG5oubWMcNkkh+TI97UMcdFMBnQFonGrgNwK4Ls/3p+iFO rZzWkrFWyiWD45vZwqKjK4E+vngklduYLK1DSq/vJoxSPIZcjPyI7I1BCsbJe3nCsR1oIqdUh2g+ OpsUZGNuT3SfQDdTR48tUgzkHFBmUH0ykROw3kMf+U0/QaeNUENWVeff8V26igZuHeSG7JHiF/yK hecoeueI6k3MH8yiK+2vxS3dcvxw8RpCbj7dxZg39H0JGbD2ykHXNGHWjTtYs6uZ6Q6aBS4zDjBM RcOk+d0ehSHYvn02OVtxE907At7qoqZDjLzi/bMv8reAf2q8XXcLj+D6pgaM05T6uKk+ZO5B9bOc PhCjkmZ/vBk0odRpSIAvwY9C0JRxfcTTBHnzgtfZLKuHHhU3fsU8ATbwA5Kmu28hVQPJep7o5WNF tgqtjQZIlaNfvNyB2ed6OctzuyG2OYZnngSwcWLNgl4sepDcRnVzIxFUcnJQhbzguZH92IhoHD+G 71H5FnTr1Uh0FORrcQb+4P9HGI/bWv81ccKt3ne7XneqcGQZVNCQYJ7yhiYlOg9/IAIaySDYRWaP AUiM2UZg2YxX3JAGvxzs9JlqTpGjfl4DoCcieOKYqVAGlMxmiy2iIHYzFzjiOOmOk2xCit5CGrwT ojcU/Ms98fuiejB5g+WKrzyAJcoh5cUAE0rHsvt/cvuNC3a/T5Dig8LOvklkPOVda10g9wjvCWe0 n4osWVEk+5xZta6FKqkK0+gc+8ZO91GJhm8tSn8vuaZbVCxfvsF4V2CprFx8oo8Mf+CtjKF8bIJZ JxQTGPqoebBbi6wyQ9i/WNPKatpbHkn3b8Bf8ds7S6hTRib3MWDRTxUVra++lnRub+D7ptF+5xxt KAxyjsaCKArcSwSYIpg/F2zQxVqf+yxtdxZTSV86QwjjCzU7mGrycEvuR59dCUcwxG75HzDzWtPv 0NJpZU/C5aqrCYIzspTD4yxdmhDiamSUipV4Kv6/BTXobifSv7OG/0q0L0W5/AezM5OGbVdUvcOd RS3zkazZKV+ZoJW8yPBW6waLbFbNydfmQuX1LGnJ+0JJOSltWGZzxCNLxVE9VBydoLSIxfQd5UYN K7JTq0agB2VKwE5HJtgoExTG98b8F2uDmolR8M5VEzQ/jXjr0UWUQQPMhqH30SgHtwPUqkmYZbsr GeZ3DSUQ3IOZNjqSp43f7KgLb5bn7NGGq7d1iVhsTtYBm3SB4CVMx2MBRaTb0DGhWsF5MkHHdzS3 nvwgyjCZa4JKd+xhQDaLrN0RG5ZAUPQP6nhS5jK67/2qAUJcfaOfzlpo3vqe4z1PnsB0KfR1dIPk 5OXjhxf9vHnNYZZpnB6VysYGyq7hhOBkkjmrK2npGBmP8clyrK4mRyN5dm9qnZbgZRvrh2KJrO1c Ruk3r1KiZaORhB0WRMaIVg7qmPBNc5l5K2zkJZyw4z7WKwC6iw5HSrfF/+vD62j33/gR/eiKkTLR WhIpxBokogdfr7cRI0EWB+Co/ToKLEVLpknmYcFXUFxrHE7UgYu6T3mZEqDRYdTUc5+263IzApcx fn7TUVfzm9eLw7DuVoxXMT+JtSnzI9n0rWMJZhZVHo53NKraw/WyfS3aPlsI9Ohnwp9utilkYMHB qLWB+m+E5x3EYNuf9l3oH0NYeodcW80HBNKHPVB0yFQ/8oDa9+PFpNjd3Pyrui7BanTWOXo0DDNF IreXRtdjSER1ik06gV/htv7pU4oi3G26Fa1f5W0qUeuHZQhXeouYVjFzCbFx0FpLKjf4ByG5JWYb eF/+24EsALt3hjmFklbccrl2I6TmbBe+X7Wn0z2bjJsyArQC8pGnNpD5j7NInzzLEZuAE3hoo5cC b0xpw+vNlEj6/GMjgA2QRMbNZzBnrMlouQhIfwge9vRTb/4EV4lHO72gkRCE2lmPtFSEkqFvDWOp nPwF+VSJ4ivnmozcTObheA+rFN/ugO1nYFLGstttehOtmpywduWqggKyjHQsVdR+dCtdj4WLIV+v 7/dRk4UXdQTpA7GkGj83+w2eST6VD9SpV8dsptqyPrnxyQDrgFz10lm7QMXWEq13wfMvcBVx2WZk ZE0aIEs8C7nOcipFDdaBtHh/LEkFIkjzGKWq2wcsMTyum5qCSIagFFBQamOrfFowtoUe96Ewmv7/ SnFlCkMcGeuZx2KiKs7z/elit9LVkoLAeSVA7uQQQ95cvT4Y+XRM71zR/M6eSd92836C/dpESemd IwelmLjk5WOw7QVnEgd9Z5hycpF1HIwIAnvmlDUQ4jbllJfGTAfSzTlsrSnFy+l/Q4RKAhCTd9Ho p8Lgd4y6YY+7uB/epYI8QcZrd0wYup3whQeFoO9aJURV5Tel8E+Se/8pYhKHATI1uyMKairipPoZ 93UOArIt2K2N8RQjj+7U//DwEqaY1RExv2ILBo9LCfTa2h10QoQsyuPywLrinJYdKJMUGH+c1iku 9BSi7vrdt0xctR3vyoqV4/EKtu2MiUQZyZYuu0VawYl+r5VUhF962q9eX/2wTqlIL97KF5/+qb7r 7ge+Edb5d6t1igbi91ikTP+yww00wGvmHXD9UQP9LCyEu/QDkvB8ioTgaBwBRPDg45YFvCLIVLlr KHrt4z2JohggDUYf8dqiGioyhJcdMEciXzrGhNH6mkOgGm+XEaYDC6e2xgE87IeiNWgFdjzTosW3 xSHkNso6Tp0ju+mVxafm6OnDs1ck6Mu//iDN4QfOqiEtdghnrq0Jm6Pu8GMZuQcBjzmwDGV1Zl64 ZQnjOVsDnRJZk25GAf09UOjWCTabS4tWO5zGP9pW1JGSXYt4cPElN1HxctpZHLIVVrdvaqEQSTVH ZE8y2qqH3kH7OYCC8S2d6ZZP6P97z0N7KxKh7kht6nYVrxFYFNfPqsbFqL3NDAWCaaQOOIqhgDWJ evrIwwZhpZ0LOeiYAAQAulod6scbvqTdrDIJS4/Yf6CzT7PlRUpRyqGzYeej1ZDwDV3U8Zp2ZG71 RgHUItNm2dNNHCyQ140G+zaip1Ckua3mo/3wkwpx98FkEC2J2EsbTUCFdelpYiXQ6Hj4zrhOJ9Fa Vq8bjw//i2GaXok2cxwC+KEmnfrHDhpDfIg3ndie2gq6BKorckhl78IpIesYzMMU/E0cRw5+c6IM Pp4oSSBePkqNkhFiR77ZZToiNV36VwiIxx9YXXiAwbatfjye6/xysRu75U7D0WvC7BDFi7bKmyNy TTCK6Z1dYiWGrJqOxTd5MXx0SGnqbQH6KrCtCsF/pNkHSsWIFwe/opkjJeyeA19oVYyaVEzvaN0E Br58KFt4Drt+nAIuWVoPY7dvEpG5F3Hf5Gc4QQZUTTvEtC20XRDEtI63Pi+2VC74dIMg9wpDLMpR X0qT0eG5WvUI92uuxrIPnbgz79HzkSpnrO+dqqbwxwLHvU4yhlO7RPwA1cM9ACbmsfx3DY/w/Yb+ eFkkJwQcMo7WFGIo645gb37ZLDrsLluTnqDJXTosvdY99S1euhgLVG8zqNzRyS9w/jgZq1XGb+0e OmcsVDE4l79HZIXX5KaXG8XDNaoCHhYF1HuU0OFlMPnIHF+HsYfHvh/aFvj8+5EHKIO84KEKUySe WDM4Q02TQ4afXuLhOZNzae8eC2HrDWxWn/bR9U047MVi8IWumOL0vxsUyWZLDCfT+gOMRtNa833Y U62fEreCekAMiaEZucat/LKJhlDZcHubgHqqMoA9fIq0nc8SrQ7Dw4yYkCTCmBMcdhTY+qTky/Fh WLifDS8zujIMyts23iED7+/sCGw7jLhXLx5vItWLMKNRKLFgMFKtqhAwvvZ/TYsUi6md9PIJl3MV z9mSD8j+wvZedZv+6rGNprhOgcPMaA0zUcg0ANWzMG0coF2XMVfwMlhsJWz+e125mqulVU55SDa6 V9sV/BVWr7V2RbZnZCHH1HoDLVLrjS7oZtJeRSib789rKljdk+eleWGDKK69Ib4OwXhyjXVvZccx jH6GjUpRw3TaUwsMyRtXv/FxnopnFXwk+PBlkWHoBCgwWemJumAW3x0/nUoxPnF/oJQlNcKYvU10 SrBtRsLzbwOiDR6PBqzr/6atmapltvkPZjiTQjPU0DBkxkAOu3b6Jjl5wjzj0OD8m1YxCDrM5/07 5xXJtzH/MM7PEuZYqxFjUjbx+MG3Etya6nnphrlR/FF5Ft+N696FgeohE6Ghin2cg3DZI03OuOsK bh/5rP3ofO0K9FAT3RvrF2Zq17478vc4ZuEhVMLWzeLgdri8XvyKyfhLLsCcmfOysHHU5CYPoiuU BN+3W7NFT7u7RKcoyqmKsWOPZzbvtZhSTrTgawxYh6rCgDA3OsG6H1zxAYUXczquOhEgrexi6z8g tYDdNWiuXJnUWcLwfUTx1Nu9OEz32VTAi2WoCS+DGkMgBAw0LH/bukweuO2O12NBabOhJH4l/uv6 G8wuOkgf+Uy2fKhLi74xexvVttEDOQFqQfQKNOQAbCEeaHH1uZb0tFM5DVy43kZxU4D4hDV82wqP sx15GwqnP/i4CEIZi4clsfPnhLnXINbprkp6OuzGyjIpWyBqIo3KxyXTxxGQ74RR/VvZIa9SIzWh r0aa+zQgCUmOFsBQ85ui3jyB/DcHIl/4ndk+JMOu+DUaWAMK6a+ETVMJv8DDn9Qb88MQBP3okrJy aMAlRp5Xij2gc++6eHyVmEKjIDw6eHVolrwHdTYvmtK/XwyJ75T1gcfX9ulw56ygGHC2CnxcyN0G 9ew9awMXzjl8u3OxCHJi2xcuZlFlmiUee+FTt2hvM54P+fJF1uZfDUTA053JbOMbaNEkbe1XLxIi hBS0VFMtum34XJxYkrGX4r5pS3Wy9uJ4MYl4L1NzvTCPrtmVDZ21SBh3PB7LTJfYXHP6qdUsgyVT Gp+Ma0E2v6Fba+lxJW31TkJ2UL/k4isdmZ7/ogQxd/VJQSLpeJ/Q3fW6VSsIp+3z0W75bE8PLK/d 4PNQNnF9+476zuZ2SLmDnEVl3V1APw1iODBz/DZxa2iMR0m4bJsVV7RADmLp0M117GS8Mg0M7GjH Fn4LKOv9q+qx2+Hq/eknNBunDCRdIsRwyn97uPX19xQfB4ge/1CDdrKuqbcxZSKjbRlJ3YwVe4v9 lGAQQeYOLaijBrZ+/LTcAS7cvhEMlBRKK184q4/gHkEhsz8n6sy8x09oiJ2d+RbGmroqMWobHAGN bYfJsGokh7iPTRwwjM3mEpOibnWYS0Rk1MXMVea5EF8m3iWapqMD/KWU77ycX6q3E1bebHOKDRyQ zL3useQuZQC+A7URsx7eR2/Si4/iIpQ1dB1tY8woi+3OmvKtBHTquKubhiOAxZlVJYSfKXr0qJ02 SDYsfbZC/UXqtXQ5xfjgS/T/2Jb1R6YGu1U9Vo5OZo2hE+K2wy2VjkAhzMDMOYRTITubq9Jhrky4 5UT3A9GzPqtUXEeAHCBXXg+1WiXqgn1LwmX69mMXvZNqU74o+0hlXAtwJYgDcEglMJtq6AfoNx1B fDgzwUQTrHhQ+Xdv7/cIPmy2Ei5wC1ScM+agqVrxnlWca7vs9MGXTMpkG/ppggNu04HX/HTNBb1x eeP4yIaP5fE0RQt2odyiRg8PpgyWSPtlCJO57ShH0aUTvy5z4EtTxXYJ9lQVse7k+GzCt4KHOPp3 llVLxNjIgA9HC02+p3rojHI6Zi7dekMWNZuxWpmSORmNXx6UopU2rChpXDFysgy8vHe8sP3DDucl ExldT2wkFL8OJZ1MaG0Vzk2GNlvdNJdhxBPaljl9sbb2SxQ9Q+gLRKHpDnFTgrGgNNHbCdu3Es24 b1aM6Reyb5NGbATLrzWQz6+tJE+zuR/S8rD/wpLHR36oE4TWdcU0HCDJt4WN0DrzjyJtDmTQbp5u G1qJuSLgOJiSODGY/ywp0n6Adz14DFMQ/sklnG97sFh9Ku+LCZoO0QMK9ctWhru5/1e+n3xwkkgr qlIOirVobRDgBfOTZq9vZEVBi0zzxt/Qcqqllr6KcOpa8aS8tDS+0miQQ5FN/fR0uM8+nz/Pfn23 A5631u8H+u9J276d5DVB/3vA+J1NVdk14aU1lDrCkepiG7cQcmsSqnS0FJAEBxsK5KEPT8j9Dm2w d/c5IlzwA8+1HJD18t+DQ03pK0kcHALFpABFxpVvWECvXctKt97ZeYWBm9Q/OGuKqQlkc+rR23MU RHuFTqG7Zm+8Psuag+Qs+Q3a3CLzdFImn5+pCZmeKSgD8SQ4lPo/mSjmOE8FCyspZLRdWB9eI16E kr5YKIpfrFTl7ihLXpmfc5am+CKDYyWSpQQhp3lFpf5AaKtB2bwFYOLBNBTQektbMLhfkl4OAljA /rWk4iAQxUJktXig7+qUHfjON/4sg+YPRdMwUq1OGqIPPWqnesqEZDrP/UhUa0oKAKWHHkbHltQs COO/9bxLiqk5h1UpFe3APyFQC0mJPLVfJkVUnveH55/Nqe8o51uKRXCTta3aSOFWjZR4yRWEiGg0 1OMspjjvrukpZB7Q4KzvdMrp37ndi0JNUVInTRUfJeT48FOmxC5tLY9Q+43c/SNuUE8l2VRDjnvT rHTu7HrjgiNuiDfC27PgnXdIxE+I3G9b5p45IlelLepuiyK2nPvPFWMqz5M02ALg8HfQ5R3znjrk 1v3kilDZ9cDBQrQEUSzaECqt3OojYcXM1+1oY2FeD6WR/aZrCTkC4WQno8ra4tGQ9SoOrNw3/hg9 sLa9QuUat9W5h7nNLEJsTQx0qcSakYgVUl45xUPG2/fhTtnQg6US+pSGFal2H4zzi4Pg9+OUDrVb 95jLMIbig1xvLh8Z9PqNCIEd95ZoyrnCRPRMrWMJtiiDETvlkphxmHWBnyuBQ9DWE3nNLZb0jy5C 1JoK1ghUHZKTuzBkJchcBQeKfLq+xh+aj6+g3Y6AxOuPyUnGCvRR4wtrDa+Bv2hywVQ7sPdGnzyz QUe7xDIyS1VSA95jhipgUhQJRDM3EEXvPLs70ZllWU9Gvh9RgI/Qyhfaf2mR5pWgQUDnTRaF/iGz YfPFB56FCJkoJ6yvSrbeTTtoJMsBJLDmh8Rt6Vt93q9D2cJWTycs9eRdTLF6bFH9k0DUU7qTffPs p30KsXKv0HPXBv19bKGkOmxuchfHmxYiS3R3aw4BNkMJrLN1a4wVzRUCobp7prfUd2IFHwTQfcdh 4TbqJ9DjZgwo3Xaw+DOT3BC9nmm1HZK/XGUv8ExYoj/EhJEgBailUJ6v2RkKHWEhDLLMv5cMo/E8 0mFPdFG0nOWqfS+696PK176TGWkWJFaoMmiF3NQvCqjySYX+FF3NdhFvMBbGCCxmnJCdTMRHWUKI jYzSbhiDo/QxHeIQO56cpU0u/3MKnp003sNzG3ivVfmeaUtnautqAknf6wV+Fry6Pw1YOxkgam6C yoLc+M2mOpZkjkkdtT6MHJUfKQ9ziKubNQQ4hocX0ISMPQia7A5uf5blkuW5/yTohu16YMyn4KOd m+NPkbcgDaczbAWh3znHGPZQDtmyW/5F+atTOwXQkj44sq9fw6gQdaMA2Txxb6eULGf1o+JQ9uNS eDjG9x9mOLSU5HbYcckWsJUGOdvg7279C8ELmoGT7vzH6AuzHsKMil9NExotVBZd4XjriAO4/bC5 /rW9pGR8ffzS3x7AzDY515cUxkfudq4W5TLWwsM5b0AIRsNBJmVQvzR7pQG7+Ylkyru+es6CB244 xpNM2ztTlO0631hF3g/qmSpBqBjvcAOrPdpj5EuYjzlctKqB9VmKlJd9Sra4TcaLLTXRcu2JPkO/ EJHunogMGBGyYM9Qg5LEnqUeDwHgJduc+RGaP1tOX6UdI86cz0/Th+sOiFivRDiDrHjl7x8m4yVl 08MeK5symd3WH4740A0MrH+OuA7H0zzpNjKvVf0tVfp2zlMZkFz5DQkRygMr5BOR0pTRS90ygyUn QMeOqi8/89HxLzDTu9plaHhRyCb3Op2SlE/BhYItk5cyJQJvq0C+4pqASicQIbTWda5p5OIsHLFq pwTu4Jr0Gw099qwnAmhP5cVy9e2jBxUDZ9p+un9XniYUj80A0FIRFpiwiFd98ZzhrKHN3CO2qdyX jgCfmQViTJOiWntzeZ3vBKW3UWADa5E86wEo6zokbUB8mPBDak2leBGzQzZRndw1AvGKS6LJVpAi UA4hxtdUhq9hqOngw23fvgLUGEf2RTzZOrBfiC82vfs8MogSdB3TiwlZ493tSMQFl9XwBru8BF9q t5Dao2BUxdccN4V3n21Xq73Bp6fc/Ik4tP5fyDuLhUzVyiSM9BgacY4wF4TilkMFtkiWXylCngv2 gHzn9Zxk2JfD3bqOJAtawd+VHtWf4GadW6UV3DXekqecDP/kcmLGFVnGI59kuHyr2piWCwY5pMtX MVv5Hj9jPNDFKgH3e3Z+CEZpxv6jFynFSINZqRRZHKilO/3w+lB4fXq4jDUmZEn7QWZMQ+272/EK IpaAIXQX66Os2sPw6QZHJwZD9Qqwu+Y4YK084xCaa1fe+phGGZ+ZIRzOWnDYQrhSnq1ymKMtSCtJ pxa2bruSLOdQfmQPC9sFitAZ1UN/9D3CtmGVrFWI8Y7p6zV7l2k18W2/m5gmZV5ejOH48e1+E1vP i7njKZ1WrwbrEmMtCPgjinNG36ma/PsT1E7MqOvNtfSQSV9iEmkRI8FtwWpR4Y7sVbMurp6jSyAj r3TqjAAbl+QblTtC6k/QRBoW3XClYE8Eu3dBOEOuQ04zyB1QF+e+RaMWXUOIk0NK2+1rnWO21S7E syWvyEQIvJpsy1FntMMe9nbQS+tVFB6vTGV6UJljqjchieBQfJk4VkSOhvut5PBpAxQx8FOhw9Xc lY674f6xZ7O3gq7szsKooGNHhRc5TUuAeWNlMbZPbp3f2UI301IE6vhsZAtDl+i9rNUQUGK1jgq2 jr3S5PoKfE3PV1yRdyjUpkDRH5BGjHmPEdQcFMfLgFMF/+bbqdUR6H1hjDW/Wd/oyrxnO3+Lv2bA 5GBsHbFpP6dxHjBtZep+YT54AdvbIdTKccUJ764zlHnjAeniVfdtjdHCeUTw1AqvvNiG2+SH4rgt ER+t+iSxIJUMn7/TehfU8+OS/jObyxrg2d5Bxerztp/R3rwSFF2l12hFGaDeH9DJ4ZvxSmfSkMWN aNy24uZctnIpCvfWSgl5/rjpsjTlUYOX+sev6AH0KtreCJMBrPd72RglCMHDt1cu99gVFGWTJHCz M5oDq9RuW1Hp0y+sAW6TZyEgvLHyH4uwDYyl+lBcIx9jui89oNVFrpa2U8/oF7QNGN62YxHjKKPg uF+PZvcHxLLcQhebjHdFUSAmmFRoXaAU6JFgj0k6PvMHNr5W1kyYPsx13H9ZoFgtCNFun1bCNNQt +2Z21XNAKv2y5aDCl873fRYwEF1nksKEjBSpX4I2jcwUEqrijOSRby7f7+CRMwW2wcIRSIaLlRUn Sp7B3x63L9odF8KCGjgFdwrmxJxi/JCk4nP15QRadpfFlcWK7xD3Qg7Ys2QfzFLxKaZm750NcFSP i6LaJnQmdu2F3DzPN64rmFi05/aniTvYdLERbS1aiHuR16QvAfYBy0n0bfXgZJprUoUYtu1ckGCZ cRp1DekTQRTl9U3c5uEUt9hggw3XVBDVWnCSe9TT8gZj0kk0s6/L1v/oggnMPZFgeI6Fy92AiCFG JHdxM1v0RQ2A6DDyFB9KLPlOynP2sN6Y2TJnhyzjaQEl7mvGq3RQWXD3iZ6dA//t3HDn3V/SoP1d zvgjF1KhsbdcYeNGuq+UlNePLprPNhFEZIa9OZDxSsQ1fdeHk872xWrYFnkGVi9Y0+4jFQyMrJAk iPQHLbH8lIf403HxFOu24oFZQidV9zdD+qVuZvEkPpwKYXN/1+xO27Rm/15WFvIRLeE/M2Zewu3/ LTxyXgzDiXf+HwZQ4yue1OuZhzMdq+2qRlzk4UuIaEAE5ogWBEvQ+o8ZoIyyPPLx6WRawCrlseBM 6V2bRO6ih+j9XFs+7ioUeEjGHqb5L5eN3W9VEHordzDWmMSVKtZ13BrNrup4cs5G5pymQgSho+G8 xTigr9eE0gh/pd+dvdJ3k+MDsUyoEHpYZQdrUpslQIgk4h2/yOrnZJ4srseapBVbebbNE71hbFaz kFV3Z6GRUMkVlL6RoxBBAnNsGKBBWaV1iSecPUDx0GEFIK3KEyqQrshYgeHtdCE9dUDkquIS6s6b hVsVp7kj3nUA31tUpb81um6y9fmfh2HzyOztxYt7JVIdpn/xYk+MrXsYgFFINNEX2XjuC4mUxRcD 7hisZ0gMR3W/iRIl6aCLcY1T1jdzfkiUUjIug3rmtKP/nI1TAbJx9XKQPGazJ+T6OssiJ547pcn7 et6yLFsBSEYluzI9MLTJFM2TKBJateRd6unYhgpQD4u6jLDvwAFvd6WREKyIrJMNh0BhMZWe/zE5 brODhZCcNVZgeGRDplyi6nRHk2ExDzi+OPeqZZBFVm7WYzZ++ztxFsgWkUM3v4+fISf2AqehcGPY G1ul53/hnhEUMpj8rOVu/G/Ytf5WmWeHnX0vTnC9ZkGn6kKqGrJyod5n8zvIAoZOPVkEZLGTPLaP Z7pBcbJBXCEjltsO/suRNzZFVdjdonrfR0vdfOvroQCi3DTh/Glrd/HgxjmHcX6ruQnkSAKSwrQO p3D2jWekW+hBtPSGoo/H+sQ9AYOR7JdSKpapmD4viKWfYfM4F/WEjKLqyw6pXztb6ADqTW8KB3P1 yyW9DkdRvbbd/L3VfppvLRm4rppds69B4ulMvx/xl0FwxDRHTTGyoygbYmfOoXAxrlIPao3gvqc2 yCWQpFOD3me05qcsIDrys8OATYnt42k9ZWay3QpSUWJ/XkaQjWdDbmDXk6A4v3CwkMjNkv6yH5dZ b2stcr8plPEMo99NlWqGdyiIfotgkgAq13WFlyNYPSUQ/LzUtHqWuqCxhFL81w/aFrj87sf5U2HP 0KuNcrGCyLtSbJVdKmLRGFz5UnOJD8YvSnb4YBaWD8NQacyJrSVn0u29A3pFly4/dFY3oete7nZR h8Bjg/EMpEJAFe5SiK1V/SMxdt3mwAnvsTpnopoAt38kIU1cfrCtHaysdjtPY6h9RuutBHaucfvy Qw2AfcNWUwHBn6PXA2SwVylDRgo69js5g8vWhqTOI2XubtJeXA6XhoWVuVqzY+2pupreJ8iXqMFf 8XSUV6RPt/kanZTQ85eempokh/9kpZ4UozcPlrn69jekLAxhGo/I06Bl4Ex+HeAPplYJYi9r7spW KU1LB+/Rlith/9U7KEwDZScCrkE4CLsfzlwsNxNXhyLcWgIrLxdNzZZ6ozbxTr4s/4MTfuo8tAey I2kj+ZdSOJNWLJXnfpocfYJli+nmGek9SrrTYWY+yypMDZ2Mx0s1LFK/RSfPTj4tbnEee62JRtI/ 1sUXBO5mTpmQ8YbuKWT7cLjutGqmIcuYPECe7VkTKXZNxANVvEJRbWelAM4SAWAAfLdgPt0IgeUR 2wdhSPzMhN5gM9obwGnFeFnQ61PiuUAw/teEVYCxX9//VDNSxKsyXUHRwpgLhkXEPybs8KQ6ujND vyy00FX/Vl4uSIuW9s2u+V/477sDNccXJUTqsbjW8rft803Ne4nxwWpLQoDRmL4Yy8Wg0N2OJVTz FN6GpqIy6b3cN5YTAlmioxLzFHGjnDSK8g9FYBJ146jURRSuXP57ic996798TTtBpQd9rYREfXvm Ti+5JCvPsCUpCLAc0c+OO8m10tX/VromVyL1oKnUVHjolOvmj5OYmlCY+2VZAbqsR2Htq357c8f1 vobOwgrc9MwTlv25RVxlPZOyhVQ2M0IHpELy4BnOIwLKmFFFbDWAe1GtC+ONWCm5BdV/Msp4o6nL 2VHJCswtu/OL/OD+aduWTP/w+vojlF/ATZxHsk8GKGZPZsx8HuAYo1xUf9WMWBApD0dIUI83/p5y xmU6uZdUiotN/k/w4UtM/gNLR4/DoDR8LXJV3fxKmlBi69UOHe8ODu6H6PlWQiamyKoScIB7YXWt 1Mc78yX7GTFhSmkjuMr/iZRZdDN535Ebu3x5aY4OFJLdPnaqSu4L5VRM67z4ntg/FKf6ntLsgNZJ QtxHUEwQqSLPzBFxf/8zZ2In9V0bDOOG6BCpsw7DlghhVzHtGEQ37ft3+Pwtm+XshU9Unf9AqlYb +FDC3G4NfH6JuHPm/VM/HV0La8EHampGmkwdnbr1UB2+Geb1wxh0UR5q5RrTg6n86c4xBE7LELB6 iG9sPYqU1iku3czDQLAU1P95G4pzDXjgnj7RfassMQLTY59ZfCVqsHKiFZTNa6wZ9nl8uxw+O3lt g2JflEIfceD8iM0GXAQthFx5VhlL154btgMkaS+sVSLKL0Nus07FHUXIuZMqjTCVlpjFxb8r7y7W Gw7t7Da1j57L7Qx6zbD5dtFIuYQJD5cz69JoDSVL6HqyYGyRUQShgkcE/PWXl5XEWDqWz9XbemY0 P/2SM3+sjIRC1dAvuy7dcd9CMA3UYjB97oyTgevwJOrOonnb1+MkC2RUUwB8VFv7t3p9lLA/AAdp Orq+Wur662cQwd+TnHPr3OaP5hD7XWqGVAW8CIcm4IG7NnEJGsCqLjw4kTMfEYtTrbieOGHVzxUb i8guNMbMSDXy5KTGc4K6OxyK1JMm6wbKMzP9Gpy1sjRF95VXTf+Nr6wF0m1Q7Sn5fwen/ifgVP5U nDagrw2vdQc0noVva2felnpyj76jFXQoLKKSaoS/dLOvkG3cVTDm9IkvHFXoIi8ggVhlq+uvTX/4 xM0kT+Oxv9DXlNyZozG9am2Zu4QNKRdW4ED7dbZuqbgsk80lY+FrhfKGHXg5XOVxduJHycN7VBbB eS8Ye2aSQB3gdGo2H0QNCcVG6YJ4Q1bjCOJBAPvxiOU6CC89J8ehmjMADLSy0VyPXkbSdWcSr3vS 7P/+t3hwnmfnrp4wu1Ltn+996joVgbzlZbvgvKTb0mSvWLdiVG/uyhk3bNWYUkTIBbRnhrMgwTIF 0n8fQhGaNHBT+fAXYwTbNnGWI6q6zjeTZufhnHvU0nN0jQcdtJzgZ3SYIxmrg60rfTGQ+D9muHFv wh8JxL5r0fsWpQ/O3uu7EBFjOAvOSA5als2ekT9ceDpYwQWnbQP9XxtbbFhqEsAa4XoSAwx0d4LR STgYEjrYFR2v7/XwUZQbEIi5qrQXEyQCCm4BQn8vyyRIis2hwwDsm8mtwwokKggALkauv2YcsXcB /BK1n2oIdwemd2A7kCnkXtQCo+Mk9R7yhGr3BkOv4Mr22kpThPtwrVPup8rp+GQUpq4oTK7vS70f 9CnoAK3/23C1Z9p9C+PRtkEUDdYnCj1sKwRVyW9mgOVKwqBoQnQISkdwrflXiiZoB12nO2JQ7NV/ xUsh10Q9SDMySNITTivxQ6Au8yEJtcK4J2kMFS8W5nZi6yRG9mJA8DJ7gf6MrcrN3S6GhdSi0THZ Oshf+pUMwprZ8g3AYK/MAOzBA+93hgk+yjFaGza/N30aWszDiNmFpyIYPIN2xDM5p30Vlo+dtChe r47jjd3Xg957MC0QHXMsUmAk2IZcoRXL7uD3gbdPtW7yqmh2b82ygdVYH7jCf0/PitFmrUFQG5AL OSvpP71u42mBuZIBYwphSjAMVbMVO9/7ctAO+UKmLZhBMJAng34G27s+o3UGRZHZ+yImP2pZVVt8 vIyXLUwRRrEqG8/nRq+6REyrMnJGsG6tJHHygiHHbHn/gK4r3b2iZuEodk8KE6H5kpXlZ5SxGe4n FWbvEzHvr8Y4I7sBd8eqvwGyOmYdBBijEWoPdc9bQkRGhJwlmC5sEYqqA5Uzcq0OA6R8AnfuCYr+ 4o7lKp4gusLQD0FGysK8OhnbXxAYwjVKQwOAiWNEqR4JWBmcqZiGVSHIQbVQsfBseq4pxLtZZqJf ZH9qpzu10y0kEDEE9So2Iya1wg3ryLZbUxxyT8R5kO9kP8lq0Bobo6v/eAHlCJJLIZNQhMIunt6Y BJiu6FTkXCnvx76LO8ot8uVgbXXT0cpSrZAf/dIH7m2FgoDIPLAPl9S1rQg3uF08DSZRLF1UwZw2 K/4DuJs7m6uwILFWeTYlquqM2JS8bS+vCI1XXtEk5KWZEaXnxubbTW3/1JL8xA/5R+dLKStg1swj nrGAJXfUtNLWvDZAv+ulkINMadFWSSbUA9LsCmJ3WhVCcETddUk9TgJSU5QljmqbARqEUfFVi51R J/jX6SgsGqoz/qPQpWXQznEpBdbjtsawoSJLDQhU8QI0Gc1BVwsEIedm5jdfSpxnCxAYB6vmgOP/ +6qgpndA1BqbKsxReIIh5aAIDYLmHxIFzkmKskFWmxKAE2r9RluYq2HY52ebR5qeL3VRCRkePAv7 NcPzmyPPpMjZ1lZ8IAEe5B/quzSyfcmGyenfk+o8HOeyF7dFWsforOolAmh87RAjzPa4YqEhjoH/ SH2gROkpSJrE04v3Hq+6IQ6DPahgIAzK/vghu+BymMN/On2xLB+GoyUhGtnOc05Pn4n9f42N7fKl TcPBP7GwUbv7pmNVBJ2vvHQWE1NJjS9ZwbhyM/V9ldJomlFBOXnr91l1brYS71Hrmf6F0PO38m6m SWAQSDDRleLtuDCGQC62JB39jPWxqD+1WArhaFgv5u7a5RBy1pDyCQ+YvlyFDcPS9bTiqP3ENZjx ZgexRjgetKiwc0IDDqufLZmIykv+e0RARxF1M02WyzSjVRpqpuYeojq2iFeyt3wcRMDKcVohO0bO RuPQQmfYQ8rWP53sWZGIgfhbpAAX5+VhD3cvqT8XEB9/dFYZCc73m7VNBVdXMABOSa5moKoeJVd+ m+g4aawxzc7jyRJmS87XbqmgNPX736sv99cwfIvzTQPG35VNuSMmIKWDvJab1EJYNF7cwN0zikap CyjLhSOnqIMKyj2PkngeqaL5J6rZ+QW8VMANoIfrYDgj1tyatwu2leNyVNx86tTUfb1XK8n/ol/b WEYvN7vgZw3Bji3ykItziTGsECEmtMk8GSEB2jxq3mubHaktwQ8Zi/dZQMubUXCx72p9JNxQQuNM lVv9SchYhW1eITdKqWEvR3vYhy2s4m7wftE4mSetCwCR0g5T+GcvE0bIt3UOebpKDoM8WSeO+prf hK7xZNaWjK+lYrL5NQnjl6Bo/8wgOn8OUZVmlK8oDoFBIjMnpRcHSqcwKEv3FCOXrTCzUhvPi177 M5DcqxrvuM+Rr9estoDeev7lYcaaZEauPOlinbMD4S2FS1AIvG2KLakjfVeWGPrGhcYfmb3atuXq gfh8adMiZyrjdDzN7eAoU7mtzZevT16QqeRAkoJlRQ/iGZaFpg2VS4xZ+D/cmZ1GBLdKegiCD9iH lWOqe7qUs2PH/+pm1W144IeLeZroGy/wzruOjTPIV3jY3yTev5fGMVJS3UscDBfdrcNYs1IlFdeO JPFt/6fDKL/uSjmaEBpwVLZgCRvoYzSZGElwQB42JaE7pPOE1IYlJghdxSpLuvIqdQ0MxMODytBo AsNOSxB5RWuP8osqQxO6RgEhp+so/bOz8Kckc+6jC6fP7hIRK8+3I3MnaoR8JaFBgATXVN8ri5NS TJlg6fo0qrbHqIyScIcvjXrNiqGfa/nMon9UmWGpaByqPsA50UW2hNb/m1ySRt1e4QVr6t4RQKlI wzisafAuws3hC7EDoxXzkmmb6S/itOD4joWhJxELA3FYS0w4sA9uktYHbrxmiS275CIfaBlv0QrK qujgFR+BeWSNKv4KfsFnLJOQC5oSYNCH3A+3bh7x/rGtRwIpmVm0myaUmrjOcMg412a7MjDwTR2M y11U7kvDdoGpO5c6Ocvo9xUcVLp/efmvsGmCrsH3N/ux7pLheHxOaUX7+8SaAmsOl/GNfeiLPB2G tKNgroG2BL4Kmq33nVJhTfVRi0rIZpzZG+kZmNQi9DehJ2MZYWgs5WgAKrjAOFEg+CQSmOsePsu9 OU6/O5ns2fAf/GgutG5NAeUp4aG8LP0JRJdjYvbHRWDTyMJjog8QuDiE4H8gzUo8kygbqoPVPEpw IBK2btwEIxTm0BN6X6ZyGmu/CJKV5i2rKkEWTtwpQ/Bn79t1JgtQX2y4GJNaXfQUnRDXACkzRz9C vHqCwhUjIaMdDwFyYg/JH3XiXARwMbthMpim+hWqoX8LYRF3IxNn8c7O3gbvQNurHGio+tYpKDuP IjnNyGu7nzBUAw8vdG2qxEOZVu7umdcEkz2CfGPK3d5znjWfRbf79jfPXk0EyyIwlhe7xU1eyW6R j2BUAUmbcysR3Pa3ZzGR4LaqgMOoVgmIgtdzneuDFBpUT24nQq/FpGiNK0ZrM1TdW+CQBGPqMNtY lTQdOmNQXBMypdNK7+aavNMZIDw8fpxdg+sQG+AZGQXFfRh1ROUcpKkmPvOnUH35CAgVqQ8punqV llphmP9U8QDeT3W1eolNkMi9/a0LrrrNQO39XeVbpaMkXgWBx0MIh0C3O45l7FUGtBoAIibt59oa PtqBbcnuuqbAAxzyvBktel4Ac5wQzb/WelwfhPTbT0hdVQg66RYelvnnuIBIya8hidWuF6oHdCiK OFs8PmFMlo6Uda1dl6v2g7d6mfTLfXjEdcvmYP+vEOEQzi/97mCesf9hrpOBTXvvfPsy8KPmPZje thVAMwK8CYGeXWn4tn6sHn7i4FK++joTRULm/rwGIpLVJwdsb/Q5pKpL9qdZOf5s6vkKpcqQW+mw fuCzO+PSPJ4v7ze/QVJkwUde8GDrQ5n823YN34gjWbwfoCHX7XDwlD5+rU3t+707C30ACt0I2xut mxUQIySnnv9lJKCitfPU3kgiyjbv/pMFrBibtCcb2KfTk5cANEMsovX9rTl7AFxg3eyYKYS0yMuK 3t8LcjnhQdI9/Z6CPDZ+kXbQdVyIp1m8ZfsrSPYJoG7sd/e+NIxd8FkeHA41+UUzVJ6WUyKYZgn6 n6lDB4Ty3zr/dQZijv1QpTDaNCgSOi/kd4y/N3rR67izrcvxXlOc1SbqOulTvlt3DoIAs5u8UWp6 gj7PxhR8VkOo6+ejKrDgz8CIaTp519DuxkbUkaOnCaGBIziqvWgjQgi2hBT9bPFbe/BNiYvXuMnF NxBPEFDnGdtkPlyxAX9+s2/yOA6PZlNt1tGaOveZ4vd3C09VBfnnHbnP0YJJCzjfv1FLRrewnb9F 3DYaIWLW3gJ7Q2kNpMZ1XeUvl4JzDPj5Wp3CYLAaPdB+FlilrF5pDo5+fSjYkYOXOavY4Crw129c mC50r8ojgLdzPjq6ZqMzDcZtxcSVoO565XpIFXuhfveTF0y4DJ8B6CGuPFGLitfIrOm7RVqxiQ3j 0XZshAmXvHHX1g0USDcune+Mo4LPCAPKG4Mr/NtSkLvkVJ4wn8AdjDuy0b/PYviz/tWNF9Yrcx+h O8YOSypQUtpOYsCaTOq09M2g6YEz144YALZaOvsQmyWNbuiZQO2+2fZXzv7dGTlTpUMRB6Xs2Uly 9Yo9IxyahH5bFk/uledbAciQSi0f8gSXybbetvmXAP9Wp1spGKQEB6VotDl/K1IH1farQ6yVHCup QiBChSIVJtVwhyOdeAwiL/N9HC0uH4KKTBYa+5NjnrFu+jkG0O5HzPgfpsNIDjeQgmi5zC7Jt6el DPgT83l+vwvwYGP74ehsky5RSKGgpssJkrsGf615f81UFpJhrJzF9YsgSOIEwKevyyBMF3lxGLrU eCWWt3+Sst2uBh7BrLz3sgZ3YmJ002cQ2IVIlrgX/irVkqwEn2Y8F1v1ZCchm1AFUsgF5dIvQDuP 9WeUhOU3UXW/WJabyjoBbIFa7+CC1yBVZDRbelRQsWRS+cCk7a+4o+UBBLBZyOE1hNiU6kvePIT5 gNjJ1PjKpcGXcYWtFQ+pTJ/Hf2QBI5FSY8b2cJ2zn+WshcaqNiTuq3wVtNWgUSz8RcsatjMqWwnN CYVE0I9dS8XHJNbnm6rYdKSPwlPkQjrujpDayyuY9gmYjRQMj8YpBrE7nb11SLvZM0sKGQmpZcDM dCXnzhExk61WbfG8UrtqAVgF+lyLZS6gamuE1Z1wZajpFxZOxip/K8R3ZLSo4mHMytcbMmWBVS85 JcIYc9Z/EbtkCvZMyXejO9y8GApbE9ePmkH6TjpcQNA1CAnX9Y3AEUGFThpesX+G2N743wk4m1cC v17HAZqkGa3ui/0oLAtpRi3G3ajW4HI2jEy2OaUHptSl0woXX6tef0UbL5w2kzwmViAPV5k3FCA8 6Ilw6yIrR7VwkSxTp43l5qyrakexSfKFSm5llx0kiKLM9dLmW57QWHWVidx7kHWKEMlXUDp5XA3D 3a3WxdqfgThbtw2xjz9n0tHTerUDBP1e+e1Qy3ri+yCbGpZV4fgq0wsDiWVn3QeaMwnCXKGwUn8H uZeDRt7PyRxjKfjp6YkcATxMGhBnmUePoh5OTM9rl8yL92+Bdo7TB342EmqJNCxkKjygQRbW/G15 jo82oE4tzDS2vxtd0t+pxaxOmaTqcmzPbCPxsJNDOpG8ue15nnQ4+5wiVVgDZFmZVoryne9f+XIH gguj42+KQ/8fVhBVawgaXvivP0JNSDoWPL9QrW0Lsehqvaee2ksPCSY3ZZdOgsiE/17MAw5OQL/0 2zaHjQrOyIdnWXEW8Ocm7PARD2oas4/zIYyR/PM1ciHIuVteXPrSu74hBs9TdujQmfWRtNBbuP+S erpIw6S9zuME/898jpIaWTQ/OWO4AwoqtzikgnrZZW472ZSWcFqRDKlR/KuFO1WcQ+kG17f40a3e WivYR4C5FZDqc6ixE6tyJrhQFgMtc4hpXRup/XBOB91Os93SlZKGF8GIknvxlJjg+Mm0H0RFJeWy urXnXwFxDqpfdkc6UKfRuVrk4IL2tqewh9j1x57mVQiMdW/WoRBeU0xesBLzutF2jE2G0ZXhUh3b gyMUJVYk7pua60t+JmblOH8UYp2rzvbusQRmUoxavhihXHd9yL0LemH8Qe5swWICj5JI9hKp+Bif foPg0Zk0hkw3mv5RF0JgvhgFIOxsaWx8Dof3YYASkXWxu0MDELG3x1zHuSwW4PTS+R9bTIpw0fzP mJdTP0/3D+mcDqmgdEs5RC2y4c9O2yFwYfLLDmIqgPuzdb6+k6m2I+xTrNPkoV/XKHMCwVimhGQf YYbjDEF04wOluDRwpqFk2B4n7YQRdGvKhDVLNcb+ZAvcOj4oZlWCfTPywaiG0lZPrZ0xEEZV45Q1 yugDFm9VOD2dJACPfUJr872RelgnjB1wnwafye7sGqJRSpPXOSTyWHtsVh5LPdir5q8H5zsWN0yj D3Z5AtCtwwvQtU/mycvOCPDM7d0wQYLj4do8oy4R02mq8pvEWXDaztZ0RFIr2snDUmTyUWmFzcQX HSikt+nE4LOB/Hb+maIlx37pRGj/oSLJg2GiOMJ/ziVgVlqS6KK93uvbofjahxKGRVnIpvD/ei6x jahm/CextG/+xEcFXhvrkMDKMYiWgAZTwAlHciRItLOU5UKHSbNHJA8tkymzbzmBFt8/fNTSh7gU EpNTqEhnwNmR0if3SrWSDgZE9ViAvY+pHNt7B/fF1mgnqvod8ZbC3yTnzHEDZZhkiXBzc1JRT32s FNvrh5ZXkzx7T7YYcWorFdzYKd0kNnp2MgwW3aUjX0H+xdCUvwesLzIyVFAJ+yHdqIJsBExYffpi QqcX3KbCWvOibZUs86DSuQl7P6dhus8aE1qxDl9C0xAAI0GpHJDsVo8IT3RqYu5xG0tDGtzXDVDR 4sl6DX+k1Lr7euDsKPBYPZXIHUdc3ZOYXoZ7370Hw4nSA47ZPtItWh2Ar1wz5i1ysR39BdJCXWyw /YYwhO8N48nW/WvgxbyoLQ5G7UzoR1EjPfzapYdPZQoTTu1bQx3FO6e7QqHsJvzSf053WjMt/8S0 /N0ikAKig/zddO4c+bGeWeLal0SUzFuZdR1QIotF16FF9mCol00my0ljG7ZTvbGRs2k8y5M8yT67 qAdm/hP6YDe2XYJnxeU9rpJw7JUlBgNxD386COdQDBFpEsvqZXSIoQBCfUz2cpAGMh2rMLt7NBki MjVIMPjXvsFp7SYce/If/tXCwZ6DQPMFVvnrWtYRCXnEmexOwzkcEaTwJiuNeGI+B9/Z+xdBZhoW gus5RZaD4lWXXgpbugH55ouaOSNkJZP8W1AYVu9vhgkJkRofRRiH6HcXlFt1fQCuNaA6pLoD0gxw YsKaECekWPny4CIO3rcK4vOUAfyJAL4amfOieABN3wl7anR6ArO2gCuKbQ1qUZuM9xfa6J6p9ZZg PMcyTqOcPa4XA+nTF+nhe1mrcuS1xTZMrtF1oO1FpM4gEEBzCFf++iic99PB42oW4Fb5IEm5GHBU yHrjKaE5jcmafIu9yG7Q+Gz7a4s3y2SzQmlUxemGdEBEZK+IDbhCId8fhSMfdEKg82j+P+GOcwJe nCrziLtYMcSEWgKNPuymJwiGexA6fPxSmPaMnFG7f/FOr/8kAXZrUwsYE2fbU58SO4g4fdmj59/n gasArcKufHDkO4DJhLwKU/F43eCNmuyNCxKhnrtLKalki1DwSlsCUGzbNDuaDw9tZyo3kBGse+5i L/eLZg5vwm2Zcuvoz8dQzyfw1OrubeZoOU8qHuvEviEbDuS3sFJ5zs47S/Uhn9u4O/hGd7mlG/LZ rsHW0H9KiQav6jgXtmimcEAn6gIpeQ/guJTtXDqKU4lcN5dUypJ4aThKXrby26pdf0pdtVtD0mEm SNUn3ffZwwxDH6s60Sb1eqq43T7WVVb9TfRl3xmHh4/aw8JPUxI97D7V3Khj7oRTPy1Ixi5w77jr rxhvDslmYrgG8t5W/s/9wiYQlbw2A8ENuEJD/jG0zQiqW6w8bDno1CpAp/qT5YYpdLvlYXkWI61O 9V2ESuEBG0K8MxJFj0FQqOV8zWBZnJD2Pxty+CC7ZIRiLTzrkddo4oiyLKCDWus0VVAiKmMuz1cr n3als9EUnINKJ7Lt6MznG492MMMdOTKDX74yrU3DxSWpGt8oYIhA9aNfdx9fpLGojJ4qSzLt0tl/ wLLu8Nc5OCcEbh/zS0U9haQbRsudhdqBeSppi2bQtGHoWk/YncsBLI1Or9iqzLVmLyD3bpA89a85 M4d61p4qvJuXxsicW2eryF/LFnIUJDP7N038Y17B00hgaZiGaKY0ZU4+CotNx1ue4kSp/GHfLDgl hAfq+SxcgzZQrGaD/xpi/QVDcOuOpK3SDa11dHHvYlYEtpCCRktuqIyihtfEHku59+9ytdbZDe69 n1YYrjpw23w7QOrR/yK/+g/zvNJjQNmBjWysXa/TL6Vq5Rmj2I9zoHVvZ5TBbhMjHKNFAH6ziod1 I8lAqU0Q+C1z8ARP5DJ8kjb/lvQlvsQK11IdmMOa3A6KjBPVp8pOOSUrVpoQquzIKd9q8pdyfdbs sJJTJbN//8jZ1wDFlNrykILB8cXCLfa9xGVXy+rPB2KDRByX8Sbm//vAkC2ddwHmi6rtPp0bboQo LJH6K3T7OU0BJQ6XaTQU7PHF2ER2D/dHz9mJgFuEuxx6PorpxkFpvEv8W+6uDRB+eHo0kbvDu4fy R+tvRXAmbtwkKAjT2fnYmYxd5cuDrbc3CG44BURpSRpsq7cQJZg0KmHs66Wjzwciw+tf5TTqqUb+ +BsOwhjU/j7vIoD5vvUceXIIuhE4ImqmHIB2WL1ruP1WbieSOGxEKbzQHU/j9HB1o39ouDNwOHRY m6I82SSJyzut4GQAh6Jlq3MyXgvhMBNv5K4giBv0EY63Zt+fsgs+Es1iPpgBBeTP4Gdgit/hTS4o 7YpXthkUgRhkefS1vudhEVlHWUm1JVI5LXDzL1OQB+a/Iv2XXSoFLoVfKRLLr8trsNcm8MvzLzEb M3oGhpnHhXxX6f28UVbYcyUYLzDrbx6FLiQ0mbVvqk5HDZHf3W+Val4B9JqPc88/FsB4ttQSFgYf pBK9PN2b4MZhAa43/6tEfcVOzN89WdXWm+kZo9tNEGqAmzjWdZYtYMdp/K6npfvXOdua5bTl7wka rc1BxI9XoXWrVAlaBx6DoQdd0IJ3hjIi/n8AnOVvU8GTHBlcXZNuQNrT6UlZOJcso7WX3WfUaRsO aaHEjIu1SXcIAFmSiFTG801wBjwZ8g7xmh6SilEYHJ6PY+E87WffBFq3H/Y7Kx6W3jxirsw4UTjN 1NGb4LBK9cBubxxx2miJWUQz+2cGJjxPo9yZ0XpUWlD6UbHZAUwDvVWXVW1H5ZjUZXVvpn+IO9yJ gPx4WlW297oj3yRYTTINp5K0jKiQEe/b5KILGEuOW+LYMnIPujTBAzATIJvz7t+gszw6ZK8XYlgg UxqMbpqKuNiaEdU++afj8JyVhsSumTK1qN0TV9Op/3sr0q5EQw7I7jSWScKrBP5213+Fidvm9VrP ABrH2h8j6F/2DYMrrzeTz0yUWR8q/CrxDLGbgn6SNZxUFosrcTm24W9zaLNsEBixpekfStjiHroH C556eLms8Y72tGSggDEQtjTVq5TQs28gEY/a84JGX3DT6NPDC3rkqLEyG0F2BxI3GQJTjLHa3ESh jQUQS/9EMgWLT7jCtClrwPomg+l+RFg6i6hjw6+am3mnfMv2wvEsDEhhxr5rPUHi3cQKB465jbyF 1VFwTGaYlYV7KdHBdm84/zLbZdxalIIckjW9vem7eoVGUPa5vlxl2sqQkK9XOVpnMKb7ppG7PYyG elafDno+auY9QSn6DLDw67kgah1OphtkHOWkNJRTQLm1RGWnnjkMi2o7NXrUt/boUgn8GTTSBlnY rX8bnqnyfWXP0GUtdZlIP2x6AdjShM9YMYqyUdSwEq3usUoPBfwpM2O8fOc6sF2Z70a+KbPkh+2W tR4y0Iz3bWCIlYlXqVXDjpB4F7nRcGIu+YFn5xp/jBR7DHcNOHN8MfdZc7lxz6uIvrHLCKUHurFC 5tfentFOp8TeExE1Qpp1ya7XqHcCAkXp441U1fPwVaDqQhU2VrQUGoSYUpT3qeyGl7Ql7w22V62P 0Atk9/ul0wRN9hbLCCDMRI21e/NCs3jYqiwqoARtrOHYIZ4z9400SvTn+51Mh9hsHE44vPERHn99 LQnHgLvHiWH/RVzyqWjwWri765t9R1V1vI5Hg0ME+5OQXex+mgsFpG3hcT/Mmu/JPfhmtLVgebMh yGkjHslCEu3voJi5KC8yoQqh6Wb/BLwHxHsUt422e7jUeRSvQBmHFtvtiGB7zd0nvICoifnSIw4r +BQM87S0v+SNSAIOgkVXko6XbjeVGetYgYDXLuuWa6IfF5SdrQ5T/eXYcPoWMKFAx1S+ZN8oVVF2 f9PcPQfbSeIBrqTdfhR/wMbHs12L7qEZ5YGldZz2szSht4xsT19TPBA2Tnmoz+eKL7werpRd3m70 Bkd7OiNlEimQYay02DIAsxtxuQfQBF0SWZSDNWj9/0763aYDSMuQYCXSemaqKcpw2q54+bMjhmna czABF0nt755fvz8HD4zeQYtkandKZ++WOookzy0QY8BWaMgVKVWdkcXdHYGiRlk1vFMadCqeqvLH v7EUohd/aNTJ0Wg5kVpmlqDKHUOAhoVtOYTSmWixHhDa1xFjEPLvExhv/ETjYIyAxdFmUJyUvH+g DXsHyJHPMiWuw+PszCB0eWiU9j4fsLGuvmUVP+jnK0OLAoYbtc8tkr9UHH2kLUR83EPs2+EEedeB spAS+/Lzx0Y3/rWH6mPMvlqlucFPzMIDGeNKjnddwJgjYsuM1Ci71AYlK6XRizemp2g6pG/VQZXK 5pxt1TJPfdoqRKm0Ap4+KBuJCwojXQhMMCoEkPEJlpwhQsXLw3SitLaIYotJFxOJETE6WTwnZdwt 1/OaNOlY5ALVEDdBKdRb+IMp2uzfuY2v63UgPvCiSfsO1tE9rQxJ8zqUwjiPxazjr1DuXIfYUHWs rx5Zz06owitDey/ESkkGpewB6be1NLCR1uGmoi3HtzirqD8qacaT/Vc5KYpuTz7zbPkB7qbe9VuO V8YkTh8rwIZYOZeWH4WDWMmwqwUEsax5079zEdr9dVB3pEeOb3Ice/bdOffCN5DCyaq1PfmfUp6/ 9JPGW6D9mSdWJaMPdRzZK5VtvjYyIT6MtXM/Sq5At1ndkJpGen/iefPtY9LDPdeHVL9UMA0NziAL CzUnKKVAKauUIsbGCLGQyiILvvz+o02Pymk1RD0s/GrtlJuCIvnfPQkbuNCSAp71fa4fd/Jhl6HY raD+5UmkPe5JFKfe9Loyuld7tj1uSQ/TVi5AFJcAg7wObflGCsFMP0CjrwRDtiZz6GEC1Ck7LXV+ ZaCqnJ8U250/7amLWiXsPpVP8kYKWw/+LMaq8nq0OunObWLxJwmOa4epCtaDj3r492ur99NIrROL JFPzzxu6rnwpBp/T0NxGiVNW5N/Al56no3sx/Vva86r7UIpZrQliBTnrikoLVokvscJLD+eraqdW qNXZcVlLzXAfKcZX+lNG0gYTeXy13Pq74D04chWyOMUK1GmbwPSs5WLN3CoaMOYjca3fY1QXEbxK ipGkNbOz5NMy+Sp/sgVc0uKgX6Plt6IgPe1oxH6pPqGeqqDWR1bu+5NkPHXRB89dNtOWpYflmMBy h70EXCP7zgiU35hbE0C4l46R4PFWJ8vNCY+t2q6BcsUJ74QLhwV+DRIqwED9/X6vOOxhila20cBe w7/OgbS6nh91shHXHhthbvj0cwjtpCVoMVqtO+g4RIkiatbyOtQgbIse0DcAtGytzqfxdO29/xq2 GzUa3WJDRujd8s/ClJzGU878nWcS/4DsXCcwviDHnZu5jGmGKL1FjPanUgVXMPD/+nUgsV6hTZQt IJs9MkQl/ItfUEjtgLSQybqh3DK0oZATVjdVK1aMZ3f6NNGjLPbumstwdmXODugwpPAKBc2bHTUX FIqPOy5zr2FnAbXYZxuNb+A3grA+3nlHuRbjeLa3F18E1lh5CYrvZKvIw/ugbZmVe6/xWt9Bf5oZ ZRNuMtRT4NfLNY1WV2UsV21hdsuBK7/GpJI9MiDrG8mBgx75s2JPSDWeZlMpZxxt+0jmef8FaRZH Q57aEphYFrAerooDd3DHZOZMIcMgT5TCkQNmPkS+m+QHFrrbvnaiFwK3pcakIT1YYrt8ZNlCQNQN YNpwBp6STqOhtUgkfIgQaSx001TEBUH6d6C1N6E3b5BA/ykOLlJmP9ySk/l96bdJMcROg1dhef69 sZkhhL/h0AJcWcdbqn5Pz9KiHXJEXZKXEUWFBUca/pqnYn718CCWGSJIvGkR89mHs8+9zqsL6/yk XWEeVZhLLo02JBInMSJGxP3dHOS3/azMGPjUFMQCPEfJOnctovT+8ya/XFXPUp0Y0uZzdSWM7pla wFFzS2WNqp3SMM+w4HSM5kNJ0NZ0pc/O5Sprqdspv8+aftTImDRN+xPLRsLTAhKsS1dtHNp0kFoL Mz15PA7J0/wnCWhTU215Gy1tqZkzHj7k9a96xjYnSS/C+lVC5mdM5rkbmjqeCuZfZxPCG5/6paqX N1O9y0Ihgq+iGx2rjDJrai+nukkBEu/5bM2u4/a8SmOTRPuai6CJRlLwgiIrYU4VUrY28eVV44Xb WdRJEMOjUtbXgBFrD4D4b0NjCKGwXgJMmTf80dLnIiV5f3okhwN0YmkXBNQ72HsR82Cj6K76VHP5 4T/KW0AcsPEWG51wCAieG/amv4qZ2iznTQFUO0st2tGUGBdpvxsSjABwMJ+QzJcTuwpFm5M2L4Vp KSSIiWeJ/G9v/hzMWsI52YQi9BFRZahbl6SVhYNGCh37grP5dOTIRHc8aHUKKQ1Og3es1mhnInXZ nsl42NKYS+PYtjGLtC9v7MdQDmDCj3NvFM86AfNlMPc1rlJ0KJf82nnr5mAK2Zj540XnfStpUbC2 xvKLG8DyYWetjsfQoeAWmvdMlU3zEZlWNobtPd/jdMrCToFtzv2G5DcMXUYAHQPjQm/50piSHgBt TIvLjQKMwm16i7lsT2Uh2p+zYRv60HO3tsnx2t661/b9q9A4PZ6N/jcU1TB9TKG6dQwQTUdRwnGp IE/J5hX1Bdh03TtU7Wel+Cg51cDvg8QZPU8s5aUDxDibUXE+dgIrlBgX9Qdcsna0MwfjDi7v89Ls +XXetfTNE6qn9B8znLWhI87Wuz+MY5irNaD0E7VINPtf/DgASSAyG1qaUb1FkQgCb9Bl1nFRGnWU Lg1BXMzbhenQHlqk2lwwTnDsFanJJdAHEXq3jPlYMzmKw39nWnuHq1Yik2RVrysn9vK3n5/h3Mbk u/t7yFrla0sCX7xrf753SIgaUlw07wGqkdfBEVsn+j7m2zbA6Z1w29/n82I8QIfoNq81U/SPTOWq TYIPK9TycYd2Wwz3BXpNP7JXSKoBK6N186ODHHr26lgCi0wYXkhcE5qaaZ7UprjyLWMDGK4AlShE ughBH6ebM8Z/JV1uLDlt570qY3pCrDD7mPLKO3KcyRhGj9N7V0ve6yu0QrMva+Q4ESb1Y8jQ+TUB LhV9pk9/3P/2K3jHoyQuc9kpaLaMjSA/BSGGqc5hRX7pEhHt/GwkiDQN/ViuUnTUGRNPgjWsjryb t6fNXrjPuGTNYxFObhzXX6YDCR2JT8LAqOCz/LPgw2xA0/DB878ZxyCNL5XtktOJQNypVc8gZhyr 6ydcgW5W6LS9sQLsiXI/xKvBbJilcFPdvpCrpNeJ61QNj5OnikcArPNg4ANST8oCt++pdJXq8Ssd W4w486XKuWFHjCC5DMh6PEyMjrUpDnAzll9OUp3akhlgVtEMj+3y+LES6Dji68cZhKANnhheRcX9 /ZgJyfPl+28ItwVBTFtUjzRYJ6Y3+kQ8KbGIec774iNCXZtA16f33Ypcyyfxj/D0+iBXrY6V764e wbp+yt0FDRJQ24KZ99jW6c+v5QIVDjP2LaMlqbmTIv9399hvwyQWAc5Xd6E1DrdJGsqL8LmuXpb2 GlU6NUR+tUoQiXwM5E2Pl6H3gSHAyzdPyetPcRf2PkBqnjjI1a71FgQFGtHGRxO9hVdisrTi1f0w pK8vLzTyVbaA25w/BtJRdueIHRnFBWk9H3C4unB/9/RVqVbIsDPDLak058zONaIvZzFOJ1DpFf7r M86td1boPeS/li4bsb9ChCKY4SS9lmteqU6YgsM3oYlZ7mClDxlwc5y9ehDk9cx2yha9oL6VcaOH /ovo8tZXG5UucuEi7w1VVNbejH+3afpCFqbZERvCaGwXU/hnUsM7G2/bCac6G4fBXM/tuxWKCToS eQ25ilmJRZ3hm35AXJt0YYq3PY2PPllM40Bzab/qC3LBlfCUvzUe+VAGaOZ6nAPjW3q3EUnWv1QF ebjXe7rUSbhsaYpvUUgw7qeWOt7Xe3Xp4nM4YcBMP5Nc3WO2kX39bsEoerJGgyRTe0CoPaTEph9n vszaw6gjhlILkbr1Hmc+zi9TG/pb9g5ZeooXA8VYT/XlICTvKFu85OjfgvSqt2fH3VOwcrHY0Dtc idkzMEUTMbXupMZwoPYfsesr8y+bbkosP6ccBEGwJEtv8inlpSmH3veuHEj9NRhlC3p/2UNAcOXo q6I5OBMp4kJsJSve68Fj4Fynxz2WBhf3vfGVjaytX9NhJQ0+x61l5drT1qjFR/QC7I8dNn6NOTbC hqMTrjw7FlmcKCjQUCroEO5HNdCLlEfCcX2McjT+paf0C4jNOYrMDo/BC+Zq90k0OTT2uKSh+1I5 VcgcSo7u6q91mqyXqSxokIMvJzEot8MOJaBchXUszPFxXRFNJqtHi6ep1BJ4UdftasnOtDr94BtW 3SxPhrGrcY1YywpHjAfxdB/ZosTCwph8uAkShjjJmWxS6W/BcDVSriKaDVnCKa2q2osLLzKCI94v zJ+Ivvy7kBGudTfHaAk2+fhNE7jghLMbq6TQeugbEsEmFU3Suwgj/cCDOcFAiTDJnrlMXyQ84S1a AbekNn8H4O1axQCMy/IV8c3kqn6LJyxAD51T1DHSqZ8wqoAb3xI5vL2iQHvcHa4OjfAW6+ZHKpIj Wi59Bw2Q2CGdBII2GlCRCrnVjrpfY3pjUkAAo1H+lCInGdDoYxoADQdAZG9Ub12AAxpmGLGPpugo 4WyIw6mHGhpximEQRD5LG52JJjSS2x62PRMs3FPRsLEM+cyeCLwocaeqBzc6S1R6LowDYNehfssc 6RAj3AReD0HmmMptTbzExTEeolyK8LKsfIsp+HRXZ+Ds1TV8mDOnA/cT/1XxV1MQUCWF6IowXi8G IrcF1X8LxcQCOTFZqfL6iEqwTVOmGytdUWn7gLqd+3zYpiCaCEJJE4fh/xZvpxI/68EzN5pSFU9J rl6jeDLfpY1dvcYhU+QmP1suaLkhFAKtRx93AT+tHvTJ8CeL4H/ABprfBOCjbwcVHtmNFi/U0gtb k5EZnIhsKk2msiY6yU+N7XrOFEauM4iv5Hat4kiBT+JU3EFkwuePOtT99NR9mr6MKEzfnmacDcx8 reLFAuCuHU3GBMKFCpx035fUcGwlpRbVG7MhApIIuhefCIf08Wd9K4GaqAaf5ih7PP+u5z9qJIus m8c74vZLDqnyejvNaJopXy94rQx6zXusCi1CNI7l782S+1W0GuatBaiMxqJ2jbLzVxVCnuKuQ1Mo BA2Sjo5FfFgMHo8MpTwruupkLxx/xv2vonRw2i/2ALhb+mCeTV3Fw3wxlVvu+Fe8mmXeKVb0Q65K DwEKM95N20NSqRuhH8jQntCZMfuS/WOQOlwAcTyVkOOEw1e7sAJJHIUQZgaru/x+wxZTVO/xgpkE 2A5uwy4+QkRpkZEjXsov+oFFZR0T59GDCDD4KBX4vmKqCjA8gUwsMLlww7HuCQSmU1s/hlxzezL7 LX0kVY/Z2ACEBFk56onUOngs9aBLCLxZthVgKxKaZ6sNghqzunRhXm4spEEjo8O1JDIrPZLtBMbl urhJcw5sUWFC40zmXf8ooYKxEBw+a/wNufi67iVdwTGt9+0vB5ybBYOWkta5k4rCWN78BqB5f3mt UN5+O7TpYJnzVajXjjx0PyCk19BpjuYCR7omMJEeUI6CxjL9NfRUqs8BZTJlEBvpUFFyuNI3ofsY zmvN9J5YYt1G427IqQtky4hhdDSqRILaxJAQyZeYxm56/PAS4jCxJdySwahzJEKuCAtZyT8OXilR KZB/JZAD4/9yhIANtmb41waCjMmFMPXbdeVZc59gYpiKpHHMy71hA1MQQvyHQwy4N15fte7yZbqX R+JFiM0bdG6ul/7dRueIGxdVCrqQr7n1iHhzoy/9mhqcohlveGKRR3SntYKzl60aFGICReVuUU98 VKCvsBAhADApYBzyRaR2p5b2vT7+1AstmJWkDduwmL3X7ur4bSF23HIq+mhuolbbEa6NmuFAuTbE lrugaMfKwwEvHDqm+/Aud8DcH9CInnvvnmXbgcKvG4rysGs/oiJqxiyru8PVdxfohi+k14xfToAS z50/5vnUPekDGfNvqgR72flGo3Lazjgi0NwY4/0aggOhuqezUO/Rssg20cpdUMGBg4PgdTYVdpWU rE09Z6YBR0/S80aQ0pLOZqDLAcrABRyUMBgjPYClQr2pTxbR2zZDyKnsXJEaJeC114541UcCb+gc uCSEgjY9oPlMgfHFrMW/tffr6DEJkjsXz+FNkb5sR9CHM6WITHC8Evt2MI6lrLi0GMoi3Hq2tWuu 9H3ZKZOLajvoLcaSjyD0HA52mXJW1fh66EdDedIDa9zgBp16V0WoZBcO2kb8IiqxCLWznbNYI+jr EnXYVHmaG3n2yazvBy3UEJvXgjri/kbAQTGlRPB+k/JGAE/3BRplVkWniH0ByACo7HIid6muUz3P g4TMDpB+RdInNRrWZ+n98H79Q8jdQ6KNCcE3CKMq7I6XnyO/Dtfafc77S0NVVZLZHhayBgD7KenJ fFETz4Ts3S7NMH0c/nU6rXdrQQTsglltME6sqYkiHtA0e6aQBNGKVnMnSP8NaWHMKVAvo8uHuCX2 TO/OQlZ7TW1zm/XtKK9luLrpJ47GL4r87b5PasJGoVvynfw9TAS5/WLbtc55jQXTCj0Lb852Ief4 5+6sWo+NaZcH9S+Y8nfgWlOlp3ZACkd1IozroYSEdlumd3KWzg1nRezSYUMeqCpEWKvNBMq8dkdD DXVinIDA/de22DnibvMyXyUgrlN6lMbbYLdycCqcyCb1WcnMIl5APDZI1DpJpKx80A1jv9rNblqx 8WdxTmAzhvxrI8l6Ltk9pptM4DXAHiqRsEk6MQ44l87jHLCC6OwbbxSid1dE22ljUyi+VqGUgkJx zsXi4nYLrD8rBgdJOjWKOy50cXy2BVStDJVMl6/U6Z53c24Uke8Mt7mWGRtcX0rzsXspdhBO1JwC d5JGvCu9WFDqAavLJOBDlamiPrsLR0g1Dwg49HIt0kf8uPm1eSiebup6X/AhoIKFTFayB3TPHgvW TcVqlXNks+wL3hfyOYrxaCC9KciqB0mMXgx2/h/VGGtkg9n6G2k8YO0AhFNFrTWCOulk6+Muegd7 W0KbFPlYRsbLdY5wZU7f4D4ilpOWJrdz0z0nWceYXJ4BXEn4eIzWLrmxFQNpKGsMFk16ORmVraBG Q5gs0gurVtiTBP1VGh8VZnt8H/gL04rsk7N7tKj1g4IetUs+vHzBTviLcgTP9EK2cdEa0/Hc2urR c0VdluDSxG5/Irt8Fx8wM74BracsPeG2LWaqChIB92cvqtbZr0euf8X1IRReL0FrFfiy8gOTelIQ qQuaBE2WeIJCVqQa1XhXiNea5qhYaWBHTLjDze13UNcAuNj0Ncv0UJSZ54TJtVw5bqCyapQz4Oii 45KFp/zUcuFUW5ABOF7Z6y7zDktz/SsQCEBN9C40u6Gc/HdjmY9EmhK1EsdRQLJrI5aoFVsZKMu2 5t4KIUYHdxXlruJEddlpeKRDKrCXn7BY3SQGIZnqIojG8Zex7TOcJ5cFTF4VrcIYmpQmC8hbb64d vqPMVdiC+KNi4OUdRH4p/8TH6/nQtP8emv5SfExVpDBfw+r9qfCsZg5DU++u+TWhdWYLbpbOFnu8 kPf7b5AYiLhUEsKKIwgMDdXndixK+M1ieXLBaI5oBCCvHKmFAnKQqhG1Uep1mHZmEU32FwTDd0HG Hvg5fDLi3qsiae5cd0quxynCrJ1iCmlDYfZH4CF5JL859ZldeQB4FLsJWjRaNIBpRufETxJoNLnh Suk1G15XtXq+5Aa15LxB2cC1ZyC+Sjy+3nldRlSgsk2GzyYLAAjZHCy839sJCfRa4QVGI/XE1dGg VL68M1iw1m1PtYtRjFeRXiQumysEMvR7uNFX+Z1ufaMVxfVcX6XXi7Aag7tp7LaLahOsBiLKFQ9R ilRpRaYderrNKZMSnr5jOQ/StC19twG5iMm4OIACurng3XZfbQKELq5F/yChFFDeu2ZVThZNZqw0 nWppztW+wKd5RX7vpuCHhZrlzqtyMer3qCkstvcKJdmTwtaPbm9ayCx8LbdCw2CF/aA5hLZTB271 6RopoeptphzRKTUN0mBT4AEB028fmssa+EyOjsHZIIWlz/U6RSLBWCjjqUcRGaDfEYEO5nZjx7nH ZzgeCJUz/PmgOrui54HN68cLqoj8fcOwsKNjQjXRtAmB4nLd8RzONDTVt4eFoDIJx6qK1poj6Ezt cITowSXt+PbuX7VZetSNENwWIlU/7LsQqZ8Kg2JvI1svbCyntQjHYRN4Yf95gaToZEqLzIJBQCbk qUq2vPy9QMOtvP1i3Kx0UdBboJdndA9F2IoChVqnpq0Sm926iTCZ0pKahTgMd1k2EstzrRRt17XP YEAJ6eLSZ6mE1J+AzYCBlmBf4QgMGCGqCKWU6UYihOlzP1z+TsTN0IJqA4r/WK9CfxKvNfbFr28y iKArHF1POWwzaKbgCIn+bVsanTfxqhUelqZf+GdBl9xAxWdMH3/z3el5P4kWM/5jksYOqFc67m6O Ldb8xH6EdCsc22r7bmcp2He79wZFA3BIUfzIPvMMjni3B7vJJPAuLFKyBRq+AU5s/fLTi3QQ3CVG zPEGPUfH1Lt33KRPb1QM3a0QBhVbXZ2S03J/LWzD+JKymQUEwzRsE6QH/eIkBnO6GKZSRBk3Yrdm NKdnlB+ZZ8nlnNMC1N8PBRiFGJGmuL8I76McjbZuSkDKOXvmL3vDmScDMtCArRzi1OSRplgf4a3K qXa1JbRzNTcc2ZzeNIDI2YKDQ6Q5H6heZc7ODikjHhnPtV4MCPg2w/rD+B/2XzHtdZlOz88WB4kc Yg6gtB3eSwiCXUnqBCn4w8e+13/I0nCi079GVteP5SqNXzjXREmBKmGW5K50NWUc6UV7cVZ/HsRj RtpRYbmveEFAmNGBF3gtk+vnGK8rre5/aOqdCziQriPkSQUQ0lwjAgjGCZsv61JTICU4BHHNSfSa T5Ux+I/bEvpwMw7sZGBji1qGZX2HKdse/QOBK+UEf5rH22YbreCBFzwHRFzMRNNMs9XLopJaENGI nHc32g+yTVPGJ6iPFjxY0j+oOqO3pKN6ONkANA9lV4Q/a985IJZhtykuIBVe3MzCX7WdvXpj7DPO As/Ry5r/5VSmLDYfb1d4ER0gksKcHOc7itpOfVbWMlXGUswnxtGHd96PcHwbWmTc7V26QDZEjSOt QVQN2Y6NqwNGltPodlBMA7fy6sZ1GrsC7qO2jHRtBtJ4ng2MF0JkjUqcg5gGUY/RWnk+9v1Z68Qu 72BY2jP0a0oTd1sYQD4Dvo+b7KSfX5cUv6ZsTA6Y6y/b9ZSoueSl2rVFNhGlh7LD97/fD74RuHT/ cM/OBHFSSdj/9j1QvSAA66ohGJEUCDiy/T4d7ozUPMdMJMZDhFo4ka0fICzWt6m2nz5tg9oU63BN hseYs+9IPhhL3/Psfe337kfHlA20QrzSpAh1bm96QT1IrgBUMzu8VIN2uVJ/SuelT5DVO/cK5G7W ajRzFgFEgadXr3X8jVU9+0ZJXgc5P1DEghqWY/nFousBSoQ8ehpQLWNDvMmQOrcL6Ir3Hr2wTN3t Phr7gU8YI867Q8oXUbY5+CEWyUcjPZu0Q/mL6P2DGzJ6ZYGzo5Ifwqd9scwxKPLYG2QPqn0B25w0 CTDqiFAV1138oZm6iU29qYd0Vj2zCFfZRfRmurdG08VYEYWut2QDfeFvLfFnUbJvMx2bG7mozKnF zm7+Y/SF8vr2fG6ETtAJ6aiRkwjBIvfnNrUxLhVCO92QbRDWlJlyI27OaY/FcpucuvfR92BjHzXN 3MufOwvkvATNm9TTqiujXfYB+mKKbKI1fYpjgvj205WguR+GY1tw+2hdTkSRf79pkQX2fDaP02+1 vhXAGRfBALsBvQphH62Pnj/LKgK+UIz/iw1MiqN1wjuuyVDYajG28GqJtByg0LobNyVSIGqGrFEX KkZDB6XJpUg8wnQww+VNSQMiiFWVLK2QGa127CQv8E2RIbRUWMgv+6e2sarq/zBwYxZ6p24LzF74 qW2dEZXe87epsrSpoyrCfnF4AxB1XQiV+nytXnPDYW2GCLGE7fVzuK8TryDg8PA22kBNkXcq3czv zwfw7QjFV4BJiTBZOECll9ahOivX7QjsQTEPuOgqc9HZq8y4SDdUNCFCpk/ALwCsCNJ1Kfcj5HCs 33HgEX4zTLnHeuIL5+j8kCLMyG+Havf98/oWZ1vZ2sAq9dRVCGUGT7mRIqzP4WyYWWOHMVOreodV yVjQVwh05vBp1U+zG1bv4Ruszd587Ach/drs2s2GyKkAOUQw+sSXNlafT8kNWG0Oek40Ey65Ri1b BAjnv2iNFeesQxvqQldD2mTHyiiSwH9LorLm3+W90nTpeshRrLJot6sWWcfQfY59rTgZpPnDkE8L J7U+ebLmiTbC27YGyCiAEvQWndxKzk926V95KlqfHhL1MnM3NbXNh3+TKflqpbHxhEwIEVBWaLVl 4bHvjyjOrqZ792mEZJO1Uw+jKEvgZ8hugtekz5/KKU1I82Om0sTpOXBgDN0u7HpkChqFyhvPCbEl ch2I61koZziMkG2OY3lYj+I8KoxncLL3p0VzCIRTJ9/YnEX9PsaBqUrluQ5AzTPgNk8I4i/Z6ooy xzzVKOqbsMZN9Ni7g2wBtCst+DK6mepJuzQg3MBH0GPntanfKNktHGzzcrrYaYU0/OgtddnBowIx Pg/iHy9P/4a1+Lp1jaoeFwkXhVjG7NJ8K2et4wcCCWkYLkabNpmV3OP6miOXLeEm4I0zFvbHUIWc JEVRnatgc/0Xc271yAOfW7nXcPcixPlrjSOSvs8XbpwLRH0IRxTtQ1pDvmRmmHkPOYYkbwqsyzBg /JOcR690+SSEVnNvBU77Gt6qiFZ+XraRl0dhiz4VjCLoKyarHAdTjldvF32qlnAbdwe6yEA739gY bs863un3cWwWCcJDKL6NF2roNmQzoMt4dp8X9MHrDdEuctVpOTzrEkvPZ4bvJo9y/Nf2jUzGBZ6i f5fIdqj4ZbxVuU4t5REzENQ0ALH+FH6rK3i9hNpt7Zv3IJx4P1Ut6cZfI2Iz9cwDteMz0WrSdUq5 R/l5Fut00jcQ9KmhLk4/Q674nGdjNy22NDmwQB59HQa5/9mmT7B+Zw4NFWEJ2tevzKCifuopbFY8 XA1/lsIY7K1oHi4E1KCRFGTMhVR/HRL5FxOkuOmGdgRPKAySnCeCNquuLYOuqrO/H0Q1ze5ZOpWq NI0mU5JcYfjFwvNoAYd3AS6LxAHifvFzzyFZbfi+AdNynbVeDdjCPvdQJb6YlozpShB07uubL5Ow +AfE0NlIWtFhyJXGMpgwofziMUdubyZ8O6c5W+9+K62pH/zcnL8BNpT0LF4h3nlP5z3c9juYuX3s J31y6TgqUNNWq3FHnjfm+tSlw/TzSdT06X1FHXL6YInCkON7Pp3HY3jpaijiTV+OUu1s852e2du3 X9dGa4xwvuv3ubR/6hR1/qInl1llarfYCHP4kbeCOO4v9rP61doHN5bpwRQVvH7loN2VJ4XWsESS D8neHK4felRxjJf7I4qPwpcMwxt2uWdcXBVoK8BdGtLIkkL6RbtsI9AJi0/GXM36KJ6YHxSDyEqD FIjUAjXQri82Q+z6wX6jGGJdTr8OBfysX/qBC+mxjHKAaeVPseH/60ToaYEGk1t+xhU9acR9jcqo MVjn8576FmPSxs5X59bIc27yUE6KeCwTzjZPQN61trN5J4zKqwG19paH/cvYWqyqK+JuCy47tVzz REP+HKFWroCszfqPeo9/NH05RAS0G+9Hve29dabR7dgQiUS+I05G6c55KQk/G68/Mc975AZ2kqU/ kAAiTryJybHtRvwPveXwwyCiRr33xj5QxKBvQOrpNLZhDXxRLXyM3eVN4m5OQCdPaNkZ1NNb21vr 9vbkzMH0t4GNz58rElE2DTkMh9ZoxZe/Br/DK0rzMqTr6Ce7SIjJkIUkTu5Ebq6Iagocaqvs642Z 8vHCsEHdRjhYFucQSp5Q1AQR7XF6SYAeHYhxwjzgWL1oI3rdgZL0U3BrRtcRCE2qj94IlrYi1l5x FU718wl0DV68dNiJpNixPK3qpS5/fuE2rhpmP5ynCmoBjXV6QInvyLMYtrBp5dd2y1MqC3itgufA 5Xc/K2Mj84N4hHDVw4NBTnuBhDvn+0GbPfPhxkCCn7oqQm1lb7xGOfRAYpF8c4Oz781lTPHMtoYq ZIidD4v0WWCiirqP2DP6yGPWuO+PFQITjV6N7Dh9L9DG46ib7PvIhuBHBGXlE5yWFVa7BtcIiZEo OD1BX6QzE9I0ZiZTxI7I4D6TGRqP9Rq5PvYV8q33RSKR8M+bAdr+lyKvdVkd5RY3PN8hx5PgHa2c gQbJdyVAQraImGmg6haCDF3NZS4k0na2bYPyrknvZMrs+hV6LHseWCxxtauZeaye+drYPZxymT+B ROQpH2Jfnt10bQMy3CUxyjbu61ZMqUut2VncHCaajaN2HHkIXW0uB+aIrBOmhWWBkapEhpg8djEK q6QBSqZhtiCHlCrCHP0IRol0iHeEsx92BgPJ4DdV3QXVYYJj+lm5zsCkSdklZteHOQW9K+tRoKXH WQ1tYeN2YmRs985KSq8o/OkUN69kFx4ll7CoNYb8wzmw78axG1kVEMMkB8yatpCkB15xfrxZeq4p BrRC+UEu0D5nwALuBYBmOz2o6TvZDvYg3UzDg1XUT1ZJwEvnhrHN6j+iko7/BRfSKmYdOs5jBqyJ RGo8xVuSl24REfglUxiR6xkwmKQhroeo6yX2UU4am5ZbQPSykGBqe/8FuBlfLl7DRT6J7mADvN7O OrziSmYF9jwgER2GVYl6fZBes2er7EoQbMNMceTitDwdEdyJfMyA5CGVBgltWigdYn5JHKYRUuP2 iK4eED3bpvYmICNGhJglJGffdHVodiAKv66WsPOcFIxK7asXXmZ7ZHvXiF82N3xtsHXkTSKm1mH7 4HJttBT50vT7rtbDHI7N31iCU50YIMfuN/kO89kCglqKMs5+SuaToti+WpLIQSntl/PW6u+G+tJI grpBz7kAiyK5QSsh1lW0mqI0RkX3DPZ6pCiLprYZxQAXH5k905M6ERBhEXEnVCkufPk9BCdhq/WT 1XAmr1fuZmnaXVNE06hcDW7WPfJ57PUrRKPXVeEfNcKTjJX/fBpgYrPDj93hAMwWvUx5VE+2MhuQ POUWR1G37Kbfdz3WBfjbe3B4BqyV/PNbIcPgXXzx4hj1T2CY0r54J/rDNLD0c1p58u+nX86FyhFv 5k0MeEv5Q9ZeWMuSJqU2nS5etEP3Z7u0xYc/b1HtucpJUK7ERXsIK7I3DLmzcBpjCYIpaoSLGIAn A5Qd+BmM00ATVzxVcX/Umc/QE+v97f3C2ifoiZk48jsN274lTTNhPpV0RvB2N5SAIH8QE5oEryJq AvQCDEyLrUrmVqSw4OoLcowtZpOgYCz4PIWKrIiB2qAe52Jy3RNN1CjAY5Y+TaGV2Am1PU93Amzh DMs5OVN+FrUb3SFAVQMOHBeCu3j9pmnBACueKeW+nf58yXp1tZhpZ1mh8dcZ3sF9XlJJKdKYGgE3 W9T3Oy9BIZk0CNX502GQd1ZHVfRasI2kqHbmLEiMjnZc0Bshz4gThdK6l+ngKsohBzsdX7bfGx1a GPHn7W1yIoo7aXt4W6PBsfHUpu7mJznTrlcwEatBAk0SnbuxVU71eMfrigzbmaLyw8Jr54gluI5Z xbjG8tr/SEyMZtOTVecDODygOqBiLD+ZUkK8TBBWyop4PdnOOq5Vy/662FmcmZeHIieUxDdnZVyc cLBEYyAWikN2Cz7CfJ8lFnWXmKFn5yEwH0e4YkpnVGbUsMS2UMHS76RBIDx4OYHBsycuQN23JxLJ xUF6n95DQjK7cNsww8l/GfSbidbRzrTpC8gLgKU24TLx/00RsCBZVQr7OvFkvLIhAQhRKMvxMdyy Mq3rwnOc1H0ditzO2nh5EUGcfpjZiLr1Rl6vZKi4EDyGHVqZZSXAI0yFAmVPkcH/ZGGM1juBwgIo zdw9yyNvroEiQ2hQLt4FFmENdVSZEkbVpwEg8TAoctLkAtMmO7g1wmb9fB9MWDIJG5elru0X1YTZ zRIdu/X13sKUP6PmRliKCyqyD/73s29YiDeoViiS4e+QMw99iIAfLBM+WiwymciYWfEMKfqdySUI xw9nmlqYdxemA+Nft3uh5Z+0iMbXuOob5WadEItDdm6VTc+ZNBZtY6J1SFbw3eUGwhDdhedEwiey +iq5XjMdqPeI5/sM3QPQtXbXOyWag4AHLg6ys0MRn2FLgrQblDgdef+uDbaX1EXhAzqKszVrccmN FTa/7RQEMf2z2Mkffk6TJIUi9q9oslaJdxIaHsW4JTDF2e7eHpUDii3l7ieJufM8rJ023CcRf2s7 psu0GDsDU5hthenbtFMaE3XPuIA0xAAiScKtmn5Hi+tIbeGj45d4/6gJ2AqDzzhs7rNzacY0MQp9 prMS0SXDwx/2dC6b/1m5lCv6cj25ay/g9/VwlzFxZLW2+xua+6TKdeEpWpRaEn2JHuuDxUHtcrv6 bQr0mnRi5hnaM9pLgFMIX7pG6R2oRlkaWNIFgq8t+q6wp9g2vh9/NDxLF8XvSM3QjwGvtgU/CysI f9rVxzg5lHg2t5EfkVhsQVtk+qfscd9PQp4XmbdIYg4TFxEObDmLsPyqXQl1NHTUV78sxWRWD0Zt dK6dPOMtQQFTQUqqM9ZkW4oVdZ5r7hJ6MVbDT3xM5uImefCwQo0ga1RssGWtnDigrJniVdhsgBnp RrY+v0jGNIed/Sumfm25xvbcT9niXlWMc5XrdkNDJYPJGFuyrP42S1+0UZRF0Dlee9Tbxoz6A/UK 4RUuC5CSytxYyeY7AFJI8w6h62xjqD6wz2feOtmod9OR0dYw0CXHSXBbl/thKnhIlxM0GJ/V6OWv 2npDiftZLjl+Ms/McpXlyHRfwYYNE/d0auCNL8DRpDEfTwIhA8ZMAplGBhc5QrJ7JlgDb0w/SKB6 AUE7iPY/piHJzM/oKC2r/D/1m0hc+AQ6xQMNe7fzcX0q3vnLwl2dTrc9sru0mHgj3PuCgI3Pls0/ zuDwP8MTfUcf+LzMk+SJDFvwq0XW2iG/AAtfvu6QpbErj0+RKraq8iZODYUtjAczN5pZ2ZnJ7fIb wIYJppEqqSR6kA8iL4ZHrs0lZjrOFGOcSumx32Zw8xn/orGR99IHlhGXajQdnsYVaeg5dJ0uwsQ0 meZ6d6cFzAA4JKpqI1c5nUpaETsxcFqhGhLtwyr5a+zxTrbgCRU5/+UNgP6yO5ZPdl1T2Kxdt7fL vggx7YvlNdqy2uMUdvNZKSF4NVMi2TQ6IAkY438aCjN+6ajtK+mFmyGe7It2FlJg2ypdmR2XRQFA ABA8rktObp7j65d3WTdxfKB9bhEnLrJtnNUBGMr2y3sWISux2a/eOTp6K/cDhKDfDPYb1cybi/Ly 1sQi9SR7T6CBEtGzBx68GDkRITd4d73anuDGSOl2CEz3cxa5VB57LfGLlZKVYF78KJZ36Du0tydv ofghJ0UvA3sH6edLf3IcqpAP5Of5T3zTu26rpLXDt2OjN8NJM7/uZngqtvoC5Yfm/bWHJ3gOoZLC pPGBMWOy8463XJvNlJyIQmb79EZKKJkruXjXuA2LLDa73Gc6suYdmYesVhWOZjsihIwZmUUiJNm1 9qmvvDhFNOVn+uCKO7G3XPxa7mZlzJNeKgas55+w05vLU05ZkMM+YpiJEB5SYFXpr+RRMkeh7Ik8 wsIeiAKJalA5OfTVZw26ZlDARP0erPOJtNEVzZnGYlL8ggaSc44IWP9LK1dD43HmCyrwRzrWuCm8 NWUFlfgLrb06vGQ5d96teJFmOUHko84KixDPIGz0fz/c00EKRNzsJDw/dFceaawlAx/TJlLFRFOl wZvaUzTi2Wp85SdfQbavK38czfEBw6I7qkPIRaOiSDBerK5OOd9B1KXVALSOQphl5Z3F6l5aQbvN wCB+Ixw9LNo4rHSIyaT80CWkNpbgDchvn6v2GPtsWgivjSPgXW+j51M8U0SnLO6xrD64Z2I0gW9G hbYLt4TrijdDCht0nuxQIrSTWYni9gn+7+1M+3HHKg3r9ZGBisweqJzgR09ukuf5pTtZAumMjSkI x1WOU5QhFOSvvNlkH282FRzdCWFQCnLSOc2Nl0/LIPdrKfOyk4uvtvc6wahKxfnXmTzEZNrOif+B ypBxHrpmADu1TfIy1oy/DfQ6Mu/0rsSrJME053TOHyn4OdhcxKeWTIHUK7U7Y7A+W7jULi6EGGA4 wK5GE2Cc19oH2tabqF6HnwSFoJd3myWMfL04ScmKA/2BuI5X+zSNkYuvtmgkldYtwzYTshaDHvGV 3VMWPY98BZvb0XGhee7XyhgIRQ3rpXVlvrx0EaMKuUrJx+LvmqXh9DCqF4qTCaFb/fiYXUUeQMjn TE4mIvTX61L3IguDThzvD0Tv3DRGCEDcrTzYAGF3mq6f6vwPH50HNRZzX5qvHIuikg3slIw5fUT7 07cF63//2HUpl6i4qy6RDsk/FT/5oLcDLcwuoOkNLXn83AdVjTtHyQyX/JZOcK67kVtyVQbgXft4 vOudwdjM0JwYb+w/zM1Y4zPFWhqL7b+ix2kBSCbMy3elzOuwyWTCViCcR4L5k5CnzgDrD62COtGQ z4241qewAV9Cp2WrdhzTm+YDGFePgfEuphCd6EYE/pPsvNlY87OPz1vo38DxNTp9Fv9Bp/BkLr1L +3aNbMpA7nLgd2lySQoAdlTnmx6VGU8CTlYuDaz8nBYXFidGMfYaiEl4SAVZHjvk8fcmZGqU5SHS IUtaNRecs0upiRkQ3OJsjm5Pbt5O2nhWrJDiVqcVTO9y1HwzZUp03KVCmxbcUiAWVzFCR5P7mioz 4UEyLnrnGuGJuAWh61uY1hf7uNiLWrX7u40clDVeWcY0X9uhrm2tN5JoXdf+cWOHEfji4Qn3BqkQ UDdLz2LEK+GIQlIHd6WuBobAOy2r5bz8G06SNQBVbPlCWz+idF3ov0082TGFqDqw1YxWOQ99mA0R EGyNTuIG/hLB94ye8Ai+BoDjpYpnrxMGMZRx8lJRzeWMmw+I8QJ1cl/uiVrU+5XPO+sLhErf+h16 oCgOt2CyAdZQHYPRc4reVzD+W3iLjZ2ZPnbo/a0cZEgMgqWtDLvU28BqnbQc60lnzmuMLUk2dyEc ecQzwg4m17X5ZMDjTGA6g1N5ruin/LjvJ/1G0gRvloBWBWg9Iyym0Ydp/iQtKYUYIUrNRudvV5+0 mFPRWoxQ3cjMFOKi1mExQBvBdUKE8C/BC51mJTSVkmbP/f+eAEyYIHV+3HNOO/K8amKamLzU9b/R uEW11yQ2WP3CDJSX5/PDrZ/5IwVvrZB4Y9dO/cA/tqIZkZxAe5R9lzGfaJcYhYmWs/CCzdstG3Cf Yig6yrkT2cgQr7zPSAWa6bgz6j4IfnzrGCn10emsW19f4aoIERCZFBsTYRJ+76Z5+IAz3jxa9FuM IlD+InswFoixas3YSNY7ZSzk5fau2IUVUbRsXoUmLbEdmSUoiq9TZb8bD8EX6xyC8jsXyPMDXxjM FHmgxbJN4IuEcqzv2rjesWCl25f+PbMgiNnpEJecG39QWEHBorpOjtbU5qxBDKNZwgJegtf5vMma OUjTMJdPzWI3LqTUNF7A1fFLpSEyR/3BX1B6SuYTmTFIoWTEayd3OVRa13ngRtBQoBgUuT+rhIVS tgfTmgZm4c3MVYMDoUYRElukimGtCSL5LkCmg78Hoj0GmqpTWXK2z3MQNN4D6cUIkYMth205rGvl 3tHQcQHUbHy22VP2dar4013pm70rlZ8wGaARch2pkvq1ksC+xzT6jC31ORnhx6HrROzpFcPy8o/l ryFYDbmbBAj+c3pUfSJD32cq3nx1KffxwM8juidObrP7Lnj8kRrKUr9xWvUWov3Qshh+15oEEYfQ TzJlEfTltKdbYr25cjhfEb0MXxm91S8F7rRTH01/Z4N2br8FopYzKjp1AowV/01aDoNpb0h5XdoC 4kIryGsqWpKPs4KqbljmbVoNn8TYWnGX3Z9j0xT3HJRA3Ta68mcRN542Tl1ULOd8452Flypwn6NG dIAZOcXhTYC8PbhtdiWGsLsVPrYX4OlFiRAWvxsc5BCqHmEMIh+X72IMGDwmNmKEObSNpRuhMQnJ BoIEFdnVNqrz3VomKzHvczRsCUT5geNRmmNRPp+1nwEq3MJAiKkvB/4Zvrmj88dk4CUvYhXd4d6M R6oF9i2ncBSUEzF4GgAfUY0dpFdL9lDEXTZRAYSiul5Uq0nzAQFLtHke5BoDhPYx2n6dx26z7MRZ kmZze7auxU8NnFloi7mibbX0lHwMNW31OEAuN6BdQjQ1RJWa0aisMamTPJManQ/dIGRHc8EXzcg5 5X+1zU5CVl3q6j7uItt/Lh/qQ94FNXJnnvv3WAWDZKz5Evrb6xzAdc0a8XYReOulwkZ1mtAMLVVA bIKCbijSsLCu4OApBdjE6BofD/Mpww0+gtReHnn63MrUoEvcVimvw6YK+eYspKfa2sR8GN0rxgKQ Hs/MPSGj+QGvomiLPrZxlhwSWrtdn//xobiNfT9FdjF0LCWfaM/0uuOASIBnmqeehi9TC4RLZbAI tUaocoHE4PtROBLPjgrv1Cy4uBN/NYzEZ3dbsu3lQ47TLBtK4Qtg1Kh/WoYBQS5xowULGKhfGgb5 oeDCg8TJCGmoC/8iYxoHSk75Al4oRp9J4qccQqO2Jp7PtHqkgAvmPYY40u6PSegZWeybBx1ywq4S g5FHsgls5hH37G5FoazWkCIdc9aYK9WDHBeyhT7RdtVXFhqaUrLwIzKc6dHiM+ueo+3OdIFfPbRR xQ/h2bfkf5nK00IhTw== `protect end_protected
mit
f0dad70f8ff625cae4fd9404bf1b2c4b
0.955548
1.810444
false
false
false
false
UVVM/UVVM_All
bitvis_vip_scoreboard/src/predefined_sb.vhd
1
3,995
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time -- Inspired by similar functionality in SystemVerilog/UVM and OSVVM. ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------ -- Local package ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; package local_pkg is function slv_to_string( constant value : in std_logic_vector ) return string; end package local_pkg; package body local_pkg is function slv_to_string( constant value : in std_logic_vector ) return string is begin return to_string(value, HEX, KEEP_LEADING_0, INCL_RADIX); end function; end package body local_pkg; ------------------------------------------------------------------------------------------ -- -- slv_sb_pkg -- -- Predefined scoreboard package for std_logic_vector. Vector length is defined by -- the constant C_SB_SLV_WIDTH located under scoreboard adaptions in adaptions_pkg. -- ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.local_pkg.all; -- WARNING! The slv_sb_pkg will be deprecated package slv_sb_pkg is new work.generic_sb_pkg generic map (t_element => std_logic_vector(C_SB_SLV_WIDTH-1 downto 0), element_match => std_match, to_string_element => slv_to_string); ------------------------------------------------------------------------------------------ -- -- slv8_sb_pkg -- -- Predefined scoreboard package for std_logic_vector(7 downto 0). -- ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.local_pkg.all; package slv8_sb_pkg is new work.generic_sb_pkg generic map (t_element => std_logic_vector(7 downto 0), element_match => std_match, to_string_element => slv_to_string); ------------------------------------------------------------------------------------------ -- -- int_sb_pkg -- -- Predefined scoreboard package for integer. -- ------------------------------------------------------------------------------------------ package int_sb_pkg is new work.generic_sb_pkg generic map (t_element => integer, element_match => "=", to_string_element => to_string);
mit
f131d38583a6e8a45196ecf5b7be51ca
0.474343
5.115237
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dither_wrap.vhd
6
23,856
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CqLUd3k+c1GTg5pDkI/Fs/VCZ9U3HYcJ41OchR1GWsww7j1WZyhkrSiZF8NksynkffaXGKnRBKOH Oxdzk/r6Og== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S2cAcf3a1Ky+zSloHc1JY7D5jP1m4chpe9OUuguG/NddeoRHRivfWoI6XSZoGGToGHs+dSSLcVNs n48GQUQwEGARUF56Pr9igIQKmsQvDfdGbiFhTLMzk05UN/IfqqyzcIHlV53GTEY3Pgx7T9JZZcdg O5zT5P/vfMMHsw1QvUg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XzYH0T5hZ78/Ff9UTj8d+mXQx9QlgZbeG+m54LLgBimSgJzJsDtQsBkCBMnldZ5v6VzEWdGgEY9w Qiq+4hIhh1QRRa84n46G/VDpJbLcLHWG+NyBszZ52NzeiNDWN4qusqMpmtL8RuJMdE7EKOFG0XTa RXj8O9tItgFW7nsHTarN3HCTEudaszk4suJ7khem8gRbeieqPwkOwp2vMYSQ+eDqTCn5uuUG3g16 9rUyugiE0fpyisQ20yUAsyVssl+IbGR+jjnPfp3Ppz+DQvPWipFOJ7lGEbUGodP03XsgBgbn0CaX lGDvIPbYGGSnD9kk2bv8fifhQNS1uXPs3YVzYQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FJdQjICXNJ2uX6wHjZU52k5BxqSMFdBAVhB2ICmWsf3D9YTCZoI/FA8PpwKGszpEMDtkfy5pA+Ov NPCg8a7Z6fFe2EALV2JS0YkL3h632GdINIbduX7MbNAxrLadsPucCmtSzJ1VXhIqmPbeR8MHMHv8 snHV+d+hHhOt+FocBbA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PWHIXrkF7uf2D1avIGYt3rZxh/4+fWYLIHPXLKrpDvtsqtl81cPmsIe2lC8wUW2p2cqibKQIJSDz 44biAjrFyXfgo6hDRyAga5i7uY1cdJpvvTHcx+w9bbNiwnG/FpsrON3iXDmt4ZpCxXbanWRr9U4M kgRxREBv5MRCVbAKBNxdyMsD0+4O/hkJQvL4Szvx0bjq6x4SGj1O8ZxMDhVRQN4OjD9RXc7x3eBC VvuC7I7+F7wJZ5PZhWrPbOaYyNBvi3dg19orxdO98BRQ5ihuP63LUyBd5CINMMNyS+Rw45uKhMFi qZwpf4o3UvjcG4i7WyNFlRAQbDf94yJI4LPbxw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15920) `protect data_block G5bRMKIiejqdWaHnDrOgBSzZD4csF6Eo9i29jJRwqlTkGLCyC7dfLWaxdvq84Fc29NuwB019pGUk fRvowPCir5TipSNcCIQSfp+qnll2kD0nh2A19k+qFFt1MmJCPaER2+L/J7WmKZOrN32zAEPihODB QJF+dfTo5jKRiGrGvPM+C1BCV3XNt9HhVZjrXIqvLuId6OGvncYN1XaP0FQ2IqLm0agjjSb5GOqI ggFpNqm5o4C+YjwJC/IV1LFqqraDKkUc4zyCXvHC1T5l3gujTjT4Hz7o57hHqq5v6lUioWbCoDnh Fxd3G68TWHKl634tzbvrpYGefa9AZNpNnv+U5lFrjI8u/FeNUwfKkyi/AzT025uGcNqtcuv2zkpQ V6oHIjfKCtHW0bq2soOTzhOEiE6pWB+sp/a+hlXoEtI7nsdRogB3KNEXrE78zEEjiKPRBma1AnLa I/WTCp+BvQPqhX8u+EL6leMrbJYXGh/6en/s6lb2nHroYlRIycb1tdSSE8OJQGg6Y8tZ+i+49ouT sFg6d16JuDzKtbmW9XpG7Hynn4uijV1AC9EfYK4rG7r4bVkux/5qrtEaeXe48KjBh20YnH5pKEvZ aW2oZJ4c1FlHy5QuittH+gCPvgXjEwv2rWfsP/6GJ693uAZx2UiLeZsOkqC23nwkssotkI5hLYEC E5E/yytbwcFpNjdkGK2jf602NYX/MDUX0J+htVEQVWKLmdoHx3GFOwAzGAGe0vALtYZTDx0sIOII NGRiOlOxnY0+KJEP6SSnAJ/ICBj0kt0h2mtUxcN/HU0mGAqsSLM4wL5tpLYv5d+TNP62pNYUtZEC GSnVeC7VNdYt/ZuNmKM0Wa1vCBOB0LAJK0MLIbt8RfLZLsQ5vlEpsA1nJSeCrzCnqvf6TPsWqX6X VzRg7pkC32BMSUVOT2FXG7wqWAudZ8ZqPJbiRT9h9SMLuHzhaQaDDxsbumCAfEPATKifI5gg5QG3 m5QEV8Li8+NqNTh5xLIcSf1PkPC0N1htAeaPrddKZR7YArp3vI5y70XRzh8Hr86qxQeq5Ih4WMPx KDeFWu5kSdy/d5us5WYIeXV35pa7PIhWSVdBpBivGy3pk/SOk2oBVM3GZfB+KSKEPiGxAE5PyeFx vA7bcAlP/tT83oTDAR46ANiq3BCWfJrSmH7eOVRNN1OK9Wr8DIHMWsL8Jia8Ql6tY2tj1o/sUZvL TCMigK4/iaN4wKZVv3xrzIKHsFZC/RVg0DbSh9OhrpEGsRdjVJ0168g5IbNhAjp/w2pnscxotkLi pq7be3drzFX5LuxWUfhtu0G7yW5+mBWAc8m09u7KWM5UUHlM3WsHJtQMCZk6Mop5RGRNH0Xkcsj7 CQzx6UzCPQR0uLlG3MeWLmaEDBauG2y7CehGrjLjoAzyNm/kF9dubfzZuOFvFDZ4vxEWmFHuPQAg 0p39O8J17fI9aXUI6invRIDYWf5GIbsP1GFEttZ5AwTcVHHJejARAGGZoNOORn9l2UB8Ub4VlzoS cinRQ9lAZ/GusGsZVaIdkPlflxEP5sl1iE4dQQEZgomk0nLG/5QAhkrzPorSfFB5cJRtsg6yMaNy irP/NUOMITEOKXzhnyV7mTrdt38CSJBNkE+6buD/xUL+j0uFXKLA1r6jGoSiHtKxhkKPlMFFMrFX 5BemFlidCX/laY+a7aSWJOTFJOkQzPGIPU87NsUWJyA3M1TOCgobbxngoRN9sqa/OzqTnSKKTx/G wPxm0ueYxC3bJNOuxauMXKyHKPv9RbfG8fmqzyNQ85z9oWEI40TMzFOtw3LY0RqsEhj8wQtmulzS l0fqumWO8BVRBGxbDmvuWGx/MaWtIYtu926Mw/HeBWneUYLcLgmYTubQ21fI2uZTE8iG+RE0MPjd XM/TC1k47R8neYal6YiWkCwXhqNHsYw7+KKlHgugJdqJNzCPtf3de0h87F26qfiSX+1GBaijQtiz iLa1bx+1sxBtvrYn8SnaK6eudFm6rLmcE9zUQ+1t5mkubiA41LbBnRK3kF9qxmnSM4n1k2xsnUT0 ptG2riQ4ve6sWamSXAokqg3kymdhI1AEtSD0M1uH3XFCIwlsBN5t2Po2DuBmAkefUK6PLx6rqZWY ujAD/UuRluZ9tHS2oVaa84O994v11vF0OoxLNcKAZYw08JSQdd6J54A/myI86MbPXCTk6E2JvraE Y6x071D0qZNkvkpJQafKiRI4BTXaXnI3tktDY3mO3KXWrD7tnavFEUYzmtwAcPId2i3TMlwWcmb9 nJNaq0ah1oRjGg06asPq/VKsOPzwjQy7RY/JiUGe7Nca0risoIUsFz+Ne0yRDdL0npndR709vpKZ zTSkmamu+0V3x+NUjVBRKHWfIj+W4RCKhAweYlA5IvhoCBhZTUh5p2/8OgDX1AKnXSZhD7FVUo6H GrUDt7CuCKCj3RLL5q0kVk/Tv3YfXbEPL/rSbpmuPCSCNq2SsNQc46drK8P5wVKrIziQrvvwRPkM 4A2i/RIf2RKUtP+hQBkYeVtrtcKSz/Lt/rVkSnOX8FaU3lHcaEHFXymKPkB35Ro0LSHCGkkia2b5 Mm139KmiGbfEfaxkJ21IWFiXyd+SVBcaNLNUuqNj+HjNppRhWAOvwG77h+VKz6/eIghQntPOpKJI x2cEvdS2uWY7mdRP1L3e79xzITYUVy3cV0JXVwBi4SIjNzG5NezGQyX7ZRtp97nwRHjC7ZiBbzi3 yVwBsfuzRk/YPOmKCz2qt4Pf2QyMNJ0rIg2kUf113VOF2p+iL0254ELjzF0cM6kiAkt4l2s6OqlX 2gpUydy+BUrg6x6ofmvsy0vvKyB0tunOaGkAXeJW5P0flv7stQvaJ/lQ6wwo+us5Y1XD1I3xkChr +xKKHL8B3gTwIwFVSq0pLGFric5sC6CfAUPaVs5+Kxi/672jUGQ1qU61mglGfNsoy1jf1qskjflF gpjaz9OtnSOmgaCe0LfObIU/D4111E33GiVzsL29fRiLHHI+Q5UzYj0HQUT1XrcreG/U0oP1usCG K4fuWTzL8k7Rbfo5CtMbmhBjJBEnONxuWtWtaJVz1GlzBf7UtZzxjpqcLy0eOhLLTNcj9VbS4ofV 8lg60+FSL/L5u86ais8dMgRjeROYInJtzOGxM06dHrJLdZpKCq4JGFYLpyA4+Zh89D9oZgSxUCPs XvuSc34WrU/FG6kgQNFfjDcyLB4CKE0LcMoiERO25pH1c1yRPu1JSUTVspG/Oh9upis5uX3Ta9sC gh7mMcEygXkJtopCIn68Hz3pewuXsZ1FMjj5IuhF37UybpDz9bv05DC03Pcc1FjRUvPfGZUu/lek kuQVVtjoPYyBZfp5OJMGHvxhajLxbUz2bFyaPrrsI9bWKaAkRxM5Ug0OrTAuETuOhVkubEX+dSP+ eK6m90GHULBNYvgqHqbmSZ6WpG43PB+xuYptxuL1LEHMADd7HdQZmGNUa/0V3kU8qJU0wbXxlB9c MON4FMK7MK6dtxljvxi0IG8MfUPwhf1JcUB66vx0zVUV1DmuZOxVZN+iQSFV4nolvc6TGTgo+iVY 6fX+aGmdZjER4rNxBbK8c6kysc9DGJgWEvQtzsIBqf5+ealURbXjZyjGe1yljmn3DXspOF/ohb2z VukfMgnDhgjExOOoaYRA3ul0WemtuAPnQhSvhwYGUGqshEO8P+4Q2AhUoUwP78Yd8zmQ2HU1zOmw 4LUqIihUtUnLHyKeB2Ww2YI+pimstOY0711kN9B2n3TfJ6ChliVPxOI4TIAA87tyt/jDufsx90KL HXHR0FrAzJuSYZ3AoRH2jJ0A18+/l/imGNV4dYxOEFYHVV3b0vrd4TIw14MG3fZye5+4DVwz2yic cTy+jN6MfRQ1vAdtEHNL/uJsDzuDlbAiESka2QjFgzisKTBoQpF7kKcJUMszZnocPXzgQiaaAvuA e2cMURVx3ZqFGRT2ukS+OBZLPP9gb4HK7NNjD+aM3OYcFkxV4EEP1o0Gc0xQ32KTne0QeXuUxPWC VAq8Wn/GothcjisaooSqUKH76aIjbtYpuYq9dwTY4Ix+5xvxIafMfccYE0/S/p0p0yyBmZTOCk42 DrOHlDlA/go7Zjs4FI4zjfFxVB9zCGgsmIP3oooVzB2iKxI/jeG+F5O6A9I4Wk4+t7VSZCavrPUb 2sdRy6hC1UIJjTwgo/FnlK1rCbPWC9A5f+DDbXsrPPO4yHMUCKCEidvPma3W2vAsXjiUf4mLNUCS +fnyOs5pizV3t2+Kmm1ACz8JaTRYrzWvQaWl6mI+IuCZscHhOxTg9/9ps1fqSsItug1qz9thJyxl Ludi/K0CQE3U/ILGZy11MddJFoYlYSI04ac3KH0tlatHWud656nYNsVbcJzmFPd30IfehkJkRQGL AzJCMca9k+TIF6TCUSQyTLFXoGQovYccvP3Vkkn0n48ubpMU9JhWurwUMme7HMeXSHt/GVBvvLat jvYFygIDaTPsih56KDrQE0QJn4jj3wM/q7RV1sOJsSQwdo9rucaR+BNiC99GwEnxCopR+h2NjrqW o5HlY3NOk8ddZmuVKhXalGv5qemuqDhFPz8FB1phFanudazWcY1ML9qX8pUzgB7S0g5EEG/fuK9G f+E6QIxb6a+HQ7iNq1Pa/6moHL9DbVWq5Zb+f2YJpOWlMYEAhycTKSZuHPIR6zs2NxkUe+2Mx7we BeA7gZokKpuLBS3YwCd3GCcqT1uQZK1IIZDwDW6Q6NZVi19uZjOT2r+1dewU0GHE9/EGq20mEYfx bvK6ho2BBe8IekJpSm7gd7alEyLCcODvlIGMbVVPWIPz8pk6kfeScjW50wA3Ep7cBxSjwVVdr5Uq bOorAFGdyW7JYk64XPoQDtmRe6Dxgdni2YfKHaof86saM/haJuB3ZYaynecQq/wHAfv2j17Ge34S kBPVwag/0cbwIygHIFCqY+E2t14WwNdNEj83j/rPRiBGxEnbWs3ECwOFLyO1rHmIRfvII3CPQFo3 53uT2gySOXummM8q9fwpUKjNpSpt921gnQ/ga2rnbDSEAyMDh27QRe9hPQEEwqhvx8O6bwLBVoF3 XQu6CkQdB42rzRnRNl3VcWK5Pfgte8uradI66uNm1NHR3rbgs97Pi+JZn3OldJmJE3E/XJ+ANfYy ShCKsxkmHQfVk+0W4xoYYDGaCBhH+DL1NTcyBRNcvz9mFe8pXYRA9/7jNMUyoZ9z/9A3Uekg3jT4 QdvZZXcE6ixW76AgHAsn28NcwIP3p57xLF8z2fNG3LwfnHYNonw2RPn6zStVWlkvS+b2qG+p96e+ 2BRh46hiOVa9/ACJ5UQk6NZyCmbCWbakZ5iHMjEXZyvFE72CPbik+dQnlADM4OUykL3CtMsQTrsp rav0PmtoFJTaAwXUybKIFXoNXd7+KulZj5On6mf5IZInNok6szTIg3s3tdhpH1EaP81NuFpK0a7e Erc4op6+6rvOk9ajK6QvQ09R2oelgYB9T/bI4HPe8ERPJZehCukpr+sZRVxS1YxXziaXNUSSf5N6 5uaWR0Dctb/5s1VLIAPsV+K6eMc8wjKBGP/cQeYvE/BGUc7uU3eOq6kKK1S4qua6sxbqPxKuLQRx Vt7VAXJRMYV+r0++6nfbBLqATeuoxngqAKNe/EBvY/pcJpfG1BEnHvalRmx/bT2tKMnhGIXmHr6w 3lyUwOD3f+W/hR6zvqJuSbH34USmq7wsKGLAuID63tsv1Pt5PKGeTga719z699dXV8CO282cmd5I saTybZQ6ZfElUwQjh/TBUOH+W73d6u8YvsktY3tEsPgclkhfjbVOYxSUPB6ArO8fjhesskSd4p3B OzpouxsIRA1F3oLmasB8d37AdKE+Ww0zK9FIFvF37PUUMtoeYIkOY02m+AdNkdv7Nr7ofxmsdIOC EFTuWxAZXPCA+9uzwEVl0sfURL2owyv5FEMyiQFFv70tWtWtE7xI9BTdf4t9fV4uSW+PE+KInpXj SMeOAZeSADqiJxWWlRpPKSNuORMRZkabJF2gZTnwq8HlDo1t4i5NJUF2M1S+XS7/THJEOG9flavn fOuhgbbSy7+7ei6fqLVMHe1d1bLpDU03k2WCpmnV3m5FsV55fvxFp+x8SktywLcMR61TzSUZYOms Z3SeZojIzk1Aca2o6SkZPNKQXoE3tG4d5cPKzKyRbd1VCmjeZGLSUTHhtB/hNEgjpxaqbhy55ECO wMnYn7f13ewJRVnLeqnIMecue0Ab1SZX9CcfmeSG0HVgCMql8HBWuP30h+mEN/qOUclRY7IS1zFU ZRiL4Rl+P189BQZ6MSsQBFNBv7aB/r1eWmXdp43fTA4OK4uTKmuKK5PYm/dTBM/6v7eQDqoDiVBN r1g2d8K12cg6OfbQjCvKsj17uppS1Qow7ELd8gdzColhs6sPzSsXEuiAXy11InFoLE+w4lJjVOnI 3AMIa4/oE/hAw5+GMRNE3bGCud6sxO1QODcKpku3W8OIBqIHDg2qHAfIRBNdzkHav05flsZKyj5h UDAixh0c+Xoxj8BTjlZX9D5Pi5cKGwZ4vitrTg0fcM22ekBTCROT/JPMCPzlOT0/QNj10Q5hF3wp kCJx+jQsCmE0pN9DDlDU58K1OMypXf0yGfd8Yzbh0PfC+DgOqnlNkauksMJEJmQ52SqXTiIuh67k vDlTLKZvszjyxoJfHxc2LnTySS1JpQro4k2TkhUQNNXgZDnfXKyQs4En6uLSrnAR+tSrVebc5Bvr nON0ljlI6YQhZukZVu2twg/1UuXV2BnvsP7c29JvFfm+Ou+k3h5lGzbqVtX7G+DBTICIaB6JMej4 1gX+t5KdMHXtHQ3xXg6dpTewwj6osfFOYfr7Ocihczex5PMSrPhWYG5SYFTpLO34KT8NraZB/vg1 EbFR6GVMyNmuweGbN5Qd4iK3bAh5MVhe993JfGNokiScpvmpF/8kSrXFdU7CAnLk5E/y91g31fcL Ra/uO6Wih/d4eZ0N8EsIoPhybSweRtJqZeWsazw6/kurLW807lcZCLiE0jKZvq6oGnDK2kbZXH6h /i5LdWcMb6Fe926etaDddzEgNcQC0MCsHRBPb8D/FqjILCqnenUwPvNblDZEwuCIqvSN4Xpj2IhU 9BIz/GYKJS4f/BCQsrw9aJV9L6XRNGwOc1+/4Lrwgl1pOJZboxO+njSmXYPVneOPFEjZLuTLR7A7 lEqc3oSex7RRuESwnce5cmYqy2GMXy8FL1dz88Da07gd8rSEgvhWQrFLrzzyYc2qvALxfjgLfLD6 /oUIdmxIB6JW8LgZFySWNdhkTxYV9yO7a2nWB8aVazGHLoePRlOxDYv4PdvNorxnOvG0gWdlb8d6 VahAzcVEVXzamz+2ogEXIPTLtn15B089JD2/hlJZn4/U+wK+uDqb9Z4w2EWm7lzR3QNeyhOz+Q4v o1l7fvpvBYQTOiy0YzvQ9m4PqUVX13jrvvkv8FBnz6tmt4QiuUAsFQyyrq3KwkPf1drkWtgXlLRi ntDVqtbMnfWYWdZjnTozfGvHZgNkfdCFiuQVVRh1sqRW3pHL8hz23lY5pIfHQUlQLKY+5PYjUifh eNnWkhcQnctEb1xJIkK7ktMT7NsqK65xXv5JNvTwrS+JOWHG7ahZsZT3CrA2tGVBaz9xJOSvy2sq yRiARlaw+w/uCD5klxTpRQ/FqV364eOHkDKHu3UmX3x7Y5T19+zX2djhpmjm987cVm8zyaPvKBwo 39HzrfHL9i/czNdTzZiIfJLzYVeT3LQsfLWPxoI05p36SgCnSggaZy8KgxpErFfbpc+G/sjx5ixQ T36CauVOhuUsQFR35Hf3pxRj/qyAwEBHGVrnsGOv48G55g/Bm5KRXuRpS4eTXtGqCm+w/v88xtqY BdEQck7f8VQfShDLFzSBhXyvCLd2mRj5HBo4Q5BXrDrgAlTZP6X0+/wVaDd8kpmowEiA0M6HCtHe KIGrJZALeynnP1/gCgnnpSkLn5XIAL7Br4YZZWKZI/6Us4YRqA0VKW/qpJT05ejOiZr+QiepPbXH Fuui2JNkqf0qzLpo7P2w47ExdEqfr+Lf0bqXTCTapM4oRly5h8k8fG+9dUbd8W7KvHgV/v0DarRK bdWxywvW+UWxNS+s8+tbVs8S/uK4n2Yv1Aet25Vt4pO+k4c7bQaCmb6sCmemW80Lhj+ODZDdasdA Lv3xNUWeG6OT65omdpoDAlv2QRCIv202hGX3Mvb23mDJc+Uly4debiAs5fbu0ToB37+ChfavcPKh 2YEBJJDBFhuseHeSwOupzXsGqhL39fueL5QznO3BzhPAkmDGglxEC0eUtlFLVgo+NAVCiAB7abhm f4xKr+OgflEDvYb5jOkIeuYEXw+7OohCi+DAOsrBY/segUW1XKBfIIfGafAtcbBKK9RDDIfh9au/ bZGsTvuCmI21006ioSF13y8lS8+OOPsvaXOCfje/F/0gYxhkbTcOk1ivgAD4r25iYpWuFuBDYJi8 g7zG3cmSG91BJt/UCSCNjgvDxNbBwc+DOJkUjwX1aZ9Mt8J0ioX3DjOaiYxO/eo+g/sQ9IeQyXjt aAN+mcdNRl06WN+r/st/W49GhSI5pdA9H4eyBkoPgagaabI+elravW8+RcXDH/yX2kd+FnYl33ji aaD31z7KrfzZs36/CUAiiMDy2fuaXdJ5crs+6R+JqsaNI/HyhkhbOsN2u1kITzOiv+XxotlftkEQ 1YORa2gJIzmTrCOaFvQk4YOrfMVzIHQGuPxZgKXDof72ANzQZbFgmMhXGkjEnTdTG7K8hFmHyQaz coH8wrqp3wHeRggZixv2ly+50rpdHTjfFJyIT21g6I1uVgi9NAOJv3jsdNynk0tZfk7c0aBFlNK4 wePrmYDdzYqWkkBZ83U16WJEBTFsgJnPrxOrAgX5rJs+SicmhzRKFO48l5G/QcagKhBmE4aYGp9W STHnzXyvMwJUz4TrhDntb7kpTlF9wEiiuhtjOCmY8pYLh0U5riU4LPESyjRz001agVczAkI0zoGp dxzO6QO5zyY3ZZTX0c/Hb890vvi3hKVFGkvE17JSKxqJyRRQi7fpiV/ZAptgsjDFz05WgMrthjFl PCWRw3d2BuLN60TVVSyukbBh+Xh2f729eophj2HrouOR1XSZh/KV0zzXPhAqFUxzuEb7cuFlann8 BSsKTydFZ6DhGedHyCx62hvKIu6yAXiRnss6Oa6Tf+pg6NDCkCTjfAs/KGvRvVvif3t3+0gDQWmE 9GTwOOeYpYqfqf+X+9xSk+m7uw/BIi4jx0ZEpj+daHuN8n/IK3diXhn9jYAD+p3YXARiepL3woFa +RqW1F8JtgX4bnjZSv4s1TxSZSL6aXS4pDkh72AYrQdcSplmivT2BZRn/JGECwydWkluD6Iy8CPU yBcLPRU5yvFcVCevVJA3XnqB9Q9yn2IKicTYPmoUUEeIhJgINBtoBMdtTml+sjAB+cixesk8jI2K PryDmzQuCH4tOTWTiyAi/61VdNk7/TurAaBjc3/ryHzjGC/0Q7XZ7Rq4lb634pSp9yJJBBSPsvUP FTvuKacFTxDCycM7qFHGJkd/4kUgHZWdWSUnkF36DH8jz0IK82sYqvBabBI2oGlbkI5Pp5cTSXtS YG9Q8uLSLsjP/Jpul2gG16cGZDsSPcr3yfM6hPObAqCU8p6/UVyZwgv0M1b+wDJG4svtItGX3y8S 2CX/rkVUBXPwWq6GA12FvcG/Y6TnS4B5zoL1DPfPbpF+ysJXx1L76ZAQeGpZcIJP3wIkRZ02XDxU mVfOCwSQ259ZgCUjPuzOQBiwhaoK6V+PwWZiKFf7NqpHv6s3cabywqFD3JDk0vZioF3j5vIMIQHR vyGqZQQZufjnXdYUwH1pwPcUh4K41z7pFR+WCks3isbvW3oU8+RTsVQIEIXIlEzRbeP/woZwEDre tf45fD8M9lFBIACU+7RxF61t5GQY3mRiwa8Di+bAYRBd8RstjUaCzyEBtk0zuggIm/OY3KmKnmlK rJOWXtGPhs62dEnveevYN5sw7W7yCHcncOYGJuTZ2wWRg9PSRKkdyrLQW1EjSbNLkfgyAzszOC5F Zx0dbG39m5ee9EMrGlejs7xmCEo6JmFvTmr/4CVe3ReMpyjQ3/Qs+aL6Q52sQw9RROU55pFnXyq8 8Qf8u5LBqvSuO47iInjnwNyviis7JNk0NTPKSTJcjLfvOYl0TeWzIcx9pZ+ssX21ZEo0EHY9IBMt JbF56jB+e34XMcOePiSabh8wpz0vp2qhM9pkTMyFJ+pE8c2TASP9oxLyjHQ71F/k9V1VdYd6aj9o zah245GNHQRFfd2RniU/SnxAm0KMtLesRcwa+SHdv8ntnVGfqGf4UVDByCrJtzBO8fUQvx6MrkaS fB8RlU3ZHGijWdIeYaM7ckofQ+I6WdSxNf4Yl9SYY51FdJqYKMXNqa4U6sCdM/oi5vy1P3eFJQOJ YxZuFFhUO4Jh+6HUzeQp4VudC2gATrrL4V85ve7wTns+r6BxQsMXkRukxzS9lli2ihANzPKawSem RADyqFGxdf4WX1HbimY3D+QrXKrhvo2NySLnYBUVbdZRVVJrd9ikLZ0ThkHgghX0bpb3SaOe2nDr +NWOxCLrOaBdOIeEfhx5CCb0Sllul22aMNoXL8DIpV6nlNF+eFYhLBqmMOOeUfwG2cmnD3eVzzx/ c+E+taiFb1B27hEzyfCyrr2tE79b3CB7fWS8W0yN9K8+7zI+IgNdplKrOlhuOKxPQrEzUax9huJl VuNY3Fdjm5+sIP5aUBI38m2i9GT3UUXKRC1AqJUW8PuPYPqS4cjrKKspbVv3SjM5CEpksYiD7V+f Ne/lPiyO1L/O/pQpyxRZDNo9xMetnxGzjmVOgxJj7sTlvPjdNMhYWja07KtD6g/ft4uIfZSOKUxO nOhwfqcbmtzpPMO620dpR34LExS1K+1Kvc+a8uZlevDSYHc3ba5Gm9Ua9fUk3EZ17rhe0O6slfIn XM4KFOY8ISIdyj0KzXtJLrZ6nEe+wHNbmGOgChCT1xOGu1zQd6pPIORJjqhA2Xu1NKF6OnmNDvUt J8ZJe5kB63OBoE84HYEG2Od+rR8oHQBwkv/ttdymcTRLPfjS8IhNRuYKL5lke8I5QK9mcuSBkkWG uclEYJ4U8CXH+cKhr9cVkA/0Cs01n5YeIY4njeIrjU3w/YYfe5NeMTtw2WnNrWc1FWtBjxCd0X2o mN9ljPER89EgkOf5U9FULVAOAxoFltzjmhb+PRgYSi7rxas5JFX2+Xx0AiX5Htihkoxb/XLmEOot RHB9yGh4WsNDdLKnI03lEWcS4bIuANoxKLs7W9WOJcQQQTkXK3lpaOim7ZRnF66nJQX9Du3n7er1 m9VFRH3Mknjkct5WC6jGMFPswUnXbm/IDNZ++ZswuNEaKBo2msjdAP7UPZXbRlNjjNNXIFJnrjn6 20w1YFT8j43syueiduvuZUbtheYGMCAyp4YeinC+zZ2hZDdejlKfY9/9HhrBLQRwia4U7t4lpJbQ 9M8P/P1irGaTtXQo9d7E4cpep3+sPAQQFlp6IX8b9JXiMOUFw5kYVlfv/7kqszLu+oVy1UnQdNj4 Q7jrUyShlfMJIWdzVB2TY6lBX2m2QDK7EK/2woblIr2pfOyjyYypVzFUVm7E6aI1ooU0F436BI/0 UgF18m3gDTZrYZ2j9DV/RKs6fv4Lj0PUDgy/Pe31x/LyXhYENhkhoAjKAeJ4bFDkaULQxvHj9Gn/ wLCKSjaV707VB3T0o/Txdog7I6x1NlaawHR12W1N00li8eQwwF65CF/PfMD+mvoSdHmad2TFoRou f25tjUF2eHw2nyfPEVqUBzZWaPYkFbeWZmFCwfcqi756GrehgKvyOlgmqPkzrJLAeRBSpQhy+DDW jLPFzxtNCwRh6ycja+CITJjnLFmfXhYxa4DKLwxcc1DAkIR1Zd3gq3ASf8/ad/nTxAqBlzRl8tmy T/si/JbG/HBDJPbHSKE20i79U78jaNO9g7n+k7fhdvKZ+/o83KEFONGy+yFRdHNWBZn++nOCxE7y A0j1mh4QCjjjm2c1L6pmgGJ2+gZx2ty/II6VM6+2Sp85YfBV1x/TOLXfOeE+K4Bs8c60t/K9AcJ4 h37KmMxKG2PDdHtf9u8YDwnQ7OB6gqYnzLPgAYrfM8reLf2FhsilTlnkokm2OWZMYdw25iqyN0Zi /DgXvpHC61y8vqVYUrGrtKX0uNLxh/B/EtLIX4NW1l3AsHnMXtekBF0/gkLlNZapVt5Ia7/68K9Y R2ePfnBOTeeYvg97gr0+B0wWX/mRuOaX6dwgLIPIwL8uNj6fnQ+173sqjBF18TLWzy6zDMNnYAKL 08BXuj5eaNH4R9x42Qos/MxowJAMjUAWo+CbmNXv91o9jfYX/FxKbGgs278uo4JNOUvyKEgt6xeV H0IuV6nuOokB3p2r50BmNB9bMyWPseIn/hRtjv1YiYvtFqc6euaKc68xgrhE/NK2KOu6fqh6u6pD yf9TO7VF1S9PI5IOlcjahd3EEEhMvqGPJOUceNp53MTEgh1tQ3UnEUGOySfDbxIQBaU8Co8F2B55 bpKVABPgLMnSJz3bntAhPm6WY7afI6Exs8vHYrJEyGFZqMbw5jv58wmwfcNjbVjvoedrDBy5Tika RF14fTNDWtcgbbFrET64LjwbYzaE/2rwECKQLRejXpHJQ53vHdBPBloECsVj7e1E9xjVS8RbioX6 /PwcJKujrwgjK3hve2AAnVPpFpQ/ZeFkDC86BUeltlfskaHWpEZokglF62Rt+trDzHXgwbAlxUgq GSBUcrewX09Q8dU1Wid8kBIeMAT3+ww2IFBUv0nEfMMesWNceEkO4oWBpyGkR61bjvH5qea0o/uD OLWAAG4vQYTpXJSKM4OoKnNlIS6c3EASWzBNEapQim2I/8XaNY58YWFgJmvotVlvLLikYVjM6E1J NcbuJuuonPlqclAEZkpOeIkMWNgIb6dCdpZTA6Gd4oLsCXs+8Gx0do4hzFnLbn6dmg7sCywKYi8B 1ZfYj1LepYrmESk66vN5EjxKQB65gRVpfqW8c+zKyynidyuuPj04VJnAszNyITYiqRE/lX7KswQd 4j7ytJvur2ig3xazCZBXOVfTFMxIDwXNzPOuLwNGst4eaAXIdhoLTBgcpsX/M0aaItc1LXckW4FJ PrDGLKL6aySB3QThaLAP2/bj8TMdZV05nsbrEf4iPtXilSIDbrUl+pQPTzvZoY6ve+4QQWHttKn/ ZSYue5cGnOe1rtklU/9qmMKOd+JP226KYWPnSFxX/c/xnsqVB8824AL/UojDDRfQWLJdWp/nXsBY e8oy1H1/d6qt4UJNDELO4FIRv2rgC7PwFNzhP5rKvOrlWxfcnCB6wmyA1F9dL2ZOZtxpd7xS3zIl pRhCnE3sJVNyIUd3GbmnLdzDv4bWRBb9eyqs2x+r0nG0vrJis0bziB8t+VkMAk8SrAM5V2pZyrEs aLW7r58PtTC1Z7+pxacQna7I0xjmU7rs6BzjbolAA8VfLaNwCisiHWZyW1Aa5f+o68H3+QCRE/MI PP/o9BpZT1/DgJAUdf3OmxNQ3oYlQnKs1BuczGLT+qVc0aNrP00xCCAOQZy2wBOewzWfdEtZKGLI uQIb0VuMnhuULxCYFibDgP/hLJ8zvZ94uQDQVGZuJBqO0f4SpiLW4fNSe4HyFA8q6dIatvSIl6uD B/FqrtcaL5K24wEiGzKdnuCdFwFWt9uaIh1IG/yEShLCDtaTDnq7BpTgXukRApyJdi6r5jkFbTYA kmdJfNw2NxvSb4kdSPx+PzEdYx2q7RuamQ2GO2wK81wXXFRbWI5vgg2x5l+Nf8S0XPZZjFxIsSJ/ Qz2UeMyoUteN0RJ7nsgQV2p7NsXRVB7Wf9XANXiv4PuvLj8Bic+QUUIcwtP0RRIFVtJCjh2lkLS6 Q0Q4hhVGXrBpatwHvoxoEm/+j55jOek9/r3RZQyHewcBI93VP19I0mXuWJ1N7fJj5iFR7kMfIVA4 l+yorK46nhmcJC/DAzU2ONKSbsBy5L1q87pdrTev4a5LvCi9c3d0sJLX8QfDbAVFO582mOBHAIB9 YXDnui+nDkp47nKa5Xf748Lpk9bX/LFrr5u3aqNkXyx+Bnb3Epw26d/xWuB9EM8iUvdpcnWehhKC sB2EBeuqodScdJfrb5r5TyGSNOyGo+vsCwXoHO+Sf0DG1TRuxjb4V+JTCfkwfwA+3P7B13y/dPGy br+3IDCXPspDdS/x9k6k2qEEhCXPK9g+vWppwvpZrOrzQLrX0f5zdAmtnXbQ3fcAHxTuhkkFx2XK OytYw38XI8b6kVwj4ucJtSrkE5Di7RRi0k/DVtcWfcT+ZuUIggOpBfrIcWc8D3DUYhnjVGWIJ4JA WvzgZ2pVj+skjxLLqFX95NOIO4xxou3nwOsB9B8FOPyiLNgKax8T5t0mCRI+vEhBzlPCG8Dc1yBN 0sGKnuK/MplalqQg0ACCzUzWCYk69qE37pGaUldvkd74xxlfbW77g+UuMRM4dsqNgmxUYVAFGaFu Krzi9rJFKdzCdvWtm0MI/KA65hsDXs8lhVaVbZIzgb4EYjhrVQapWwLo+a/lP5Ww0bUGooxT+7kD djWp9jOTjOCOZNKIhPiKKkY4x5s8KJ7Ch8lBviwbF8cvkSLQXDM5kTdHZjmQxmdrT4nr1IdBcq8H r3K2iFEHw7zwcNKePf0+eBgaDMhU2fE1FKVLQsUORTDyq++v/dl+gsNCAlIxP7MzCQ8vWxUiaw3J Fdat7cEnpMUwPrtEcPsGZjVs6XvOj8HtCb59Dv60VH/n4KJbEzUKS6P49oxDPCbsfkXfQV+auVA9 5Wk6zi3Lp7e2A8Q6Gtv+nB4DP2o/oC0OlEG++8C1OILa6CHP6NlExkiktJsPVoxB92apz+1FEEAv 87yXEplJrzy+6Q88yNGCofifygUknAZ1+YhPdkBK4tVU6Hcn5HkDD+Iwwe97JYpk1cPyi+EXaAfw Ke1/vMCEsg0hO5RCE9yC43dH2/z+y1vUkntOS+8ZqnWACZ7az9qE/d8rjx1R4TO2nZyYZqXTm3ka auUuYSHMaS/ZpFL2mCuD9TiEPIm/vEju5bi63Ad4x9IeWRtxK0/pWrbmlhEApDj5f/d0DsRI9DbX gWWW/rlPzJ3Ibgh2nYLg7dg3B2ruKy1M7fOmO2WC273LIT6AzFg2z+pL8MrjwYBYX6FK8DpC0GIl 3JNjaP7jB+7CqTpHPVzU2uuwIWpNSg1/CSf3SV9fjy09Hd5eDSHW2kND83ctEGT/BCGY5PXNlpmb tHmZbCcS1ts8vNU0yMozcDENxCltAYMKeLonL6dSapMeSr4CwtrXl7X9I3eGHPQf4lP0ugfrcCwB CxglsIKSXa+ns5banoEYPhWHilqjeJ2tpEgrFIS+PBb4BJhXze5nFUV2rmki6HyGSuqPuSkbTATa zkdNb2gfPXTW+qWq05239zf0udZmoDpLyxs9JWtZ7e96Mp7B12vzdznWOWB2j9rOByUi4QEKIqBl w24jL/EzylMQv9/paTaSyenr4J1YjjCoc92CH1+jnzg1C8pd5PVTniIqqPDatvo7wj+fYbA+bDY9 IdfUAWsn/GJ4HRUwZgB3lr1Uya4YmJw6Nqs63gOwGHe2IiwFYsOh8rLisA+5jw9s98spQ2J1i0qn D1mKr3E1ZEJww44rbmw/yWlADrmEeG11f5zecENuH6mo3XvQZ9OQqTAuYPo3Up6SAJS3NDdUbSOJ dKWu4Uwz6yIUbkiiKW5mGR0cxkHmygiAw9c9rdyc6sGl1Y8Zlp29T7X+T3JBMPLEQFbORTYzmy4M xcf5DVQXjx3ph8h2mWSJv1x+p2aH12cq2fJSu8nhlYhM7eIp/ZO34KqLw41w9CP8a38j0fW3WXC6 5Eu4M/oFAvJ5YoIACLTxxtFd3EID2Y0u8AOEgKtf40V2ySeoMp4Ql1RpqHpy0G7y/sDb0wdq+ufs 5g7dBfMEKys4C7SUdAp20yvua1zebPXqq98Exuhw8gjrkAJnmPxauv33aW2HIPL4fpBFIj8NDI44 /y0n6OZp/5gq8ljqFjTswFY9BvHAbdzZ74wUlMP0d2n6Qv+gRSr1mdZbOWjUfgKTcTUX7PFT1eb3 vCq/IOST8WyNSd13noKwYG//GKko64tf5bYnN0+agUDTmhmGQgBAc2vViGlmRxcdZFmRxVKFgdPr jcncsQsGF0oe0FU6Mwm3c+a3EApMbvcs8MVUZlZfa7ES5Ea0D89LeyEm2DS9TRfcsZ+nADvWjGpH LO7XJXBIbh0MJgrLo2+u7RlSx5FkRG94fpnSr+8zmARX/sAIF+/oUXaoqSkxJRUd5MHk99gA71AI c5EirFdqZNQibLGTK1UAZbPmtO9JkyiQOBI3cVubw+QipJLqmpNzIdD0NMIBf+W+EKPkJXcdmr+7 NHn001oKNMUcx2kLlTihfofrDhc5mLra9NrrPRQWULzp7JENzv9WM+cbCE/ikAVJ81iYNKR90GHC ivxK85m8xp1TNGVg+iI6kNyjT/cUDbLc05zGubW3s1pYA5YSHrXMG09ZsWZH7HWwVaibNKOFehJj 8Sn8Bv0Bb50r1b2OxhaMsgZYoisLJqpia92EhIbyEimiKpq5Qrk9JyUR4fgHuq0JvbmPCBwXADjh oaYM/QrEzE1nXMXvz2D5ccon0bOQyoXNRjxXxICwLfBf7GbxLAURB6djK5ihZMIX6J9GsmeTkzHw AoHafb6x9M5hBLngox86hr7yOeGbZqilRndxEIWto9pREffh8nnRbQyQeqoQvlzvhh5qyvi0199j IIE08h8fNlrADNennoqJiqUuNGsXhR7mSK0CjySiziWMBIRc1AerecX1f3lJkaPf9io4RcUNVdfl B75Yv02p/D7TuzZxo5/R4CPW7GSKyeZGQTnb8iig9K1xaqpUbbdQqI2xATbhVByGMKqdfCfFRzaX WamB38sxxfzlp5VjWVi+w+AFRAdSxTydU9V0YLMQLQ0PUicZTXuhj+DlSIEiDO0RP++msyBxp4K+ 4cacT6WkZ3oO0jdLMKFnpRfMREAM7pkGr0xRvW0IvuTlk5kIcCOkHaDXJXhX4OFzXyQR5sdGk+jj DNSu+n0y2zHMzkIKUlJP9OqqVx3esWK0NzC4qxTz7YLbCCFaHkfPooB0chiwn1Nmb/GkIdkdUobV Q60jY7bAJcYwEti8+hiClDQv30ZjJudsEn1qBAhDspAGqBNEcb4llSlyXxyCbZXnUNK9eby9Qnyh mmhVVRjkln/0MfYv8i+7/C6Rt6akaTVQN5mUJHuVOxt5ag3d8nPRM/Yx2i4+PeIsiq67pLkXFKWy IOlhhXtequXIOI7+lscuuqoVJqH1iggr4D/iFZxr/pY5H09pkppNg2780gC8a7hXiTsv27/kh4g8 u1xWll70Hu1fI/1FK12JW3oOy+0tJSI7gpnrb599elb4bQMaFUD+XcfsZdVMVrDTJ7bFbpiiCI/4 ET2DAkFuhO227rTskAgdDXgXAqit0crVpnWDMuZXuSaOB/6at535/c+6JJnEPxGKTfeefD5BaipC 1WD7T1ay2uMMjD0yiSbDmWOgPNohwu4wuJiGENDUiAOGRxLaMr09zeA+ckcAeWdssYmrtGSosxUi sBIA8lQvgTSndPjA69THgZ4apdd8tx6QeDFu45WxfUWZhhwh6IwgAlo5aOzNu2F44c+dGFRGnurR kiQW+n1uAR1K7RphKVVB+LibcGnir1bIRjaEUQ0+T0oE2KTTEqHEPQx1RSKDzH6dC7nsgrIxXjFG f1xaqOffwLAxmdN7MXzjrWfwBGCtzl7t4GSIs2NX0KMi+ji2C3KA4AOQkRmbAbCRgLuD5B0vO6Ka t7VoZE1vICKGJLaKPWSAdYA7tz46SxoNjlTvyXncP/Ik4mJ6qu25x5XyPcQo20g5TgaRJ9w83rcB HcDz4SEZzo3v5887UZaJs+JPazXkHEUGmxIVrd4suPPxEF3HpinqdzNA60yRr6rMgPSaWCJ5BnUz /ugedqV2lDUpHoYRa1B+1Qjn+rcAzixsOZ5YaPnHeoXKJfHsUgJZmuGcn9YXUteNTH+/IJHOFdPz Mk1NytmrR0CVfMKShvIJTTVoOOOtm/Z1047s7T+4+pMlWFeMtK88gxgDktVE3nu5WzBk3vI5FEML ZwXgdDaS5sUhBu64GadV8+ZEZkV4PzGTlTIh/p47T9dDYnE+hvBCH81bs3V0gmqi5Aod019b2W6U uKhXuAfxpZvuvxaLS0SzPV4WeTNwSDiLVVptWimasMxv/jV9J072EMrLYfh2YOyI9gUr+eAzhPXS ZbeuFjQjUcAqY3UfPbzlrlExjyRgwAe7bhLeW8F+sEKRjrl9jcUjM/Vk+IYRY4xlduARyMIwbSd+ 29PtZrHt1eAnHU9SXgiTDPht3TftoZPwleSiuOgjn/s9aFHWjo5sz3/vWmO02KS7nEn1B6WBL5PC dEmKRjkp/v1UI4nqi1zlhe75yqvlUMhGk7TSi0zRxKtEkgxo441e/Xc8ouMndLBK78Ewwfug6Q8i 8DL4te728XXVuiuZup9EuCrzQsLPT6Wb7qwXSULKbGWnlgp8rp9ZGq1FOgggIAlkFGVO/k40r7VV PxPTMjYyfMVk9D/Lxmn/sJPpGVnHvDPO2qmBboguMobGCZ7evBnISwf2ERCo5w1kBh+ZwPsJcjxE lLbOMliVoJrAt0AFSTLZU18d3Ycoj1xJIQIgKOi8LJQH1Qwpea+mf2t0jd8Dbi/LqPblvGp0KxRZ CY4zA6aay/zy84mE19vyzvg1KKfMlVFw/tiNyQ7mTP61tn9zKCFLQ6Iwf1pGlkSI0VPp8hH3/nre 92KWmhCdrtjgqCLxqZik6hMf1P83fGoLFZ8WVItHAsJL2asH3pP0CoXdeArZri0amzWPZl/rDYwv gz9SIOaPtRsUWmgCyGqTrc6YxXiUigK66zWsnPpY/azdPXxB75h/tRIItHyj+rePu8JUp7Xj96+w InOrWj2f2x89eaZ2k3rHY6xzYB/9BvJzepXz5aioGJfNMoEodNJcQBPsl15oIM482pHYECAy/xU5 uNCALrxLV2mDyS8RCBkNboj1NX9eKIZ1x5ndke55Jmsnzw9IZtcHAokIcoDiOeuE5dMWPkiMgpBc J2zyOj3l+0Ce9zY1Tt25Kv3uaXyN1dQPUEv0hv2ld2F7x/Lth/Dk+qdBzN3df5W5BDr3+kowzag6 yOgTZ5xhsunmmjo8VM+3BKXjLRuAIk7Tja01kDL2hJFzYXWTHFjoRZc+CjFS8pw6MViwNK1VEya5 lcUkAGhFPDQ+yApLUy1L9vdLRfDfBKTfRCEdjcxGrILWsacG9aq+8jpRjrOfauV6S1CIMcG7G25D OMZYHmm6FqHTOgPCtpUF19YDIyr7Q2EmmI+SCrsB6D8ZbrbU3F9kK1+XqfF9rpsJlMJDtbiRJZeY AAdXdsRMHEiE8T07AnD9x1g0LcHQlNrf8Pc8krmQD80eG7D+s7Cmuv8QMJAdnwPSi9NvL4qyT7Jv 9QEr/qsSOhnkuwdDpC8fBEzXB/jpr8MjB/rH/qenJ55CcEi61Tn4+fiQMFJpzeCAmIzNpGNHmCCQ p1TlY1lJFCKu751V/FqDloZmNg5HMDi3ZVPfNdhPXbE/Wh/WMBMEC4HqaQExXfC4hEzJfFiag34v m6x5J3CdYMzGUNlIZPKIfQWoWkOmfLfIhL+6+GEA0pGY30IuVtReOmpdMAwLp5p18fDaE1+cn040 JUz11ZWFNGxYcNZDXeLfv2TkR7xnw6CoQPYb3zazytFuRNwxOmCp5U1NTJsF7UuKgx9ZsrPEMIcT qSoBbr0mWRFbD9z9sT6zGQEpJPZeve5YE+g7AsMeFaLo95Rm0ZXnj1GTbMEy52sYFs12rc1EcTwU s6wobi8Q5EqgyxY6e6LXZMFYhJsSEqpiaSEfcPNRcqTUbOaZqMVBJETeX3oI4sPTjVYPBoI4a7Na quqOrc4CLiAgP6dXEh9urSAZa7zVJVOat0PQug3NpOfA+50NrP3JYW0udYrERpPhuhaKkpuk5kdc ercMfL2lDXsBOETbbD/p143vtK4+8XLLAVMP62KahE4/2RAHbfQbDgbz1ikR2CtZON/rhXrS7lKt JKwEol23iTyMXywZj3gOmqWcGj2XUOulFmHMe9SoIbb3hQxNSm7z6lrRWswV7h1EGFehNQhpHQ2q HeuIPauBdcv2/7Jd/KzvuXlRcr6RrnwVMEwXZG1H6St7vf1lfDK8U+5vUppteK3zwAwJ79RYMtUQ aRUKAi2BYWzNlXpbDZVdr3ihxkUDOE/MgqIJ/ztyf9OXHJCDZ5Xb5tyo6TRhME+ni26jBAciqyJi b0nK3S496C2c+GCGnYWKZAIgXv2MLR6ZDXT5wcEeatoWlKb7K5DH/gMma5srKWWv2r7zL2pW0Nht WjsVa3KGr3o5m0bRB/hqHrcmu49oAbQ2iQaKTeRzPLS2EB5Bnsn5QNi6DHropfrJRQkd9oVfmwAj SjNjv3XcPHiw1GZayzlP/4NHoAfE8PrsK4lG9iwpFHLG8wOdwuccXlQ5/17LkQjGENbksZXE9oI/ Kn5I25AZgMyHNh/i/UBTWsuz7kkwYZ0+y6wrI5UpSBlEEHO7PUbUp5UMPwi5asojDOzhBjyZgOom 8RjZYlw01v861WlFcEwKh/8rDD7/a1UP+hINjtHbpfc+cqEI9h25/7Fsn78iPSpN8Le74sRqCT+k k2TD2wL5cgdx19EUJi9hhkoDsWTbNdaT1BsVeypqvt6vr2d43e/CEbn+J/LtsJ59phPJKrP95o2T xOQoHaRpkZggT+VeQhicM/lcloJoBq9XfC4ldRFKa9GHfMY9Uif3JgL3YCU4MgcJN1UbODiuwRKO a41wHaB9Z3S56VQWS8cwQlEOxaQ4a2cdUS8SUIhyS45V+n75OZjuT9gZWpLTdhbskLU3rDfqKqeg ljCO0lIj6T/UqXSndl+GNO1vjpynpz8hu4VXhk09TZ+jbCgGuuF1Wj+Nu4PrQwjxRzWMzTXZBQvS cvgjD5caEJ2K250EUNimnwIiOG/Fte1Vlbm/kDWyawVnDxLKE/42JoK8FBEKCeZb56q8mHtK0ece Mc77cfeUXk7hWwH1gXMEcRQ= `protect end_protected
gpl-2.0
f346b7516abad413a963040d2b2fc2c1
0.942027
1.83649
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/tw_addr_gen.vhd
2
10,323
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block lpus1be/izS2SWuhW2viTzHic4OhttyY+Jdb81qB5ajLJqJXDiL6kyGzbXxxLrrZBEdUV4aq/yym DXvoUEdYvQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block APY8DyV9ShNbxKwCQSNZjqz6M4v3vfodQOwTxDKk3VxhnJr/6POXSEqElEiRQqEvyiihWl740HgU DQS0R/ozVuXCpesdC1iTsodlldVEMOykBYdK8oUb/4EWAlDHC8Z3SsY/DCHgSsgwrlGU1xH2HZml bL+fKRYSZs6SN2bNS3I= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0KMeBUgxOJiQo5P2QXuYP0gVmnMKwmU+7214YIJGLLUVdvlk345NyaO+ANKFzoHcX6R9rdfDjkfK gqxxMCg4jB2VWvxGLGlobrSjw7negNGlsSEjYi3df2Z96MBNdNyEd4p0+OzsjmLqbVsv9MIWD28p ncMAo2yQ/OqmBDZO3XqCkoBnYnSqJXTTEdZa/jxSRZ9Zc3rpofSo5o96+8byUVyFBTazvfaezIVZ 3FVnZjRL42FBIdVn3xIqpPl4M0MVyKffE+TUQ6zvG8X3UNS5qT3uhLvUvWTHcyPuk9UZpUNL0AxO tO0i0fK0kQr16TqvqlaJb5dV7CL/k6e+8Pemcg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IkI15iXqgW1YJbows4JJ8MgHkFBSSIXgr6rr2vKHFb11cDtYARZZfMaQMI+tpciDbEuIu1YJ8SMX w1p4QjFpdgKaN4ceQY/0WmIEdXvsyFl0JGQWPPnw0CYo6x6H4kDZz76kahhV3o8rQc581/8m3jiA esmrY9xM49ZhQxF59Mk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cp6qy6HwUEre2knmw+Ykeo7Nr4bbGqj7VqV1jxVxv8yZCj8X5XzXAwkVv+MWG6oqMy9mN7ZgZ/Oy i5g6nTreLqDqF3rpB0+2hK4gFPtpfJYmMClli5O1qYVjSxOxXAE2+Hv8WRNSO/V53uB6v4F+kn1I IByiiCOXlSnGqXNa1WdGYWecSADVc+hV0St7lZQ8pS4I/dcegjGROjcQI6HXJqw0EryJJJGjQ+R6 xp1Nye4xSZ5FYTgeVQIGe0s/vebLO4tAR9y2w6vDUVcZakCEzsuBvxQUGzcwKTRcU9Vfmu31EwQm uVzW58tvC9q5ulxFWU5gPHtHlE5OVnYBmhxSaw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5904) `protect data_block BxZ0FlUCd31XvU4dQIavgwnYuOmr0lBk8ToycaCJMMd8iPYP+MczwQa8aHGGAxdsbWWGMpAMB/k7 gbR2iElehbuILIsrnBBrPcr/3FxGf5EYp+Jxx7NYCEXlSQ9lEPZQf62lPbG0W/Kv4Fix8CcdR9ix /ApcuXJ7FQTX5wHPHLRZfW8HPz220Nd56ryJ+XXA+ziGv8ZxoXVqeS5Wb42RRq3FMsoUviZV+hLs zHIFi9VIg6Yn+LgTrOnWR2fInxJQXQLXWz3EHeF4q9tVq8CSmiukTxLGuFAyttkpf7zUiIThip4M v40hq4JXkL4Nt+jhh6ucjmVXVy+mf0JZVfppMWBFFIJdewI2Nvjt43HklQJN/a6w7ghmRBZOX8sy sO4jbYkIMuOLLxEdLh28wu1IfRGdwp7aYILweY4cwt1sbLcD6tvHElGRoqYaGVgFODN1KxysFlnK OC7iXwcFYArXlNSuEmHxP5TV2JRbOHJG6Ovl24pUwCCmMelld98/ETVHXb0kSd3xjVRe9KfoM24s 7r1X3IOutOTArqwEiZzPUvvEXKpFt7x6oLeFt/DwgAwPp7sKmlJlI6/f1Ucq9milp/MfpmjcI1L0 GBgiiW9i98IgKhxYEAR/gNmfrFnJxvgEMe8oJntLFJBMVWO07IfssADmu5MkTJDnrV5z0S23QRSl zq5r4cvDXa7xCPLrDDb+035W+IZW4rYK5V524HAQ62aNw0YYRncUXb6YT2UaHfncXnH/a3l0DIxh Plun9gBkq+K3iOvipYS+pTH3N6+hXv5hytNYRDSjG+DkAscLr8G4A4y21ynHERNu9afYpxHKphDi kdNAYG2SAZe7aKuPu8+xVsV6FD8f98sntKPXTdE0dMMOeDtRptviWrxHvWFRsqbRxSkuZVBoKAgn iVE4iUDmUpxva+DCqfnTSyQlAC74SfVkof9kqj/16AnlxxMA23K0INkwZsczezj6L2KUeFRrEC9U nJCI2hWUectq65HRTXC+fv75F95namWDdKFU+VisL42JbKUHuRx6oPz3x1wSQrHPZ9POiJmtxz6D /+rGBPT82nQeORjkEX/KqVB/05pLHx0IGlrUsw+nmDa+5Be/w3TO1qOQ8So5j2O7uIUaHI29W12x VTnXoGpTITPp3AV/iktw9NOEEQDSstlwXhn6tD2jL9qKpPW0mSZS+GU9Sy5oMV4L/2cikonf7FL+ VHuHAnMtAQpXSK531p4kxszitakLfXbB50PwmMG2JgBG7u44DLosdyDHIYNoUSDFW3tfDr0NctVu Xf9aFOh/knWDEAOs3++tvPOhZu9spAKJQi2smt0H82VjpHzujFkAQCoRV2kBbu6AAvgky2315sny 9jmT6kbIrMB9Vj+PIffETp83lrREt8KQTEVQeqoOa0ydmqnJquT7UnzOrxmCqd3zjh4JMeCbEX2J xgBsDoTVM6bC2S1aWEnfc9m6+HmuCTGbplTRY8qxlmjSnyQUUxgiHWpGkQIYTUZaS/12aTgQoiRO W+WkpElTeRlWXbGRLHIGVcli3UwjD2K3n59G+MQD4qwvh/yGT6AY3mIqI+WUKom8AICYwsze6KLz dpeHbLXA/otOmp2A/2qVbgcE/tv94lQ1Fhj1PuK+rYL8sL0v4lUKtutd2qNWEo2A5sz3Rs2ahBYN nEen/x1BuiWOpQPcYEZBYck5S6FlUrscwJL8dfReP5QLp8t4azboDbNJnSzeiHQINya8Cb1gI0Hn H8nC/gFYPDW3zoG1fSueZihwX9bp0oQWkwQ7JYOkKJ5HF0YHK+TIRuSKXToxac8KakIG1UTMo2LM lPXcoVGcdmtcLoaxmRoTqIka5a3v4Y5+a8uSeWZyQv9a4Xb5NGsNdB5qCfqN/OgUUR0Ba7eQ/GiQ 0JwP7A0cwzBxYnLee2kBexBGQrwOo/jOVpS4MtnXCEkXKSfg+QaYj8AvjcXwHGU+d6NdTJ98HmbX Y2wHkQeuZY+8iIZDR5uZaUABhFbGDSvb1hO7JIlU7KlYYpcUfgsTWSnnrdmiGD/XTvPYvi9h3Q7E KNSVfmpYezS9cNyaHXMPVqmx7WYi9iPBZpGU3gHjA60e9fhAH2BETBF5dtVk0pYJejy5DOxuqTs2 fx1YRELHws/xWUxzlZ1smt1G3p61FW55yvfVB84Pq4kJFXcj/caTKQGJKsqG+2oLhxs0wgn45oI0 hpQ1WSCtXhcxPm1vRyx9OrgxeUqbzrCwdAVU1jMejGfVrcY3gl/6WKpmYeKaf2hDgNaxNJJaz+Y3 YGoHb9E4KA+MbH6oCO2mf9azI6ErIdmLKQT+5puImzUGQE2bTZtB2c/KIT9lbpTMpy6qPIWXGWlW qTO5dN07I/OTpZ69mt0CMC3/L62TcWCx6vCdVjJfgQnuNOTMBL9a8+hw3U3TveA+EzknI537rM+9 6lJFfiFxxHWAZjQ2/tez+67Tks3AKwFoRooQ6z232KIgp9zOvFxm5/A6G/MTOWOlO9sUhOcW3h7h HLxmUc4N9OFmNBcpZFOZc9QEsFHixx/jucx0ACuYiaPw8x+8/ei4bLbE5Oj9H8xgdsbhEjVXuUKA WoEh6JpUJus1dMc3jt/1dKH+4peNWIbe8CvxUHnPkHuLVKXLRMtYz1b4TakkPUk3cOmC7QvMq8wh ewZqYo7f08wG4bmN/dKX5EwTZJgofRJC6HkGrWtbQms+kHnFaEq1nQtm05RFOHPRvEQ6pVHxtEO3 k0rTAOqg6flaGVuLpgmOnB3K5gKz7X1W7FpAbA4fiq30Fm0hfWZjtj4pZUgY7Y4DDo20gPcUu3ix Y5AqTTkhEQGZPllIwpXpSYU2p5fzC80Le3mA8bVz3GKMDktbeZ26v4AX3Cdh3xyJQl+lr+VB0aB4 nvJxsrt+WUzGA/KOZ3pWXF8WHwuID+hCNDbhlXYLez4CMqPlU4dYj86+p7jzaTc7gHa8svVd67JS fhpqMTGzMnYqtKieRF3GqSkpQgIUPJNpEIoHKSfv5+W5sabZ2KWvsOX/iEczXqnY0PgQ32KVtjk+ m8SaQvcwu4mcRksykPzyJL55OcYJI5B5Lmxos1nkEaAeiviEIhK/ERkyTkA/2cBIVN338Ur/b92n oWq+kxUaS/4aS65MyfaHsP2Vnm+reBP8IDhyTYWvA9mI8XlCEcmR5SLf9NWJ7Qv8yA0e2Koy8MHR QHMrxtQx8Rsd5SZqRtUJxF7MOxmdbjdoNzS+7jviNG9oC3C3mDpYsF01ikzOSOd4OwN44cmhd4dB uiZhIBLFuZti6EA2Fq32DCFlb+GBRSQXYisgKC3IMiFVclVao01ktUJh6HFH2+/yUhLNQusdsYFi qttikVHtEMD6TLIOwJyRhtewTu4gCWZzL1BZUbV7+IRE23Y+ZDGMQsivRpt3n87FcYJDFUkTJSh7 eTXtDoxKMvenuY4sBs9zEIP8kZJgzg6UJVPmrsnpF2xx5UTVwVLV513FOOYlkFa0XuoRFlSz0nJG PChE5s3W926O1rZVxxCiutbXzodcmY2kUzsv0UKGruAOlYaGAmos7BMK1cu/cK6QHNGtNqeYXHE2 qgAsx8xCqEh1yBNrZRvtGyZMGt3pZuxkLqZHqhQSjuosjErGqgor/b0cFzuhYm9rp6e8DnyYSHP7 Wfu8N8WtkRYkJksMpy1uE1msJzDQXGfGtR4ydYN8QRt61568zW/eIP2D6Skrdijfegat7ojzzFn4 4wLZjE++OiRs6bhPuqV7AaX+Kctl/ZEWleowvQ06sOgM2O2mrayRh3/4rTng+P6YO+usA3J+Ki+y irLIyoXPbJZJImAAvSc5bqd9dUigwAEJGr4/Fv8QnpHKbcCa3DXOLfEKBgm/+tdAxHEuzEBvBnQa h1Hd6rMD85koLXvnbAcFPsmQtJ/GNihkgsItH0SHAiLL/sN5Bzg7M649ovpmAHHT2YD5UMkr2CBY 5Y3nY+CK8FmAW2NgQZOZ5zzdsI4fwA1ZEuDrdH1cHkOkw1PETrv1T17gvVl2tHeZUK0Lod5AYNeu SoT+dnw/4GQlEdlhATA/nk7Zfj6sSCLou5auDKMjJFmjUXShh1QQ55+4uNRRoPrwuiKd2tdDPpgi DRDl/IyN6BDnq7aJsElSZ2gAEzFyjccZ59IB6xEeYatin778JpPJWZFAidkIBiqAGkEamQBPT0bn BZr6WIQuxcpvHgxEeBoMLrWJgpGOQ9StoGRARTMXonAaSyEhemDsEzjYeuREoC4KC4WNK+8aHU+o FvnSsEGtSIxyfdrV4HyNL+L+gtXRTy+IDHsqT8Yx0ibskrXxV6/L6KGm62grL4e+ZUnMF7yWAs/Y m5SdY6ds0jhDK59iW2LYba5DYRvNXvgWfiHbOiE9ECzOZoggofsTpzz2iGeofSN93zV/EqBJ2LZs nir3MLUNumdsXHQf6lyO4vCEpJVclob9sTx8/6z34waJkslQvHOVzdBkHXMdM6ZFdiY2GzQ4Wt87 rLE42mMbAkNqN5qFYUxyV5Pt3BHDctNWKeVWvbCo8khn9U/jadI/B8FqjYfE8Gw9I3OQjp/xRESI Hwm9v41Je+qGL0fFmgda+GWhl3vK9bpzZPttmJ8Pd0ZKIjyGVCPVsOznEd2DNWuBcphj6LsX4d11 1SOfLherfUiMQGen3qgjDtr9/2tQTQOPJsqI+hnVFHe9ffONi39kRTHbMjkR+PSzlGHYSUaw93W/ BymyMLuaISQ+SRnj3KXQ8jK28XJEPLJLBXDN7XFj8HskvGvMEypWfov15UQVogdcDEUyRiG/mGqo /Sc/vOVe4YJxW93PcVs510SNYoSZqu80MDEbqinQDFBjSJ6v/2W1slrh/L6ItCbCV1OXirNs5+kh 51BgNEr4/gZUVfKkLBJseD5xoGqUjo08ft6N5oTeXTIhc8Jb/GnCGb/a9SeFfkIL9SFrDPhg/9zW XGyV2m3ZuU2QKiZaUpVQTmU1AvLJp44jTXkfvGNx1CkyCmj0W6+zW+JSbZckqo/6FUw9G655TS7I Q7ROHWMu33k9vohJK/eIkrveTFK79wBk1o+nvBZvaS7AnxJ1ftOcn1yLAs+TdIp876GFK0eubZQB T+ZjSlG0RibGq0ibaJsUM3yWW1AuHKGV0uBudxyrboLE47T2STSZgjwZGdnSZWCaKVuC5l18vyI/ A8RSSWAAcCWO0BeRCO14/lZKfmS/InUiDgIn9FsutI9IF2eoq5F4ikkneaHMDwMrkg5cxv+c4orz 8/n9M8NjVYSvNfRWYFEmA9BdTo+10/yqfus07fFyRmOSU9zwqoxEYN6tJ1PNyeMcBEOm7vvOcG60 QZ42D7LjAUnH8wbr9Cf0ODo84bPp+wx+/GL2VtqENL9zZQQ1ntmOZkUleJleZjoclbJBGp1+K0bJ 22XR03OSBJ65i+LQvym+pYuFy8QDFQipMt8/w3ZfxYofgaQoPdP1wijupVeHWO0rTg9SJ4Pt7QT7 UrQx18m5dc2Cn+JYTSDN6qXlhQk8V0090FjiRLmZKatQuBhrluvWqfIjtV7+MRB/T97nfOUwApDF 0402WfGHbfXAYA7jLpBjZi9PtBnWBADhrElTeLQNDuexXaXYV5L15B+nocZEY1SCDJG8odkNdnzV 8DH+wjTAwdVizCPTlvgVidq7jAsWJ48qEmjznaith9CRdLuIzZbsaY7oJVQS1TC3+l1CIZdkJ1BS n3TuWgbc4B5HMU9MtrP7QGvffmATuFTf1F95N5Wef1i+QGh1ZL2gEF4jacfaGTAYLR7m69BAYu4T LwuviMoJoYoMjvhDpogtNkYUJYOiWl4hV8ajzaZwAlzLv9DdkF/PvgC2SyKGpwbmzq5ldwVULbUs hqD/q/q1mHX2Bks91sm52ebS+FoZlfE45U+ZU2OKrHUp4KnzFwRVbcIL5kqgcddLa/uQ+Lru60Jg vV81YQTKbuKIEQi/ri/hu+tF5YCwC2JnvrYhX5EcgWK2br0us6Rpjn/kw3QCWsz3orJLVRj35kBL iz9tn9ZcIsPzWflStzuQdkZNxfB6aC53NIhHol/sV33ITS7pCfnyr8ZLeb/dE2Ia5TDUivS5uWP8 evAuRbR5LpBWSE8ETWln5SXLpT1V90+mhRbmzqJ2ms4PJY1N0y9D2Nlj/bFK7Z4jjoy+zWTZSv2I UckPCN6jAWXNyifMtKxXZ47+hj13U1eCaiy3OYstkHWSfSxk4rdjqGoDxMItRwwrPjxOEhEtFetJ JVBAlbKNs1QmBl6N3oZvi5IcCniijhSVcDITPoB5m698mfKIXvBORfZ+WGGHwaGDl7vM1QOaZ00F OzSPVZWI2KoLNbY5eG8v52O1VEM2Caud+E6ysd+Oc88pk6bs5yahy38Nay3xLOV0Xv8IPsW6dwC9 o7sPhKBSqP3RHeKE4iviBYIFHiOrVe8+zvxEYsX8qJAfkABFK0TBVswkCKb3wLev4crChb5Ab8Lj Cji8PRoC7NkXfG68ozv85e9ZO0I6YAf0ZnBquQALpOpg2om+9iw3iKxRwhAKREYM80dYRtDaLpHZ I85bJyWPBcnC8QCYgiW/XQ9tNtRrOkyzzfd4MbEqtU/DyI5C4nMA1K+v+UEVWla/VBme4UPJGkK8 7hZ8OtYOoADmV2XwNGEFtViUhGdE1Npo/PYwF37QeEMyK8lxQbggnRRwyz8R5msEGr2CrLyohzD0 ZmAxhKX/laTM7paFB6kvurKO7sufIHjMb6MWIBDLVO1kDPRNxB4wwVusO8nk+dZ1PO3iaZSTvBM1 ZmW6GrJSfymVSPZP/0rxlZwoEWa/lC5hEMPw9SR+z0ZCZMK72OlToQ7moeBX2dlGJ8gMSCB4BrHD wCALbv5OKc5ujkzY7+HcSMWwCAa1/LxI6VB2vlxLhhfNznwsSZ8X+mJZuDIGnK3oj85++rpi0QGe 0w+gpPtOgxwkVGwSGU1icFwRvLkr3QHLZ8jLfOyIo7aezlxPx1BJAHCumXnLXhdqDLBba5WIIH0e eqtMRBIpNKOIIkcurGidJG9gyC+rB95PEcGnpfR5G9EXITYDphGYa5Dzp7nURBJdMAWFF+uIO3r4 EJmgCZbPiHi3WsSv1H2Aj82KXEmZPL/3aHwas59p0gHgu7ePSpSKB8NQmGEAKSJdO0YLYWadeFXy JDDpmyxC0K3Bv3LxFuylRTGM3Z+f0jRPik5SkHSmirhVUiszUIQtBCXcwHolbWxsCW43fluQJiwh G1ZgT5iwXfPYurZnMu0rq6WmCegVeuFwbnfQTtiptwZC+zAkz1O1YSNdOtYaeNrJ9cIh8TfdujL1 y11WxOty8a+fB1OFmfg1cCaHaUGswsMSBjK68ys8OoxdUZnd9gMYL5BjqCrug0VjEDUydhp714HP IThLO/pg/o2NlPNe8fy3W7K/E5XZQgxtcy0Pu8rA4pX+o95np55wCIbikA+r8VsUBb/Bl+Y2t4S6 ViQYk2BEJSOTbzAShpD7q0owTa0CoqiqOJ4mMfOX7WHGZ3OGZoPHaM0YtVh+nrCgRkCh/WMTmKeM kmB3X1W551aO2OFHZWZKo+SVGRb0s9MQ/DTMhF5Q+Tby2phOhtB/3q4QhMi17ZXCkQMq893ljibm hdaZmm3BwHv501EeIiLRM+i34YzkY2rk3cH4bq7eGi/fjhsmc/4FFwbaabfssLX1SqJJ7m7CIGNK A0MMuVBdnLmIeBTwA7cL1GsJv5TGDkjQGYPnG4i6nlnXPzpUGuel0yQ+zpN3CbyHHqrT4/G8TcQA ASOh4BHtupyUVWguNBhEszHiwrujHnISIXtuJlsF9GyxtwvsDRSRb7tMmwptv3HHDUHCNhpyUHsV v7Xbl2p/6kpeqDzV4FwPTALVlYLdekE+rMQYnm7Gb3i2 `protect end_protected
gpl-2.0
6df8b52424539adcaade73af6a1bdfc9
0.925022
1.908839
false
false
false
false
r2t2sdr/r2t2
fpga/modules/r2t2/adc/adc_lvds_if.vhdl
1
4,020
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.NUMERIC_STD.all; LIBRARY unisim; USE unisim.VCOMPONENTS.all; ENTITY adc_lvds_if IS PORT( LVDS_ADC_A_D0_N : IN std_logic; LVDS_ADC_A_D0_P : IN std_logic; LVDS_ADC_A_D1_N : IN std_logic; LVDS_ADC_A_D1_P : IN std_logic; LVDS_ADC_B_D0_N : IN std_logic; LVDS_ADC_B_D0_P : IN std_logic; LVDS_ADC_B_D1_N : IN std_logic; LVDS_ADC_B_D1_P : IN std_logic; LVDS_ADC_DCO_N : IN std_logic; LVDS_ADC_DCO_P : IN std_logic; LVDS_ADC_FCO_N : IN std_logic; LVDS_ADC_FCO_P : IN std_logic; resetn : IN std_logic; clk200Mhz : IN std_logic; pl_clk : IN std_logic; M_AXIS_ACD_A_tdata : OUT std_logic_vector(15 DOWNTO 0); M_AXIS_ACD_A_tvalid : OUT std_logic; M_AXIS_ACD_B_tdata : OUT std_logic_vector(15 DOWNTO 0); M_AXIS_ACD_B_tvalid : OUT std_logic ); END ENTITY adc_lvds_if ; ARCHITECTURE struct OF adc_lvds_if IS SIGNAL adc_clk_div : std_logic; SIGNAL bitslip : std_logic; SIGNAL adc_clk : std_logic; SIGNAL adc_A_tdata : std_logic_vector(15 DOWNTO 0); SIGNAL adc_B_tdata : std_logic_vector(15 DOWNTO 0); COMPONENT adc_data_channel PORT ( LVDS_ADC_D0_N : IN std_logic; LVDS_ADC_D0_P : IN std_logic; LVDS_ADC_D1_N : IN std_logic; LVDS_ADC_D1_P : IN std_logic; adc_clk : IN std_logic; adc_clk_div : IN std_logic; bitslip : IN std_logic; reset : IN std_logic; adc_data : OUT std_logic_vector (15 DOWNTO 0) ); END COMPONENT adc_data_channel; COMPONENT adc_dco_alignment PORT ( LVDS_ADC_DCO_N : IN std_logic ; LVDS_ADC_DCO_P : IN std_logic ; adc_clk : OUT std_logic ; adc_clk_div : OUT std_logic ); END COMPONENT adc_dco_alignment; COMPONENT adc_fco_alignment PORT ( LVDS_ADC_FCO_N : IN std_logic; LVDS_ADC_FCO_P : IN std_logic; adc_clk : IN std_logic; adc_clk_div : IN std_logic; reset : IN std_logic; clk200Mhz : IN std_logic; bitslip : OUT std_logic ); END COMPONENT adc_fco_alignment; BEGIN M_AXIS_ACD_A_tdata <= adc_A_tdata; M_AXIS_ACD_B_tdata <= adc_B_tdata; M_AXIS_ACD_A_tvalid <= '1'; M_AXIS_ACD_B_tvalid <= '1'; DCO_alignment : adc_dco_alignment PORT MAP ( LVDS_ADC_DCO_N => LVDS_ADC_DCO_N, LVDS_ADC_DCO_P => LVDS_ADC_DCO_P, adc_clk => adc_clk, adc_clk_div => adc_clk_div ); FCO_alignment : adc_fco_alignment PORT MAP ( LVDS_ADC_FCO_N => LVDS_ADC_FCO_N, LVDS_ADC_FCO_P => LVDS_ADC_FCO_P, adc_clk => adc_clk, adc_clk_div => adc_clk_div, reset => resetn, clk200Mhz => clk200Mhz, bitslip => bitslip ); Data_ChannelA : adc_data_channel PORT MAP ( LVDS_ADC_D0_N => LVDS_ADC_A_D0_N, LVDS_ADC_D0_P => LVDS_ADC_A_D0_P, LVDS_ADC_D1_N => LVDS_ADC_A_D1_N, LVDS_ADC_D1_P => LVDS_ADC_A_D1_P, adc_clk => adc_clk, adc_clk_div => adc_clk_div, bitslip => bitslip, reset => resetn, adc_data => adc_A_tdata ); Data_ChannelB : adc_data_channel PORT MAP ( LVDS_ADC_D0_N => LVDS_ADC_B_D0_N, LVDS_ADC_D0_P => LVDS_ADC_B_D0_P, LVDS_ADC_D1_N => LVDS_ADC_B_D1_N, LVDS_ADC_D1_P => LVDS_ADC_B_D1_P, adc_clk => adc_clk, adc_clk_div => adc_clk_div, bitslip => bitslip, reset => resetn, adc_data => adc_B_tdata ); END ARCHITECTURE struct;
gpl-3.0
aaa5e0d585c740e30399634e7e37e1f2
0.503234
2.938596
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Instruction_Memory.vhd
12
5,844
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instruction_Memory.vhd when simulating -- the core, Instruction_Memory. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instruction_Memory IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instruction_Memory; ARCHITECTURE Instruction_Memory_a OF Instruction_Memory IS -- synthesis translate_off COMPONENT wrapped_Instruction_Memory PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instruction_Memory USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instruction_Memory.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 20, c_read_depth_b => 20, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 20, c_write_depth_b => 20, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instruction_Memory PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END Instruction_Memory_a;
gpl-3.0
9b13b2e7c39b3c3f052d728089a1f3d0
0.526352
3.980926
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/floating_point_v7_0_comp.vhd
3
21,412
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block g86+w1EDqkH55h3Phg1cBsd/30gpVAefjnMZrkQOt8wkL0JSclp78L+cxzo2VUagK4qLQ/M4oeSg 72/Z7wkgLA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Ya9gadlpf6wN/RVrEx3XLHKOR9to24rxJWV0IbMFp94MiSKpGcLHh+RuDJ6Ickp+nzXWuki4YYFO 6KKIpsA1ubLEEWDGV6sUQbRXLWYd4JxATnwaVtcMY5GKwT2kKEU7a2tN8IR+f4n+b02tqsGfob11 b9yGDFUo81Few/+BR2A= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LRyUHZWmhO+6Dc+bqT5sXgQZ3pNikgfxj1Sb7hWUlsjmi2qNoiSE7/EL2/gbouT4mn4Arb42khaE whKfowzhqFMh5xANyAvK0XU+C/qihy/56debHx9BLMECPriSKFuY7637e/O/TE+I2wNUoAFRTrh4 G8BIvMicuGWmBhSZZ07959LInqIdE+YRVUyNzt0GTABFUfuw7/rwfqHPsMZUVayhnRRYfJ+piV+3 Ne2xQsPvl5ytI7bBr6sDsfBXYwYlH8GEfFUzBAlADdLP0L41O4Rrzps+Uuhjw14AQo/44WWGJGav +EGJ7Kpsn1uWxQ34Gvp5yzs6QajHpK40vbk55g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block E/bdDJeXibOIrZCRxpN3N6R8ckd2Oukno7jCQpmC2R6DgUvsyRs4B+3s94zm+MFeyrpjwykVuWml rdjV2rNQMUrLAfyc3OW5FMJDIQ1XsUUTXCHgUpLS7KV01LTle03SBC5aGKE8SU7ZwYXBQf6rBmzi /wJcIyM9N20xRfezJRc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FLLP3KSxgwbORoyJqLL9l0mGzVYvVTwBPy4HbRo3DSxd6WAjh1peIBGjCt8WX2J7iWh1uc+7LaZk lmzrxMkZ0VBpeBbpUAxcBQ8SefccV/tXQf0rP8W1QhnrdlbCtkxRMDDjwdRJ4bM+4hS/iF5MsqcA k03H8SBLVvAay1YBSO4rueftsBvatFTLweFU5kp+Ag9Uk8sl/fcZ4zIIp2s/Xz+lv+o852gdQKOi 5adg0VqtvxxOIk4/Q/8kkqTwxam5BC1PI8CGiIGWCGBU5bZU+ENhSYtQYvkPd84pUVjCGf9fK/wG fXncNhZAXgYim4Aa0LVpjWTrJSjnJqsGTJ73oQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14112) `protect data_block wabjrnzCU5R/Q92SNEVe9UY7r6f1dUYFZA/RIncwwUJ75kEldZdWsX2CYQ1TJ5gsFQkobqNr4Jn6 lnPI5ET6MXtYkUeB96tamZ4kglbxQxWpmzdz4Se0E7r3QZbERnoEYBcYJxrjKHgMx8WMCYQzHwEA UDSyr9RL/kuPdEJqd6j9O58SpYhZHQzVpE7Mc41k62ZZIQpTNSQc3Ad2f2/7cZNt+wB/MnA89bdI fUgGIpBKW0fMPWc3XkpubIygKRKDpA7aRa1ctubr5I72EZZhTFaPWeZlROdX4zzUfZXztfrScOF+ /ZJdifOa8q7KXT68gTF7Fdaag+MBhN/YEAYuEsIPSx7S8EvXqbr61BOxplZwoFsV8fKgl/s7FRoU ayqfFZgDy36RbpZ0af/ZBIz/Z5dXnMaxDmG7r9ZUQj2ysABdDvjG67Bp8/+QoJ0EnpBFsfMLiXPV FPtlNH5VvnOTCs57IRmWCFJxQyE6j1gkWwKQHHhrCY56gnX5dOKk5JYZNJRlU/5puQ43EKOtFSAI aMWWCpqqt7BNl/758OqLWFy36LiHt//ee95Cgtx8/e3uzWbaapmwVi8kds5fkryi9buWkp/5oaKg vNBJgdO7u9e2xwHi3Ugv2W6pQS59YN8nOmym9OIB3/e4PDxowUPjv+3xBK3NEaZKZ3Ls/Qlh7j+y mzEeHJDj5WIPQyqiwVU+EnMjoCZzlQewlfhmQdKxIOl3TznpgQIg8OdkjbvPfpoUjz460ePZXtvz DutZZDosh08z2bNTuT+B8UHAARk2K+n4gIawMZumb49h9W/xi5Ch5s0KRAOU3c2NZ9RG0UY2z3rj bBSlktfz/n59NL8z3iEKz8knxFTCAHh5Ef3Ic0xNeEm98KF1DxhsFFjKYJ1Fgy8FIo4zQ3tkWDjq Cui8K/u6+UGxBHMiC1YIfeBVufqUBEGK0i2WeRVkVLgmXwoaPRIPN+82M6HA2JxKsn9l3mB6AXcO Tbt/CVAwsx94AA1WZkFGiDdCJ0z4+uJyqyTSm0twZ4NoFl1ocLhPRVQ7pqTU5MSPp9U29JL4EyIT 94Q+i2B5VMiNbyu98LUDYoxy20ios1SjSlU9v1pngTy1+DqwdvBYZb21LY2Bh2R8pGaqN1t4oTTz pRaXmvPbafXtx87CZ+o/y8tVa4X5hN80ha6tw6X5wFxk+/6di3LW9IhXB5H96lpuU383vGIcIs5Q O3bxGOfovqXhRlPTNSovXGUW0CJ1G8+XZXKwxWOxSbjobnvCb2cpeyzFpnNxWcvHQOu/ArPQGRCs MadmPQfjG1dt7jVKtZhMCOHMzS5gESCdbbZnWG/ICXkf5A44e2mqDF8BUXz6squzc9d8v5bX0m2y tfFLV0M94ZeR1/U0thEgVAlccqgOjpV9RZKjyzu7Ak9utaCPg1X0AO4SHzNZ+dS7Vc5MynZ+hImq fAd8pBc8zn/cASf5cSPaX1yG7iN9daTX8hpDC9Ygktv8od8OHzYyHUV9NAhxnqYtP2zku37ptxx6 lYwt3/xH2TaD8B/8SMuDFOJf67DnnDwIfPkPe2crsbKVOQuaqC5BsalQb1KGZE1op+ZipqI8gbis VZRHTSg/h9v02B25TDCW4n4LEo8beo7LGVvQ094UvU4v73bP/jbIbfougFmvDuy8WvjHC9xpkpxX eEiQ1i8EbS0pMir8wVZOAy5NTPIxcTRoT9KlHR6YwN5PUobTr/aDDGPg1joN54uOVOfWiVqDvW2E K0lJNoJpph5kPZys2Cya2hI6gRXfn2GfDOVhehfJQq0bRU8sJUivTmX5gU3dLaKqOWqFrNVWy3DS HKMTSUPrZzaYfBBQwFkexsGy4XX3n9s5yIgR57btZJ3MytHUg1sSagky6sZXMFDCLC6XC7JBDF+Q oxKcu4H480HQo/XNThywPvWEe+HVEl6HT7buLNI++YZpCBVGAFu8zj22L+pDtbZ2ohWPHO85V3dJ PXSyupXvE+h+fz1+/Uf3D4DCZqAcdRST9xuQ0zmRun7ZAD9Qp1h2d3K2AHnHpxADrplP7mSfYZ6A cMzePQJsNp6Ua4M5hoFRhDWTTfuQrIkBOZOeYUgVSazj1qkvtqUw2OxJW1sa5WLPf4ijUrb2PvLw qZ3WtjtiOayQoJgRAv122ES9phHFRgi1Xu9vlj7+M1WWNIcS0b6+7cySu6tJqEfZ092wy3rGZG3k EsfFdeMLwe0eGsh+lIYaW8y64r+gJhFnk+HQODEHWcOOcGNXeI+e5HMGcUVY5VpENxyBHPurMW+n BvASUoSzStqplRY5V69ZOSQsMTGPsDtIZqcmg4XsB7HkL8gOFUxQxff2LAaPJRXCqxDdkCUaGNyS e4cBMxE/gfDh1FQiX+UdJDm+IAYcZlJhke9zHJm7TEgZZAgf3+oBIzyCeuGeKhqt+3ONr9V1te4k Z04hfUwXeInOLdMS3OdyCTW7Ez2ajNKfPCtXf0itofX5KpqSWKObCb6zgOFxp9p49bBofGjKGz6b 6OzA2MINRugk9HG+/Wdnm2GBAczL4UO4SvPGrh6URdFVeqrEGOI+DNI5/y/TOrUE2gTbBIHPFhSe 4MC8It60uZBFlO0OqeHlRg6HlsoKR2jrfNo5NQM4c7r564qza1raqLbs2otBnTYL3xey337KnAoN ClJHR7m0U6y0MecVn1oRIXeGr1OSVBEAK8bho23LN7FyKLM+gJjrdCWrdFD+m0ptHsQmWIWb8QxA 5MqAWqtlqdjbqDTRp9sLGtwBus+0l9ihRXQDEMQcSt66+KvM9n6ohIS+5uSatdGAR/6h/aMAaMGc aaE7aQW18+eyLqvFqV88wP05koWrARpq5+2X4cpglAPgQzwc5dcG4u0tv2K24lPgq7axli0Gop2f FQuuUUcMYkbqhDQ36C4dFHriOt6WAdbz2su6DXWNwGbVFADiD2/NsZlwlT1jzvJ6wwh+iIpJzDqt ySf9p4jDlYH8RXbkOO1I7iB2Mj9wKSfsIPWNIEK9fsyNjQCssmmuvoFz6HAlkJxdK7kpqH/sqOPN WKAS0uEEipJjq2MNg2PZHN10XYkZhFbd3i6VsqLQ3Max6wVuRL8Gvrm6m+gXBySx7rIt3Vfb3xnK 1RbV7UIlZHpBKe4ufm9qbcKSn3Rm+rh4atlB14tyuu+603rnx4+6oRRNWJh/bU2+WhIs9PFZKjey dxKPvuscmiPUlU2Dm6b68IlTfoI+R2g49XznlJXNfd5LxsmXt5f3jK/YsnOGJ35Mj2b0OnMOwmHn hae4/DraTvoMG4Scb5yyDLW6sY/uVbcLsXKqfxK+2ThmCsZ00bzXMtj8Hx/mAA13EvHWggPsdQv0 VS3Nc7XC80xVJbghH+237k8UkY3wFhElF0e7O8a+IaEkPFj/OeG+yR0iKDW9MGoMgQGdpnkiHswC uMKfIVu3NBc1wyYmmhAvV5Klw0pVcaZ9pCv1pbzzOVM07ix4VYPHt9QgrqnWyq84uXl9pzHkhn2K iK3Q2gnufr8At5IZICPtLzr5v7u35Vlq6Mx0U9XhKkQ10lSVeFk1nlJ6DbgLwgEITe/ZYTNUegSJ 3ISOilmopKVqPFugLSYrivZTaCTENS6dixU7yJ5f71tASOSk8g4RSCftkvhdfp0gUgEtt4gS98Sg YRo7/dpBmp4+4ho1FNeLViiaD+ACzByAy6X48llX7LEknR3iVbTZO7SUCKhOScPIGWfkBlkwBQWg W4/hMwmJtim6l8FqOsZEPH3vMV2Hdqm0vD6FFOCF3Q87l0ioJbHiro6jNemHvq9mMV+U9mnkTBQI CAajtk4DBBQXI/RY+GUzlTc4qTzcnSfSWjpi3RcGMxnHiBOalDVxNa8UugdtLBjh7mLaV8vwJdat bBuHppUd5F7IPzXIPCJykJdfbql+cwYF1gNRgmAegkZb6+hniUqG1kLhDeQGpl8M+I9RA/yoDqqz nbDgL/edzhqVI5WLHE+dsms7+3b2pxi7XfNaVNOBsb0n+janP2qNJpVWBIEVtTb73xlrSeDJJGTf nEOENDmGK3Zt0+sIfEikGnwK2kDWReELcGTckDkI2xzRDz3mm8einsvtDCZUEJePX4a5jjZLjR+k XhOP6EwQf2vlOuyVICNDHdztsCJCNELZm2G024v40cnnnyeune6zfDHw1l6INxj+h0p4N24RGQPu S9QLJulhGxDHMsx6bROEkUgThXFiH8BhMUG5Jclw07kI+QrvIVaAI6bo+L2fp7W/A9icul4w8pvK gFNNv5XiVr0mukzx5Lkcoy1nCeCi5cffmdVuoXcyJi4Ky3llkUrPiKWehmcFrRjwBebVaimCZpx5 EQUDJ0qds9tMRlI8bbOmmiKFkR6AVfFggqB8UDl0MXZ+8pAVo1paq5+pjxZYwaiXockbJHNz53lP O2Zxlb708dmgpHLCqVetqEMt4HL42VmdOOI1p5F/k8Z8kO3YN7EPf55Abqm7reQiKIdI7uHINSI8 Uf6rwSSzjwg8nIvyy4WingYRzeAkXlfJkgrO0w6H3QI9YmAK+MXeFQgLvg56uda7wheE12HdvnU+ 9lQ4AEg4AuaGwQHeGRHCeezoDj+93YfwjSUNo8s4eXIP2AFUhmSx4wqjm967zbDoulV7ILg7aSY8 JiqWz53RWnkSu/0Gt0NOwkH0V7R8rtvChVNHKj7MyzFbz7jphPun0Oo84pH41NvxGzBMHsnRRmpK UggjdsRenzm7u1kPMCAiX1+qZlhHPd4Jc3McNNxil8VOykAyFjXeEPzVaq9j3sAP171ZN25ez0dq BeUq9EKHErGiIykiiNUTTny3L+k2fUa5W3E0l9PBo4OD2e6l1+4JamPmZzwdcRtlht6Q7mvW83YB b6IUyhT5YzxfykinSUrKAT40snTXK4rQgC7uhoMcMjP1jB1n0LGsW4HQ5yTUj9BJmJ2D8y6SJWil 7qTs7Y216GLxq4GqdjsZQ5OMO0cUp1cskpAnV1UGzQXzyMLon76B/vYOo7eNgWNdj/42BCcA55lt S82d+/w3mMWau0b/+P+cH+J5LbZ+exQM4s4YKGBGHGxSQ1C8ooKxX4hk2AxQJSBODesfh82NZIMJ DHqhNbpYIklPY2Pcu4IIUcfWMQSbi0wukjPEl0iuCyuPuHw4P0uh2YxWOyKSCuyxkv7BkJhFtgZi e4nVeeWMHxASvlWpE4r3pJ1Xxk4AxAKT/28omzvRTOgVZuGZvGdsOaRswH2/6sHVq7ggw5izOIFb YHz+7pizGvpOuWdF5Q3gUfbmSjBPA7akFlenU72oGGKaaqVdaq4vPZNElWIqTEod4LjKlau+eX0m POP4CHjNSnOTt2xQupVGVbVrYNy8gKsl5B/UHNf3JvIytkMJsHG0z9i39ci9K8gfEJuWDTwpy3AC SUffCDVkIEu0MfWhTqINRFUU+4xAGtK2bEy3rodtl1F2LHX//uVAFxO5GkOT8a+ufknaC7G/X4S3 2+2c6uR4GCCB1IF+VP+lAR9Q1Sl/6LR13x6iDf9a9JPkxs1jwswIeiiNHnvvTx9tP1wVMQH3vQP2 +kNOFo9cJ5U1dNMw728AtSQexUYFHmZ4iyXz7GvxJID8+vIIYEWH2Tei0kVnumUkLgodVWFzFHEs V9pkj7U/p2JtQIJhjIjKBvwDTP/ysTHlf7WKlmRE4LdZUNegCnr9qr148dVxjF+oic1mU+vneYTK pZ9GGW1Hz57ZqbGuNV5BN0RIfQ+xcTjCd5skpj4aCeF7NFu4jYbxpc9c871ydwS/ufrQkWs/a9k/ 8vSCy4BiL6CYnyU0Q+jtB+xjehR5CjuYdSXKA1C6/g/6Xh/n2JgZpRk5VD9ZrIyj5aIOjk6SkLsw DVUf48vjz5kPrLI7jIW5n9yqsvt8aB/YtfOEZpGqTupOuOWJ6pXbjIHrSZtjZ89L+os4Znv+givH Ig23Xr/vvpZyP7Cyc1l3vqpp4a0F2GO5/G+8rUnkymy2hiEhMIYoCaIGEJouu3CzG19CJv6CHrL4 uZ2dZ/vJ2ZYBfLb94WD6M6c3F7aAe9rcxVwIjgFde4nNRzsDw+N3bjbr3h7/XaK4HDURAfLivYZ9 aTqKtdX5KmflQkPBZyliqqH8sFlaOAJKAAEaQut/Re3CMSUY3W7+IR19t+tsfx5Ri9nh9zD525YS l/7iIRAkU77PTxt2PYpWTaHdh8lhdlPitWBP4Psllrl/V0QH0fiG/YnfVSuNpIrWVgGDA2J2z/gv b8rA1iu2dtV03DzW/eigz6CCknKzffq1z0nKI98x+sEB8TSvRu8xgByWAQ5MIfBoii2/+n07eJYf GR7Fhx+VbEf1gxCSlf7I5Wj6zpZLBXswdrzhTbo81qWwzSVNGPgdB9w++t5AZdla7/jHVHr8Fiy3 Meb1CmwpEAzdFbopZ3GspzTqkhrCuji+9+iRGIh63EOFRB+zBf48OVAtC5t0F3ORt7v4di9lXXCs WpMI/5k2Vf0tmzEhT+6QCC0/owrT2mr8WSHfvSdPRkJb4we2tHDrdF4CKE/eoV9xMTmkw5bgOeW1 bcsFMaQv2dbkFLg2UHq0UmqwATDcJZ+1rh5AuE5ZSKMPHbb6zYvZ+0SZZfDmXN7suFJ0GClZN71v bodkEPdWEYnMwekjV3Jy574xNb4PaIGiEiDc07mqS+Bg/wkhox08gstBQbZf/Ukl/2H1pxHgp+4O znuXpnRy5ubg8KePPk3WxuYQzPG+0W6OGJYYO0MxPgGbhzRGxopg+9XdPSdG8bPgfA4y4MQE4Rvy 9tOcLD7KwRekV+ZTde0J8owaKRNV8/ThmT0YNT7hgL00k7jARGBdt8CxWGyNSkYY46Q92blNy187 27CBslxX+9BfQwEuszpH7j8bIVIy5TgsG6YewhWE7j9W0M6nIcnfltOhanKvpzlgiD/RGBEkxnlQ alacAavLALqgAmnb8t7tFsC/J+yyYwwYHr9u47pyxPpF/d+pFnDcmxK/0cbTtjWiQOns0tJagz2U FqM1v+sSTcL5AtCmu34/f280P5vhKnRx3++J3RcB8VnaMXX6kzHtV5LSx+FDLHdQOmpswoKvVLhK r/HpXAkAN/eT7Wu/QmnaU9nUelh44gx88ej9lN4T4UrVX04BR/1fScUyEtD11gBBoVnotHPt8p3T RzQ68bStPMJj2KB1IKHldWOgX0RgI1pRtwjJLmEBdsUuTnrOKiDIIO+8rJSUrCusmxi389psoI7V bLaNpDW5oeiLdXHrH5T0whp1ShplnmwAVE8T5p13VjULrK43EvPWVQo3J3q1kmz0NkbBCm6+Ozi4 5UjTmZTuR7PXfXXOU/OuXds43DzvN96DBCk4WVCigDZ4QR8FYrYo3ccbh7A5Nc+cPlqe/b1GrX5+ 4mRAtonbjw+TrObxcsUdchJPieGpXZGeIPclDiw2m/Bg8lPB72w7G7Wjif56Ariocl8d2wSPT7Xw dLhrsZcm3IFNlJ5n7KzaTeaNEZnATG1sYsCtwo+ZC5oeqekcOPzAm69tZ46yFBAwq/OV6mVoDTYe 9IuDDcNJKgkFLOSGtSLVKxOEf6XUcXZ1RXS6ps/xdLTrRcr8aXgamKv2hu2b6nPVutvWdF7z/rSw /gIerhn7IhvpOnVtkllTzcATlpzDecozJn43RMXgR+qKEoimrorVNy7a6U/PSWuNgsOuUgm95X77 INT9h+E9WUl+CaRP/tNck2h6HhV7eD2pzqUe5N08b/s6Pb8iMfLIOQnP0kG+3ZC/wbraC1jKagUR LGpy7dc83gwzbGZDCeArpAT+U1BVs0RAW+xMX/zkvGBYlykUX8jHk6ZxuO1ZzMew+zpYc76waIQO CxTCfY1ngw1vYlyIyJ+7nDwwQaHiy2cd02dLnoLD/2rF61QBJDxozyrN0aXTnib/oKKNm6QgsHNF 3ysPBjJf3S8qTc6Tpj8oC+kXr4DUjFEoSpJ8TuUxooFnV2sVngSr/ptdO3cJONy1Bg5JD/e00wDe WgtQCQ8fT95vWwDQsVlP7sVcrtRClWbW83BmEqhx/F8CVa3BYc0bfpHHYmnprffa0hw5nN7vRotJ funcg6ZyTGXF8X75fke7CaGrvEye7Gjmsz2sqWPQUeXCdQa8+fePAQ0z1I4cM7KRii3gLL26vSXt on1vP9T4T10c56TYZ7DsCyS1Y8r+GHYBfhMXlhHq/bKVM9WbcqQ3d75rTO9VwPxN93NHkV5uwjA7 ABrY3ul+c4oAG2z+PKSuBlVQJlzstJyRkaHqraB/4+uuR0Zdc4Z8BZHNFgNtryUPhvdyGYo1VyVW 0Y58e0ZjSO2AGib8TcTHpjCaKA7meKO33W4a2j2aGKWB0tZ/Leo8TiGbZNgTOV0bKwLTIz1OBY8V UvG1wzT5fa2RmkkZ2Vd19S9SjApc09CHmSebZ4YRaPTyJGXp6Wrnn8hQksvOTtJkZbvL4qm/RZIP lTnArRF1IZUejg9IFo7qW4lVnAjqgZjI/NSwWWjlKG/T7VrqlM3G0qBeYMTrf2u5aAVh/hGPWP6E ptqy7GPJfzLxOmswJD6FXLhhy3D3wQooT84+05IRj6qkKOHRk3+ksItHrAuWCCGGQcH8N0ZVyI2k r0szRGt3p6s4eqzhCpO/ZajoF43lAAqesaSvN4B8OEuPhssy/9pzW9Rc+VmlTDm4hd3Vwdy8g9gE NrlrfPc/7v6yOtLeFwiQDBN83YkKx29cZQvzDT5Azp63XptdT7aBcTYh3ReYvT9trel/wpoP4Djy 0CUyysllmZmspB61z3W4dWbrwgLWeKTKme9fZkzBOrev1jPGwJWQfGX7tgYLw+I4OZEnJkFHZMeL bebPuMeJcA49qV8GS77NTV302dKZlMXNPuBOeu6v31i/G7d/RyHyDSSCz1+GWK3Rh0zx4YGPg98w PaV0jLaJ5taNDqyem/jd1B5gYTsSnNnvXELWmErZ/MBGNCHHxUAe8RgrqokZ84j2nWZVlzf+eogP lQb11QqSsOk4DexGP6DIxry5i1Vw8OyQRIqWokhKK7v1QXGbvGhJOJBwyReGWd9Nol068JX3yQ+c 90sAcr+1eJCd4AQcMZTA/NHfD1J+i6whbuQmQdrPtJV0ESslJawPy3DV2T3gE2j2wGPxddnIx1BS 2emg1caGu5JDpoBp7l6iRnexvi7JiJjU9ESFkzaTEwN6i4QjdeQHopS8zbGFWWGTOQXdWvM/oa1l hgdreWlZmVOQMBLEOSZ/b6ce99HcOO85qw53EbsLknzojS3CeBolgkjIfTqv6LdG9LXSE9H40XA5 VMZoX9C1D9fIU2LjFc2NXFxMfAcrvnsxGZfUZ7g3OHLWhhNOFVkuW9J4oDrxXZAa6TxpOd09R/zz UYlJ8L+yzSSLUkCV4ssTIC7lOZA/xmyX3hFk3YkqQf6OMwqxR6nE+HXEldHFw8bAwWY8rwIEpxqo Xd5ud0VZYXrG6qwhJzF0YvcnrifUyVGcgaqPdapWaF0OGl/J5SNQjOEeN4iaOrm3QzMuGbqTj41/ ZLOgOpH0mXgPZjUrNSAmAz6/4ukgD1WJtdWEoWX/pS5cgt/AY8zfjrFYfxg4ZFGCXuu/TDYnXvzS hYxEWLN/4PvxZFaj8IUdVCBbX9FrWjHfx2j/PSzfdVUAIUmWlRpc0yPlXMD5wZVVYQ8SevIjIbbE Wr1vxoRwwCX/b/2cOxpNp3C6UPILfrOlhm+rfF9GbFqO0E+v+1JRSPrRve4/vDLgAdZL31MlwKR9 SN2jnygTuxYI+FuIfWba/vfxY+V0JlmKN77OaLENTsTORdeklFx47CT0i/2ztt1dlEe3aPkUjBqc MTDQTm1kvwQlU1NP1HvlJC6uHL7oVNBw71BF1X11Voi0OOizBbCTim1zoe4Brh4yjWJWzScv122k CyrFOv0pJCO4nCbW+qUOhEm3wLta9XWdRtgSJODHToci4pywO7fY2MmmJ2MhXugBqC3ciQBumO87 IoY2m1mwbcyflvOhzSFibzgvVy2g+lWHX1muSY6F74hLIkRWQVFJ8a5EHVko2h69ycBNVa891no0 LEzUr+4Koz+0/a2l+2vyypUiPpkLI1U/46GC/emCTNB8Wido2Y3jxuMQeqL2DIuQPb1FoCVG6ear bbL2zorey6GBAm1c8+3aTf6hq04mtgrN/ycQ6bvYeVQvdIvJFrrXJ6gflrIqLe7CgsTBdpEkFpTe tFVJt4rvv0KwkzL91Zm+s4xgzo2RkJHac/UKuoI/THyGjEZFhV4VIsYbDm0lPGGZpub4Ijne9crd uWCdW3cxR8WodBfWamWYwenUsgmoQ3KgTpniWQkzkUstgBalqpwRlW/L7Rt46l/A/sj4WUOjXwUi AY/NWDEJoeU3MoGHR5OABxN8wcjzznhwp5vzmHjcdanrCsFk0ZZ1nH13AIRjwtg1jVo1833XCzDA WA6gTF7yu3dqfymuWYclAd/q1cgtmOqq6o4oOPWT99nbUME4dvKZ5PZENIcybvjOZJ6c/nSjLNU+ Lr6hfwCzDbLDU/Dv8vPZJMlhgMccqeBqVdYxzZSi9UzW2cbiOmaAi9YnVt49CTgjIqjdgq8r23Eh xohffUtF5ILRAtXf+UUlVRz3k2OiMAd5kX3AAivkiEvOmTkljd55gITNrNxxIfVBRDBPy/GZCI9d kwoH8GcuinVvanbHa+uzjTfH9YIUhkcyBlCUNX7LIjODkyAXG4NCCLc3oRQQHOiFVj47q6AeEc45 pWxAJYsAllyAAvcWFyGA+kR846ui/n8mckQd54GZ/wl0gEmXOJICnCVCVbMOdKlaHRimOxjA4NJO cNm2zVdSP1UHcpg20BsP0w15Se3OYnWx1m2aiwDBZH7vEHgEU04jBuKQ1MwAxpylUc+vPj7u8S48 YEGoM70KVm85Y1OqvPQJwax+Qo9r87+7UWkKvB8yuoHLib1vPeVZRWQ7+Sg5RqkbltbQ0QEbjxP8 GAa9jsF2Hn2uVJLxHcQ17GvBPeIYYkmajlaSISQOwa2768L9hodNIX8Q1FtLRIEOpvk1H6819ZAS Glkax2Su6vJBOIcSZV/W/NAf551Z/TYlaZc7k4J8sk9H41yeZLOuJsw6ESzIDA6wmTMkrpTfWIvh tZUzch8EKBsRLVJffUArrT3e9s+8ZiUNOCO3dcdcWUeAOBWBwoqf032VQkwAIaDGbmjV39gYqQ/H RDWq5Sj3huq+s77CMYPii/JUXij2JIb8FlOiZVT/nZgj7nV62TPvZ6KhiQcMvDcUIu/McL6A21DZ 7FVNQjqxPAlLuTmMjWNQUnXrc4QRYAb8wmVGu5V27XXdj3pwPHijTUgxWpqYyFMnWl+5YQzd4Rds 199sftzyX6rl+/IdIJo8hAMqScWeYTfgMkjnMe9ckR+JxxYlyraQGwLbGzN/gM6RFyxkUHVACZlK w3x93JyonPeQBoxFDojppk/MJvMUstuqrVbouG7MtT5QSh+Cw6sY7zadR6v7aVGANAhmqEJ96Dgo rSRdk32ugT+SDte9gW3YOYqojS2mWgNSZtAjPxE7JPLpXaE4t/hS4G5mRnIwq8AW5FU+yN9TyVN5 Hwi4hDZ4RwWDjlRjR9+l/SvEdFjNBR1xcUYMGoP9XSj7/KaSF7QH+W+L+XZmOeTX7mIQXv3cprIp MOl5lT5qP/mTeqjLNfWbEKkscKDdB30TrSOYit1VJ8kGsGur0snB9pvMPz/sZcivl2U3j+OhruSV 364jqUqcZohgRSeSJYz2lN/KqGKbw5i6uYjaCR5DPPhwmEr1bUqV4fapB4QFWrl9WeUZepdFxwcj DlUTsdK7bNGVMiqkQ/+qUgr1Ncuf9V945o9epUSeFv5YZxpFfNoYuMkQV6+21HFkPQ5pJ+a6bMbG aEgIGH1zFMbsT+zuC4Wx1WrtmNHC0BEo6JMCFu50YCjhnLWGjX9YV0ZiIspCWiOU/dJnerh/AmPv 5tOM08Islis+kd57EPUC0U89dc/DWvJ/aMyqiztPgjz3y/9abZHTUoCFUf7c4Or1EfClkayUT/Lp YN1BVV8RbCMdy9aWL3iHNrP7ROlB/T+lc61g0CAxcZ6jcVcwbavepV5TW+n+5rkAoSQQA6gsRXrB w4vxxhqBfTer7cgGaskuUfgCbioQZE+wBuMgR6lwLg/NQcXSM1aVgCeOXngblFlFUhEAnEZh57sG 8wYDVhkTy7y+JW6/pG3LatjLNBLYiIfrLLTw5WZ5cSGtBYQSIC/88542f57XVRDImFapG1b1WmMT AwDEw53fQWHMIzuEKD2vjcC49iWWGYdsEeLJIspXIrqF/Unn2U5CUqR8eaiUO4Bgvk1zP/94MAtm VBhTxP0u7cDnShCducwcazBl+6OoSyvpH12yKMuSRIqjdiREcPoDXhXN5obTzL3C7S2TKuIvO4Z6 T/jkd3zeWgGS1lDrj44TAiNW5q9vscjjgUlr0oEiv2taXAx06l7CyyRDdNi7Wpk316rzt0aBo8Pj aHJP0FQ6m/ltgduCq3HoFa7jfz7uuN1UnxVTbm7+4FdinhlHB92/fDY4xFwSqYjnNYt6aGz90MnT vhnhaAX2O+e0lyw6SWLMUo2YYq2IZJ8nRWmtgroOSXXbR6Awor/N4s7sEf6u8IUsKlQqzt5C8Sfm ++pB6f1m4/FCJn7itGQjAB85/DWr1jYu3zt8DWgQMoSMLqaMFRKpLkTlesusvrlwZ3mEmDRe1IS3 wzHBUBWljuW9xO0r7Iheto/rCeEIvsj00KN/ef5ZSpcQprEnxAjeNtawgs9ZqfctSBY/S39yEykZ noFsJoE9lDK6qagqw9pfHLtC8Fb1kYnRh0hA9v/eBRkjgUibr56FtMiQnOS0S/xsCTmRoJefSzwD srQGqxeljFSb4mqF1oHLXW5hpNlB7D0oSqya5HALAH6xWroRYXcWvqW8YflCuFWlLv5AjyxpPwB9 DXhiX73cTQW+aQn0VTnf3N7Kr0Ventf/DJgpKo5JvkvSbIf46jDsxKiIgX/7WOKwvEh4uPZsAmki j8uHN+BJGvO9/Lx6G7CVEe8Q77HD9JvR6Nkw2joyRDQiAOST1fvar6jFp+6D5ihCX7wmSXqxL/3T u+CZbt17AUu+R1675FPvXSrOjXQAZ+Z5Gjl7b+q+1JOuBFGoxIU8Ianm6TLbRl01El3286AvuMf4 1F9PXEjghZfzLObF0FvQ60/tnpBwEAuulZxp8vU046mnpS807EcQqTa2orpkVs33Q/s8HD9ym8KC RYBQer6vh+iYiT3Z+kr8C0oNkFGWvpv/jh3nEyU4CFI7xPKE1i0oahsJXsqpeci0S95wnDCQwXHe pyXlxToRvgRu+UX2eaW7HRCVtzYgj/fgHJwgO8Sc4uYeZNlBFTgboSqC92wr8QCzTMJ42werTmKx CGLdG+522fBjIOWyPBIFp2wtyWxCGyEKPh/iRpkx24j0O+bmWPEvOtJm7v4YvNT+ehauSIwAGZ1L R/c9CFSivivL+AlyQZ9SeTYT3WA7CCTT1pZRvlevcqmcejmSqrLNscljh7uUg+oaZam7KA5Gpoue w+zXoLkX5/g+l7C8y2iTL2F59xasXjItTBuCFCIHEiJSTZar1np6wBb273c2tpQgUybdxiz/uaxZ yezcq4kTXT/kLFVS/CdgkUqb5/oMgnfbNn+Kg5g4owMABJJY0M8kYArC47LjtvlHRCxoWYH7tU53 JzgAE2H0IpC4D6/lhIcMyNic4mf3wnkKj+OyY5hJ2pen6TFxv5n3ULNKGO5aU21MX6n1+LYIGEKc HVTUiLF+lCXHvNAoctMwhmpyFZ1gS08zqOVk2kJ/R5Nwuhq8p+K3aFKfKMJVL/c9CyIn5pOU7ltp fIydF6ihUMqag7BG0ixSNyN3EkqYwzemEVFqE/xuwcBpMcJWtLQJ7fpF0RazjojhX3t4oWPYQCqy obT9F2I2Uw/0DyLJsNZXgiOx46w1zLxhj5LKHFkvHi2Qx+5E0lnHP2fPUbYz7b4o67YIQMgoR9nE jmCA77ly+TqILUcXfaCzYmVYtd8kP0cs9GT/xSMCL1tAelTqlp+vcXhML95SDWjoQPSkRhN7kUPq NcuHg5kgg1tJl+AG7a0ZwNeODISXdH6+cGqlAkEC2THTIibF4GWjm4jrRm659O+mF8nkIDhUDv7L DXN7/UDISG9efIcJmfrS4fJceTopKUdpUCMa7bMtaVQSYPJh9t+qxMKygCneF0dKUyL8BuRPL7MF M87EHHJ2y3noZfsuKKUc0VJXJThx9mX/gFEZfkvefSwAKVR5DIFmrdajng1hLxC3KyOVw4fle6Ue GxNtJy1huQHVAAMI3SMwrtWPrwg+iiwjpp7oivoeY5hYjpTyGMq10uMaeloKZsiRYlwljZCwBhgs hbyYOFr97C7hMhYvEMXXocAByrKMSQrciWV649zW0DPoG/pN0MZw3pOQjT+nwwHEecxwLk4J/r8v TSyFLQr+OxDnYM+ESKcemJrzeH8UqmAzdYDxOmOXAULGU8QXTt82iPWSlODcvy7aI79KfPgnTOMT fAYS3Omr3bHB0N68Ycs/L+nT2eg2nzsiWwDRlN8Jk/gQIB6uiBIo15IQn+4/n/8meilQ8t+VbBY4 sbO68c8KDyxiGNH3IgfF+UBKmsLp+p9HVABZ2gHYQHpKXzkDP+FYZO/SvpfjGHafDi4trKMGgenz YxcaVi8NxUAioyYiDW0Swfhyb3r0beVOpKp3SHzb3RcRHPILyZdX1/ZdeChr6/bQ7w1oK/G8jD7v XQNHSuldxeLc1xQY6nhBYE6bVlWd+uvbgimzpeoaIa2rZTQ0Gw3gn3+Q0vbu1omBOGwOjSUSV2ot HZwEUQmD2pcbdyKMxy+/PBLWYn+wAQZBrEu9NwtcGzLtHwjPRbsaVtkB7AbrvLuYy15K2IWbNV0m /4+0xlPfS6/s8C3glXoyHUgwHWVcYrGP9GI8wzh7TFgAbwcf310gH10IPVp7rjuF2/xoRbmxxqqy f6qRQuy1M6uH+CS4siIxKZQdY4122gqGZ8JYALpUr4lwT7gfB+2SMSUh+KHYaPT02eSrl0iNZNlw +udhb1jO+W73gs6G5GXukj1TibugITpJ68uPsBgxdqelszczYVa9dvz2OAD1BUlOaYKbwSQkBD6h lSFtyyd4iHGgCe7+t8zB20mQnQ8HiXCOiQyzhjLAAyUu4zzPJxQ69tPS5d0qtuUBw+MsAYvvXn0o WF3zZRHE5J36jkMyt7ydhen5JFnaEd0XroD5bHrrkRDNpauX4tRijF5m0gSZz67YvCeXFVx+1Me8 RzjPZq212KhGBkGD3gJo1FoKQRlh2osQgoUkCPFL1BjBbf8XJHJHBA1RG4NRcuoEjdwZd7KTPf2v ybnuXpRQTM09wdIRkPBbkWGd3FM7FBEdXJVDqhPoHb7xMET6F38RNLRZgJIT/N2iG5+GRzW8JuSx 9d4+VMhbbzA0uQcXEMpOvZzyRWtvih6jsTLsLrfItcpeZaTu1bgMowVk8DxuFbyx5N0fOgUA6eXa au3UwpIjKwMTpq74GxJ0ThAVF6u7iqP+cRwpwiPOrlSwVl1xXXmhHH0308tKA79dEyqrFSPZSDR4 WRNuaCfhmax0iqnsUlGDYZsKYL3Jp0lTFupsQWeGxAIe2sEmDnOdMY4626ebu6v3wM4IAuW/Th09 o9HvhzWtx4Umll+9ad/SVw0IWOwZWm/InLjepK8ZWRW5Hf1ZkfSkjhIHTbs2E7/JTvR4aZ5/HX5Y JGiqTN++AYzXPRrMB7qNhPjbn2qMnzl0tYMw7ovmllawdEewigjWjslUAHn/GAdm7uNqfnW754Wf RWFUzxg0JMuL+hc6wHRutC0WlM4vd5fA7YrjTWKv/vYsBIUrnDk+eEBguCvh73j6O3invaW06Cuj erwazI8Kg8szUGR3hCR7OUB5/FdctxkHrBSgCPRvaCJcjaar7GzFSpKMv+yj+SAz/wgIJ6/ZgO+Q wCKuazO1nXWxP5fBe/Qptxb6/R9HJypADVb7HTn5Xou9hhk9goFtxqAxQg8sAxDQ0BTRoSYYIKKE z6LdVlr5tqxMV7tRit9FnaaOOlttAbaHnOXr2sRdq2SJdSPUyFzYiuFNIBQwq7d6WirihvzXazcw 15Z9rrQgdSZ4WRk+ChwffHJThUPgJ6/4znS4w35K0bkG8aiPmsOXiQyJuar59oXcLQ4fPqMVIbKG PbFPrVLzRZesdufSDgc32YZJlbxTfaG8QdDsDlOYXRXAYpnXeF6DGg+6ZGIUlxmWxt0OElp4clyU Fb3cwSZTbF13jxPi0cNqnI6tRSDIc0OSOHxBDAlXExBrulIucuPW0ehYU5ow6aXVQ7D+fbbNjHgt IBXw4JWOoGjExDJwGXkpUMUrk6f0aTA4YvnlgsobpQFQx80OIw1KlOW31YQRjy8OubzL6q49/Kuu GFnbr732uVNsqJr9zltmjd3BPE19n4NB4qYWDfHltIVIAlXKqpu5Ar92SVVzjES+QfmY0rVyNNjf GDPqILNxMpd13i+0WAmyJ8JGGGdjzKZyMeLEPcnpTGTEaC3UfbZW+HmrD5qUuwQT5A7omzWMgErV UxcVSn3S6+prUqpmalKmP9NILWQGgSJydtVWgnEyF6IO7E7iFzpCNYBbNKr/ur/XHN2lkfenxo3s h5H9aqBr4/wQzAqqDBTaonCNjsimmYYH8G8AbdMA+vovImEkXCmDsSZ7RMSoSzdvB1iuW10Sn1OJ YCZ/YnzHP5tOyl96Y3mtttACY7w6640ngHVRvhqVI7C30QaIPC2jhIz+G+Hd5b9SUzCctdkJ3W58 n3AphemqU8d6YClTMzWvpJC96BSjVA3o0DBwG7elZz0RHhKgIfk/4aIS7z6sCZ8SC0Eq5V6fCVaN 4NLSw1Z1A5XN8GjAyLZQEYbVsilb/Dxa/UpmfA1ai7A1re7j1nWJo1WrNQ08VXVezkL0WqxTF+cZ e4j5btO90oXSbKcednpKjDqRHEMG0apDzoToHL2D55ztWhYjSiq2UPFYU0Q/ECqQx0zp48Q5MmaY 3UR06EKg6u6oAoqt2gxvWSTE1GcbpYDxzNfnlEQV/08C9L9A/vkZ3kMK61DCDFN0XU9HCRa16TsA h+nSMLl26Gdw3ywkD4ONvkyt4Zu3cewhmZ9B2dLOgshtARDbCELTXT5TT1yPm+kL1wzpCtxF8owe C4wp/5KkXN24Cc9QR7svRiiEv9/LCxT2HZqgWYtdfS7MyVZ7hsKqZ1uQBC6dq7Z+al1SWfd6Pn3d 2CdSckeCu56/wHATKvPp+2ZJgZjO7nSMYKfMMFaRuvOAt0g0Yz6N+8nxuDQq/Sm58+IQG27SqQVc 3rcqrO59rlvFcs26KNJQmgHgZrejHFBm1CE0QWKDBvW7BC9WmCTL23bPxbPBRJNMWYmJkpxw4X8Z /Qlgf6GkYrJLW6yGo8zA3jmxCsTtqzvifWNsctYEsI+HQqMu/2OEHKeV8of4O8Vf2PzB+oP3Dc3k gAkZpPPFtqeh+wc+IF2RXbYTWlT5GADF+LmS2ktYaIFVkoTtl8uHjCqMuSNBOTIVNfnhGPTpbFlq atsI4KZu8bVApgdqZxz60W5U3yx916brvXc2NQqMLUhY4lCT9LNkWrDygUlaI+IqOheLuyISjYnM EDB+UW03T3PpeX+qQgWi8y1VOkDsdOVszT1Nmp+zj7BKdEbPZnqdpa/ULjnZOgUwaeQUWxr9D8h2 RYb3HxK/rFfNN11kwD1/ScV6AxwQDrb50smjozGuCDXG9qfLzra/8+OKD+tvKKH8FHMZuGgzOgis wdSYGhrmtc7nHHXwmUsoBbkQZKZ+vruouYFFM2Z+WG+Fo6/m+u0oJljtltO0+AfYdxnXgCVza6Ct NmtlNsq7FpCMhbAgtFiS5jgyxcuim8Eu/y4p/RDLWGn9NNPZAbzgELwDFsdWhVM6J3JuoYpYuIp0 LivUr0hbiTy2DhB9LbU2R7pmUpkIhldkoKwKZmvdYrzAbai1jvQIo9R4wFGqIEDtlt2+FZwALuRi HGIWRPWxUYgxznyrsxu4l0YsZzBeSkoucdOi3EtSW0dpVH7b/axIpWQFZqJN/mHMV49GW0DE3A2z /RghpbtZJ4y/REK+ePjxZnXMxmO7fITfsxSlGijPvtMlsd14R6WJjq7u5HI7KKXZRy+7UIne/dVQ 9lbjWLYKZLt6ltaZuRAFC12PkHnV+mCK6HNpdK5CLJI66ZZY9vDOUdxkwL4BCTvo94gD6h/hJ4sh ndZN0VwuRQWRaIuaZTICnSJaXP4Ranv2xXimbOUjxAgoSGKClfkdlcvbSg8skAKxyvoqK+qxZPtU 48IByzMA2ySPC0tHPReoXFK237/YcR4unfsSBl3EVjMRbh+3BZuGNgmlaB1OvhpD7GtM2/smhVeU W2Q9dgPqhqUlC6JZzrWtJxQE49R/BeSC1Ol2MlfBtp/8Y6Yk+e5aeCNi3cl4jTqitNplM+7KXueS oo42+q72EZDxmiTiQxRVFOC3sY4aNsBp09j2Qk40Q4P36cfnJ32xRRF4uB2S64XrVgjvvNIBcRP9 KiFeiRUceXq7KaF5AYEIWNK6V7tDD5EbeYBVCCJYH8nG4IPfhAg85OZOlYI/gZH10FteAJnN8wef o0uuul6cjM0xRz0luMK3K4kX+Kphxjxk1pGiaWUePqVfET03IexRIWn6UVEOsxDUNoiOvK1Ex05J /I9cJ8t0vr0UMwsfg5IZzZmoQ+nlkmDmtDah1j5x3hwMQ7Eqp3Hu1gwb2Ff3mHrSuiI+CzXrnA+m sRC2WEHnGh3+z08vrTREVuhqdQSDWRwbGw0AVLCYDkL5 `protect end_protected
gpl-2.0
cc4e8275bcde8d4bf53320a829995aac
0.939473
1.839677
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_xfft_so_sync.vhd
2
10,801
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Pqf8KmjiZjA3/UTy+NyHpdMBjML6/KIdvXNIJMBDDKBpBC7xH+8YSi7Y3gwraeGZZ77o4MEadCqM UrqtKBBfhg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RsvgpIqrQGiEQZz25zMPZjjrGoMvB8yA8A8EvX5oXKRgG54wYDtGFw8nFmJxxsky6CAHSpC1ub8m Qu7UYthFqAAVQZUsew9JTo3/WakdgLlzduzicc1aHCcpoUXto2ViQooopQHCd8n5zyEzP3P5fl0c L5G0YvEsUBQ4+m9wDK0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZTWalPraERU87LmLzhZUrgKZ1YsYaIG4pU0wUaEozR/UVStu9gN3jW5Wby6yUDIKo/DwA4QGh3P4 y0IRupqQZ9w95EsulWS8pRPPW/k511Aiu0IZnmFvypVxOuj1ZxTJTtmJm8qr+oBah2UlCktHWLkV CbbZXJmyilfHQAnfdfE3u/G/Ou5z5EqjuYzE1PJMdbQegRz5ZBNjyFbFkmWxk3EiBBu14GwTR8k/ 5N2c/tlZohGWcHUsJNd8kL+7c+jf4BwCJWQkxdzQZilzbFgQyqeqfWPUUie8FybIvSfhs18+LVIT d6OfmBs5OdVzI093BznTT9RBkIjR1gjl8RpQng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4CphWvXaoruS6d/x4VaoNkdD8qCEAPMqVfVFtETheUdfg1834a6KAdlQZG9MJHPTTrX3Munzq/du a4ueD8NbOz0PlOibJ2UUebRbKhr6EJxUSZNxsmh9QljzyyK370ScI9GrRirJQ7rjDEsJNdeJ4aBh U4s3Y1jtqaXjDx6WzvY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VbOIFZUVKhMut4H9ta9M5SD0KXwqGNia6sZnZH15ysmE4pA0JI9lbHOpiTS9z5rsZdCz8X0lSMx6 vatBer/iY6YOZcyJzqyGh59tCJJhaLftR4ohdqo0zDa6SLtk2Kw51/CXXxjTL05fIckUugciQ1Eg w2CchLBjofMTDmqyNgdMuGfsYcZx27KavXdSg1rlrTXN7G0NNmIWZWRx9JaDvKnclrsram8N7yO1 y9JD3/qNnb5FB+MYnnpXQSz97fozk7v7t7u7xwVG0p5D9a+SoRJK4kRxqxcUFc6xfDrU49GJ8S7q cUVjOCaORxDEGsYDcGdPDI60IwkZmpzmWVw04Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6256) `protect data_block XSEm3N+vbM518iSIHsOCebwS6wn4EAFzS3UIMFwhjrQdY081A9iY3xpk+kNgaNL7XvTMMDV5HvKS gp0fcjhpkEJ6Y0F4q5q6jfZWYDg59TfMK2/rKHMdjhu+1azn52abmiPY0eB8ONHiIevd5H+OQiXa ik7QxS1uOVYiT5PkiXkm05w2Sf5Il/2LwXtc+sstqYKE0YijR7H+e9qRs3+UR7h6tM90QR88bbq+ bXODV5eRNHfyRWOKWjYyoUODjGr5Z3otEZ5fPvWoHEcwmADjVzu9ZiWZq9Iliiwsnig1yuFcZEwe 2qIdXplvnFQ8s+Dy46sFAXOOUTCsoxPSIkr8iqRIITJeHCo/t9js3QBRKz4QIBQ3rKecDQp+H0UY qY0S08ysAyofjlCzF2W4q7R9oBNOGyqMXFaPzP/3un2l3r8Lagmp9AP8ybaUVWG+Mq+ntFOq0hU0 fcEe7pc2epF0Q4J+yK0a9+UgnDmmtc/XOgfjeSlVmOKb8YrOYBioQM/v7lA8J7HGDuG5P2F2GYb6 HZrO9vmwgfx7yyj+1iAYQXwnokJaEJz3YC5AxXedZNfmP3eyQrj5P8/DO52aOuZAHXINEBA9g2CX ZQBkZfIPOyyhRA89gAfS3LZJOSx113Vesdd6TS7XMaTEo/VsKT9cggIVMsl7D8D4waE7ZA9sP0cg gE9k2LQaTPVgarsaSLO01xZ44d8hPPCMmQfjuC4B2qNjl1AfTxH0vssLYnp8EoGS3kysC0LJuboo jQZyUvHK3HSYpbOgfKfUq+hCmDFyolbyUjK0EHdACR9EPSnSYjdbkZiVu/nmcDO5bCLqS0FG3Qh0 +oBqANlYYpwcfNZxA3YAtRa6k75bTMmitF0HxFNKkf1Ai4+80ZlZYWsMe801SP0EXq9Y9L4HV1mR 5JilM2dZRwBgcGiDm+Ztb4Yb8gPgslcAt8YsiKVbUcXTS8fCKl70m7kvJ2RXpkmVBGZrCSpZis9U 6gSBf2v6ooQH2b5RPA0HwrS0UYbzQ8pHP0S+noIhxX9Jq7yTb/bKbTXft9O6ko4nwmYaEcQX34Sp v+zRswgccmq+bDFVIfT0bsB/Xgq5Yaqdf6ITmlAvnWbmzRnP1ZRUIxiup0KDhltY4YpiD9XVIF4x 2dLcwgJ8GBqnpW7YacbrA3baWKIEehm5VIjj0CNI5+H5FhIGfaaWUEqsQpd4+eQ5S8d33PsO2ecv XP9duByJR/7GlcNT2MWQ8ki2V5YE1F1Zt8CgV7CkLqDdI+Q3f+o+KXEl7NHQKyv+e9DuK6CEzevU t7Z0y2sKibxYIa8JjCvaRmVu8MxxmXMqVu/YG9Dfk5XnC4/NiWAapu3AJD/3L4um7Tc9B4cFd2gi pICEoz1OXyDzxFsyZTZOlvU7fVSlpqLmPIL1OaDz7j7h/troweKSj238tRdwWnn4PNVPACR2xOxu PD4tAqer6nnlbtUkDJNgf/lm5hleUvGXTVsdG1qAmkfuS+hNAqYqUU/D1tCjlKQM1X4g1koZS/mD ziJ9vKZwRaaPpmsxfLlUSIwm1QKFcLW8LcWG63QYEOqLazRDQ+zmjUdZy3pqSBCVzqzOTD+FJ1o5 Z+reAg11axoWA3UWkL6sNW2JtC9r8pn5QII9vQGUTw3MADE7ZWk5zN9fXGOvlIqF5bU3/f1+qZme 6Y2fthofn+OYwKDgQ1Y+ouBeAHVwEZXz5LbSLaDPFoPgoBVKtkdXVToiedsjloaBkbDF+G8SI1ZX MPXXAbH30CLLCCk9nqtrPeZZrX+XhD3KUQKcGySyd+ABQUZSJfheIAR8hvkyGtWeMyAoUJKok4Eo DQWHJ90Q5F13mM4ZpPfu/gU8h4mTCsmyFJwaIuIBgI/dvVspVFXlQWq2MUQvIb9PTzSDG8AAhxy+ nIL7jjcQied0ht3rohMWtXITBodkaXKZ+HC3TUrxwhbbaykTNX4+dd+4p4slhJ72OctPypKEkue9 PZ6CFVCOFM7uOGVha51ckvVmkk6SxxCMsqdezGBgYAJawX4ts5M+AP9KC3GR5ZVLXJg0jWSZjdqs c0Bz8gEgruiWTtBxMiebWAE2Gd1x4o9sAVMnhN9JXjYIsJu7mA7wBeKlWmAbHb0WjPBPSGCcHMIx y9115DhymxQSqTMgHe1PYHY+IuOLlSEqRTQWJIh0VooHh9SepQ4LyD6npBAqXuDzulqLAq0gvvBs VzwTju12o7zVsrTVdTyk+9AIQi/I23DzwHmP79xXDnfMNDSyb6LAIwr4vfFUMKMBw8SmTYx5lCGC +E0PNOxgoCr7YgPwZ5KvqIi4AEaTMtBIILdPkFWe5MrRyse9ZmYX75Eho7MCkZpejbui+eJT+b9G eHsJVzyVATfHXDEvOqSyGyfks9T2mgjzstpbkh7AYIIEH/j99JvS2n67/5zldHLDReJPSCo7KC6G GxL+Gj5FRwytrcZnUDtzZdxYNR6QxwaPG4b1HVQ9ZOrBLpfSvSCVeF9W9G/V/wYwv9TGHbD/oK0a g05gHl32jACXUah/utr3MAlO1iCTQ7CazSvQwAIs+m0/6Hk5s9jn7+Rc3f6nJrWCrUYMvr9RpKiO MkEkblPivzAznpbAyWtlw4VcEipyYibcy8vZnrv86wGbNxbGMzVBAheCdeGgnYxr7FuQedIZhxBp 40DMKoOC/bgN0kAZfSH1YwfJ7z+iCLkpWuYGfB9xejCcepvbWfT7QAUXm1YOeFfvTVPx3yVia4bE 7Z0vH1RBxMYqtm+U4dd4Xxj6jEoSnbs5XlNL8uMRuOY1kJzBKmSO+864nrg87gHPYkCH+WrPrdTG 6f6Hym2nF3hg+CCC3i+zCo+milgaauYIguqKtBO1vEmv1LhE0UwPPxh6NYza4DiqVmZS8Tpc66wO 6aRLfs1X8VYl2lOgm5ctsl7GWCnIZtmlWKlcxRxdXxvGszGAAH9MlVhen22bBHcyOMx24Tsm2P6t KPg5YobPws8aVh2OSEdhBL/QsgIBTa0lPd8WfGdJ9AIgil7xip+wCEJDM82E9PRnLm5sJwPI8Dhf 6q7eS092p1WUZBlfVQRX9M6I7giz2CV57tB1am7vE78u9yaND+3tN65Odpv7kUFVQjXF4i1VaTC1 0Nxhyg9/JmGNwMxqFUQs7v6uG0HsMsRtHl0zgFso/5JLgqD2pXrRr0SRfpnHa1B07ezv4bUaOfsD 9pbfWfSh9jComVkmbYERwiAOFX8L2CG9MAJYFG78qO1v6U9+GdsgvkvwahNfZx4fRyKyKojjCKay GMCmiqFVZa9qlikJco933jlZH2aRkb67aM2L7WWexTdtXwaVRBZ/DmDwtomsM5cIp6Ww17yjLUyW +E2N8/0t9oKLdmpbjTMI5Qg5bWxxPnWECdz5u3032/sSpFoROPVRiyrGo2lfyBIVdAOasDFtkNFA ow/WiJKAK/Up6920VYIKgk2An2MZBKXuE5a7un9RkIC35nQZ82Xt61+SCmoa8PyhdlY7p80H2mkD dOMraDIex2fRDFhbjRu/cHjDH4pWhmUEbZzXnd4FzxQMn7Mi3x4Rorwzx6Gt2Cy4T+IxX//CmHDf BiKcEvqNUudfDm4B/7Pp5Gd2Vf4GJ2KpvdIYR+AQxmqYRKI/aEf1m4XK7J6gpKUWTF0+DlsDePsE D5TUlIv1sXSvBZWO6DBgBkV6D3oOcXSOm4Z3F+9+1vRoztKdgt1PBS+XE2svBuopTsEzQhlErcFV 6xkSJ3ryBHCOIx2zwnGD+jxnqVdoW/7y7QFXiYSa6iPfrDgLP4L3G7pW+khKeeVkYauR58eAu5Wr uAMud8o+vYPUNAVueacsy7kGyvP3rXQGjO7W1cEMoH6QP4XRRgrJ2OFkmO11jd8tRECz2Xoi3xuV d/lTFfqHSldiXRfsZmTwETCnELYXBD10HdLoCLI+FpWRlwzNcIIao4IRv/YwjIllp7NCEq4OfejZ w7pxJWM64rS2LD2STfMbV3FQftBw26xz7DhRBbxjviP9hvBhoZMb9oc77pW/59jtr1nOEExp1fal KjRFOW2822ICKcZXvdrR8T9gTOQQeNBqqGu9r6VsssZ0Vq7oPARZc9SbihXB9mfozXVov+EZn+f8 w8ZBpPkEPi3qBNA17l67vTDV9kopLX2bmcRw9bLgvyhR+lWMxFFklMQ9satpkPAVNp6JYakDmYh4 kZ5T0Fqwdjtb1j64KzCuUMypzTsXbBo/WFQOkVmitAyP+/CW1FanneUfb70j6dODM0RyFBwnlOBD fTOg8qnXcDpRoSjoBtb8NS5VXPesIBWI5smK5TCVVqrsLWMaPfiaSrz2VLrDvfF7/+cKrfhbL3Xe CbJTMI2g44CEr3ira+yAcuVW11L4rumdWzR025ccCO5gUiFKcQdRafgisJjH9Rmdb3PlsXVVyJet Afp3rKgv77WaZ+r21Lxyio3P+oFNz7L/oS7VWoe2EhqgrGkiODR6axLJiasCj+vVeQtA8dPpNPNH jaOyIlozR7lWlbMoDpUIki8mIxHveKhiPkWLDoTFsykaCYRu5pLd2bxJIVFwORfX96nH4lUQgR3e UmtpjkxV/fsNOyPInkcbjzJYdDkOvaOBUtxx0qu/z+fdWDfY/FwOe55V54BFm1YK4CWgYAezibr+ JW8FlB2CbgYJlrE9GEYwXfSqjPbd14uC23UPQ2QFtqJVyTnQ0J3SPuD4hUe+lsX6zzFzvKKowgRn ntsKsqtjS5OJf8bAN6felMnyvn6V8bUX1JIHS2jimCaogEBfD1pCb3SLLMP5hLpgGClF4Q/fZAK3 FbAm3YlCtqjuiN0NKNQthMYMu2bpESgswQBOec0Ji6fLo8XD1604E9uaeb+gVwmWjpEy6MaQfzh7 w4Es90DuvbgaxF8PmQmx2jy2vtSnXfS+NDJnxYul5A3a6b4K617yKkRuTn3Krp3lsK6PlXbunxTm 6CCozVanh5CL/QycL7a0QdsdXyT9q1vjA0P0QL8kIGnt629W8zvHM6g238jL0Yc+f8QFar85nhap Ki0g/V6n3SV2vf82XyeVcg7wQ6Z9rk5/EMGp61fFSaCcRoalP/k6aXB5IXIgtMmo9ulnl99Iia6Z 7DsDMVEoRek5Xivlevral1c6UoieyJQwwVYxzmuWxXuzEEfp0lfxT2lf5wfR4pBVy2/wuDcqdLsh Yv/KGHumSirFBJW0UCh6w19bfK2Qj1q2hSOfF2mXe9PJomHQzoB1wRlONyiC/eRwasBP1t71ZcHp 621Due/51iknTJd65EuYPk4saVkAIwZ469svRuuT7b/0a02gDdAZDC3CvT0/7ITr8q7/QbJhNnyp zXx3USLOvVwtL92LYv3SKkd7lBWLoLWPjtJkBeS9rjhHN9PjGpWCFv+qeJR8QMRMWtM0qPQYs+0x /V1lB1fFKsuAk8Xel7qCshUR66ul/sc70J9/mVdVwK3vL85zeqcORE6bQ2TxxRu5/oRSAFKCt56J R42QgJ4/hUKja22/7FFO0jQv9E1PbfCsOYljrneOYf3HBUD9MDBDX+WLZamDvbQjike8bgLCNhfp 9kntA4wKBia7ePtQ1gceggETbQlqR4zq99Ks3xWJbF1CS+SxEb4wYcPwXS+Wl8JEFCa7u7gv3nZE RqicGgmkkJ2g9sOVzXhH/CDRwY8k03uzDOaMF+MhB4wTimcvBUWooob99Zh9i1vN2GwpvRdDRIWU o8kk67KWec4rHbJMDdyFzIf+Mf0aMQqLveJZGVHgEfc8tpGVtkeQuGDvGrWvBCx/EgBHm4Q5wp3K jydXy/Tr9xTq88/Ng8HxvnjONd2aRrgPlgu29oZn+igN+y53E67LqzXLs6XbiHWgn0mucKewL+ej qfghM1g3tRuG/IEzVdhUhJ6lyIrfRmutfdI0VfXZ9uOY+1EVyMt7DzAPXgGzLWihvLgCaAjiJh01 15uZ/SC3r5NQGQSQy72+nmY2q33uDpUeR7MidGLJYhGIsffWcbKeH+VI0jaynxzxBP3BdskV+LzC +GSgGvAu1VlvJVExbB/kBrCR32AZO99ElC2WhwuTvMjrJRgh+6OX4wjoQJIDlw2nIGGcqDbHRmDE uI9CB7Radg1QX6uDWLxRboxtfdHVAIWdPRqcAQTuLUxUQrwWfQEblqdJrH4CV+fZQt3cO0ayX4RS HxlYbwkusXh8Kk4hYtUDRkWS5Q4Ck5inEB98Mo/X4j1FENogOT7stAJaHyH9RTnE1rKXHgA8cuhI jMKUsEVMaCkJ7g+SwrVehCKY3cnu3TOOUATGXDI49EyFtEyMu/RAzyggIB71o2IrLNuavsbjbM1f sDO5ibYKxIl1j0vSXQYClY4o1sCq2R7mR2VYiwi6OGzGBuTWHzAZtRaEM8Bd9Nil6ZQ6Jq6Vo1Dp LYTi4opG4ci6sQhyeoETN8wrJsZ9IZ8WzJ5iZv8ljE5XsY917JqkLhRsrQK/gHLptTe8rBc/jffT sfybkYJVY8Wd95gY6Q9I3euKWWBF7MryjflQss/58wDyq5/BxBp7Z9pZmNdXu4zKPNpnFScTzl5Y zbRfNzhPjh4Sl1LoOmChtuKBqKkQJPGScDWRQp6lCgUSw3oq5EnuehzRsNyelGB5OM67zynBrCNH TEtektucMe1yxLB2gSIYTLSVy8HNqNY0xdFvhu5/C4d7fht3SurzaHeujfokNX3teVquR1e1r4Ep yUN8f11eaefwT9A5xx++Mix4/QyNNf/U92c1KMibb2A7y2b34TMLMt6B/wiPqM4VilPGywUsd8ud LiRVt0aYyAgkKO59a5fiUUkMBB2WapGRpDkvn9QjywdzSwopVPIuhUOB03RtspBrZZTUx00CNM6+ y5gyK8IJHNX26goWPPuKHConwko50bwTNqwg+2AHuE63ivz9DKxXahmwQAkldhsOHa9nE78uLXWu ndEyjgKsBhJuhAxpVHds0sEBZ48mefExDQcHSy/juoyxsLSUykgQFLUIhLyhc2/HmToIHPEz3owN RSm+6OEeWDmdE4zYulr9jRF4dw60LxnN5BBsVC2+9HialjvsKMDTSe6NP+vpG05GZREGgnXJvE+1 R8bp373YZBPYoxM7Br0JJ6q/B9XZ2UDPoMKpQ2EoIHwwF/dwzC7WZCAElf/ELNW6BgW+g9CS/9Ln qhk0bd51uXFZkv+wnp0CjiUR58fimMBzp7Je/CzfbTvLZrzsHSbF/HBrPKM9bSh4lkX1IC6iNR3v vTCo7gPOSd24yuol2aPtOO9FiFWeSHTjZGXfvfPImTjI+TjPxsdQ6L/J9R7hs+2M75xBhAs/t2lx WVJhvbrzwsNFiiRzL/qU3liC6p3k8+JQ7FsNaz4A0q7qopitvSYIo0vH3OcVVh1wvmeiC2P6JmBT IBukj2lKMxcSPKRcT1SRkQYVY0m/d9LVnuHS3WRa9k1qciJMOpKiechX/HWDMT+ZUnZ9xGM/qEQr MMN+ju9wy0RgG32JFzjVMhrpoNXheErUoKz+rRIpioXNBfLIa2MTS4batMB9II7DQ4eMP6nIjR5E V8ucTtVejWeZNYquWa3BR2C5CQNavhSzm3YlMvo+rF1I/TTMubf1/3Y41qxC5MqGEwOjZ2Is19Bb BT12+laybaDpQFUgF2ecTZnrGT0Sq+2szWy5/abctDSbyIPrbCbF2AdS/IP8ZUI7At1shahmKxeR 6nhJSPBrYYguChNwNIMGD2+cf5NCNbBcNKyZFqFrYNtejasJV7YJiPWzEMY+9ZrvTjT23XcNft1C YFsJz1XVy4wR6T8PCxteNSG2ACSx2o5vAvQ7t655RHT7TPt/VH2gRlOWV+j931SHRzWqehvFoP6h 51rN6QYFTGf3yDY9QGDTsmVFosUldt/rU9RIsCVBWduC6fo4zpXM7vPBaWr5eONwpbXOOqzwK1Vm 8s9UlM4JFuxaJvc4KJQSRUOg1xD3qUr6qs/C65Ap6zumuvy+T6Hor8ADw6hRb+pl5FTWjHbZxpHk 5wysJwQdvpKT7pi/F2wlkXGCt3AsHcikva4oVPmAPsB0uL0WNzqTGK1ThCRDU4JV+xwAf/UGu8RS NSEsPnr4RfSGgvoBEbmkoCkrPE+uSNAbLFbt+OjWkDKMI2QpkqWLbiqTgfVnXpTuR3P8d253ozj1 gtYTJ+lYvZDiN/6ieF1krhmRjVdkW65yY6f23Fjbki+tJxt1JE53WkvNlLfoR8FckS369KhGfqtz VaPG0P2jsIKSAWwqjxRxzgXOJnifvwdfrMxjlqkKTiWzCv9ANG4iKzXuTEiPzhkLEL9cDTDVRPUr 9JwVNKmoB7lIFgCH0hCU2W8KSPl42AAldBNCrlvUSzZrWf+gU98WqxoNDQ== `protect end_protected
gpl-2.0
da11fd0750e62215b29b9c78a7b512a9
0.928895
1.886967
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_recip/flt_recip_approx.vhd
3
16,419
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BumwjKwgynLUhacTS4vb+0b9403BmW4VMNuYGlqISXP4bHDu9oNGPA5musld7KjvTtBeB9gGoHkK AcySYT0PJQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block g6tt6KmbRdBXCzU/P6DWrgU0p6EllTgbpxudZlztJiVsPXvpU+QlPwTF25rGm+z2oO4cMSKzJQUh IzbM2xY/2+K4e1IP6DsW0dzPaA6VnYkGoa+qCXNRe5f6eW78a5eOVPjFAQhC92irj0sX4OF9ZGwH ZxPKoyqM6IpbUF/a8yA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ftP1GTVKBNQTWoQAaJUcERKmQ7iHAMlvf5sCSX7ULb0adMAtQ1JhHoIKrxmyvXFdFtC5J0dKWFHt DykYiZNxemuNRMvKLFT0eUOEhcfe3PewgRTO0Ngibrm+UomrPRTCy5n6Ehg7Ee5560mfyZHFX+mH +yMlfI8kbCnRtFFc0igmC2nh4u42WoeS5ulV08WbOkaRXn17JQBIGguELaP7I4Y8vCPCm3W0+rOr 94M8bGOy7VEH+REU0+2WA6YBTTeFP8JRGr1Nc3+R4TL2TQvCtuSa8LvyxA1ILKJiOdtsIXzrrNN5 nnZzQfT7LprMmhBYjmLeMCe6z2BZKW+TfTPYzA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block T48dwGGSrrh9RGcmQscpOin4tOn9vVSUip19MyrdeKAtZT4nU++z5V8KhrJO4rJ3vPgQTnvioUD3 ZdhuhkHmRKt7mvIPjz1n79KZuuKkclVEzeXwJp9F70hvXPb9AEiFT6NZwW/pf6hwpWsJI95Dbkiv 4hvgUY52W8s84zaGLQI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L2G+8KcTk+T8rvtsyeYAUTOSN7D/VZ+AIxK1ze95FB3Q+5m7zks8/cV/fMi9sAuVxsHsL88yhYLs xcK0YKWwVjEWrxpqqokuNw+TJzONGbv0zEtd7gsz9e21aPuhIQ5XVbTI9dObdJ/ehBrLh4jroVUs bW+ClUnI5Li0V4pcpEQ2oaF4k+GgwQaQLJ0FeSKTU+sNQRTS9U1y2WRJNXorIZQcGnjmHAbqr1v/ QSULdWUjHpcWGdUmjm5now4GWKK0C/s1lWNAkYnrDZzMGM5BGj2owWx35K5OYu0dhgFsCpxpt6uH Zl0xAZqCTiEpvxDP+vgwhKI2AhKIgPG7qhGBRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10416) `protect data_block lwRGukOVJmlXlmti0awYC784bNzRZ5gQ2Eyaq/idyvOMtiJ0Rg2ayvmNSEV9DTAMW9RI0vWxac03 uFNngVIfMDuO0qPY2yB1NC9/VJ4OWBBITG5OrkeNF5KhkrdHHwDzNgjcTD1wvGEYhpY1l/OZWpLW bgLWM3JsH4j6DKUIWk3C55mST+IyTg6Ju3vYvpgI7plJ5/trwOiINMpHg5spoHZtswN2lRxZ+uXI /zvdFVMaOQ3ZALK+9sLQyj9wrNEoim4MPxPv1tJJrTkBFCaBSkfVX7T8+H/lvQcOm3Y+TE5m15GV 2dPB0JCwS5a8tUVmQRMmbpR1YG1AlbOiwTlgJksatDcxvvzzwaEfQ5EPI6g8wd99GoHojL5jfV0R R9C+ji43g2hxXAbfnY+21PAus5PtEh3tVikn1y7G91wY6WMviu/nO6Ob9oXHZzcxHEHBQ+I8CfZG dNWf/aP6pV6q1rbRJEUmizsj1L1o8LyGGMPG1mQD13MJcOyikBx+ityjO675VJZgv6H1oyOSEaem YBksx9Mej6wUC8ooliSZqLj3sD7dIiJKIpzO/ztKMgAfZg1gAVAV37wjck+E2eW/JPV5ssMi9SGJ iBPb8iKP+cnbuC57fg4zsNTsvwGJUrViU6RxtkOyPHdE2S3P0Ipa1h0ZQKs9CxvkLhZrPt5q19We yAHOGJ+5F3w+6y71vBN/WMRcqTfFMivZIfLmdGc6elklJDX3DR9SH7Aw1bJVCuSj44IhjOMfb9dY 44i8YjZs8QO2zMtiwPPQ/yJlaXChdEWoGgPucdk0EKEe9IwLdEVqWrGR/eWwP6f4Y8QF2nJlFpYH dTf9L87tMffEHNOeoAEeiCViFN971xOPrGeB94Bi405KkMq7BkYmIJ0Ob6wbxTI4ya24bVPE4c9m cald7a+jCRKJawuTAci7OYNwOsEejzP+PzjBNo6ZjbTILv2PATS8EYBVxBSh0Lfathkt9H8mKhar Hu1q2qUBuwwAQ8KslQtj22fiXx+xUqe1PAZ3+PuoNfDnnpqxbNk9mTRsRPXuE3MP5Dzqq+4NQSTX vr6eZO6DQEEvJv7bHrr59wdetchyjPpOo28nuKt7e6Kxvj/QHGtvd4A2yHPCTBGRRMBlWQeLuiSE x4+F2ZKmZkKsgwYmu2WBxnEgPg+Kw//SO0LsGZUYobswIApJ3T0F3BWpu7fN/VhA+uQugdvtTRXM BDY39jU9hEH0kd156g+3pY+k3I9P3eQyAKHqHINQ/HeVtZ3KQjie2xpES/Fx/bE722S013Q8oYFa LyQcZ6/3AZvYwpIQynMgBX0sA2CVAdQtsvAsLQwYiS3G6F+C7cXcBBG40EdoK5XgF/JxZAMGiyrv FVmpGYfBm+vpxSdxJgupnwQAfahCM2SbyE6B6D4GqL6DLg/94pnODhx/GjSpJCofQN4h2Oj5B/Ea C0KUNqp7uHLmHW6lt36Fc0N0LwzLxnQZhPJc9PC0/ErncEbAWpSbXYUXXUMZbNzRRbD3q6acy4Rh zFkbe8ZfFp81qMNsZDXQSG/bmAfEmOwrHUeVST3/0me1gJbDqtzv+BQzSkqpnwE/2vC3ad4OCc+N YTn5B4E7MFKMgTXXsuDSdNps5r+WeWTGTh4Et7rBRSFby98Ui69pLhSjA89xqANL8L2Z4m9yC2m5 OJZ9o0NkabIGrLVBQZjJgdfC4dQVFlkrdFkj51dMOdC86I2urvrj2nQCwN9CSx9SpemjSyJ6UNea SoL8ZFaSfcGDY7RV/EyFMeHGUMc2/P+k+9PCEJH8GmXOVBCAfmFfe1il9vFpZu7K6Vcp7r2wHcxK +ZGTsvmMTXj7r3m0qfkFbBF57s4uP7zjChAuChgy9E/LrnZO6sA7VP0FsBaw0/U0cTrJDc2n1m7i RpepPVVazDY52z7dx3l3c9ILfEsTp1gNadGcz1Eumxdak3ZoSZhqTLk2kj9pIVtK4ZJeMXXWcBPk 5Ypn1WxgNqYHeSBspn16hREyjU6I70u9Jygmk4vHrgg92B360sd4/LEMkTJiFW1giyISFIahYq03 WE1LG4eHWtZIWAnVfMw+VhgrKAwoc9/OnFQorSrB+sVo9cJUEn9w5FZEfW1oX75A7HJtGIiOuZGl +BAwn8WUqp4WbCvk68pLRIqP9dmSZMlmJjK4t/CUiOVdTwa4qZcDHBJQvYv67tZT/mCJI72EJfp3 tPz2mUwvVbhEItwcuaomQ2CAlsyl7YciDKumdZ72VTdEJdoQ/gAABWp7+SA3c9FSERnODTS16ZeW Fkvk5HOhPUPH7klcXEtn9+hRZNWUrSOFc5T9B7NbZxBttcVkZ+g3cRPVIKig+PUpdfj7X7DPFV6n zfr3XgBVZUmfeH2SLr4e+ias4NqM9U9XPVgUhOqJnz19Scf2pmtR3yXfu4SvGow5400VGVe1dhVZ gJUNmT99ER+7mrK4Ein8l9bY8ikAvdm9UtE5/y6+Feo0XRX4D1rxI7RSMG2WAXbHfjhLS/A1DAra sVxbS7DzUbw/1tlaqPdZ1wBMkfiFYYNldwOd35Y4nK/V5QEbtoy34gnXHeXBsFdPVY8ph1aV/4cj /QmxkQHoqQLbumEB12DADJP6dPuaP5wcVMAdHlgOKTeuqKR9o5BiXTo/PcDhVHW9/1WSS1zoFeE5 njZKWqRu7JvfeGzrQTYgy9xG5IlAH4mBoZS8g0VEW+y0IozqvmJ0F0o+6cWfORCzLpTGwHAO+wuX nevDshm1zIc/wlW9P3fi8SXXHJqugV6NdJLg69KzQ03KOhQFFW8r+5MytxcwQpSsXMCSrCa5E10s 9lBbib+Gt16isu+FJ29UnWB2mO0gmPPUpjug4qvKcwDPKunFlKYoPu9WFouEWKdeq906cftiy0AS 5JBFrqkEyyEbf+nA5MbBijEuOpvm2WbVQ/y8rnvz9JObocdu+paVHHrt6iW9Uq3UpMg9zxPqLVMA qrSE9IxdkECpkBjT9FeX85yHmLS//jAnPIfJ0bcqIy7v5FOXAdTXrtynw0NA6IUHS4rBMqm0ytpA 2THRDEi38ypFfzZPTQsQRQWNYGuQFj5YygOug8Dfrk/ffz9sJTGVLPdC2NYOpmhuWRcXRJVe8pQx e1SaNgq3rpGKclroaCWhl4XWmMl9KJ4Ic58voJobrmFf7faeAqf6CCvSllEtYtn2NWxYzCzB6/4p XctI+2+fWCPO6KSyuBg7tE+wWmzwM1W6smooHBJIPK8XnyWSE5vUTZb90/OrZem8EnhI69AYtN/a Jy+2jvEFioZMcZC9ANtfiFqJKZ1El/i4cbRAJ8EtUVsBR6+keEeybP+P/O1Y4BPpSH4T5PCKTHPx VkwI/7AQQpw5GpT7twyx/jC8hjk1Td7KptUBDLmPgXNZEeXSVvv3+Zve7rKITKjKqwB+fBjGLWjL +FF6gPw6ZPaWlgrQgJDyGlcStNrrGKTZwOhKF/edKhplboCA4rO5Pb8gkI6zGynwxML75YEZf1Iu G+T7Jr8rOP+m/njBIn7YYEKa/hMLvoEdUyK7JpI7wHM2keBSHf6rZPyXHp+tNmIFt/dBgPQk+qlS CVpoQ3lYl9eiAb1rJn/u37L2hk9cRS7uKsh+JON1b78O1m7Q0Jc6IozbOsnTJZp3Mxav2ivEVg16 69m+EpEdNG5ryTGwoiFUIbgsDNEZ4I5nXrsVrnjwGO2RnUCeWERSZweth8EpDj+Iqs979j0hNqNI Ls8U/BihPEG9vkvpbOWiFNB3M9RFO946fW02mGxXCrn81wB40+uQUZIFD1Xsv61AtjSZTyCYiyC0 Pd4B3DFAa5JUPZxjg6p8FiDybLv7/6IUsgtAtj8R7CnSAMYL/8tllcQKZgTU4KnEGeNLnVjHLLMk TStA77s0OLcsg9Zpsl62VvK4ZKdE1brx/Vf0FshXoaLJis89o05NDst9RlBt8es1C6dIkqLjD/fa 2ZxiXA1HnM4m2GBcHMqXDqCFMaqXBNpUBzV0NJhe4AjUrmZ+i2VjYc1VbANZClSeQ0S0z/vthNvo 7Xxy7UjqnuHIdIGTqoOWuNcSrro1J4vUSdLIkCzCEhPfp354AYXQpUYR79L5Jo63NlRwgRGylZbe g5ZDvvkVufANNxnA6KcoDFlo/YIFTqYEpnKjesAf+nH0owh/l+6RXIdkLscQpU3/KkoTzB8Hflgm EanOSzQCerzND7pXzqBco50HmNy/Y9ehVsF4BXBpreOaBf8r9j1dwVhqFmJdl8UKi9k1GqaJSCk4 iE89ijc46zpll1vDiZ0Rb7qyv8/dtZdSSc3Buu+SPtAf9cscvcdGRRLjS4e/pVj6GRn4co9mF/3D dajc5VEEWISaN+/W1QQuuaBl5Uxo+KSGi7puRMkDZq87Zw35fa6pEuiberoGzpf3GnmyWcyD+7fZ 041g7S00sgaIAudXY8fv6BpP8WFagiNtHpZIH3Wg0OSM38E8wDXdIWb/RWAp5VqOSDpK1PUhbplt BH9aMMN51zdkdWCcB3iI3h3VfvlbroCCLH+O8C54lBm13MIau62k7jn2On5aVouGshnKUZYtcYGc 3RiFnAu2RbrOX9oBN7g17FqMAKJSHmCV28p4AEQTXz21pWEgLt1apgcRberQcJSn1r6hDtM3LGw/ 5oDmLBE/BMaaUCYssV2U7mBwT47yDgapL9ZvKWdXsTz2D1X5+WuzCN3an45j5Gqs6Euw7twjRZ8a DLau+kQqmIqMQxu33a13RUcJ4LGbxVsFaH0XK/SCdm6oYAThWlvNcL/Idh5qWArtB7GgXvMF7qz6 86jkrYmgmzs9U7rJYvq+hBw+Z5efONpieZ1ts5PQxGRC1JI9oUqDChN7hr6WeUeObx3EJcTrn1cr AsaZ2rw4HD0/Hbal1FFCXh9uONfZRGxsg7Mp/sKUbSVHcrIUTkFRjUqJIlStnJozW+wvDPkeEpOf fsDowhan/TWJRWfMrM5BTzMBqL/ZMAfyz2ndMbaqs01coazAWolqIfTJWtXR5CoZEvF9Sb+uZwW9 XwRduRrVMV99Ph4NZjmRw/706uF4C2D423FaUWvko5oa7vcQDkb0mNr4RNu4KwtnVLTIwyX7TxoY w8k5s6s4O2trBAGcqce27td40fvRN/W9GuZD9ekzLUX8sCC1zKWm5BVBvB/oE/2DCFMo9IU6exT6 9KS6qrK6ovfGF2FqR8wBPDguifnFEzHy8jwLldjujEUiQIA+pQCll/wfz9Q7HjZEFY0joJlVD5n8 lI1X24bucpiOxRVPla6+U5OkZixpKn5tP5DLfV9rydc1sIykRwpU1C3KsY8Hq6jz+w27wou+HUAS 8Vw1Fw3UXZTvt/4JpiYRRiIP0anpTqYJZWipL9j+mmLSvJ+MuUf6gi9dbBo0DxahyQ4/RAnvJyNH +0P1IHjcp/6SwNx9xf/uZLM1HHcoTm5lm/rcMhqKCnWm04IByW94PA/rb1JIC6gVa4ZcjixL4Yyx uw7wNto7nN+ZYKg5LK6xAXdw6Q5gc+d4B0O9dk+rHHSEei4xSN1lz1Q3iAEmW1YxtS4hCilC82sq kgpjCoL681dfplglBrU+tYcTlxGu8Jkj33JHVx+7cvZeQ8/FuwNziM2FWAtzoVZmxe6UOjOpgTHh fdOGu+TnNbPF6+iUB2IgsCiM1U0srE8MibPpAtzjnTFurdCPYJTYCu88GuoNVDzvtAzZ1tQFnY1d e1chem+yqiWOClM2I8hTY0lWT/m9xS1VHxTdPOj92YjErdbWAjEdVkEEfWonceywR907hpAu4YZj jSv8Oy3VlQRcutmhrZ5iVg5XSfyk2qzpdf33ouqpXaLoxTZFhDv+uaxMhEbDVSmi1rcLYmpIKGuG G9o2r0X/lPLFNnU7ZgKd8c4spMM1fQU97MjC2k4xmYrSjsmtnosao4JmojYPojS1cx3TsuXaH8q0 QZhzTJD65ZIxjfnkeK9/aUO0ahFvS0J0YkZce43WcXKQHfyvbBLWbF/39xOkXEm0L9XVmNP3UHaV zxlSEVMcFubduhV/4n8YG3QHf2nIBB7fLqjMS2Jkt8w5n382pAUkGcTpawy7ShnYQlxIXIgoZ1MH 47SPK6wI/p8jr4A6rLu9h3N6y7+hQ9dXxLeifigD6bdF8saRECST6vfrTXrwngqFPbu9oeNexzyu 9ZQGAFxkYAtjDCERhFkghzfGqjZENypNrVFDM0mFa9TI/QKULvTaxBgUwSnpu5dbbQxseZt4QqjG Aiy0TAMfthogOdQmh3z0UlSkyPGB6PJjWVpFrf/BzKsnwzQv79TR6fRfeZaD6eGJbNuQDd16QbYu hqA8eKMHV9OZZoFiA8khrsWBCkHd+tMn5SZHNH1+2tINXp9NfaR4L52O/93aGQTvNvAbsEZabqF/ MUUYqSpi5m+JDfzxhCDAJwgPuLK0sZbXZLh8yjUbxZYHw5fg+vsOPsNMwuDoiL70vNqFFFa7Orr5 zhNjb+j5dndi11L/9BUNnA0xlKUVCGeOTcU5PFsmH06QoRgD3MHnoCgQSisaSlRh4lehNC9lExJ+ b1Ad6AWgVbNyQvSOqeNLVgGtqSzwCkDeNgxc+HfPAI5w9GkA3Rm2yxn3oQNnuNG9WkNVIMb3YXBQ CbmuMgQeE2eyqFADoaiEZ5DmQjIQfcIhmFXJhdHthXFe3Hlb8carAHqw/791QbWY5dqovK4JObEE SUhTs4Hz24SiC9mCRIbb48NTWNHOMZtaNYFT/GEieHM42/90q+rgUs8keaTuGdDCvk2Xj4+3g9rr dl/DWwj83ut3HCtDhA2Ct8pAoX1XO9f+Hycyi4dNKX4LoWM/jO3ArE+LBITxHNNJ6Jz6kDXoCsh9 o1sVS8D5/44T9t20Ef0QgS6dQbO7osQbSz0qIhGyEU8aLN3ZM0yyjGtZH4hxwBKc+f0/W6GHDms3 pdyNbI+XOTaQqJDULuisfHdSgKzYo8ZUr1qWWyv3DA6Ctk7lNb4my7XYyHr5ldoNty+bvcYFNS1T S5Nf7AityRyhrYZNtxSxH9XVQqe6ti9JPKjlc5bGwQDl2RyHBNxy8ACfbShFr0judqzJQIntIgRp 5H+xwr0QLW0arzsQf9OcNNa0BzaClzgn+z152HuQ6q/b4t2YOmasKTPMa8Csb044VJ32zAX5YEzW jAnFYK1TMPBMFkwNo5WUfVBKci1dk9TQF8F9C2G5ffBxx3QLOTFqpeAspngCl5ADLEq7p4dTyEDJ Yeis2xR1ULEQPubbiCKJWwMkdKV4yV1N+RRcDrL0Iod/NKOsaWiQPq/Rc7cJq0xdbQyQ/1sCRW0i RUlwj5qkgpON+m0t15FN64C/HNGhatYpDpoGbTlji3rzrRYDNWVELNQHv0f4wMB0NPW5Hv0MvEdf rp/MJZX0DvzdfbHs7S0vtFq+P2LLXKeoz5rcpGdXToVQGaqb35DvCsHDKYle52UT8AjWOBHRJ7T8 SoE7RRA/DBim5iRDZV1vQYoDx+mz3pUk9E3ekD/ZMt2TMnCGhsagJOE+fkg5gNVZAI23A/yv/mEC w49vej5J7X5qqn5hK6tQR+NhqW8WUzR1TRhyEkrxp4Kd4E3pKODLovS431fdMsK5GQvUd+ofKLHW OJXdxcm4r2r9DoPmXkptdgrIO36QY58b8NfMmKGQPQgkJ6ScKroC8hEulSnWtWAGtMf7pLzM+Cwf 4Y0quROmROouhL+e63rmT4s6CP5gvXuQmcca9AeWt4DkrwYDy/U+4cXaLH4yCyrpSwHIe3DJJv7p mgnQVmwa4S5uHBheG4/kXgwvInI93QC/mI6lU4z2W2UsWZZc8Wg4s7vrooyLXurZFAFFAQ83e5SG XcJX0VqUeZzEnDO+EuQWA4XE92A7hQa3Yvqvqj7P4j8+h4rvklWgUeQKEo+LO5xMXIpDQe4s70EW Ev7WAf/B9RFt/ORQLZqRmA2xZLesk8wsOR+ckY+kLy15y71VyDDH95pFK9Q2UfB+AotVHz3A3CMQ lmgjRRIsHhAbusPY9O/lyDRnIMpxkIHW48lPthkHCE1vVoO9tvqhVrg5Zu+UrELSwuNsTsaGYThz TwJdAagFopOZmw3pUm7q57Oq1h0UE7teBR4QL1xRfTTZ0yRRNrePLW9nIyrgB2PVLoJ7vCp1IH+r XdJtu1RXdfMLBoDZVATjrfIIYOLtQogCsd2ef6QxB3oAlcuE/NUNZTq5qXYTkgB+eVkKH29ye0Cy Y/wiYZp4Dsg+Giw8G9qw1MNuqpdRpPD2qW9XNp3SEgD1b5uulZPzyCoKfAVa+4+ygWRdzxCEhT/K 2O7UbZTfNBtVhUxB1y8GsO2FvpAm9Zo/nED6MHzBB1qJ8EPUG/vRrDQSeNe809d/5rtgMlsn9I4J 5SJ9DeLIiJ4RobzlZ4IMLTfpABJFx315EUDRXMul3G66p8NR3AcbLjZ0Ccb32xWhntYmFq8bxPi1 9gD4NJaZXNCxgosKu9yyKUBkAUjuSZqIY8Br8PXAGMqcycluJCocSIiP/Doc9qtmhsepVwrApr0V pIK74ohUPH5LnvEHHr3WOk+xu6ct15tCrPf1NYvRBwVEUdSW2jBUGQYqMaU1TOKwPW7EPMLsDFQ0 PTswW9MqlpHy0J/7jvRwADBMSwsp6mObAlvNFy1E1idjKZ931g1z5yiOns+gtGDZYBW+Edn1AQDV wWVhvG7wnoQv0FAgIqiRdPNqCszxFUO7wBcA1j0FcLU8FZY58w54gcPUkw6RAO96WaVol5nXnY59 3R6R0XvzWEiKdJqEoLC4hb6NvCYyXuGonLvIzRvEERCIDaDgXNkUSQ/f/2Cb+WZpmcktayCL6qiB RJR8z54Na6ni2VqMAwMoDWnf5Bzg2WtAd6o2jKTEiCVWPC6fm2zR6yWjWkfwUP0SHCF8QfQnorlL lQ7rR1xD8HdqoTwvzN5UO9Yuf+n+sn6T9mNraQHyguFEDrEZA5ZbU6+bMdx9W5yDQfz0mdDq2PG1 LY7y02JGh5dWYSbcr121OKKMoCehwNW3+nTea5+w6c1m4NWE5l3IHKU2WP0rXmMFswK6n2q8pnKp iyGyA+TgX7HAR9OyECS9wADIzEZMaGVtghvoYTdYtytsQ8Hzy5H6Zby8hXQ0JYNYQdFOUrkxPID/ G0L0eiChbQjPTMVsQsiMTHeZYK+XIw9qJtDJhUWX+vcfHy2O0q+OF61l2QnktVBHlMHwfjGHYT7T gSVv8Lp6la0ASmAVt36g4n5VYa6BMa+a4Tp79qZJH373+qjet9ZPEur/RP7Mz/7fbvke2gTSv34j Fa3Hg+wyx4oW9SPd8MdFmgdy3A6QviS3QqCJWFvekPoWpYOuhQJpDeC53RQQofcP7OIdBLRLZXwL FVOl9tRTIlMDc/Z1UT/XlpdErINwLVCPC7K07Pcsk++QyGfUUEN8CrDjrMqOREzCUyEuHCEpbTmm nnq54OawqJ/9aAPxAXcsTUoKSCM49FuN+Rm9jyl3sDcW9iiZmIBd2yU4B9Q9AlmB2IPVHCbv91GI BHmwPni/8Rsdpp6zSTAQda6nKGRh7ytIecyupOhYM6iLwsHGM3hfBQ/TfNvFqh7K4bqAYv/zKkio uvnTzh2FYNDaeDL0D9NUXFmkyowvueJL3Bg1BR8ExxWe/PHvyegBXh9xFr5Pjlx7Huasj7A1CfHH 81ATb0edetjv1MNmVBpQtRQ0opKiXB1xurzAZ2xVFXMGTHwl4nU1EkwWZQ+G37C/NON3yrkiXwy+ 3zU16zkGX7+c72BzXrMuzsEstcHRFbMzO1+vfwb8R2rq9FsIGDVTJ8FW/0kYf97FPDtAw9yfIJ/H Pr5yOypoODVca34lyDCUR6/BCNBPqXS6uK7bG4gvONnTg/yoKpHEiyLXhmZAQCjNvWSX7ibovKqW TIWxkmxJFLHEUaxAhcW1M2iomyiPMg/NFh6HCD7puN3rjGjV3OnLkVLmVvUcE65VqtdlpGzmjEZy Eq11wnjPW/2d23Xxy9Skf36mzoiK/JI4lqqvsBEMNiWVv6OuaAzupiabI4FP5syRw3d0+Q0I/htg cfz8W+eGovKYRCavZV4lyR0YrdTtzLJIq3edCvUuy8ZJFTolpJy22P4r3Ic5JnqaiV00MFKrrjp5 mzf2VzsjE3B/3exuo4t59dat5fbODa2P7yqjwlBp7meYHFoIPg4MmC4AjFs4Xn1N5/PwCEg/4JJK 4l5v1p7cy1ISphhph3P1L9sdMIrMH00t1vjSKqznCT8YCeixN3aRfb06nllvHt0cZsSCLaHy145Q CVEZd3IaiZqWaRZ5ISXjT/FF3HTvKf/3GqAmY4GXV8ZgrgnwdTcfj8L0p2aZ7pSLB44b4+qeI0FP R0v/c/W+P5d5XD0oJvdU43bDDK9gfUqtbgNCQNbo7fSNp79mq+0OMA6c+IkkTDQKhs9PJkwkQfTS zRd6w6xbDHA+ECiGKPyG+wPFumH/dGduVhd7VsRB4Nxs8ZWDUKSqU9eCwbeXJlIE8Of6zl3Z/H+i 0jfatCtr4HipT6DzLjH4OHqzP9AVo1z6Cday08xnmITD67NQArD9FYXS4yv1cRvlMtpvXLIIuQFc rSbPMIGhKUx8x79m18Pk3GXfZWDbcuxck6HIYhM2MUOGWT00JHk7Ow/J2qQk6zP2raKKk9u/wFWL +sWUvGIfOY0kMmfQpVGpsKpNmhXIfQeDaKZ9jL++OwpN6OJXdTV92X2trsGUzRzZjbmdUYp39So3 0pyLJcmp3xfVTqI6SMNmTyu0OpbkCsLJJ+ApO3FlvxPr7+497fWV7YG7AxSKJaWX5j5Aq4JhblD7 TxT5wahnOuzDdOddXNp01hcI5dfFxzjFBP3cTj4ZoTRWegO89xn0N7BFdnfykrCD4YWQyUxnF7/n rylIsQGZu1xGzO+oP4s75159xP5sFcGp7dGwF91yurVdzEU6NdVJgIhPMovELcazPRqdQqjcDcRk 0MOasjg8zL9LcUvcqtZVWHnFoh+aI8eocTTLlBHl3Ayi50K1LyNiOzuLtIC03tXakq8tlzgZ22P7 lGmWTGCfUlhLzcUUI8nJ/G3UPIpd7LQqwUE3R4OqikosLYq6VJPssk3gjZlAGHm0T+gqXnfLJUBN VDxeO0oJHxG2KhkCQPJp8G2u89Tgh3kw1foe8g/Ox18VPi4v7JWqXRsqnrIslxVCabsP+rBUJAjw EphkomXeGt9u5dtyVJ8HGVUhNP3rrZIuLF9S2j9SWEisbtc3r2/HdLhqvt3ePK8cu0SpphHjJojN Bm2n10FGmW5QeMNFQws+EEmT6pITPf7BMqe73/8bjDBwa6oh2HTmmHZlnwsG8k80txFsPv+Zzkag qJN7TH1PUegwFNj4fJzemY41z23PdCyAZ5I9CVJ6zfLyiGKfnU7hyCXG0RVdLQuB+nsp20QlN9Ma HX9F6lW4mF5qFxF1O+BHMc7XSIiLKsk7ZJlhzRKH7U7tYOc9S0W1CAv/BQB8GlGzYPsIwZvGxM/f ZgQuL/KOrFeY5b/vbJIj3qLP+hVXG3Sw9slOKlhjaBBREsbPLi0F+APuOIf0YpnljYeT97CIwwk7 Shq9VytcsusAci7tCA52New3sCHpYdplQo4ED8vUfyMYLDn8pJ9rfYFpxJ1o3LqxcQfWjKUequwX qG4FwWDkBTcwPV5Ja3MM4P55xXbVYqAyWN3rHU8Wf3KgK+TbkVKpb3T/ok+dz3Rn0ZAETYJDDJCd hh7HFkFNfhEnPcAgJtHTQTuHkDe4BwL8UJ7CPDZp2rXKUvlrMBs0I/S2A4NruL2YgY2ORTmPtbn1 kdOFIhRYly8UnL2fAWFDJw7fr5APpuP9/GPu5urhGjjOFisEv/TCRihxOvmKi/ICL14ICb5w9LUl CjFwdSaGYzXYyXr82mhWVBobrHebD02O3eoX3LN+mrHkeEA8O4Ff/lXWReqLkQIdd6B6mYX5kUkk ZxlVzY4AVtOlQb/x1Q8GG1H9xsxKaL+DynINxqCuNFIqGaKndqT0kRVTI1v4HSHogC9IFci0yyrb UoDWfWsha2Ey4Pv05s6M5r6v+9NvZAidfh7Oc36uA5BSEn/bug6lfA6SNdm0mbmUdeXChkyt9A7O 9W5r7X9rbb9ft4d6nCX4yvS+0p12ut4AkAE7iyCVjETNlCv3Bqq6oMPUcgNU0jqqKNKc+CQnFwGu +AYgYr6Pwu3ePzlCwzRtvf0uTOYUjXqoUNhG/4E1+dH2tzHXcy0nihoPr/WS/VI5cJjibkcLUFwp U3y9U0kABisocLqgZUtCp4SHeLVN5e47G8rFheRg0m9Ese62ILCORyelVfLOlHev+7ybRTy+dxfk QOMbs9DdCbGNhhO6kpGO0nDxan0eCUGW/ku5l/BiF7LmkUC7WdfvE8K2F65R2trjL2bIb+QRy/yZ rL/ARSZwn77CussX2sP+IwGL5M9X3Z3sCG8v89jzW6sOvmZW2y+uXlsjVYAbHZWmh/8Y+vtAZ4bn rBn1kRCdkvU1PZZx/3QNxq0azjkq4EC0pZiP8V9FcUvIDi9j7vvDi+YVs69V9HngH4z39azItLKp WY29EL0wwGzv6S7uiuE85uLo7vYDpsbFcrT8a1Z5wi7yApIV6z1E2u/5+X7QVPafli1EdcOJMwIv eCQ7/MMQ2CcMWdbSGRVpR8SBIKupa3KZ/obzwE6Gyg2NdJW3i5Qc4LG843A+9/RxsRlwkvUzzPE5 JqK3L4ogNKDj1k3bhgpCB+e8un6QTfw/AI1W43CxV+oHTftZsbsCy1+M1WfwD5RaLvrm+UGgiV2t BRholUfstswXjYTAU3PiH+d/m1EqRSyMqLcRFh9eJYvBGnhSJYmCwALR6/p74HYwB35YwrP379WA aIuuKpkYFLhwiLa6Jv44PNgTqyeWJ4CpRY1hjibyeB6yOtUUSqmMQIy26/7S6DoMM93G595P5d4w gS4fsA5A5SNvBCQArrcsMF71B7o/CVuBrOwDNPGBmukXuYSz0cbJG61mCcJMxivFpfqkiFFMq7aU MDXplkdSj4F/Iee3aUZsq6KNN8HCLTZ/SwEP20m9u/+b/VFD65VqwjjPdkYM9wOXSkGEpEWxMDQN TZm2HsOjW2s+3sZi1r8SE04O2vnkXsrzvbpZhiXMV0q5WpZxsqjhuqBm7gpCb9fJ1VDe8K8RJpMe scBRQi44m1hU+1RuP6kolml/0Xi+bmBJu7VgA+255iSX5nTXYWDe8CIdRSaecxqw7221B1ohKByt Gu+P8lc5K5f3cv+wkgq9afi2PCE7V3hGv+aOgZgG2vt+OCL9BdvFRGrG7FoTb8Gx876s5DRpnhbX wm6AXn5N2xopa5xVsmpq5WY/Z5A+0OmUw3ruHhmrdKmvwKJSZ1Fht4t870yn/wvJZ928pmTfoAba mWOTh1Y0G21outCNKlXoTG9ioSricXfLhJmpB9/YbajpJDDtTbIaK7HEMsiNzddot0CySHI4ESaz XhDPSaLY7U4FLpZLc6h3EaBjGbMNxYTX/ZnF4tCbI2H1BIGUNyAodOodjIp0+cSJNFfpekctK5YF fjhqlNoja+BApwDMezo5+T73FqK3OS5DCO3F/580OnyCHAMLkqS4Rje3DlgpAWi0P0GzbfAQi3Fi scBD8wpi9uyvkD201YU3ab9QbQcdicMUhh8mylTe6lkpYONjLgy1YIAqtFtzio0AnW2pt0W0YOZz h91utAUIZyDZjBCPwGyAzha9vqDY5FAw9ak+rNHx0LEPc0GETJdge1NF+cm8muFx99O+PvkkiUrv uLK3vigMVefsVE1ymVfaIahsF6g8aMHG305419qQ/WjAWTL3/IKF/LkQxFTf4O/oxLIDlK/eLNm9 zKlxF9Hp84cdTNY6KrA5q9aWuh+k+XRFaw6pGH9jIyLWw9L1ydMu5Lv7 `protect end_protected
gpl-2.0
8f37d7e62480d658cec02ebfb8b87015
0.936415
1.834115
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/ipcore_dir/Instr_Mem/example_design/Instr_Mem_exdes.vhd
6
4,617
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Instr_Mem_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY Instr_Mem_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END Instr_Mem_exdes; ARCHITECTURE xilinx OF Instr_Mem_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT Instr_Mem IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : Instr_Mem PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
gpl-3.0
e44798d340e60546522889526e703bbc
0.567468
4.735385
false
false
false
false
UVVM/uvvm_vvc_framework
bitvis_uart/src/uart.vhd
2
3,591
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.uart_pif_pkg.all; entity uart is generic ( GC_START_BIT : std_logic := '0'; GC_STOP_BIT : std_logic := '1'; GC_CLOCKS_PER_BIT : integer := 16; GC_MIN_EQUAL_SAMPLES_PER_BIT : integer := 15); -- Number of equal samples needed for valid bit, uart samples on every clock port( -- DSP interface and general control signals clk : in std_logic; arst : in std_logic; -- CPU interface cs : in std_logic; addr : in unsigned(2 downto 0); wr : in std_logic; rd : in std_logic; wdata : in std_logic_vector(7 downto 0); rdata : out std_logic_vector(7 downto 0) := (others => '0'); -- UART related signals rx_a : in std_logic; tx : out std_logic ); begin assert GC_MIN_EQUAL_SAMPLES_PER_BIT > GC_CLOCKS_PER_BIT/2 and GC_MIN_EQUAL_SAMPLES_PER_BIT < GC_CLOCKS_PER_BIT report "GC_MIN_EQUAL_SAMPLES_PER_BIT must be between GC_CLOCKS_PER_BIT/2 and GC_CLOCKS_PER_BIT" severity FAILURE; end uart; architecture rtl of uart is -- PIF-core interface signal p2c : t_p2c; -- signal c2p : t_c2p; -- begin i_uart_pif : entity work.uart_pif port map ( arst => arst, -- clk => clk, -- -- CPU interface cs => cs, -- addr => addr, -- wr => wr, -- rd => rd, -- wdata => wdata, -- rdata => rdata, -- -- p2c => p2c, -- c2p => c2p -- ); i_uart_core : entity work.uart_core generic map( GC_START_BIT => GC_START_BIT, GC_STOP_BIT => GC_STOP_BIT, GC_CLOCKS_PER_BIT => GC_CLOCKS_PER_BIT, GC_MIN_EQUAL_SAMPLES_PER_BIT => GC_MIN_EQUAL_SAMPLES_PER_BIT ) port map ( clk => clk, -- arst => arst, -- -- PIF-core interface p2c => p2c, -- c2p => c2p, -- -- Interrupt related signals rx_a => rx_a, -- tx => tx ); end rtl;
mit
29164a1bf8116e6b5ef5ca477b2c14c9
0.465887
4.214789
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/clk_108MHz/clk_108MHz.vhd
2
4,576
-- file: clk_108MHz.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___108.000______0.000______50.0______127.691_____97.646 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_108MHz is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_108MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_108MHz; architecture xilinx of clk_108MHz is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_108MHz,clk_wiz_v5_1,{component_name=clk_108MHz,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_108MHz_clk_wiz port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_108MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_108MHz_clk_wiz port map ( -- Clock in ports clk_100MHz => clk_100MHz, -- Clock out ports clk_108MHz => clk_108MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
2853e33ca15dcc01b59be6d4a2f98d14
0.634834
4.190476
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/flt_recip/flt_recip_specialcase.vhd
2
12,682
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Q5ebwmtW91tWlqPraj+dmSUacLz4rs+TlrHVSIqq43C/EDOdkOdrTYvOPQx+nthF/NzyyXarCvcd /5DpiR6PWA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TtGxMp+MVJO9lcEzvClXuBR+Jz7KkAy4olfqjyyk2/xu88V8HmC/+w7odo1lmKYuVJ0CFb1dIYE1 Y/+WgRAm0sHKc1F1+9XUqyxPcSM7wi7JfUxZzrhh2izF/wVTe4R0UYlt7iMO8KzHsEIZ/AIs2Xl0 DSUrQdOyS7tidC1lC9g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EvAFadravj20Y6VNRm5JRRtBOezMDZgpRiaSEd00p2a6ordHBPSgvldx1vv1187LNsHmZmhlwcvD AxqLM/W4pcbkgPy8oKxZS4rKjNk3jLw2ytL9TpBTgLqTJ0AtWwB357kdK81VrxGxvbSz4CRnxCfX yzrK31O7s7eZ1gL/QG1CCL45HVf1yEeXI6vk0WJOVdn9S1/KdeGgDpgLsURBNHhAriNf60WX/mDz bULC5A6/MJrnfM/C9hshImgZRzSsMAfHncXI/xo74LDhRexhnlon9yAyE+fS6qBtbaRriCcrBDKF 8X068J8C7Tho8HgL1R1uDw4KIQShty+X9YHeDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block i2TqtDeF/S08iAag8XQPssUHYfU/Jn9prWu627U3Nc/bktOX7ZY3nXkNHw+NeLmTbOTTKzM0SUio 0hm5FwF1lTjW+Gn2BU4Ic2lAsiPcwPPdx5D6hSH4hLvjZDqosozSl3oFvR5hHhgXb5jl7vMFN5jk MPzk/THmIhBkE2Mw1Q4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sgHAIsPp8D1ryf3ODuOofWfjfhmQrH9DIslgbxC6Mw/BQkXZykF7fSWvyhFi3Q/49WkMv7EbAKmJ 7ITzY4o6GiwAyjjdHugVAzIe7HZmCn1kovI2UuJXBwLbCI8cKA+QDMT3IrCEohm5ah8keUSwTXuV 9smMgeVxWVEIh+Sg0dFIzmsq04YRWLDJQkxYgzJ5LMoT3AcWdflmWLZXm6HG9XDm+6WtR6sjMZzz Chcm/BVApEoQtYxO3C2Plb7Y3WkkJZh77Nmb7Eyp7pSiesddBG7ss7hVPP45EUS5VIJk1PFO6HbE 69WDHZNUMH7/YptSb0xZruQBab1/kutVFcSi5g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7648) `protect data_block VLvlJgCCKSYN4GJVi4o8Vf+lfQyGSh5NGNadqolKjQUMSsaHInSjkfRjHwUOD8k9X5oBnE1KRyOF yewVji4j8RzYNdOKT2kh2iKEFjXXQUNAZZmeT236/JxMERqs0T8cQOAw6mO1N/0AtdU98jnWHXHs 5RJf3lmG9t/65tGuIJv+bzw6Cf+71WB/CRyy6AYdfWW5gjubKraeYXwHJ7sFTiTOwvelD1hmRj/N IuBhPJ9wHP059nHwoHhPKtzccOdFcTsxJoNJT0UpvXFrWe8ZIBUl85b48XDxCx+VobuMmvrRLV/c uoPeM0Nj2Aa8Ncg9PxyPmUl8eU7mtdSZYbC+s6xTPwMhtNx8eJmTiWJv2QYY8R/Klt+aNZFBfxTr wszfhU5TEBqXf/fmwncYkdpgGJj7Y2Zk/AxPh0jUKPWxhn+z16Qb9UNyv1bJuU0kb+TsXcm4bb0e BO+FTvK81ZxvopsDJRSWEeBXhs93d8QRCGgdW8ChUppkf8E/rEjBI3PSb0BqNwzGLGQQjqXqWZNI /g7xMSdTiivJ9JTykAdksemRYeSlgulWLJV4KR0uIbC4csgAtoFjFSJsOn8brO8r2OP0I1XKj0aX cyUsPQ5io1MYsZOQQZWOUcSRcF5t6P4JqSXAnj+5WEDwrLDX9ZKmkcbFItnXNQCYqF6qE0KNsOoa 88lwhj717uiOPYF5FVpz2+egduYgPxVYBGaoIPVE2Bqeqx7LTj76TFwJdkKQh/LZNFqLreEghsDQ 20ThurMysqfQ7P3dSGxvfpQO6hcLiHBe3TL0ocKyxAWg6Zxj5zEPmEQI2mHk4p+DGsTtlbU2ju6X 9Mz1uWCqjtM/uyoh+PfbCR6J8PPBGdKTbWPBFsfJLFm1Wpzy0Q3Z22OD2oR+vyZ0Zi2QxaNYy87Q QS3WtpSYO6NEAvB5XtqFK9z3idjcJUzZXTk9dtmZYGMzulB0Epla6sWIyxWqzEsPQIwPJwSexKwm VHLBT1mzGfkfpD4kOyo1aEDlckSgmuhrd9XukF4NFTP1/UYnSfTwnzxz3tFu/LlFxFTY7BM/qplk e+5tICePGteREeEBLWgNPE1zF2VmLdOuHBJ7dMRnoJxYtTHWTF/Ty9YP3p+tRgT0JSmeCuXI/J6T 8bZqwrnloNoGIarR1wtxWZJ3rB4bqjhCv8iJfkvcVz3eOsVtfyS/+L4ezxlrSjjj2cE59XrgWCT2 62OnLZvnYogitzTYx6EoCYWpAwmmW6Oo/dbjxRXg1UPJHyXmmZ1U8im+53f6Bt35n7ADuKpU99k8 T2Spm4K5OLy4niXrBYzPWXeG5Xzo5dAqwwAUbQ6FHIkJouL/hSQSsKKtRQ+tpkTARisSypxUgSzF W2JxzBfjzyfSjUHx501+Zwq7jzPMU6TCzKry6ZDvc8tXyxoaiM37fGwCAQN21+cPSZ2bgGA8sWV3 TRmrNqRLG2P8ArolLSUh0gKfGWDvY8/yfwKnFCaMx8gXn8Jc+ZFzxkIQvNRpshvBbAV0QMCa24GR 4SrzKsX+umUa2MjtsRXgzFY4T4GEossPmkReTwtVqFW+yJOb4cCoiczEod1eHqYycm3IekMlcR1z KzGL/kxY5Jxn9u4gaYPgpl+eMfLlQBlg6jNsVCsTBUKV2LFQLkajFOSZrVuiDfs/8TKi1PqmL72v rBGIor8JwZvjK+sQFUaOblXyaS2OQ1nSNP24jP16aGNGmFIH8NuXVJ6/Fd26dMHumBJRaL3PNDGr ww+Xt4bPSUdrdmlOBejkZ3Xk7cvJLeniTvsWft8y/E7xhmGx7q2qep/XeonrX0FRtrzEsim15Uuk 0cLPiAoLIpEVf5fVjjefaWq6s3l/K3zZHrZKHlSbRaoR1Jnc2HqgKsrwgICvXzEkR6CxA/lTE5kt s7U0fthy7wf/qQCUbr+WkzeyMaFAvlQZqechNsi7l4QGMGmyh1Kqd0nIccJ6H9AS4m4w3sdu5Oyw VoFgJIsqMzfc7efe+vnG6K4Pz7LPYIJhRwm6nER90EydxYQclyxSlQGTmXaWxJdUMmFKEOknNDTu ZFgw3X2OFD80uDR8kViONn3MEmwt2+8oTmOEE96XPBOWz97ojlfNRVS8z6dp13KrwUU6j+sE9iMD DLzuPhNzH4j7hDjvTHniLVyAFBCR8Zfhb8Tuzh0GuGGjNEeKzWnlwivyWSzafTuayuVVaqQozIvr 5X/gnoCo3jiOqCWiNiAv5b6Zu7HX+vZZMVzD6LFzdB/8ITK1YUXoAVkJn9OF+wgK37qmUPBtNf/I VySrEyYOyuo6I7tWq1KkY6bo9gtelLe4+6hdSjca6BBs/lqtoyDAJLjZ2KpUjNU3K1WbOCVtsviG aEuVtTN/VP54yaC04eJWwYOGkzUft15y5xOA7CsT7LClPgmEZBnbxzM497ZYTie94G7ibjmS06yR /f44jAf6Ct6mO1Piscv+ViZZ3I85CuPL9SDx4cWpPMXh8MDSjPXGOCM5bbtCsaD2jLFb8HOcrCv+ SzZPDE8hOdYObz7528w6yUxfV5ba9XpwCJZosmbklQm115uuLth94OJgtRREo6V9o0lD89b1VSUT hd/z7ogP35lJ0jCoXs3lyLUA3eKbYhPHrScdQJbfgEG+esd0/hNAUMe9zOFYIZE9yuMFfhoa07fl 1/FIB7zeeryTJREzI0L9USWYnU6cpX+/ICRqK4H3H0jY0N+JwQhRYI+xIyBK9VXtuyuhijEtboEp IFotFFIgDwkB8OIkJ7Q2Sc7GIfYrowa4v8MQex8JApy9uBID4z3UQp8rAV18HVr/ONMnydiK+BX/ d0OiwOkTH+eyeJF3zyv1xrR4mVgT/DIjXPnJIFQbHIdxr7rXudprPJOUbvKqiF7uCyqUnfAt/wdn JEpvDOINveJiBFbQBQ/zU8didAIbt1v73eh8mnPimaujGd8a1L0S4lWB6i3d4mAuD9QOtcMhDMQo S2sw9mIFWWOJKddaSG3nKdlhooMp3ji/8esciXg2qSVThl/Rp7I6SDqnYnGB5pf6VKp0/KG0dfmz KnzotL7YAo7uirlj6P3rcYjVPpA2NUO6SdyWa7vVx8sZWc306wE7vzEy0R5mej9+YdKO3MJw42vU 5kRCp/zHoKgkiun3eoWDYCqEs1L48KK93txIhDe6jJ/e2puDt+GtpexYDRUuVyW2QMz9skF2G0oR 5+UPmHxEYWsk9MOsCl5YitZFizg1bBwYCv97xppNIoWHdeAKgU2MaN5KVc0PF5y/TyQYKegTuq1G Ykjw913NDRBVkd1wur33H9QnV/Xg+4C2TN+F7wjzTOh3vHlFva/ILRnu2E8w5t9tL35yZpiJ+vvL HgRcnGOh4LGe6j1m9G/JtECVGzadeUNwvV7i3oX2HC7t7h+0QI+yMXsGuG6hlfftgNcuyxdj3loi oPfVnzP6gFrsZUtgupbA1ymxAPKoodqjjUdkNCGPNAMs6cpJqf7QeCGxIC6EVdrs2OR1P5nIEVb3 gvn/xWE1zw4WZjpEjLhjYceC5JA9+6GPHKzQnJRc0yQO+oliLWsiW6iHKyYVq9I4qjujj7sCpo/7 WinvCtpnQ1de2KQroPRU6EVthlu98PpbbVDKX//AFRJjj4njyNT6pAGGe4TnLIj48/hwnRQBlN8t 450kh3K0ZCkmqcRBpmisg6/2BEFQa84S1p8tMcdmWEhdWkCLNY1X44/BBNExD3vaPmXXrM661OL0 QJfjXhShiQytYcvOR5BKbldK5qnDuuQe8gRXwXa0P/n25kYWIGQ+y8ABSjCUpsp2idZIW68K6gzP Oqj73qr/DkqdFX8hylIq5dnrbQNfsLOIOABILwpPFfYbVfah+HbLcDbAGUfZidAAgYD9Q3KrIxOG /XpHMcd/I2zp7jCwRkS31QXIGhNSJwYn6lCIXasrqGLncmhRhk0kfv9xr8z9k127HWyaCGZsdom3 FD8RF7LREDPwks4LxgeUcpmWqFjPQyZdrjG++gfjciDcZ9IiJuDqiRZBD+jtoDJGvAaBFS/2rYF9 rFU/l7NzFWDS6iY/Xvyr6Ei7ZPJH+cLFtrwutY2y6gAdLAKqaknAN1Op0Ztpo3a7AzlZj5dAGNId hd9HnMLW+bJ6sdGc7NBCY6mjAPjLz6PI3suE3OzQGY1oHtzv9OJoZe9tSNmb/L3n1n1ukaG9NrrH qg4yzl0s02/FDK1QgoTejbKx9tFd/g6vnLpV2mbsw9+hXVmmisYPbW4EUgeGl56Nw1ppQu+dY495 7GvthQQtCsC2X4QiUZ/iJ8wDUFzZ8WcVnLvavibaM4ltE8x5cA/L28E0zbXAXP0FD0EMRzGmZfMb yUQ2xDadJQ5voHq1LQAWqjucgeiA65e9qCwdfXrFKjLq/JEWy7nMEsLRkwlEAUS5JnGqZpxw88FU 16lfyFVWGXWqvWBW+U2x1fWs9McU0/5UvLgJKSVyHgrt1qeAh6y+v3jexe7DlfP/mwQG7rHllsH0 9TsH6MaHbvlt9eQOdktKLBVVLl8k40qQFVFd1j9owiHyf3E0sTwk+LH5NokwN/rCF8L9UNEr0sdr yxnAt+33fCAS1RCGfkh8FIRDJ6O37mTN2n53NZxJxUov8qK+Ri+0ZYgEpOvshOtbshmKzFs87XIS IPfo1uHjBIhkuD9CNWdK5eW4v5tUF/etZaWVGeaTMMi7S+XAYH45o0JlulK9l80HTjMq4ef60bNm 6k57P+n8QhytIM4vmR4MGYfo+MzNvDliJjQkouCD7cjT5XeQEH/ps/vfspwGh3q5h/C5xH+PLyIh 5c8wUPWMQofhIOU3IGOLjadkcJfIXjl9TvCoT9b6riGSyN2MINAEwMyR2b8559G0qQY7RMvfWKoC +5+UeVWfyxDWp/oTsSN3yMnOv6OUM+h6qTs5YkrvqZ39R4C0j7pqPUxP2RawB1qOJiWF9e1aqu46 xVDWDZpeoZGMcKRFTvyDVjN3aHhrtONHGPsVrIsAq0/PNaT4x0KkRz1hRDGmof/4/vwF1H3LiFNF r+bXMS9GcdgT8pGfGzraW5RjVI4EaejtaqQ+FlDN2KJu/bpl1Lp1TbcxwbB1C8jR0DYysQt0vfPm cLCL1RgXRsiJECk/vJLiCDSN/o6icqz9NTj9O3lzM84hsj69zMgoaZaCLbYOpJBh/NV65xfv/fZ+ 2y3BdYf3IKeAp6cpb4MMLDfDP4YEVWOK4xSL5eIgqAT+DSLiej4Q/8NzLRsMxzQya5OHIReY2EbT IQEn8zzVaxUwL7PjGiUxsYPJzFmIR20NF2ITCimwI/id8TgZ2Sk6VQvx7chZKvh3cqqcXZcxLs2j ErR7/No9pXrX5bgKCycclBDKU6UsdH2d5cffObhTCyV+nZfSNvr8NxBIXl25GcV+U6Jce3bqZ0iu K04mOkRfX5lqvG1c/G9gqXrDdCl7wvEUQEiBH0mO3CJ0ECV8r0d2GZehsNcyJ1WnrAULS72qaZ2X xAQLBekQbehGFAuWt9tYRJuEYgr9jFtAxlb2a6H3JkU9F4NzkXZTezgTqbWlYrzPsORlZ9vC5K1M Zx1vBqU7jl0cQdkY1l2Dy9ca1b0IEM+hX89u5TSJeIDaudXbcYSDa2pnTeLMLX1pCtTZxla/zlrm v6zKRBwHvotDYM34Rx03B5vtnfH+ee+nRd/lu7tjvKLDw5vuAP9giLGNteBAtMscRjNs7QvmWDFy M3K1wB/rBb5dQx6zxASdpL3n0QuHZ4bCOOR/witEWaMwgh2B05UA1vhHLhArRm2498SpuR2ufzyN gMSpBeZojA/r5H4UcP4af4SJzx+NU3Dj6s93PIU01UwJTREEGB/XVsM/UvzvKDUzs7OMzOHcCMAZ 3Ow3uIsO+czpGo5YJGAni10ngCl0r1p7hqniRa8SLNwiFbbD32W5CCNVZrTwPF6WD0oTj/e0mhh/ 4O3PrX+YjQ+DaZAkzUbzvc2b0TnODVhn7TZ4Oho92rz8ymeMHbv1Ho3NXB2+e+HZmXlLuRNC0bE0 MuYy4SNievP0KnnjeHxMjjAQIa5tbx+59haW8kYYBUs0Swa/QvoyfGbwVKfyqDGOrCt1ZDQcBZNz g+FyHR7z9C33kfGn2T0A5kJ3dorf1UoHcd7L4rvXZjD/pgr+rhS5XBd4YBRKj08lAJjHeEBATw6H o4REYjn/M+Gkh9Ij5Zd/9Pl7LFhYTacupbhct9clnGIeejZpnJWqkwQrkLDLb7y4R7H/fjpltPn1 jdtzScSF0hryOgc7BKtChE86a8C1BMoUt3NFW6bsDqNecKnHiBxMqYfnHf0pkWUlIo7Cxhb9YrIi N+rdjntY6UYIXYioce+lVI2MgDwhN5rXLSLC8mG0HmgH5hKlV8b9rUlmOfI3rWW2kC6YiElkZ/41 1dYcxDxaYXtD11rzkEjXLeWNGhb8dQxk5q5pobyUBzouukJaimiOWrIXI4VroK5EjBMyzVXvZeYT NRmXC4t6vmLAVI6mPCjhYHeKtHH0tGGsznyMi6ODydMXp9mcE0Y2eqQGWV6MjXs/OWZ9HMdGN5pB ZE9KVMVexCfLfynSxXvuL8xgNDFP9qtgUdrsiwLccVGMBV+BU1ArF4sTtr9+oRRWPrxy1+FUic44 zdhRH1dc1l3SyQKrPQrAuVJ3s13JlrGjnJGstoWtu7a8s5EZ061QZEmD0ZHrrjPxCN9dSoXO/m0i QLvEX1xtk5i24I5CyJUut2Q8hUcPlTxOePIdVPJal2RrdrRsDJxQMiAvzp++ChvTyrNEMbBvXxxm 4Vk6Vwo72b2eSUUQKyWNVHCJJG3YrADl3ATeDKr9Jr/z35EkpbsVRCDoVba4vxUDB4MlCEv84mzq s5GdKnAQx18aFj8qUal+vC5KeoZRRl1hvHkUxYSMFtmlfk+1tn7JYrIX+amcaudUCSSF2tUWg1KC ttuCe1oOrYU80ytLY8BKTcALLLC48Z2TEac0iMvKVQwLHfyWq5qfe/W32AYVlOZjgHCfH4mKBGaF jCKLLsEJ4LVYx6WVP0Rglttwf2IaCjHZXV5A13zajdPJzAbmakuRP3uaMraJ9vo6bn9HJaJl2xT3 cEzHp7ObYQ6vbkAdpsZGNa2ItQ27Hix3JjwcqScC/HF/dJLKzAlBXb8aySJlvcwG0dY/18ZfUIMY dXeXlQWdUPFfL8OejDEHkYpGYPwcomyLv7MisUPqIyHUAkrQJuygmb+mw6DZ8bbvMCRQJV/Jgq62 NYVc882hgh3jiIzfA1eXt1zwjTkI6AcQUJzdUi/SGqnqqK8cnHRyps9vRAC920Z3vi5kY8JM6GSO wBNdHi+5lV42sWK3Q4lzh18Ep+1pejBhp/7VIUyGhMuVbaTMZ6JhtdCbktJgCtfqAAbCOO+pL3lw mDHJw2zKFph5XhflmNS++4tDHlgrHxGTyvGHHAttthBl9exjDvEHws6vvMVHQXn8YW10DWz3Xc7f BR80tiP1zMaaE0vR7ArrMsMZF0H8b0wsdMvZaYrcYD8FuqEEQRCdf5DOX7gPPgrpGVpYQRoZq5PT wg/ElOxJCh80dEIscWSeMKM4wOjoYK3OmwWLZNtaVVmxVQPlFcXQ0fJgtk+LTJonso91zedETT7K Nl/CjHBn4Gh1fVFNtHSqKK7RznggU8iHAsPkH1XI+A/FPfCuYHFOJSbxUWuuK6RD3xHWL4p/DVXL RSqnsJRJ1K0ZOZwFkgrJhQXd3zNhybthIryHg6H7RPf2UjhTq+GivWPm7dfE0BHO3wCpdEPqPNye aPt3lStyycfkyYt03p9pkVuyNDF4AHP5Ih6dRY4cApLGqCSixwpzDrEGNxxL0YQf6dhXPgTw9IhA DgcexKPWmjmUnjauA1yaLQ+mi3LFzgkjA3Z1RUziR/iqNRZyxqUk6yLceIyqSxs3yQUtqWJoU8QM fSgSya84hWxdNZxBXyobyMqX0SyxNk62j10aA9SDgu3+YDicw/id4obyPdrugTbguTbo4LCGXyCX w862aaOVICyUuKLva9EwwthIy2ReCuDb8SMYYuBfLXucNp0fLjBZL+E2vIa3l9HUjxd60nQJzi/n mcD3tWIUdmnY+IwH7hiIjN2In63vhiA/oH6vGIpw05wPWcGMbCaXTV00INgvZJTSOsf5djDZE16q dhwhulYoEDJXmpCsuoQZalIjERkgKZeU3Jlx8qUVg5+oDv+HswuDPOUPNIi3eDsnngP/bcwEnPfs bfjdVWv7LqPJpcxEFoATdxFvYPTYCg9hUsAM5OVIYAM8eOw8bIpUX/fBpLcCx4KQXgQjJCuwPzxm c0RoFvnak1EqLM0w9EahViG/DyuPAVUeu1HSy+nmpF5Rply71GC3262xIIZbqgqsbBAvCTK+xGrK TuayMzEVuUWVl1ypUOFbUPHcohzB3uB4qWJpptRNHrFmoGMQPF0OqJk0GGHLU4202DY1MtfOJb65 4xlwT09zIYM6TkIbUwvqoIR71ff86/KHvWJnlqix+YZjbmU8hzj3p2QH+Ij8e4eLgVV553YuBj0h Soe3Myn1xhxH+BslN0HK5miRKi48AM+BrJNV3o/XFJh2GqctDbkJxkfEQMIme5sTAArOhqhaBwRK gL48GuNAKVIXQ3HEhqyAqUirqumNNgZsaiKoOz6oFT8/r3KyFQecs41ar29kNmdnEli5JsTCHM3C 75Ct54txq7zVGFsWa7N4fBXkdqeKQmQX67eYvU2RATfVZDzOj7N0vhZ6X8TE6EyEb0LLwb/l+Mvg S4Os0aP5Ak4OjWlF44y1vhJNSuj0CL8meDkv1ElInjRBIQXloib1pzQ7N4W0u7MTerVWeNY5MmHZ tz0CLhzl4QAmayf2wogiLQapQdzbbfWFSfxB3G9ugV+fITGxJQWufmTWqWIbR5yKEYukvTXAihuk IPxfr5Idw2rstLPjOHc07uj5Jbv1qtCRlBOwCcQg7mJFpCBD2X+trL4chonSwzjMQxv5F+JYSsid 2JVk6v98R39amoKoG3iHKBjt4xRkF9CDejYEoiqcmJZ1KeiRdTuk3Fdj8TULheO2WeWyqfEzDwrY u0MODN5y/6Igmq8Mq9Iiwr/DMiL42omUU7HNM8q4h2HGG7Ybx1dbuX+iuJMuK1T4rQq356APzWTZ uv16uQYgtSKFCSlG7IluznuEzqif6rBVdRv6ZUAvAk/g9dELlPcJaz14A/IqBPLPBvmRc9jjVaRy VQDOJB6Dsn5SlFe2KD/sZzaAIsOJqQOzgUBCZl/fCcyTSsbV3knk+FZVGK41Pc7Mv/hi/D6uGtcZ 2qbihm6t42PruOmXFpyT8ISQra+LFwJ8wNZDxssGe0DpkKHDvxDvVIQq2Cw7PswJ4ZHxHASGrtbF iJu0j4tviVzzPCOI5BgHJgTcTBUwWtGHGMgKxfcmNTNQ0EaFVbO7wmg9eySIvfBHCtKwruDdLAu/ y9YdqQRC4ihcHz3LiCgFXk9JuE8/7AUI/7RKCMzRCcKKZ9et3lR7E8dEaO9aEHqtvNqXT9GLEX/n pRc4+6IsyFqqEiWVP1HuTx/uKc4MjPXm15TKF6hP8Exx1vkzH+ipUzk1UP3iPBJslDnOIWPUZToO dMGc0wCt1vtJ6RvSVXBVMHzPjw0U8uzvCk8rjXuDESwMAepVzSYdQR7QVUfKZd6Yi3IILO/1y/lG 1s+EzQOCT1SEd0xq3sqba/m+BPmXg5Y3vwSuFC0n0Q879b0+g656SpVwVWynIJFOOpU3W/vbapW0 NQDo7lxDPzdvoKq5VzH49MVD+vahXqt/yvSy4KHMEIeH3d1PYvvA4PxtVzuqoNZkbTbY0g3nSpqS Nln7QKjarNzrEqTTdEGjyZ9ragTIHWi6hFivCOArOVutx9kOuRLdfbVcnP5unGm2dZaK8M33JcAD PJsQboW5xAaqmxhqWGos8OLgHcRZMhdN1G5ZncX7oFD4ZawS91t4nS5GFlFmxHVSdPzxMVgn8RR2 uD5iiE/eR718a7By4ysfyBC+lrMckhQupuBo6sIjlyUhGQxLeIMuhfr8RBw2lvvTyK3xb08ODRTY 8F6iFt96BIwD6SX/KdMqn79kRG1Eg5EshEwKSFXEpbuB25eO4n82IIRh3eqTC98rg+GHqEGRXbVd YBRyRgIhyyMa7SrN6iOKvT4KdzqFrr1gVIYmNl4JfDuMRWZk6TW0+zrVGjdZg23Sht3/IZKBeCPR ZY8bHtu1T9rUzg== `protect end_protected
gpl-2.0
0b2a32934c988c022c4d081bfcc741b0
0.932739
1.881323
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/DC_CTL.vhd
1
2,585
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); RA0 : in STD_LOGIC_VECTOR (3 downto 0); RA1 : in STD_LOGIC_VECTOR (3 downto 0); RA2 : in STD_LOGIC_VECTOR (3 downto 0); OPC0 : in STD_LOGIC_VECTOR (3 downto 0); OPC1 : in STD_LOGIC_VECTOR (3 downto 0); OPC2 : in STD_LOGIC_VECTOR (3 downto 0); OPC : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC_VECTOR (2 downto 0); OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0)); end DC_CTL; architecture Mixed of DC_CTL is signal OP1 : STD_LOGIC_VECTOR (2 downto 0) := (OTHERS => '0'); signal OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); begin process(RA, RB, RA0, RA1, RA2) begin -- if (rising_edge(CLK)) then if(OPC = "1111") then OP1 <= "100"; elsif (RA = RA0 and OPC0(3 downto 2) /= "11") then OP1 <= "001"; -- OP1_SEL <= OP1; elsif (RA = RA1 and OPC1(3 downto 2) /= "11") then OP1 <= "010"; -- OP1_SEL <= OP1; elsif (RA = RA2 and OPC1(3 downto 2) /= "11") then OP1 <= "011"; -- OP1_SEL <= OP1; else OP1 <= "000"; -- OP1_SEL <= OP1; end if; -- OP1_SEL <= OP1; if (RB = RA0 and OPC0(3 downto 2) /= "11") then OP2 <= "01"; elsif (RB = RA1 and OPC1(3 downto 2) /= "11") then OP2 <= "10"; elsif (RB = RA2 and OPC2(3 downto 2) /= "11") then OP2 <= "11"; else OP2 <= "00"; end if; -- end if; end process; OP1_SEL <= OP1; with OPC select OP2_SEL <= OP2 when "0000" | "0001" | "0010" | "0011" | "0100", "00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", "00" when OTHERS; end Mixed;
gpl-3.0
dd02bbcb1ad95322cd1c8db0b7f386d9
0.529207
2.920904
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/arith_shift1.vhd
3
7,754
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block bTY/vJoxYNaLkNDyr1ioGQ5y2E0bqQO10DPI+Lffj+wd2NhBGhiXaHon6coMRH8/Ib5HGd2BXBo3 77MDEvbEfQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block h27H5l1gf1zd93F4MzLfvjhRfEIqrarxrHe3x/D4HYslCKvFZDCSXf8O1EkChybv7tPDsGPWncBg tiajb/xnoWgalPXadUyA9cP+5fup2Re4RGsKaJMOqgcE+T6kpmNZjdLZSmwfnVaT+UgX8EzLjBaa vDL014PfoZVIHgy1RUA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IxdpepEoqsYrgAKJMGtS9h/PQiKB/pDGWK34cgOqxyh0sVCB3xIBhMxJrO5dLmdKNeGAm3bEfD7m wOkAgCli1yk6bE9u1tkrpCO6BFO9pYedpMq/0nY1IOz/2eSh2Bdyf7iICZesGrxbPeLyN8w4uQMk w7xuBeVbLCJkTFZgAaG4uNlthYafUIzIsSHqpch2SC5cI9Tv3ZiLbitKGQl2aSFg2uVNeyGAB2J/ XYjovQBT3nzgul6cL1/uxs6Chp5Yio4kQ4n5qcQPRQ7ibqNqaEvDcyyCrclMSoAQUh22rn+ATq0K Kf4QovC9b9+NhBZoz7L/Q2g5/gcfLsQDYQ9mfA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o+OoztAwHl7Ztsmi+ntuL0xHUaBgM7SBIv/LHJLdtZW4kMZLuEtu0b7PvDojItg53v7TcBUy2mtw 2XdOy+2ccTu26Vg3nTbsWfhESfU5fgULUqjlQUScS+VX5zCW2rMQUS73xrSS7+qVVanXtKb6iRx1 HE62LkXQ8oFC5wThzT8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Sif4Y9ArA3Qr/l8K1VCL9tylU2PeLNPw2RhaCVWzNXH308cpPJ3OCNOAaHEC7o/WkPO5FYN7eefy bCLTrTiY7aD6ESZUdygCRuBvM6fJcgkh76XVLV0hHBEtu7u4JOn5EOpoRgszMKCjkjlqnHFuWT97 48l7MFynv+pDnjfd49qCeQUinmvVhcuvLWny6cTK9dWwTtMSg1PcvuIz3G/EDyPXIy0sxj9NVpyc YCmUsY3WG6sIk/QwPmMzO9NNmNV4viQh04cmLUg6GI80wr+i7NxSJx/bIq89CBShPBajydTuPBYq Ge3yR5XIpbzW4sOFNymgh4pvhVQp8+n2YJqHCQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4000) `protect data_block IGOEkE4JAfQySk7SPVUNVwdG4llS/Mp23/H5w24yCzHAt3fxg2U/xkbAPSTJN3u2Y6IbV1OpGmNI 2Xs2sdwrfcf6u7Lje4WgZUTiJ+JEvOWBP9qNIVFglADUtJDR5WobGY+8/cWCVtFQ7t8MSKtZZZqJ rJumy+mfkvHHfZrEmda/pFvH3Y/b/vKapyA30iXQUa/JpKIwr7Nzg1PUXCYCCtw0tZyiEUf/zJni XhRG9azASCBaF9pBfLKMbqN3lw70Fs2kYlMzylYS/yl440+QxYPE2DnXTPibtp+QKhQSF8V99GN4 L+Ohtx7++vIDWeRdWmRK0UQOHTYlGyFpEs4roynnxm7u5JEc1rWmE0kfLMvmZcw7snl2xhFe/SUI Cb0VxGKB54cV1d9QIHPjPB4/AsLLFYrmej3WhYkcmyIAHNiDoWVid1a2sdbfpmUm5ep931f2JArf q8KETPvF1xAzvscXFp3mpuHLbd0XNqEQ6b9loz5PDxZP3NRHLIkZrP4mMhoR6LwrbUdGdekt3Xqe dJ7Taye5XyciutoSAW6KQqNO/hGPCVoCgXS1yknmOVYMmrnGuTdFnzInyBJNYwh5g8/zUVLOzuJm RORamLPwRiNPQlsEghIDoe5S/SJX13LBAxv0tdukJ/gaZwHYaIWBjOLo030yEyWtB+nfOt8unb3Q NQcCLZCtvq1RH8IL+KWBOke8EHB5U/WXmY9wI0q62ked5Q7mJKr/Ar7dAmeX6WzCjtZ/JFUsP/g1 okjkNakMHCGRYShw+WOrTOkSq1oqcGiRgp/DqMZqOBqmblY+lp9X3FwU12947w1bu67bpEwoNlKS WZIVAfqRWBBIXY/9FDApmpMZSyh7LBZHFs8DCGAYdPEmZDo771XWwcBaBJzRxPNRdhvf6Fsiu6hD AQ5t+Mlb01Z6OC7Uqn+p+RdBRIwJTojkyIAVahjM3qA0fBTSMX6L8KUR2q6m7pZh4c0DiNuqvwLn g205S0EiJSD3VgeJdH51Ry/xCMx4q+QV7RF9erDwJow3JRmaNSv9evMMWnMd9LLOc+9JSEDjIQZD oXc2lkqY1TnaSN8xwfaVwQE2Um0LzM7o4q0M0ZKgOFUe5SJfHC6MMtyPpXnPPDvjunSI+1ZSANfq PIB/LbWHRjfnP91HA6+XivzYtpaBM0U5M13vYjyWNaD7xSpXqizwZsy2Ld6ccoXzn5v/JG/qJu7R 62FdnWOdykRS2YiF541j+pCpEsxhIrNCOUWvy0pqvagXdRIXQkjMnk/BWh6qeUxIMx0Elavy5tq6 mQvOzjw9/JYv2WxhYkJFqC78+/sXp5hSU5iVhVM2p3ymDzGQKZyPtfjdrI8UgZ1AaJ2lvJ5yv+1S qPTvo0CUrA7tvm1SWLfllfGmhJVi1LDA5CCf3BgGn7H0PM51kuRECeib3DTwIpGqEosd2qrmU5jd imVwgeEIWzOuGHzzT9M3v0G49RZStyx+BdvkXftrYg1JdFe4VxQjfuMKFIAHcg1PyRu0CN8tcBqp G82NWtv9h6Z7t6uxxxMsjHVEgN0oZLxrxFybHMWTzqdJN4Psp4er4BrPbM91qUnpSakq3UhA3iA6 061n2PfwarsNUmC7jS/+kIdrf9tjdqKWspPfLosVcdR2t2Wg5icQtant4A86Kpk0wtfoi0BCseb/ esSGJtm9onH8W0cU2t+EJd0FrZ3WXUXXUdH778iCwbCwXTfQ4i6iMz9DfekYLXxy/dxq0BlPLmQL 20I2SRCywif0okooxRcYKkvjlgv7zxJY3TGqn3eVYzR5nWn9rs7O4NGSKKz0pyoDRx2AICWXWSQF YzS1jJvVAOqIY22EcPH1Boev109fDu/3/sCB/sa7oGSJlYiYoJPDKJyHLEE0AMDoNt3COcFdX2GH IygS+fXSinPq69LZPN07jsJd3AeC50T9z2Pb/hJRfW52pblDhBjQwwen+Pms4lS44kg538Yk6RHB yA88aQ89uyT3AAf6jcGRygeIiZxBgc1GPOQmg0x8V9tYZ7JVZ9HoaWVQPCtZT3kCSfwTZ6BQd6HG zwLwZJgbEANaLGR7yjyZtb3MLFApNzU4qUdcERwPdh7iLrv7IxgsagYNxu45a78FYDgPJ9Fc17Vz rzgpH+xqdzSe7JAl4+SyrvoNmcnf61vLHgxZPLDqb8LhU75h+uj+qDhnArNyav/z6TLiijnk4939 OL3eAmT0mcWBdWhUmcko7tqc+EwEK6oYLaHumtSoClZ5fstk3apDnYEF/SICJ2Sc+RRwILkq67C8 ++vtkDEG8NVoAumT/1oJVgQdWx5loOb9l30JXbMAWrSijyFMh6hrwDJ8M6JK9UlZ02Eng/FV4C1k IRg+9j/0i3snBaJJPXVelJQjklUv7so/xiyweoghp8qY0KjX33nAFb8wqmM/BhCj5pMB0bK+oucy R8uP9zwJsX50P36e2Ssna5/t2GGxbnHnBvCh37csDT7tkT6Ax+E1G5cC+dtUdl4o5Q3JpJOekfKx RW7wcdNzMVtqflZZD5/uDW5Zr6c2xg7aRnzBDmuHCVXTxAe+hiPxIivp0QlTMYHTsknAvyb3PAcP Cwo35B4Ejmvh4yjvDe14+9CNt9+eisi8Fww0BM+xaChWtIc9ggeKJsN07+ZL93ZjVNQKxCBny13p ilcnExPafnkPOexJ6vA6dwbdJPjvG65qUL6VGaRX4KOHck+Y5KaSAVnlP3BEbYBkU41J4Mnk60R9 DycyI/FzzxXyAdq3nIRBwbai2xN5tG+hvsBzB8RWMLKpm71f9xsZ98i7pY1Dl9A/n4BrFtA8IrlB Q9uOjAR0EllsjHITBXnr8SJRB/z5vTrg1EiQa5SyvbX+wMx25jZycFhY1xqW/fBDcOEpc1H5od7l XPjz6oiFML17vICbcyR2nrTUsfLFrikAe8VTJa20vytXSjfLL0aBPvZhxCSR7AFic9an4HJUwbFb LQp8Z+5sKvMCsiP08xzW58lMExWLeZwgM0DzMHmIjJcLc/9yWotq2+HuyNK6foaDh2ch44oXrC0a lHx+4/YUmqJrw6aFjCU4MI2U0sIAhGn8Gw1kczxA9CATxGAL807wBwrOrLTNrr0Vk+5SwWvCwELr +i3cuJeOl3tiqkKMFCVoaNwp5lRmvNFrzg+nXBnjbIt2Q+BOQBR2aCPV1Qak/9/M6RparHQ547gV qqPaXhN0RmcrSK4e5wRvtF2XNhtPW58oEXQn/p+nfkn+mt4M4DhQaBiuuV097N61TrLeUyl3rzOs CVHsf+IjRb9XUDpPoGgq1gWiD8oM7cha10HowLgLHlU00RlGGk3PwH/NY/K+rJLMKUKg5tco5Hwx ujUiMTqYYwIf9reCG/Nc39/bSzzhgZkPXcQweM2wfMXyh/0gk+mPevHR8vIQ6SsX7NXxrdp1NbWb VwFd/ZhbAms5b1thnVtUu8S40DLA36jGgtfmcQcDNx7RhPWCu5KimCAfiW83J2IaAKZs7/QBg26h QOzaYdjWhTWYQksZqyEPU7IoqQ1V7CM7E+7WJSzwaAe/ICZxoJ9h+90fbgVtiVrox5qc5omz85TG OKQOFH6zen1EKhZczQOesc4SHSs7LHiA0c8GlhoMph3bXpgORvJRIpitP3FpceboGEk1KFQJHsr9 w48KOZuS1DwH64dIJebSIVT61872n3S+1ezPsjeXdUz4CKqposlWkgl96tTY6wDumkQ6iHa4j3oh kc7UnqbS+msDq/TDQowt2MekMW1dv6U41AzMO4jcUoYKbVO6V9FLTLexjqG2sYAzEV7nDCfA2LR7 uPIih7XIETMYhEcUZFCUeNUMmly328caNf+I5tUGK9Qz6r2vv0agxazDvURWZR8VHJGSIiFDaBwL X0hW3nFMaQQ9rM3LQQYpjRWf8zLaFK0qAlfKVbikGXczdU/iE8Hl8ZV59Br18Voj4YErdNHTd55j YgFQ+xXTC4lMkCfhwWQMsRVRx3RBkGYFM4K7xqRs9S1TpJykrElCFOvuLZnqk/tTnx1GeF5Ng69a YdthjPUHlmnl6O//F6wAFH2rTOlCBtd8RiuP+7/7vs8rfwYbLUodEjiKTnBimTtVfexKjzDl3QlT yk9rV6n0xfROb4c60WWn1hLdh6zVarBLcJlLxHMGrFMjYur6U8cBkLx5OOThLzgTs1K2KCYaUXWU onr/uJLLMOWZLYzH9DmzV40lrJRWOV8NPSoR7QksqsAc6C/9fOnCNmrsFBSBGbQZK+wxw2RjKaKu zRB0GRtYeGSmp++6QNWJ2wqIHMeochWYtjPbTim/v6i2nyDjnSGk13h5eV5LXKFp4dZub2/5vyMx c7cH0Fky1OpbPmqnZG0Z4XAQBI7Se3l9F4+/hJ2d6P7k9rSUVv0qZ8Q/dZHJ5goK4TqW95aK04Vp a1D/MYgLFxDblzcDG3f/Tkk/sJ8xm1trjlfMeCXk260YnU/FHW+7LkFUGVza06B1o1O5D6ohg+QG HkCQ8D5GDchS6gRkQykwsAaGqIC42vHLiACkl+3HL+JqM42FHhGoaR96Lde5QAhVezYJKEm3OGrt r/3i+LklP5odZVrc1KUtJzN6w/Z4IUaP5TupcxD6BylxhI3RzRa/t9Hkjk3AQDV4vYVxR4JusZwD g8An1j5ILGcekYYrsCZHlLvhKrU6SDu64Iq/kLhycY6znoaohcIUsQrM7R/xrOval8gvZt3lZcUb VQ21HjE3qN5EeFgTLEkkmbA8wvJrTvkRlQM1dKS4NnYXr8h3PbAIGifADoL9M3qWPhrSuBO+RFEC 2+UQKvqZggKIG8zV9rigBw/Ijse1kyKhbMf0yCJCGlTktJ10K6FO69QGZP8W25faoHmYWj5QpcZL Mnat1ACx5/EAuiph1mg/bXeiFSWx9xHy6bTUFTTHWe+yJa/z451NrpRfav2AMu//u685nmoY1hxH j/Cata+8gJyStJUAOQXkjTI3ig60KpoiMEK19ZBw9biWhzRWMvEHn4UwtfaeS5PxcN0il/Ce7Jc0 4or2QSWIvL0yxw+ORN9JDX3SxZibpE9Bte22ZW3CZoCkO4HRIuUrcIr3/gD3tsDG+EnTN3ki3oTk QQEjCp0qwWEYOAOeF6tTMlHeigyBZvmq54FU7Zm/zVsuYAv1Xc4IrUWanCGKVtHxl/aZdAZc2ZSd A59phQRkkkpPwsVZpbHSmWWy+kpoyBiXe3kaQ9M5Yerfgqkbo89KOYQIVzLaqX9pVz62o6otC/tZ 9ckGBH7/wN0ansEcF+xgqfftw38P+PXTkSeboWGg2kdw8qZqqmLx2DHhGEJ4MJAcq46e8zHsvF/m o4Du7n9r5IoTlA== `protect end_protected
gpl-2.0
0a2c998f86916c748f9b13abc94033f8
0.913722
1.921685
false
false
false
false
amerryfellow/dlx
packages/aluTypes.vhd
1
1,686
library ieee; use ieee.std_logic_1164.all; package alu_types is subtype TYPE_OP is std_logic_vector(4 downto 0); constant ALUADD : TYPE_OP:="00001"; constant ALUSUB : TYPE_OP:="00010"; constant ALUAND : TYPE_OP:="00011"; constant ALUOR : TYPE_OP:="00100"; constant ALUXOR : TYPE_OP:="00101"; constant ALUSLL : TYPE_OP:="00110"; constant ALUSRL : TYPE_OP:="00111"; constant ALUSRA : TYPE_OP:="01000"; constant ALUSEQ : TYPE_OP:="01001"; constant ALUSNE : TYPE_OP:="01010"; constant ALUSGEU : TYPE_OP:="01011"; constant ALUSGTU : TYPE_OP:="01100"; constant ALUSLEU : TYPE_OP:="01101"; constant ALUSLTU : TYPE_OP:="01110"; constant ALUSGE : TYPE_OP:="01111"; constant ALUSGT : TYPE_OP:="10000"; constant ALUSLE : TYPE_OP:="10001"; constant ALUSLT : TYPE_OP:="10010"; constant NSUMG : integer := 32;--Number of bit for the sum generator constant NRCA : integer:= 4;--Number of bit for the RCA BLOCK constant NCSBLOCK : integer :=NRCA;--Number of bit for each carry select blocks constant NCSUMG : integer := NSUMG/4;--Number of bit for the carry in for sum generator constant NMUX : integer := NRCA;--Number of bit for the MUX in the carry select block function LOG(x: integer) return integer; --constant adderBits : integer := 2*NSUMG; end alu_types; package body alu_types is --Calculate the logarithm in base 2 of the number in input. function LOG(x: integer) return integer is variable power: integer:=0; variable index: integer; begin index := x; while index > 1 loop power := power + 1; index := index/2; end loop; return power; end LOG; end package body;
gpl-3.0
74c322c78bc65f82417896ccb7bd6cec
0.669632
3.048825
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/Project1/Instruction_Memory_TL.vhd
1
2,004
-- Company: Team 5 -- Engineer: -- -Timothy Doucette Jr -- -Robert Mushrall III -- -Christopher Parks -- -- Create Date: 14:26:47 03/31/2016 -- Design Name: -- Module Name: Instruction_Memory_TL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Instruction_Memory_TL is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; RA : out STD_LOGIC_VECTOR (3 downto 0); RB : out STD_LOGIC_VECTOR (3 downto 0); OP : out STD_LOGIC_VECTOR (3 downto 0); IMM : out STD_LOGIC_VECTOR (7 downto 0)); end Instruction_Memory_TL; architecture Structural of Instruction_Memory_TL is --Program counter signal EN : STD_LOGIC := '1'; --signal RST : STD_LOGIC := '0'; signal INSADR :STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); --INSTRUCTION MEMORY-- signal ADDRA : STD_LOGIC_VECTOR (4 downto 0) := (OTHERS => '0'); signal DINA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WEA: STD_LOGIC := '0'; signal DOUTA : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); begin OP <= DOUTA(15 downto 12); RA <= DOUTA(11 downto 8); RB <= DOUTA(7 downto 4); IMM <= DOUTA(7 downto 0); U1: entity work.programCounter generic map(PCWIDTH => 5) port map(CLK => CLK, EN => EN, RST => RST, INSADR => ADDRA); U2: entity work.Instr_Mem port map(CLKA => not CLK, ADDRA => ADDRA , DINA => DINA, WEA(0) => WEA, DOUTA => DOUTA); end Structural;
gpl-3.0
8a4cb92f4f71e50c7a9797cbfc43d38f
0.610778
3.312397
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/arith_shift3.vhd
2
8,402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PU3oAUFGsFPgT0o5gbhKeu4bmu34L4PPK0hM4aeFQxIEVJKEUqZHRZsKrx2AO+eUET1cj2sBX6aX LxVpXV3rxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S8AeyY/WNF9BcZa4sVdnUvG5PHJj9sVDZcrq/rZJ9vBm+8/TMXE50h7Oeu1QH5lqQLQMTMKbFeEF BNdF/F/JIdhkBy746DlLyG4+mp9GExe0nhc1+Hu4qSi5ZA3bOxfsK9G7+DangcXZdhFaS0bGG1ga MLG9xsswK2SCu96PKUE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JGQaBKmR3dCoLaV6+F1/Bh81NTzgd/vqUDd/O1VxBAwD3WiBE2jkkCnBnq9rCw0ucw/QsqipKOh0 psvXBug2i0+DsIFC0/WiAQwjMw7+NxKJk2C0e4fGJSxIKofjSDrWWHFamZnn2afWViTfrG82NEST /bhpvXA3sKcjdz78cgIOOTHCUUtG9wi70UuI96UxH5QgYkyqDuxKs2pZ1fqcIb3E7hicgWPAcAqG lTVIglkJhbv3Q9ILhppx4Idy2BiKvlHuN92y0wxXzkJiDqtFEcwUxW2LYi0OmJr9eGam4aBFe0A1 RDPWV0e5kEtPM4a9TguKyFZOh+GfH8B0+O3SNg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block szBzRlY4nQznUAmAACGAOI6LcIXndi0tGQElpqtkeIU/eEoe8ljmDqgp/rN/3AIl3L6DTU17i1Yv V7uHrWQ4Mj+K+NCwfdDq/lhjRrEppiotfa3bXqrX8OR3qegOlZlj6DKdugmiIuv6GHp1gtKYkhP/ vCQuD+Haz+XJogWJ4mE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pbfOYce9n3gjU0RUVDzP6HMmkMJD1aM955d8R5rX3oFqUPKIk6FcTlPLJvi/8WQ7PwI3auDxitv7 Y1OOVb+gasOwx1TvQrVry2Luf7HbOl6pHWqULRvsKrxFmEi5T9jDNjxCzbh4uiF33hNKg3UsCjxN XlNS+Q5h7Sj+yTnop54FLamXR/lnPk/ELLc2g4PzFuCxqYQs6MhlOHO4NIkaKu7lrKCjdKnmRY2x Y1FmrZd3J6pycnDf2A4wFLtdzQ6bArTnyaDnyT8HqNJZ+KiPlHiqyBUhzvH/eCfecErySlGf4dhv 2CrpYut6w5k5XTv/vFHx6zf1oxKabXB4nju9BQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4480) `protect data_block FmjsnitPGG5P+TXJyaOlAO0MQ4i8VFsINwVzu5S9qSKbZMi7B4qUPAs5R7ZEp9CjTZx5chqJ10aw lcFnMtuXAH/C7JQ3MVzNzpCrS+xoTJjFXg7AA/+c+e8ZnrUWe+54xg18OlRILKWM1tuPowX4F7sv mAe7T530LjTsji1kgAwJ/yighxeg/IP96YHuguUXjy8qanUtKS71RkrW8HPXOBhzV3GTUAqBzTi8 PC3ZO0iNusFz2F1b7wQFyCf21c/qylBeW7TS4GzLHbgiST38VRvHGA1Y/oT0vXJ3w5+aPpEFFO5H xWVO4bTmw1t6qouN/gmiOOGu9/M4f0KQ38Am+Ugm0AedESYaoZuUzNM5obcCOs55ukWOiVXnkr+k 3DsO3RAxlN2o0p3+sdRFSjob4DhZ6XQ6USsrNZChLT0KwgoxiH0944YZK1lkRajrPBsbHsyqJEh1 hs6aXOK7PlOSptZab7nyWk28s9AXvKuLl7r7oOIWILKdVUSWi2EnAX2E8eBKHahprTC1T8uX6eMW 9keykl4rSCAKMIl2hHVPbbFp6xv7vsSSvJYtZNfHwGiczFVP+n3BSnce+gEYcKVPEVx/1lj1QUox K9eOZb8Xy+v7MC3ukTWswWbkfVA8R11E9oLw9EFjjs/bzpeaIRyTy6Izf1F9WYFXGCG7CsQEPwGX kwumgT6tGgIDbVLlO4EWsPAzInApO9oiTyUqAJ0tHHwIQDFwUX0IW5oqgzwjksdsWjV+Cl87K8cZ wmwJvk9vPCShDpuY1NuA0hYMXTJqw9f7N0Uvwr1RvuLprlACbRAMJwtXdnnpuL6azstXKnVpD5S0 4Is8JBBcgiW60C2TlOYS0CDDtVjXbijwsMb5pA2rLkqwEFsjk7Y+LhWmgRKpUjPeh9wg2nGlcF+b fxSClgWkLEZNOLSJj4UrtLOGOkhI3RR0pqM7/EyBiKRacBoG70YyiElnyHbsZ5aFAauFztgQ+Pk9 SNOSeLCES8/h8HhRY+xVmwvl2N1GDuqMsLWP0dF+yx5sPfBaqgAo0Hm/A22u5TrNZpUaWpjh3t0s 5haPMbpTGjJBTxGMP6k2H3sX7k4Nfosr9S3eXLYrBmmLlNewNfqJakQKXpiXCgRkG21SmDad89cK XpZ2NWOpk1AoZHuhSBt4np2zJm/5drmJCWsGSMXyrjOmus9ekIEEXjslPAbbKtNc+Hxcp8lMSUnF 1vhCllA+KI3pF1UgViZqUrk37cNKwztKvw6tTwx2hnflujFSBR5L5BZGQAc3FLNH7+7VZLezA6i/ PyAAQMulx1Sr4+xTd8c/nsw6vqbiktPi95J5xz7aqS+t9GP9KiiO+GgNV+dh+wZPn3DXgvr+VMnR FYUdEayZdET8IKsyLl+Ict9u67KA6uwxk1RAoExHxWjMS7+3V3ESiv5w8Ffs+jZmQW3GvpvQAcul WPsJVZvcl78XiWmdVfeb7KgvF3vlAHlpJxlAOFw3oXNBi1yVDBGpNu+SfaAHLsTuv0oWLcvVWiik oZy7YSXmeWGulAzyS5X2b5gv+nYB2SRH495VGmfVMVlU/tTy61FZ2HgK6W1Ze7rEeGQcu7sc/Lnt Q6d0qr2N2ya2WbbleACuB48GthPWVuJzui30AXDtdRisPpTKBDNmw5Cm93BSAfZfcVGBuCAfLwbV czIfolQgt/nKgPCuVYhfcVA+feUndvajP1gLCQVJXkPvg50hQ3yJISWAZLkqR3xpBeyz1K+WBjVM lOXVAZp6wYJ/5IEs1ppSj5Zb8W6a9WCgQAopFrS0o/mk66de2iaoKbLwVhSbaz+M8pwvZziwK2Im FOPQY3aSvmhtowE2FlI0YLZt88blVDHe2A6T6bFJWQvVFkv0xH+Tas1ZmTElfIEquReHdt+y1cCV mbBeufc6BSzQb+18tbJqf1d3Ioh1Wd7bNluGDRWOVDdF1bZjVKJgY+N5lVMJUPSn6E18utYIGUkt xMbCqjDDOLBqxO9gIHMFyvCe2AMB9QcLg6uGfzXOgP0+yhldtrrI+DQdjoAVLMRfTwcN3q/66NMy mF6qyBfTWk3bF8M3qB9m66pPZXsoa4uGdC+qb7sqGJUpfHY5k1bJvB2N3FnXGQUR2LAsqYhmzdBI PBPmzM+NNw6TH47ENr6eP7lrEtC6YAjLNzKuZvo3bnJ+6+blL9zPxxI6a226uoMElJXPpvbEgLSY Jdmn0AZhuNu78BTMxjVttZDte88shnqQdFg0tktlrvrFURLs8RCSFv+L2LsFpT3Fjh/7F1gC3Pe4 HR8LFIQBJitQt+Zz0Kt5GR3qQRq1Svgs1LQIFT8eeWkwxSXirQ/3CgwqtKSL6TROjsk10X+941Nq v9ny56kmnX6B4wMb9s3CboSXn5Q8MgLo+jslnIgv3KTV7UVnokidfKhFbDkTQVcpMlskrzWqPzf9 NJcEE3ODGgK+cCAW0m8sJA1QZksI3JULZ6fH1WTOJXTyoa3ncLW46B42hRmkYYhGgIXVQwrxVBBt Q/5V/IyhMdtxh35XtGXmcf8q6cc9PlzCB/zHRaTGQjAxKr0BHh0hnb3UoIOUHSn+uTtHwQ9ZJubY ANpxXTdICKNAs2r9xE/jgUNKRpl+UUwyW9Ac0jKYb/LRRQuAb4SRSbG0sXq/qxYNgL/RNBpurIHP RVGJvtgv9cO2DruWgJQUOTXRky5qQnYQ44rsphK2azTa6RZoRp+bNeEy3NJ7wCV6PW+LImwF5gyz n6lwNjAVoW6CZ0U8W/RSqGMIVMNWF3PgFS13v3lcIkVmRduVk+uE0msJJiIZux1ypDsDJX80Q7Jv JqbHsp71sQWh/02UnhHwkcqGgteZeItb2ywTY3KlHiMlonJaUrs4edq4lsUvNBkIVpDNVUWoip3w 6aB8qGEyKp8mGVe4YFD11KWaXwILX/G/ELOSwHERMoV8bbmgmwX3TiJJVu8CJBEuxmzHiglC1tgQ f4oBbrnhK31fC4rxMJwHPEp6A/qIpShWY1X2ozzPAc21fYjA97qNtPWieRNQegeXVRU9H80tRgrR s6yvxatJs47x0B/Hajep+4nZZMEkVacdsXYXnsd3oH3ebqYCPCH3w+Xz/1gieAOrscZ0tBJpGDNR oAbnk+c/A/tsleyGbqcIQtmGuOJGcTPSq14Eqz3y+kYyDYFYBuKPh0BPzpoasiDzSKvZuTUcMyIp JIdQoYMiU0EfzE58q+1ljLHpeR0BjRRtrSW/Qp0UIzFUirtXJi+wTrObmSLb9vjS7g3BzA0tmzbe XJi9ucsIDK4KBmij7SU0ymXTL73vdROF5qh2cmElq6Tk+DB7n4F7c+krMzeRQXy/AtKpQwKqRewE d724tnShEyAdTWqPw27//uuXw3/6wbWe6EEGnAfW/jo8FiLmGSXx4sHvTOtLUfeAeev58DGVaQgO hhHi1tKKVJqOwUT8OsEQ1GAYc8t8n8eRsE4gym8ssZ3p1Q36h0Mwvk8qRBEYrqtwj0tbZpwGWGy2 uuxi2fkwBbY9RNrSH8fxTXLazgsXhqXCuPUIuh4ziWLlyy71CTKHy++DoV+Rq27NIp34pnc077Pw LGQDmsBr9+HHECqgli7hcyTlFYlNik0D2TNcdjJOKMTdijIpTVy66hnPkrqK5g0+FsN5U6AusfwV XbIw5poJ9NN81iCzZvk5tRwOHRBmgEDbiP+FgTHw0kksGrh4wDQ/8O+FVdHc275k+ZUpe3x81+Fx CxUSxSxisvF4Yg/XlTNQzBpTKJgITBUEtjnFFHwYJFgYwSvxj1XaqQnBxavyPvoWTZ4EN0vPCJND wN6svJiVjNAMVY7RrZj/rzcR44ThmzQFdOhJMXgLRjcC5h+3dMXYi/zLbiJdv5uiEsRaBE5sYFIt 104XDajRFYmUa9Xzvvsj58E8iRmWYtpU0n1mCaHjq0sjGCfXyQV/l+a4WnAYlfFMUkqezHmTQe7e wW0KohKv5z0PU4/GHdCoVm9UhuaDEne09SwKZu8US5LKJKWwovwt/1hpsQHCrsqoi7XiNLCdNRwu V2DbJ62b33TKrRJ/UREENENRZuHhcD8ERyNJ/CUmKrxB/+K3h+r24QdWBDZDPnJyM8xxSz+DavGJ l7Qy6V5jw9FPlX9bQrFce4TkdAUgZtXHYxCo55AAb2mzrNzT/v/hDLs/I7okK3mmd4gkLACgtfLM YG+L+AA9Nc6B9vRaqKdRe5ASuhBri4mhetqo5ugwOrmcWLB7EX45UquaEWEgRevTR1oSklLLSWRw oTSGmpDpuUJ8raQmaA7CfFzJxhwc/gWBYQOLlHJ9KA5DREebVCwnh3m7C0RsPTof7W3jsaIjv3VY uJk1m8b5dsNrEDJVP7w6Zr5yifJCyRD3WVHOKpHrNJpkGwzYxcbK9q8s4aCsgcr0Ul7VLio1lNpK Vf8LDW6+6TUpIOS6pMceY4PboIW10fkOBhyTtH/uvMidtwJqmRmZxbTPdXq4QBPSLEG+zx6wZ0b6 bNWicfWMFcV44ikYRM3yHlr7B0I2gLytj5bE4z9/of92rqXwihVmD9XQh7Tugt9LXj/5/1PpuLOX tJ6se1zHIJI7l8A5sLnOGe4hpntFXgDpexxj1NKXw5ZASV6mdU0xHl9o0AJRwb0+1ko5xGD3HZF8 MeH22dAIxAQDTDLbJ5KEbx9cOiosHFGLYBTo4nmufCUi8K7OqIskNK1YE1LahmhCPI+3PFkQ0qq7 GMPxS68BnGnxWQnPddKKIM2/UBnj63jr4Zjv8Hq8Ps0Ta6lnk58UZa+CCufYgda1byUGfp7PALCM Q6wj/q7WWMJr3DZIabYzmVJ4/t7Ksosk/meXUOxr5mAu9ly16gfY2AkPaBSNX0rXbLJDYlFd1/nZ ViJDqvwRPJbhsecQ3vYe3wsgxt80207wrJZz2025RJaXcu06yjYZgyCvuEIMAKQpoMsTzjAFKkRI SRxDvtAPPq0jthmD6LxO7MeBbEzyaEbEkcWa5m7JsB9bVASUrCG9ls6o9H0fSPAc6OuZyk91z/b1 i/bp+bDPTc4R4Od74KaERv3hvut1cjbbH/SaG+YWLQaiTw0OzTH1SATR8F0HqsH10uml3Ut+m6Gx G+qcqUkTTxsXbuMSEaJFI5H+PVONUDs1S0eYD1tKaa2s01TB8YJbtNmsiYZZ97mnCoNtxi22fkOo D7ocKnQqrQmqPYNE7NMWueF87nKWtUE0tDrH7I+KMIyltozwfSqzc3dIU+XYstvmp8H9vk0VQZ5K O8f+ldd0j4FjADCVkWfzfBwGY28QT7SavjgqD2EhPXPggQiz5nK4Se+vioHUy/ZVpRleZG4175sa o5mttQk637lW3+ZsZ5eNbrNdRHFgOdq646YPjdZ9nXpVIXEKtAubq3xj17912G7tu+8h5gV29OS+ qxmtbTJkm9ltYlQedLA0tPhsZrhXszCcgSIW8qO18Dc1IBdOp460SaYOtHz5eaqQCDsCt1tdzwdE JMSY9VSIaCsjawpQbq0lcPKLGAsBzlsI8bJ8+lEcnpkIOFHiY6aOLbaozdGxo9I/l+aLEUMc1MF6 W0O1drYJWdyB2ngZnOKpLOwQCKcwq8UyLZgKjtVpMGWuayMbkK13wqPwEUmZy5ULmbZQ4jnOBKti Ll/7IWfGEsamZgdGDGD5kIXzDsSL1FqZOksF+eComsGFLj9SSTUNWr0DCQRinQ6dSyB71bovbX9f G39+OgUr4Dyeqytuhrc9CIDrSyFWgbPYln5a7/bFTSRjtOoB6QPHTBqXnsFNwdqwyT/8tCnxA4Qd DpGwHbyWVd4WThE/RT+Ii1C6bkKHSLCKKMfqKo49HiJDcUkomfVC32AAiEmL8h2trv93bR5UDkAQ +m81xsI1TGQpwfWtfmOYNMdWPWZexAfN/hSXjS/pHsFfL0+D8utk9798ALo9XzEJmffN+pao+8ZK NdnEA2T0VQzKZnT9Rh8n99Dlz9XzfcvAoE+FPUjcUBsoAQ== `protect end_protected
gpl-2.0
3babe78ad4de1cf6a9d09be4891d2f16
0.918115
1.913024
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/r22_tw_gen.vhd
3
15,535
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B406YeFbHXQ6ZMNT6LuIj/2UxTeI4ZyfwSE/CNRpFb6r0YEqf3NX8yuJw/Kq+lRBsgmkWW2sbg2V VyjSziemwQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NpTPYxQ/tnCEpS0w1QkFUp5RpncqE2T9pX1HdbpJgUO2yL7vuYRymAbzOQbZqej2iVLJ7pY9D/+E FbCqCgux3BEFCgTOpAA6DFh5KBq/jk//LZ88Lw/Ryg2MHJsefJBXrY0S9WxpzG0AqL3R5IFKM2Fo Uyj11uG/dyd2ZyEyRzs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block scBSSDc/xGKUNdUliiCKnDHZwvw3ccbbO4pkdzsq2OZFqHppqI2X1Xd/jnZ+lUOL4iQpND85swQX wP09PHzs2l3gWx4njXR6oTEZwTiQ+aYJ5bc3XiRgF3y24yyvA9x5ovSDCwqwefJJKYP7DdgoAKzt t9KSGTWzn4i/HIaf4sPk+Avxqz8j6yhgiTvilkUrxY2O1MJdOOifo0g4Flq3rGvJnj0v7fE+e6Ag +U2fQtTgs9hfIBFXDzNDiituqhORuuTypyrSg05XnmgGMj0UURyTFvXildhNMRgwRuyVVfqe778y wefEpal2bNcwYwq9aKPuvpkfBUWW4hzU7TT3Vg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block u+RBBCwDrvhN2Z7ndBsqcEPTu+1w+f8n86H9TH9yv07Bh9thb1N1ORhA4Km6AFcYlYV2NBvG6QhJ C7izP+CYd4dbHIIv5XAQG01JBKs7UvzN0NzbDU29puEKRDs5vnMT3P3XG6udxozi+0pm1sp68huA ai+XLy5Y/Efy6ONGvbc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ifWn59H1REGCcguCJoXMMaALRtmyHO+KiK6sOWG7GNCwkKkx+JYn5wz5wVMP38fsjmLjC7WjMw3D 7lrNGxKTLiC0Z3+/RBJLH8hcS/f0CEARK2mPrQhjQ6gYAe6nyg2Cp2NDgD1IGzqOjaGi/hmqZBp3 jFmFknebuCKzGjLMfSV/MquDozFzf4ULqyiD0HgBrhJFBOe9RN+k+Ps0W2yMIuXnhRE6D7MVAQIz F5xbBnnIEazLYOks1T0qcd9lj6wI3ek3HfGJatbq1p8ZvxYJdBW28wAbQPGYxeK/QRne5uBFnVW4 20S6vwhyXoSIo7Nb3QvcUoYZ0Oz4IeJmRessbQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9760) `protect data_block OAgS5Xrhvq4RtGlB8JGAwnW9FbaHI870+56SMplrp64yA+nQ0mC0CJsgvNuD+G2Ftuw17Q0kOHHl wuMaUieQ7O6ZPipT0L3PAzLFnoapLQDp7KOUTiefd71DhIrGsaKZw3YbEiD1kT2ZPPaTarjZRGRe xZQ2+4PSFc3Iv/7hmt3gWswKFEwJ6DJz/Y0uLdUgjiJQEP3d1ezqj0WzvwMihLZtqfVAVGVLo89k glbCus4xdB7qhZ9nh1czBt4lHeaUdlTMIFfhiDk7da7p2jr4m5f8g7Jz/vL9Qykomw/qhh2rWUUZ //sZIB4KnsPqQap3L2TT5HnKkI5oUGC+p/EKYPQfDvrl/LylHwUi28lSBpIA5VDlgB3J9n16Qg4X ItJGNatMZTqV0Npru1dc3Iux12ugWihx12cuj7c52czbWeoKtNFU1ccybBjjzrfeLxmWl53OW57J Wa1JOM1MoDQ39GGh3EgftKwlOuV9vI8J1MSyY645aL4GHxl3IvJlrm0Q2jftttk6cJ7tv/PN4mmI CzYJ6/AO65U+iB85ctA9N8ijhsja/WpPCXrmD+X+Ozuzp1fveXo9bgBpc9lCNISEePQqimxv2dLr hBnW6/bQd8u5gvRkiFg/OkD2wWpIbiaqIxL0FvAhlBWppiZvCh6b/7tcE0s1T7p2tPF+qjdCpg+u iK/Ed2YV8pB+WMRpvPXMZBWRyobfHBU6PJTCeh+iFylEC1/5lFVhMit571rfy0xePRySoXFybhKH heLApgHKqrYuCzuOyKU1kjtzGgOhD/J7D76pDRfu+5kzvYYHKV1ioosvfDq9wr7DfvWq4oJTuyeM qOd5GAbd2fq/0xUnmnK3InCLqGfLZ6BtPbmcuz5aQeGk8t8VFeAHtZYR1SWzOKg92RoEhDbK4ytB yUAHyDJnE7MOWfNx+E9M+bro7QTLzzMHrx2M7SloyBV5fGF6PiF3kmlypohZrRhPsWTx8pBLgl1y NuItZIJPko3SWHorAzxXnnlU2HWXuanlStoDL64xtpGxoN/0X8Ha/sV3Z3IMcGj0efarSXCEKRtx 8XPsICTHBzVjeaMwpeLOwegKyZOdDCmtQtXflMpB/ZqiCHd/GweqOIF6qqQB+myGZ4IxDqRLdsTA wgkbDC+TUltI0cJg6c3wlF/67oAAo3Ztu+x+83gr6IXrMkX1glbRn4lqnRV1GK786Zwq7M7+68zV AGpUoslrlRdM8aaWT3Y7pbWd9fXDvIwkopnqDIzHBvIY/TX68sGlIGg6Z+/A6mlLxzRgm9zLhNu0 FxMvwfRNxfyhQljmGJlDivnLY6VztFvf9TvsSRx9JvTM6AQejYCd6CtP1sg356vcUE7f4IPTfTGY mDcqMwb/2wgcH9yq9b1OmpOyUO0UE+c8QHDggJL6bX9Oz3dgIXDj0WVuXy6M86T9wUfTMdUPAhEs i642Ub7sb+0UIC9N6IVa9miwW9+J7XqCwpQHwSx9pBKQGm+c5lhcaQlFcrDU5DcTJJadjzd/0X84 hMs1qx9NE6cjNZkgVSGphrvxW8vTao4NXI+a4D7JNiw7u21WRUdD/q7lY+Glc40E+X2N+1kk6Jkg 2g/CfSqgYjVs5p/4Ed9X0XYzXO5SbTb/V4DrcdSlg2J2OroeTCtWnXz6e9GrLAiEG5tElVTbUwkt bwZ/0/jsaIMJ19v3lR5LZPKdj93GI5BlQvIu3SwUDZsRlJwmT7Onovm+BMwl7dyF8mJvrJscVgVU I9WdhZVIwpjg55L2GgTK+bmDGBvoOCKhLBRiEWgTX3/0t3gBeunKxlvsdNze4Ypw/rOmSwC7tVij /MWXzq5Yjb3rWxljdOgd4/keZIzeUbb+Fz7xUvwh/9NiONV6mWN3uV4lL0FNx9blPA3JpSus2kGy lO7wHt9ZNoxNzYTAyw4T22R6SpnKVJtzPfhSZWG8rfWI9PdtiT569GKryEI7/AMXMngdRRZwFRli fvniS3uT0lEICHRRP0VGU5AWIyZ5fKV5mVYK4cZh16SkKKTkvPCJoLot9YMyt+zQ7d/bW5wVag7k RqusWC2kgWpo2GxHC3sECz8g4cMszlua9aJEb1ZzJS7+CZI02KK6owpEDyrPjR4VtPUtvJhT1/3i w14tkcSbBY8zgfFyeEUFcriqdeI1CSBGIs2HjZT3sTgs8WoTGMUpaI+2i1fabifoaRnMB5a/2IYU f2I70CZ3YkBb4BVCfgpH3et47voTX5Ye7SbPURzK3S9SYDXNiZjnG6mORYcdd2OnN99ZRNBtjzZk prYvVV+NMRTv3+eTomI4z00smzBXx3UrMN1DR6iUaE5cKX01UNI5MkqFsyudXM+4UrREa7PWWqES ++Sg9H0mRbDr3vtYECu4R/xVQZN0rIlfF0IUrpUn1FDMWP1ld5sjLc0i1QP245jWbhJiKByCW2xM CO+VytqhyqC4j7IH/CHMM8tDzhWDOSo+QOwux6V/UDcqRxgrKQQaeSvV9PbYqxvovDcn/ugXTwAq nu6yQ1kGGCrvjIFVHPztYPh9jukxhP76Cm3wj1u2ZSsubuGaSM1Pa6TltuBBzmoRnrvLVWfXqA7L Z4r3Hj8E7b0QQzWiXjQ+TKHowLNJ5AkiKF6PN8biidSi0bIka81/naq/+AWcKWWp+1hy/UAVNfQM Ragg4PWP1CH5sS7NIaCpRt2x1BI62HLUg96U98/+tbJPQ4/YflLgt/JKVaq2RbUYiRjbJooZha09 rfgtKQqcsY4MTsSDMAGrSf+BAXYKB+SKeRZMRWiwRj0GCLmOYpg5t3w118YhPAG6XGwV60DX3leO VFEAQZJmY97u/Lr8axZWv/84f/uDc/i4omrXbpE+vadUFr5X/Z6dw68xVAA6pE2M+2ZySdJETLSv b+2o+wQAe2V3fxGVhmYzDFwfqHYWbcFR0apTW/xuUVSHHw7GqBsCKiOTJY6aBj3SsvqUDin7N/CQ jFnIVEZlOToO2CuisbTSk5CTTxDnxmGSahxAnE4L7PINZ4khmJZ6ENkUHTl+nGNkBmyKcdshd66x +rMoCHFr5oeoKN0V4yR8XP3U5eslx44q4Gllmgz/faZyjrDKmsRdFDQeGTKqX0GK50Wf9ERRsIsS OMvmBiou1iSwA7NoaqwDBuw+/7wR+XhWoZVIACUsufL57B8LsYnmF0HMR2TRAa8sIMBe//8O4wVC CgmbpjdkoxTsgQkxy2RuVVo+9st2WuhKK3zncNMRGiFXjZT7Ur+eJv7xF3ms0eu6vVcDJ1BgIn7Z 6FVbKZAEmZ4Xku3kmKSASMW+woovHHsKZQzBYAdDwz7mUJokUwVGapC+zfxx4UKHtYTl3+kmDHd9 ydcrFt3J4TMkWs83gdu0AzjVJ/GImaaRgJlg9dVBT+GeHEic8LcqXMplt8xN/wzsMdVj/ERi5Q1S YhmrZ9AD38awD2yed9zYWGx6d6UGCmnngDERbxQvSoFYJ0s6XDogxYDocN3YeiJXGKMsvN2/PplC lUxKZrzs5BO0WFGKCS2GCNZqeVvSx20Lp6gVDDDj/k7BF3CVf7Jv7JOLfz2aWCb4VtTkombW28vW ehGnSbQwBD8/KoqpMAj1q9dI97Wa8QJW3C6vZe0on5oGqy+4iLqNKDiBGgGcwU/XaPLrjcOoUTlv VWv4n9F2+aQdDXl1qeKaD/cfQcQjEaExSewNYNKpS6qhzlIkMV64pEd7Jde+SU47CAI2o/uLlRNu FomhSk5Z7IchRpWeLL5zkgKbsRLhDVToEJ9OVAyixSnU14UACublAcq+7DCUFv9Mw2balTXedbs7 aBt42h+K/nm9qez7Wo8HCoL6QY3guwAzjWjmWXiAjDDoGgI7gAjp5eeLdx0vYuqLzQxW+ICIVjGY uveSDTNGZtHtWhpS4e4nRrh0EZGJQzMdW07zbRSpAZCC1l9VD6J6zjlrkM5SIhBkgaVU531DQMSm QVTlq7NpZAaVaGPFOjqDDS/jRNjKVRK+rXjS6CKSEvGgps4O5J036qy0keQ2W8eGZtOXjKCBUUJc JFYuHOZdny3+Pgcv7xgVjXsgJ+GiFQnk/aLaQKaimcMrthBkNXf+JbWqzwZkZ7Jeg03Unql9LUvJ covbpLBTRMolfwYUSbt8WTEKcow5MNtXGtMqPkyixoY/SYVXHTBedfThUQelRd8dCCkBcQhbJNE6 YVHDOplWmTeK8Fu+iyWfWJvby7pgfd0lBNZqEW0YjKg1NeFI/z0g3D52AzWDovZBti44grDzJ36S sEiBuLozMjIRmwjMEJ/sYiu6u7+TgSODIHjHzkcXAnTd9eFwaKQY9UBOo/xZzFnkWfEyC8YZgt0a 1SvNsJkDSb8TCQiEUPekCbZ+DjuXnTinFIRnJSTmlgj8cv71UDFtjTMYYRinPRCSJNIGOxxnRX5a B8wz0vG1dcnNxfuTJD1pOfdIxuLLUu1DGyKXLKfvXqKHmfiA+RRBkbZwXVNBJH8nTKLc1Njc064a Ar0YJ6elJ99uvVEH/un5yGH77Q+3R52R05IQ2FMeGy4D5Hv3RHGYP1kwA/jqwUYGrxL8XREMFVIs 8hoTgaPcmZz2bWufhha1HrwmOimlUiitbx/woz3RUdhN9PfXNCttWR9g0SJMgCoUsMvnQ9pWJPTz vkxVaEkGzMupSJ8luI7HlCkO/RbOU1L2AIAPCO9r+Bf78vSheJBuh6MciTM9MMXL0h6cNpnN5daB lu/H1cX4v/vrpexA7RCJVfvRC9bSFfsUaO20xZbQQ6ZJjCacbSCWhHF+7wlRiHGkCFQPYEX4pVs3 L/EwqeYfSvzdxIKhxS76yX60/t2kcYnK80KaLtTofVnCcMMwhVJXNv9BVyPWKwHATG5SvlB/TuMB kk7j8fu8yIh7hROSLhFuyD5D2w8AQDhqFg5PgwS5IEhiCB8T3/KxaTn2dQOUfPpXD7N9VS7blxZk qXoOl46u57k7VpBzZAkHFpQES2a+Ldo9WKEuqcBAYwxq205owSFBxC40yC+Up13SyzwQqHxwi+qb Vdi13Qvr/W09wk7jin2R087YvmI7MiPBDO2PpHggzbGIWCtAAqBzlkaRBNg9lGSo9R6wR0TG8RXN Em1uiOFQGh436ZmKK6iTi7MwPqyJ4Skh3wvqYep1vUm/k5IhyN8/fbZzu8DAyUxms3muY7sOlzi2 dO++JNfULVxAeBBiJcqb6xaXpBaGiiyeb5w4NDZkL2jBizJGAd5b5JIoVX8XxJhwj3ZHhKXOeEsF KOmAXGcZZrRZbZy0gzypPMl+Ii0QCfNQi81DKXekBTu3cJy74jDoanF6i2aYKvXkBCe8y+Mk8nh+ FWR9NYHHzYgy4auGeHyOomMrFhxutPcLZwHRuQB3PD0F/d1dlfoq9JMQja0hoHDP2ZrzQjgbYjFq MklN1pUMvx4sWYI1387SOa0NLNG9xWo6sexorCgQ6jekzkAs128W8q/9wqHU8ZrLPsLzZ8A/cIju SOrUFqn0HdFmQAXGOURoKYMFGoSshKyMLjwF7iYhcuyBtJJKg91KZm3Ar36npQTX314+MavRXxBR CLy9pNAP4CCCbyuOO0M5xPHN5YUfKlJQakHsffz3octeor162xXg81omX8ENjmsgsOPjspjy+ZeW jMOCYJKe0ZEdB342H61ZfzN5HW2HXoPLia1C9kXhPhyoCQX4SgjTa++xSMyGerrmGnJOBRkIzCV1 Sz2B7zLhIevE+Kzmnk5JYbyHn5sWlQmNaJu9HS1Zsnon1F1K1gqnFrv6gcAaDYM3uaM3WmHIBGAJ TtP1O81osehIe53cmIX+MFqSXkh7/3TPlvrajokSHzFZigXsrUOvXkhgK1Dt48WeBrkKyKIuQg3z CgiI3PqdDFRFg6SomYYROlH9zsSW/O6noc64+2c7+w7bWtr/WNlM++CVYL8VrFPWQyLA2/HkRn21 e5FMpfJio/9SB2Z/SThdwk2u/WQ+LVD6kUOhY0TNd2yDWpBjXx+lCv/VyBT9MtlT6mNf9G9FtQxi X3V/EK0xudqfoafiO3QGH0tNCNFgxC/Zt7WbkP6gh4FlpdplFNf9QWrVxWnqv8hqzH8uJ6aghreO 2rRbCNpM3XD+hQdG9rphQYcDo0n20wrESza5Oqg6S0canD2lgCRhFwX8KT76DI/gq4dwYcr10h7E yLv39XmRdlDqilxxFkSw5XYxtwjMOMb9dJnNbjUTBAJjrJb6wjN9dgJ63FZH7UpjpFMYpMc1iFxA KaVAoNEL5cvP2wwdp3Kd3TUMIg9k+rSPdYjJUQWGYFmlmc/z3r6smu5CuzLYWFHAuYMRKWxypvMG PP7QdF5UCC47tKNO1BylyEOAYqJy2KXLOisEJC1ZJCKbXvX7+XY8ExQ4xQZ4sJzogwGVZQlVwt9S 9c/2Ttgi6pNsnldntw+augxLl+X0eF6Nj2Yct+2fkC8y6A/kMhKlm6mYO/FzNM31eSRM6u+Sv/eZ NEvqUbtaBAIjoNTmkUDOM6G97DtMs6bOjUQReJ9pvF/QG+cqRYHRyo0XNk+QRW3WqtZnQncUFQaK u+EynZt+REyDLgTdQThrYxP3+cNsXqdr3NbBbIb9eyzZocHwor+ICGS3JLQKF82Q8kUyKsNweiIX h0wkp9SHO+EseNAvE5BUzyyUurKc+2uqUG+hHIHeyhsKstH/niVIWDJeueHQrGmeC1qQYC5/H+cL DDGVnvtjLkTbbuaI5ciCW/UK+dMP780g1HaQe39HBSHnCESx7dBwvh6duSqLcEUlFNzM8yjAgM2p 7oObRQZ5N/y3vdmzstVJcCxmvRrSlhLNcm33ZkXMbbUguCsLApW2RqA1NYUrpHf9jbYwyqfERQhz ZRU0YefT44PmCgAqZ8FxDqrm+wJ2ePnqwakaWeZdtDfeegNg9OsP247POQoZdtiLxgbwVZpraqN6 /WnwyyXjGLAhbewSTErCGeJkdrfz0sLXEk00yAGjsMgWKfIpqPMO2dRKQJQVNXg9qyXacD8u/Bgs g4hB6JJM7lmBNSAL/Nev8lRyHchX+pljTfwGtmtqvpuHzm52Prcx4pYj3B6EYuc34EU973LMFLvS Ld6gluRjsBlOc6p1YmUh8LnmaTnqWkh2suad41zUbLgyFb5qft0VbrcswJukL09DIKD10Ek2y11e 3kzl0km1DxY3FO9DVTQED7K6B1vP19FYz4CvQpfLB/tb42vYovc4ITDgDLxca6AfrZ3/yOJfHrqi QW7JOhQweINZ3G4NUjvckij90xVEzESXPZDT8NmqKU3u1P69JmbheXH7hIyCLH/LlT5FzKUiOcLj uaTg93PMna4yCfCi/8QdyY3i9DF33G21UW8caA7eZa2RcX9UaiS8yskdFgATIAeLm+3A/Femzs8A StbRGzgszTuFqMjOUAGpmsIUvalwr7er6JrL7zGCyjFx2dHxc0+bDknUrwv8KksttWIPM5tp8WbB pEorC6hI94dTD/A1py2ATm8535jQ0tsJ44gw/STWfvjn3rLVOG6dwPbTN0OaXRLJ11/F3U1n96ll WBa38T35y/69XCxCf7OgPsCCQU6ez6FfoNKZC8h7Vb2EZj/usmrD7CGjNMYGlWc/CmyY2UF/vQnm y85/Dbzb0JO9WQ2Ptq6yJgwglK9Hgar54zJD8QPOjH4/gknq+RNurDtnhGvWtLTnCgSp78EcYxga zbuXqjq3NGrjA4FaIeMMUTjCRx2S4NkW33faRDDU9/P2krv3Yj38h1kOMSGEdJ9V3V2orhYjGStq QIo/eWesuLBhGOQAEjcCQh6EPqYCYpMK/VTG9XG8Yph92hwKTXzIQaFQm0UyOX9sCt2+ytwMuXkq kYwNVoCTBZ79qZqbtxiI20Bsg8k8soYoo4KPne47XGCyMK8r9YGtXNLCo1fyd8t+rTWARo9Mg8tt xYHn4o3pOpy7lNNb5BCvbE7jUDSycN/h+4pcxgQDJ1FnuJINJTCv0X2tei5SlefHX9IVchbtO5Wq NTKDn+val+DlyUr65FeWGMdSWxJZSLny+V8LT3KUg1n9Xk/uzms4MSOnFSjGvlvLqTgbE4j+0TND hnBvw5CVW4+3VrLiAux9V6Hfesxn5VRIzSLyJAbzS9Ly9Gko/5VtVwQg3YoFcD5Pxuhm2dSirHoN SaJYzht0txvqs/98aLPZbTRSf6Bf/TStw0EkqNaJBiJ2Qi+dlsERPE/IGwQibLaiA33p6/8szKKe V0fz2WERiRvsW6D7JoepWFpQLi4Th83gFf0wVuzljO4Tnxs0Pw+SwRCydJlRUxV6gsHyO6jx0bW8 je7iLNGVwDHCfBvUmNa0tcQ2s0/p0I0oDgfzvcoZ2lzTfWRNLKFgoKUBoMFBhHgJN1/+PU3h88/L WXdCdSia2frDo4ZphKauMoVPkRf8Q5n8g49JoSGU1z4r0XONIM+A3/NLYHM9OpoMExT8+RCJ7/Ll YtMwkjhwAE0o5tKpC5AZZFd1WVG7ZIPrHN4ChyejfMLMKg4mWxScryNoZ89w4KJGXpaYZBxGBal4 QRjQpj8nYv/MNIr4J1Ywq1yKiGAu8iXKRixBKZCEaQEhGrROVwH3swtnccVSZjC9MbPxl4T/zJNY gNbPzsarYAlWwvQqHrm9va7pTWmaR6yvm+rujw3MJjEduifZtP+1JsjFnk4+/wIwmJe7RMddLPnq v+ZGgN9K4UPfz5WnwrkBwdzL7bwSPFFCVkV/xzCEob0EHEMNcNL8JdqdQCEp9B45NYXKOkxF6X1l 1ySqkTqjft67LkyVKP80Z7DceO8WE8BgXARWkHsYXzbon/6kMBHYTtfDDvUf8hC/93FQcqi1Vgaz mTxNJUDLp2ynY8hi6O0JBZWy92QwgmTGuphS/b6yLDDxxVtcd/5S3IcmPjZeoyi6dc2dqeYM2L30 2YzraWsXgBq652ZRDnD3Yoj/Ah2pa3WMX51ya8fmxEUyfAq7RcvHVLKbvZfcS2Q4iBiQubqFyPQK bpN2emGiuYo8jBjovOB7gUnhMw5cS0QmFzvUWvC9t428dcL7HfsGPjObgV3T6ZOGtEVgpl87F4I3 qAONdsgAvLERV2k8L5tEDkTH9o1Y8hYHIcnxGSVDKuAQO6VYljD9WX4S/bnClKtOlWOtWTaNB+Re 9nd4h7jrGCIz0w7eHKw25ocNTK27drZkaca46skUFJ4w1fgjNtjVhhkjrNgB8zzAaFFI/HbxmBut mQIdOmPVZSEnUp6AwKKT7gZxpIKYUUNq+a2WhxWFZXwa1dym9mNKwLEdQXTWmpQse2tvdis59Q+8 zYxFKwxr9OsA3mTYcD5saCwAq2P06GQNemB0dComU1QFPO2/4qPV5WlW+XNDu4f/q9WsZ4BI/MZ2 9+G37vczGez6ZPdkGCjGnTR+Si4giraVY9HDW+EsUlYD+zk6Xdg+YBCVYo3edLHoAvqOcD8yVsBg +K4VlsbtGLdATud2UInobrYQtxngdgSAv88k2ygXFI2DUJ99Nl8PzOWjbI9uYUIOFNm+6F1DW7we ovXWkr5JCChZyTYcOV02RRcUa6+nTy9bQjZ6zut+/wefI18bWBufTzM2ZRY1TIROw4X3t4qhjYwY en+JecBid6S7q8iC435TGm1uKqqdnaoJhbzvEw9Xrl1Z/nfRAwDzTTyykZ6qrP4HGOtBgTK9CN3C jmDiLFdBYtwyl7qbIWHQr4UFU3f7rhIf2mEVYic4+4lCoOyWI4wIy8KJu/y/6E+sFtLjlhNY58zn BULEn4hgYaFZe3cTtP2P9pEDnQATZGKxGoxQi6D9NSlTMLZuVQVm1jqbmRBJpf8n+PdE6WITmTlI qPnVjqafSblyLGMmAUwd5d3EhEZbpa+lxAj0vSuG0ENfJFcjkO6pFGqiWTdPP9QJ2bcDx+fWgLRc QukE0ddqbBgSB9zHZJb6qt848X1hZH0W+9NeBdKPmPN5UX2wuCkNbDS0DvrmN2tYxGpAO73kNQog 9rb86hGbllLvPqJZ/d4nSQ9l6ni0gnMNZQK6j85Pjv0u1DMvg0GXD8e0BxhBK6/QYU4diRLvGy5s Cd6FfzzntTNldWu6DXvcgkk5dpDQqn+yk7U0WxJDKbctUVoeXP49ER8TuCi8MRQz6l6Xyz9XehWy wXswfdc5KYmrcBTuuSYB3R7GcDlhqVYvsohLdrJZ5X++C3sG+RArcd3e0tDhQkPgEQNunv+QXAN3 eiMGiAaHwnyZTFVT3MQ8LT2DYCU3sqLnhJESYBXP2N96eFkQRXE5VupiGKZ0Z15LQUpLLpWPJVfr yrK6BAd/rr3AKavCpkhcA6/V5nxmHeXQtuMWLKvw1xhKJo6zjP/y5JX92aieQXQ31m4hkkY40N1H wV2H8BXBEElU5YGXriEORXBdaFucySjPP0XJK0jz8J8djHnBQqP7m6E1Iu0Ky5e8Ljj4TVsZw8BN z0bUeJPkFwXo953EfOa1VitOBNLFIy8tFY9VeSOghUqNfp4LLsx1PHCmvalJecNmXoQju7KiAi9V bPByzGzQ71uPIZ6Z3s1Tz2eIPibQoyNa1HaULGEP8RIImftf0C0U3M2tHMU2wbyUk2IFs2BiyYYV GD7cdr5KknLoOyFZU6zsmYw/WftrikIqvnRy8budZou9AnI+fPntfy6fEoFDVNkzX+S9SVVh5waA gFPL99rnY86GSzXoXSPOYwtYmp0vZ0l0s3AvqqY/x7WNdz6clmUlirfs/M8tC3VHTGPTH8yNfO6/ 5HIdxkoxv/Wah94c25psnQ2Ey/KEaOLx9o0RrhT7NrIVaF61vro+UkZrhwn9TiqPgHozRfczS1ss Xpks2MOKpfrxaraTUEWv1ZYWnyUXq5RzbidZzJQXdQYuVl2LWB66/vOuZpZYfe/4YSiHh4qbgazd hVLZv7UlOPPJxzD4KId5rSbnulhs1YOJkvBpGxuFhHufjMUjmYCj5lwkv45bovT/1snAiufMmyPi UTZrmi/wUw+QwBB8vFXc8aVd7PeaLLRWh+hDeM9VsVy3v92kHu00p0aiK6XPYwERd2R85ivc6lkl JsHgQ450NL9sE+C3uglN2oivGVQ0li+MN1eSyxiwz1rxbu5q2V/erUAsW+jU7Pz/QqKyQc0lVdE9 //IvIAr/SPzu1m072HllE21u9MF02cy8PNf+srHSkSzSPbfJ6ZzecFEFSa5XjAcwjW0OmoYhbB+j tQTW+kYdd7wtdUw5qKz0pIKP/RiQWdEXbck9Wh32xHcb0aCTRMTtoN6ufd8haU0lfo2Ywa5y45c0 WdSTxgwW1z3okjzbsmgnjWljVF+iq5dC9i8uF2u0tzerxAawVhv5I6Vlm538hMoCemLIi7l2s4i4 yNA3juWIOtSj8d4ghmyLS65EgpZQE5vqj9NQya0sJNO3N/NK0Qk4PUAFTxxdzaugWZkmSCuiQO2v AbjkD23qp6UxVMKCLwXGQbjoWgnMNGjGlwGVhFElTCKt7EZDX+uxbMjaTWb5GWOX7Eg3R9jrAeoM 9zUkFknPumWfj5Nx0ts/CVTLA7/ssImQR6y1/G3whf+LYMgjYv7L+bBydNDDMD4VU2uOmKg+FdWG XG/pYTpRbWgS1AGhbwn9rNxB643jRs6pEtNoOHq6uaBJKm77oFEQCIYl0BxssUdRnd2bIk5/wTpE oSy+dYZK1FZ5dStkjeIwxpDqbhNYBJTkFSaeXS8/02Pdwn3NVypGVHfBshMpyMIMK6DtrMyFkNPD dEKc0e0g4l1COYl9hlIxKMOO4hDq9+XRVzMv9zdaGmOW4uAu3kHlzboJDhJeTr8JY9KdPApjOUJ1 mf/3Po1XKDOeMkphK7LgnwQ1VIzP1uX/UXDeLbVVFgb+01J2QFdlbYYHNGRJi3zqKjAeB03F51kH TR3a/N5gYLCBO5IXlXiOAO2B/vJKL4UClVJSnfGGmSkhHHA1BEjwz3nuwKD3hzfnPX7p0E5rvYCU o5U+fPTckuAaZI187F1/dFRZUI8e0rPbqgDhHYX3EQpqksvB6Z/ycvLSO2JPhTrQHkI5OZgrNbFM DU2yobY+HId/W+CCAAO9gI/MtnPMPU1NmgQj6M5c1qPhGdyTlIwceMoOsRplr47krBcyLfkTk+xp 8Cg6hgeZCMtv7ruCfsZdPXqqF7K4R6Z/xw10BVAQ4UgnfiOrbYmBhIDwUyu+6prU07FH+IlcDU6r NMV0q559xwBy5HUISxKnGMP6VRG+KrEOX39uZCoIprN9ABpDDYp8jSEv8f1H76OWQk+CFTB+NkKs RVVnYENBs5F1c4MwW13llG35o2G20/m/UGvB1AhwomJfrH6TWxbYORu6U6fwee9CLycvy22/k4Rh ioeati59iG1muuZQQSvHMHW1cAGKceSc9hQPfX8YAsW9AVC9GOpH2s38nRBhWIbEhlAQFU0f1K3H kZ1iCAyv94q2nNbeTJEhZebF2QuFXqIRU7WnItWsvmajhuBTsJcbadWUk71oInxZbADOXjPjM0Py Dj0nXJdNvSlPzZjcM23CAffk8pskB1V0TmR96pkRNeyoVhdl43L3dxSRhsBoRIVBMSzFKXfma9vd dHN092Ajp4yOHArX7YPX7UJrAKriVwLEADsm7C4Y1kU8MPEdcHt+BxRz+qEr9Zp3sBQnN3ZMqEQY c9HCZ8q5zvniZiy/7PhuT46DBeI3QRzNw0pt9G87sqaWeMKJlFgnnVN/emuIL3qE2LsWfNitDKHC xE55Ppjrk4dwZM9RoQrwpnRIfsdDYHOua5JhKcsojCFxprwuG4EOzIAGV9oi8oNDgA8RTQL0hBFR kYWZKnAiHUnIa7Zj2vnG4QqlT4rrBSJAsuQtC8C/DHH3HP8EhWwy2ZYKG+pvAc8wJGN++/qdlQx9 HXuEccUI2ak1B6MdC2SPlbjfIGDPNfHkqAlkn4C/q3T3UtL2RQQ575UOI4C4IneyGHzjsRBoT+Ai qEPzTirCeiu0uaQ99VSY7ougJFSb19Tj5aHjgrZZ1YufgXo6hhVz7PGircUeDsn8WydvJa6x4N09 GitmE30ETYtrn+wAXw== `protect end_protected
gpl-2.0
89ed43636ff8fbc5de0495636d625c12
0.935436
1.858921
false
false
false
false
notti/dis_se
vhdl/clkgen.vhd
1
1,497
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.all; use work.procedures.all; Library UNISIM; use UNISIM.vcomponents.all; entity clkgen is port( rsti : in std_logic; clki : in std_logic; rsto : out std_logic; clko : out std_logic; clk2xo : out std_logic ); end clkgen; architecture Structural of clkgen is signal locked : std_logic; signal clk_i : std_logic; signal clk_ubuf : std_logic; signal clk2x_ubuf : std_logic; begin clk2x_buffer: BUFG port map( I => clk2x_ubuf, O => clk2xo ); clk_buffer: BUFG port map( I => clk_ubuf, O => clk_i ); DCM_SP_inst : DCM_SP generic map ( CLKIN_PERIOD => 20.0, CLK_FEEDBACK => "1X", DFS_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => FALSE, DLL_FREQUENCY_MODE => "LOW") port map ( CLK0 => clk_ubuf, CLK180 => open, CLK270 => open, CLK2X => clk2x_ubuf, CLK2X180 => open, CLK90 => open, CLKDV => open, CLKFX => open, CLKFX180 => open, LOCKED => locked, PSDONE => open, STATUS => open, CLKFB => clk_i, CLKIN => clki, PSCLK => '0', PSEN => '0', PSINCDEC => '0', RST => '0', DSSEN => '0' ); rsto <= rsti or not locked; clko <= clk_i; end Structural;
bsd-2-clause
19929e983874ceb940edd02b5853f3e5
0.507014
3.425629
false
false
false
false
keith-epidev/VHDL-lib
top/lab_7/part_1/ip/clk_base/clk_base_funcsim.vhdl
10
8,525
-- Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2013.4 (lin64) Build 353583 Mon Dec 9 17:26:26 MST 2013 -- Date : Sat Mar 15 17:18:29 2014 -- Host : macbook running 64-bit Arch Linux -- Command : write_vhdl -force -mode funcsim -- /home/keith/Documents/VHDL-lib/top/lab_2/part_1/build/lab2_part1.srcs/sources_1/ip/clk_base/clk_base_funcsim.vhdl -- Design : clk_base -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_baseclk_base_clk_wiz is port ( clk_raw : in STD_LOGIC; clk_100MHz : out STD_LOGIC; clk_250MHz : out STD_LOGIC; locked : out STD_LOGIC ); end clk_baseclk_base_clk_wiz; architecture STRUCTURE of clk_baseclk_base_clk_wiz is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal clk_100MHz_clk_base : STD_LOGIC; signal clk_250MHz_clk_base : STD_LOGIC; signal clk_raw_clk_base : STD_LOGIC; signal clkfbout_buf_clk_base : STD_LOGIC; signal clkfbout_clk_base : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute box_type : string; attribute box_type of clkf_buf : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute box_type of clkin1_ibufg : label is "PRIMITIVE"; attribute box_type of clkout1_buf : label is "PRIMITIVE"; attribute box_type of clkout2_buf : label is "PRIMITIVE"; attribute box_type of mmcm_adv_inst : label is "PRIMITIVE"; begin GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_base, O => clkfbout_buf_clk_base ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_raw, O => clk_raw_clk_base ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_100MHz_clk_base, O => clk_100MHz ); clkout2_buf: unisim.vcomponents.BUFG port map ( I => clk_250MHz_clk_base, O => clk_250MHz ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 10.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 4, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.000000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_base, CLKFBOUT => clkfbout_clk_base, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_raw_clk_base, CLKIN2 => \<const0>\, CLKINSEL => \<const1>\, CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_100MHz_clk_base, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => clk_250MHz_clk_base, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6) => \<const0>\, DADDR(5) => \<const0>\, DADDR(4) => \<const0>\, DADDR(3) => \<const0>\, DADDR(2) => \<const0>\, DADDR(1) => \<const0>\, DADDR(0) => \<const0>\, DCLK => \<const0>\, DEN => \<const0>\, DI(15) => \<const0>\, DI(14) => \<const0>\, DI(13) => \<const0>\, DI(12) => \<const0>\, DI(11) => \<const0>\, DI(10) => \<const0>\, DI(9) => \<const0>\, DI(8) => \<const0>\, DI(7) => \<const0>\, DI(6) => \<const0>\, DI(5) => \<const0>\, DI(4) => \<const0>\, DI(3) => \<const0>\, DI(2) => \<const0>\, DI(1) => \<const0>\, DI(0) => \<const0>\, DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => \<const0>\, LOCKED => locked, PSCLK => \<const0>\, PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => \<const0>\, PSINCDEC => \<const0>\, PWRDWN => \<const0>\, RST => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_base is port ( clk_raw : in STD_LOGIC; clk_100MHz : out STD_LOGIC; clk_250MHz : out STD_LOGIC; locked : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_base : entity is true; attribute core_generation_info : string; attribute core_generation_info of clk_base : entity is "clk_base,clk_wiz_v5_1,{component_name=clk_base,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; end clk_base; architecture STRUCTURE of clk_base is begin U0: entity work.clk_baseclk_base_clk_wiz port map ( clk_100MHz => clk_100MHz, clk_250MHz => clk_250MHz, clk_raw => clk_raw, locked => locked ); end STRUCTURE;
gpl-2.0
a766393e8ecc603d95e062556391b14e
0.624282
3.294049
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_bram18k_v3_0/hdl/xbip_bram18k_v3_0.vhd
12
8,791
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fXHYm5mkuLNhnUmnTjiknlB7ZL41SueP42RWxDXqGkneatmPVJHZrei4oGr/dQVV7lKVeR2tQEE3 egLhBxa0Kg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WoOJ65R4pBP+OOZOhxC1/Ed2735KvV3HrXGww3ZEiXLNp2gHGl04MW2ew+hy2RqiCQgxrLzZZtwz pRdbh3jBqQFcdo7oWNnWEtGSqv5fArZ3t0Jf8qCZ1dnUi88dcI3R9vN29UApTTCS3+qubeOEf8QH wIIqcHE2KDXp2gACDPw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oMJt+BSOIP4qEWsNLoRbgIL/ulCA7nN9AOXw2+CtDh3AIs2XqQnnlr4QOmZu7EqepQxNWNZnK1gA sZopQXBz+KpGEwAIgVh9NWcUJXON17xr2aUlhvW4ARJXkr7vVzTMgEp3EXVKXLo0Oqs89+L42sK2 Yxqrvhuau0QAG4kh7sYiS9sFSis+4/sqXZtHCiZV36ZycZQUTJANcriGaZ1h97U6BUKkXdowBvAT kJnWUXnPtV+v45JVBcDITIasVAi7QUZ4GfLa8t+uZ4N12UarS4ZxybzaRdKfSW2/73D9r+dc69Ca na7ziQ/qyeNFneENHvetITzkz62uB96evWrBLA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QHGTs/vH4WE3f22R9lffOedA3zPCAjArDOyk+86PQMbaeYkRU7Dh0plpWTAiXHvLVQvws60EcJPg TqYIV9KRTZ0cyFFu8twpDPoUGVIu3UpOMJY739rY3J7lZ2SYF2I98KoVVGBTkWC9olpnS5XAt8rv a36oXIYTJKx/DJT9GeI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZrFFaSR/NK1DnF0szuZH+rS1pjhf9DgJBZ6q66ykE1Fn1eTZtiuaAWnG8ujJz/i0y/B+gGVORtDG RASaakokHdEMaBKHUknT3mAaCQh7quZMdnv8IGTjsB2Cm18dpJn+x1Q9Y/N0bI80jJ0FWALEJbBr yzDsyXF/UstKihRI6mZNAvjtK3X4lkn6sOBy0LDsz+XUfvFu2RNNgF7yW8BLlrQw9Q/QzN8aMlIh 5fCSyeiwUUlVyEslh3jvVqDMjKPRFnGh1F2iDlx0FYPpzKKrQSRF2nmyhChcTJOY0l36wYkATZV0 yZkyaYwP3j+wP43g7NhEYQKF3aMQ3blkB3c9CQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4768) `protect data_block S+YzCtG9oQyFp+y9B9WmVIDKcIVzb2LERYI/NScFkPUPK48bwGvyH0wzAO9xn8b8ZDjKEUZJ2rF6 wkHBfkUt3A2IWCM/q11DJLhxHG2bQ0bm7Z3b/1CFFOUxn19qML6lxQWVQBlQKCyVqON7nzdxT56S s0P7IrzwpWkOgznTUK4qKkJTG4bLThZnOTTywdtcdi6KTmxx84Hmyo5iqw9SVnu2fqrRKB+CL0wn q+3xOa0sxZTRKkZ/iX2FRRXHx33eaThUTKB8rB5wJPZHC3fXMgdpZYUGUouLr6OpCuEz45e71Kd9 pQN3pSLk8bxmES6y0CfjV+NLasCqPXDhvxd6qKpLU5ndbZun65JO/jYYDJ3Mvwq1YLgWcCsTtxA+ jahV/IZ0Mt/GBYuUuRZcd9evPNW5qS3f/bI0Il8scsQOeN+8wDAp848buUQ/qnNFT9MakQ7nb9Cl lPNR+ifmQOn8UyCotRStACPiDP/ULm9FRcIffY4ZYXbH/NJI+8aTvY0cjgnNNRTrNZPK4rYI3djY yRyRtXdH1EbnAy2tRpcYw2gtICDuy3uDtEsuvXCZEEDJNkntiYrDnpf2AkDPgcqSDVUUzGE9p+eF tLjjc4VddTniN9JeE+Y9GSvJo3GGyrvm1QRv5IcJTeCk0b0IT7qmhDvYNyIzXqJDimZMEjQVYGAh IpZndep0V1BKdfbU42fGf6gtWzD6h1opQseBaDaE4igKqNEBlf7MIM0+asCLFIO5/QHOq+XeSmAw gDYUd6uXqT2NzIeeoUanyOQ5BwJXFCS+vDYcc2vifN1d1T3286xLCaB8HtqysfR5mEl4jVvlSgee hE9IF14vXfTmV0BQGpuQP+rMifvZOWJOrdhldxdNjsV9JmXf2sLgbpBChTxmLqYjPT4cJp28cjz2 gWCzwLP1J8WcGJWoFmcW5bF+8Uy7qvpRDZYuoIM7/MyhG7kok+WdvbLhPdkKhRhnTBStmhD1IONM cjoT4sajLLy5O1IGdhVNsYxIVlUVNB1rR5C/FtnmqA4WkHldEhrjU2ZkJPK9HYKJiZz7I4DAS2B3 MEYF7XpRCjpdX3Pc0zfsw16AMfwvrppG8L56dqTssIxf5GT47uszFz+83KzjHk35Y6+4O6f/nSYA UDm0ptm1YEBk5Gxr2VYF4ltYLs4ElZF9T9jzLFZWZvHfYKd4CjdfRQ6waf3CxJSbSfjZT3Z5gZCf 1RP3XVoDuv/lNWdo+hNHQPlOmE9cxIfK92V2rc2sFqu4G8DibsT5sc5lEG45d8gshXFNpxHfZXI1 vNsiJ+6xn43KoJXb4fFjkOXokaAxQp8kigkAVeyALNJMFWfwmt4SBlQ0FbeKErH9AZnbTrnsVIcl RBalDnjO361PRL7W+KMq3SJ2XWhfw4m25B4iuDq0ZItJE3pWQcuiWVdM8bHRCFzdbUmsnKNlMQZE 4x0xyQMHbuo79I/9K4YWYshh9HGwlLS+lxhNPSlxi8wjbi2yj+aPX5Bys5xMKDVgApgcbmhpx2eB DJ6bx8/LbaCXpgNUg9aCfPMktnsJv4EZybDoD6wFHKJFIjBdjcVNSf6380Ngj2s/A3herzgGHlYu x9TNsBSNEPa0Q4v1o41nJ85wuAmRZ/viiO3qglWmmulmStyGSM41+he0nM5jDvpz5jhwGE2vLFRz XOlJASTRf2CuejiYA60xkaGYxoecgkvoMYSwOpDXEb2RRajtQp03jBHKNRY2CPjmIEOFLJYhIAYh WftuESRcHkzIDwHsKNaAsjr1W6o+j0pkDDbKnEMc/XCNKIhXgua5T18tICm9otZ/hJA5dgFrrK+M vUMm/VLcAKtFoFBcUBqEk4zgqejsQ3lpxmPsH92Fce1u3M8hHFhANc4D8ltw6+w3eqa7TwZeWNPO 7JX7HWHND8qM2Abd5jvVu+pK4lDIc0BhGD7tCmEyd8D3rVbcrmHL+u9vpCfqIjHTq3A0YExWbpcg NheYTzGdsh0fUsptx4pQ6JF3hy3iVcZq1ODwA7Nppl3PUHH9ca+RxISyjwHHvQLo21Nq3FXHGc3H DUUILRJmJkDyXq6nDk5azLP5hNxG5hb4qPTtJImqkkvD1SEkKAGGnZGFnVgGCpR0VXZz7x7JF4YR 5pHMDzgvoBrV7qb6WMOBl7l1+xswcLWnLr6/qCpGzwPkz8uUlrbbBwqMaQevJgM5TX9j59XktmzB Efvw/pOA4XOa+3E+SmcE74ya7MRA+HwnZyNBxoSzbs3EtgPnMlCbzSxHGDCBOeaZObO53f3mXAwG /8XZoEdmYAG0aAP1n0HOntZTsh2lI4p2sRH1v84ExSzq7uWA1ViSt7Xpz43hDpKK0c6VnTksHlWd e81b77eSq7Hbv4wTyC7eCQCJ1+OM03JEE+jb6gU0ytI1f+T2jocGWtW8uRnqp0K+WgqVjSj+/LMN 2dNFVrYcPR/CzGDzVZFSap1EGPcjDQrnnR4RMS9h/2eo+Y0MsxeH5MudxxnIatFuTz6G7MGc4iX6 E1OzE6BQm7TH0mtR7Xi7131Otyf/uhfeJg/SP88bRyszHWRX/WuOHPaM93McV6/vPLq41Ulek9nF hUl3mvA+EhH7jbgNcOS+1P6Nh7N+m0FasMVekh9IEMqPMRkmKONKkOWl4f2gx7t+19E43cY6Jw0n qfjn6OQMyRNa/KUcwccntSpVlEBlmXj8P6Pj4pEdTWTJb0FNDgp8UdCExgHrDooJJ6Lbaqw8UPMM QZ2nWnTeQ4NZ0F++izXXJXBQRvKM1wWL9FcW8LpfFSN1UxTKCJWxApT47p6JxUiCrmG7iMSoO0eX 60ab8NSsTW2hDZpy29e9D19MEdNEQ6DVNRWkj+qQnMtUfq1r6saE0NSAb25po6zsM/saNyH9YRz5 EJtNcFn1Z4p4dw20lyZJgrRjap45WLDR2BGddiI3J4cUiUtrKph5C6NnfJQVYNz3T4Hyl/QRKa8p xX69QKxx91qpqjsXRBMaWVbVLU+uRaMnBjiqMIm+IOwu24MUKmBmZJ9no0MNh2hbQLzFut6RtR+Y FffrrvAp0mMTbI4Z8Q3pJajbhyo2TNVmRKpP7VqXYmEgKDpOkxDW/8YM7Mxd/+i6BpiWZ20sJyum ZbGgSYgdgkImj5FBkc9YZrx+36G/pi0exA0l1cYTG8mpJeb0cI4Ttp7PiamPBUvgI5jziey9SzA9 ka0b8cjcp4jTBe9P0c3zEeH4R7bEUbQWWyppm1aw98AYHtjDI4/pJFA43niMoFV97ZUpSWdo9vUB FyhKIzvFUk5XKBHyR2qqN0OXkiKykv8Swm6a6egzQylywvhCv8qEJITcBxAZcXCFha0ck+xaeQJ/ gf3QjLHtyQvPHUu358LQUS3RiCfNxqlT9S4RiOfmVmvJgsXCqwAYltfeChZ9rfEqw68nhXQX4rnt xvHHKQkTSAx3hFLo/w14EnbCsoE1zIQdG2yU/vkq4M38BnuaFy1zSxzCP6jYobDoMoG3ozW1mi+y ApgLjr15KFpYTVe02xE4D8Vf8wJhsG6hOofZNeYaRf3lGRp5Iaxq4nztK9gYn44p1Tm40ZGTLPsE P8luN6I+dMaaHbPIRbb6BrbhBFasH4cIbyVoW5TvyQjI2fBsg3ujKiaxcyaVoBjMF1kGCUSDHYkN vt2jnVplWaI1LO69ygA0s8s75MuM29w+sdBoh6SJIPFY8Jk3krAly0kadirDYWqvjqAba46l+9ol 4ceJbMmsUwHSavewcLDnkSnqpb1sHyGynNDVSLQlrRnm18QnXL1YqCznqOLLGIHbOVa71Pi1HWyl 9Ym9AopnkYCZSB7T5AveGIKkmSZ9VQgcxf5ST/1dn8UEwF5uRpBlcF2l46tOVI/41UX1niYG/D10 5yYthtSCAHIyyExuzuGoG9he0i5DK3dw2rGh5HrPMYQImU9TxdTnytyr6hDyAnXTAMeIOHkemo19 SO1NsrhmTdlewhwuOtnbLYvif66JzUBHoQXC8A2RobdhmnwlV/mGk4PmAQRhA55CPvuaYK1Ws59R gR7w2qgeudos+Ze6RWiPxTA0sw2uo7Y2Y3V/fAtW3kAiaUuExGJ+Vjylyth89yNh8V2wruOu2WYz 7P/lDuxGxhkwbmW9Edo7SPWav6FP1ubAwvGuXtMWD7qmgCGOXJlDgtlrDbJOP3aygPOPBp6+bfu+ dp48BLBOWgydELm51cGXUQMDHyh4F+rI67WYhHmzWKJeNIH7p/zYez8j9AywvNkoZ5Re15wptGqV YKQVhGBdt/I4yuN3joVkdgSnXBBzE46dFmH5JXgMFRDIRoQqjLV76rnNCHGHWzUCFERgqhETuER/ AhM2pUaIJQbtcYG0ccr3NCfkrK6NHPi0DGVJq7AIOCFjTqZH9449ot+WQNsoRaTOg9C02EtrwUYs v4pxmBloPsi5pyESjkHYzAuz9mDtR86FbtTeqhwz8VL0tAGxoeQMmQeJdyjBbL+d0uFQIdtoQLrl Vz1+4hLpv/XGTjgPBLo4QCNkEX9NGUr3/xKJ+bNBfEMnvG9fsDF9qwbab9hVPw4VUrksfyhsL+aD 03kmgn6TGfheDhJZ3rZZXKp9uOIAsUaLeYdsr1SAQsoQNqD+Ms0zEPh/GhtZa84OjaVgO5Zhemcc gDKq0NYPtmav/VB81dYT0/HH1QrRrOKr/KNOy0kSEhViZlUyRyTfMxO66syiu2g0EJPeiZQW58WB V3zoBW4E2Dz0OZ3xb4io6DossoVPVeFwNxq6MV+DljCKakbZWyXA6ZHetguZXnGHz6EKtA5+iXOb S56O/Xq6j0lwrg+KwH7HKc2+Wp7tTChobhs2NSJmk4cJTzjo8IRgygNqw4zBXwbzR5QXLFD8D/qx 5tvehJAs9yrhSePjSEaVfDKyF4yHsW9qNGT8C8wD8AkFy5VD/sf8qhPKAjSaOTaPrwZv9oKxfb9/ dVGJiQMi4NrJUX/LECBm2Q7ZzgySbCqpyI9y0k3E3GWNYXPT/hp4Gnr3byJqvaTBr3PNsBWbdiBN AcmkW1lPmq4DXbrWuTQ0qBkeH4rvkbScO8qcbSrWFow1rnYQykiJBfbKwq3H18JBoiie7/a+B1NY rzljaPwrjQxe0anps40/TXx0yHnBidS4Lnrd8QsaVKvTT/JpAVABNq02Fwsq/KxP6LZm8EDtbOuV HHI09piP+WANgXtJkdZFhbzQHSkQDEgsVy88bQeVvUTnx/jhDD5adxPhU/df9jLJVwUux103wu6S uJRGlc300htWAKOsVhv5+ORsfP6HeYfpQwwP1EGdzIZASRADPWT4WQKW01VhCvrmQU82aoYULL/L 65I16LXoFqoaiaYWvOXfsFO0EytYR0vvLu8Cdpealbz8lzrASuSYAOt0DjcihMGkRcboPnZ3R91i nTRoTF+L9dv6Y8SyYU07fXO0SuPgfguJo0FgD74P3zXs3wxh19aoC5zqUgJBLfeb5xwbKvRN6Tj3 prD059Z1sjhsSjjVT9ZA7sgaJGNdO/z8asPLt7pdFAWj7wStMwCdRfafdq3iNSQuTjusiCk3NYs9 H6uDbpu4owN9mBK5QKFUb3kf/mRJL1hnM09QJdmI7g3YwpizSIl8oQJUlXah94kXNqq1CKxU2KT7 PgMLupH2qDE2K9p/uB8G6y+jwesNABoyawG/wmLKAPLQWJVa6Oy4igCAbuEcr6RKPtKpynIuzc8p Ke+N/WTQjUcdFCOf/TeIGCM9odK00BWfRbTVbzrnZ48t+Nlqu5JmZREBmpsI5psi1wjd3ATiiDd4 G4Ry5LoOdXf1BuSsARHAJdR57C9Q0VYwQDYUN/e0t2VklZo82xyFlqOKdZz++v43eVmo/fcuygIO mqUGzFGYsTJ2VG4fRP1ognIyVa6wS71l2l1at6iXwkuCT/+cDdFXbcORhTVebM2WuDX+5d/a0/0N a13rr0UpUgHn0fgDyyM/c4AAFaPQb4JJRHKR3epR2nwgY0NgqDDuZt6zCgkQHgM1tFDuQBaSlV6L oWQ1IdFiDDnLzhuTvJoBpU0/PmPP7wjiCIIQhZLtIR99+5IXzOnCs2s/UmS2tU9VfUB0y1cfqCbX X4sEw+NdjWRRY9dnzmKH9gkRzhXtaRJZeUZpkpH7zQH7h6SPLHIXnlgrVrSeKDi5oBIMFknHc7TW rwbO5LZaEn9nSMVOcHUDW0DH7Wg2IoV3X3YfpqlifXPe3HuV8yDDjPk6JbTMVDys2EIWa5DU/jxP OsPA+YNEbwBIBKHs1yGtfnQ2Z98S5S41JwBd0GtcJ8yOMWQQjxKiZwhukpWGiSig6nS1yR2yO+mK teqf+JL4wkSmEkfch2VyAqFCRH9wMJflpy3dSRAskajklzpznw== `protect end_protected
gpl-2.0
0b1374b6a7b0c67477ea0276acd99cd6
0.923331
1.917757
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_add/flt_add_logic.vhd
3
31,681
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block PGDTTzaJkZyq/Sx6vP8SWiPHxPSvWbMVjmG1+Q+wp2bqoQkf1EP5khzOtWbnSGP5xdMzxulPNEU8 KdGm2w8f7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block E15qksIbH39DJNFmgugZpdiapYKk83QOlmyew32sk3TqZk9JLqKaUierYaSIavwacgtHdsjPHKXv /J8/pW5NIzZJFUIplGVdc++mr6szt/e3PhJ2w1n9zGKBPzwyBPgi6DOuvv9doiNez+oXAXroE6Pb 0sE6klWWAQLlS9V6BZc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OpoBjOa3Hsioae1ijGqLzQFaDoio5fe5RPWuiNLQeQH9oJI8wiWkmduQYHtKwHxiP7sd8vlm7z7i O9mm3J8LYFom8Ql1GCqVpb/CMnyoyIlNiotSYfLcgGOmDiZT/9fFAf59cyrJhk09aVeFnxNMvD0k zKR5GRn+u2hMNV+M58zby3j8ms+ZgMNNCp+G6jWRrGD0zpEklBAKXtNmbaoyz0nBRB2VICYUFdmq a+sEUymrHfzeyErOo0F8PtJrYDPJoBNt/prCB6cUpvFqhYgHvE928kJMiQnUBM9+sV9cZKavOhsu pdFTo/7i4WxeH1nf8Nn7MRiXHIMJPRe5nMbUpg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BImwwUhQgG8ZtIHPWHApl14MnHbyskgXeHF5DdyvSRkvuwqLfOwIodJ645QrhFbLnN/A9oFjKWwR k5Ue1rmxq5+6DgkUmX5mWYPKHQ8qV2icmN0b8FN82yqyfMp8Nuw/lDJzAYGFxrD1YiezK33JBN9K kKEkDpoIcF77GUlKhTw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eU//7gXqNPSzomcEyiVaVIbeicWqyTBbsuhCTn+1ScW6dkJT4INx3r56UgbqrsJhlfTYB/8cJck/ JY0boNqVM3XMIbA0XYAP2n20vd6O1xRbHgNTR7xdYcXnMMebASBbEENMBJu/PITAJPYuqFuGM5c7 vtFijEcUNg+50MnoCYyqzNwLVjD2maNHBQpy9x8if3gdDUA5jMSCQv0/0R6XdIw1cyTiPdwPyWer +lFZNEHR40au8Uztd91wzAm3dkQnJEl64jhgzQ4noJaSUWMm86775TOmbq6iuehBnH90SFsc+N0d sOjgxNCNIjxVzb+HneNZ1GP3mqP/bfAai8fAHw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21712) `protect data_block hzp9sYOYlFgtHvkOXMVIg+JO4acpW7KELlblknJsKe/xHKdI2zXRDw9m6QBQRKqfkncDAHaM1JTp Vu+NhsIH942sLcy6ZtKxQlpMxQ5Ai1fWAy9HVJghhpgoPG4IuHhOCy5mJrf0k3k9rk6+mjg01iO5 8Kz7i3BtxlZQLkXi19cpSRW7bMqEfgwxpwfS+kQzhTdTk8Myl8DTn/zIXM1V09Ap7Aqm0mSddC8x zHFojSRKpKyzLXkNLXtNqeZgYhE63x5VoyeqAf8UBZ8jmVL56Mi35Y2BrxG9McMu1KUMaRhPKyOc ptQtSr65dXZggN7/yBNDmuRXmWmrxe2j6eOMtRKcqOKC93Fbw/qHyREg/nQZ/uyZVLWZbwDBmmmB Azao4OasJpW/pma9566avdirtFWTbdfUYzVQ23Yb9G7VJOzffxiEBhWcle/K+PYwyCwsiUGSAEwt qHSLg2TMYF6wfgdR0X5Um7ncwgjzVD9YR08DyH+aCqZjaW5hsF7HtLi6CONy4Sr+Pi7EIMJN/sHo 9XCja8CqVxKh7CXJS53u0UNdmDWyai0QLOHSo+j3SyX7D713WHoRPL52c30WtfgUi/R7vNH9Za0o EwX7niKlmmW3JlB2WXtXN9bTBeQBHPYg0pF2+ztbzKSA8jNxH5eCRtePbOpFxmaOxb8Tv8q2VLLy BcHHAiWpSkN3REaXTIUkPmymdxWejavESmKJtslEghVU5B9O4ObQb3bMSAcDsKfY179Yb8eAloDB 6eBpmh8EiTzh/7JYy37g//1g05BXYBG7H9AB2FPCQy0uIBloXwXsHTy64YE4sx9KE92b6+Itx7za uNuIx5mLk45vv72VTuEIaplp+HyaBLp+nga8nhHjpoTo0NXnuRqxxL0C5U5e29Y5miNWrJb7Pjof CRA6p2LC0zTA3jxFtf6y4Ce6+ZkbSuwMQRGhIjPmRCC4aTmuC8aquBp0oVdLEiYNiqDh8JNWXCeN auJc2Yzb7WzSrjzjREb84nLdTHpA7Ud16c+iCuyxtcOd1DDa6wmoun2KVy9J1JCvK2UWFpax9Akw zPX2x5MJMa9GlzeWKUjTBbjuCWcV6HpSVXEO7gBDAeNarDUBSpVWlz2qcnBNGcM7pPEiXQwE3FRy FWFJltvyl3K8r/fHaqelwyikOKnjO0vTRe3iLmhT1LVTz9ceK4BGL1NoIjksVRmEiwh5df9mkEkj tAadYiDmQOWAxHiM6siLU+uf61q9QAxsxKOQaf7+oUb+aH2k9dEDSOqn3bkvWVc3HBBnFxmp9HL7 Ptebc+55d0FLgPXrkhiVxr45o7kdAi1NkO4kWk/MGBlbzzBnUi0zoU8SXeu9e4Q/DbRyRz1f3di+ QPWHWtLyAatF8q4yAkuTh+IKSjeoCMedhcvnHVWFFwgL4L9vLNAHlwVfk8bDhDADtdIi/dtNYkzP mck/V75DRoOWK9R1DmItMjynTLWT2f9j52wfjCEZGVuawzsKwv/C81wheN9wn0z39SHctMrQ1t0g fuDjoGtdz/9zRfNskRwb9nfSE7ZV/vUyiY7r3+k/hLFc/d1ciRHlBuAAss2vP52jF/Q8D3KLYs7m kJ3CH5ymFYOCfiEPAVCWWKi/Yy7Kc97EfTLz/dPMDDQ/IauPJpOyw0jNlarLWPumf8ArYKdHpbm9 36/Dzu7CTjbZ0Y1gqrAmLed9NV3FyIx85vZJhsKqNXs+Uj5j/X6oF0O3pvTGjmw9NQUy3O1PBeK7 PMPrXmQtnpKxJh6U9+y9NS0/sPMmwweFwWmMt/5M1RWfK09AhzJXjXbq7ZnDiEbDjkIgy0HArt9u K4788021HZB5Scnw9VE5OpJA5dJlxNz/ve9GMIFyX/RGWgdBvHp/4Uz7MnwJd6/JDaxw22XvElwm eBbVwwgE91j9GqknV9lJuiTX0sHj06KOBM3gspRgPK32g/EeOEaoUeVRAFbZDuuM8/209Kgikc3Y pMGr7GfpqlWSwUl57/QJ4cQeStvofEYtZwqtOYJmVKTDEBAoQ7hni8VN/8Hda5RlJzHR5kaZz1kL vetnGU5vMbcoEA+PbOeJSSmII5V8n5m4QzlibaIBmnk9Qk7S1WV6rtvRAi5I/nLwjhDEldKYwNLY L0WQG1hSntIbTixGdLY9Xhg1eUEs7O9vUgtPewZluv4GCqKMXbFpA3uZZy1rrBpwmjcEBdk0/AAi LXwKZeCoBQMBtb2ZVqM6Rbyu/t913HoMobHfPbGQSyh6B/nTV9kHP3z1x+F77RmmeC+OfvC0BV1U XbgKvJfdvczJw+MgEyrbFvIGoAPhGJA3imhx+famOGm9wcsaRPyIxHsifxU3BgSIkU7XRvY5TNfY WJQ7xPk+VBzt1Jn6IWwhdhJ6X2rmCtq9306pYdPMHLP0sUO9uJlyVT9yQkoOhjCpfYMfFRj5sNHh EM/VeVzV5zBDXdrEFFQ9TPQI1W8QEc266Nk7SdxrOiXVR18x18mTZRAvqa9bQm69pP1uYzlyHyO8 fBOCrNOBXkZf2efqIbJLSiAaR5diUUsKYxQ646ETm4xrkfJNRCuUe9fwGRY4nSjZBZpsq0DyKDln up/r+NMPFyu3tojqceZa3Spq+SzeXbWB3GARVCfqw6WowXdDpl2xzk+b+aT5HE1jM6sxHbw/cNHZ h7Pf5V0AOV5G2dsRC0SRBjRwg1S7Odig/3SVqy3B2FDsfO5XVZT+45VsNbF7oTIuqyFCfwTcjKxs 8uzNJnvx0I9GTL0jyslW1t4oYQqTfPcFh2qZDliaBe9hUbQWDp2l+OR5WQCs7u0LiiHT9GrCvWWa X+eXNKTkXqnJh2ph3hpO4C2guh5I7/7nuxeEjIvnG8chy0CBogAyXmCpTp+ny/60wMy+cUb1fMzd Igc+KVhHhOc5JMUdpNZNKV3nqgW/WE7PH/w76eWo5PyGFKsV72FAjVxQlfXU6lYxPp0jXfah8yzD f0DE36IYCXE4oJBd5kf/DT4nRw4qOPA9YvGx50tEnnbOSreLPVKylCp8r5M7aV+wHz85uW7gOyzd iN13c9AYkYPYyQheFeT1a9rB+Xmlfn1kOIvfvF0C1fe8WRqT/kgR8AJTfYx8EBaLxGLdsHT5xP/v WKP8nN50nnM53n9G+0cgGM+RCSJgT/Cba+ivJfkjS8YATqY1E4sGKpj81Lt0SnXl8TQc4Hs6uTDT m/xDxtzod/rnjTH1ttgVwoY/BGD1+KqzshGeTmXIPizPYGRVzAigtqvHjWWmoGjMFllEnzEJw92p FQDV3z+xxt33PRYgtb/j8niz9ropkPREfEiws/cI6mWoFuw8sXLYLnmRNbnXlL3f7KyBL6WMI8gs a1gZmPT4NY45zOs3xf5fZg91cRKTbxo5roZerNCo4P/A1VVvrjxb1GdqkzUbo0HQ8T1FhJ6SJqYF W1qXZcIpkWqSA9zQ1dX+EJOojOuFYdabFqK/g1pgWnUIVgQVZRjcf22rfwKOEZaEtj1vg7auy/Y2 g3xdaS6smPQS/QZsb8YGb+elP25FLTaXMOeO7u2AIhE4zigSjGzMmDi3wfmaZf+SbDVrMilQjHTL J6bGZRdMvVOM4w2WyMkV0I6KLgcg4tazcsit+VmcDi3yjxsa5+9Afxqn7iWEYwu4MYLfutfHUHZL 6ONj4kq8mEamTeyQmdeonEYYG+Uef988hEEGf5l/YZ9nGsbjNtB8fL3ErgBiWLADg8YBUg5c+nIM PucDVAKszqJM5RvA36YcgL8uwid2E/+v9Ttu/jDYE/RSpX0twqOCavIuEQC+HfVnGjb1QB8DknDR 84Uhandp3KEo3rlr472VIraPA719n8cua1VqNfYRFKHowjhnRL3EMMuaYpFixy5W/nSlESQHJFm7 euTLmTAoKu2N+QbFJ2x0sSZjt+1K4/b93EagYIwt0StbejPV+hnZRAuiKhu1UXTQXHCfgNNaSVH3 qISaxgdeYPmToD4AAC1k5dVd/FhmCqNN8INaFmJWL4VG10ANFdCQA8Ss0Ag/5fGl5xN1KlXWqlKk Lxbv0gcimqOfQDUn0zuXyqOlEHyNQvmsSlgHdAZiUyxRhzoJ1PCWjV/rFU3oXwEwz52dr68mYwnB inj4vCVgeyMG4HCqyRqrp9qdAGJegjraItGG7bpno7MSHH5tKXx+Iy/WRjgNRaLeIUzfzx9flAoB 4poAE0yHauF9tEdt8TJ7Rsl3pWMfGxzzo9zR9NLXtOp7yu8KS9TTkvYxrGSO0P3sc5y8TBMww0ZS UwCY6pmKBNi1eDZaGmy8fvBqgEFIb6CcReVk2yGfTNxZC52wwr/R11mIEzRlMW8DGavsrv+6vIhj FZFCCVfw6P70REBpOru4FBzsV3w3B6ElSJYMDUEYlaPBeNYSUoGKQL3SERkPVLKHN719hgsgi+JI gD5W8ffBrUMIjbzRKpsiDB3Z+YryVUZ375XTOIEY6j7I8afgoc6spAxBbd13n+XGE7Y+GlC3Z7Xx 7tQ3Sxl7C4h9H4en3TF131NDS9NuYCao8FZvC27fGlNawOJpIZTBiuXeG4QbnIz5lr6d9YVJVsvl LJ/ROuWjIfbvgKHu1LH4dnLQ5wd02iBS8fMCm/Auw5zLC8svBiCa36GhrdBzObfLd5DG73gblTk+ D+VIMb5HQHimMxFerchWlMsKsBmWy0TZYl4cjUGspoq/Sm41yKumL/BYU3ynAzJspaIwl6NFqoZX 01SC73Yt/C3szpEEaaATSSjqSGJn+FEWKNDp7SS4cOEMo9dh0vG+mmFwRqYzJdm5AlubTX/1w2lF rOd1HrX3rLhMFgEp6cwCext8LGINdKfUb+wZqbwOzfLFwdBx/AGy0+ZArZlb1Bd3it6swn7SVrNv uWNWEXExmBKsrcXxm8Hqxg1PtVOJM2mNVomUNlq+p33M4hmi54VoT+ZPakHR6oM3TbcdMKm50kv+ Ug2U+YZ3xXr/kGekKaO1HbkiJES9IxmW9uLZrG3TMDhKDxrpJzinE4XjWba2bzqosE2y6yDyr8Aj 8ZDyAUywvVjyhMuyMYsUo/6brsv1EXUAGXy1AHPt+qRvVloI29/QB4VDVHaMxw+zN5GO4BMNCKAc JquTBKH0GFhObXB3L6PCHQIraBkMiJ4MbBzDsJTvBZLKLTpLPmUomjnF+XAuG6ywQpfJw4VzxoY0 71HCwhP74eqRorKL58zOWGkSGgUqYw2tJ2khLrUjezXie41Z3wOWmqTKkx2r8iAj4eQsbsVVhZeH IsyGasJBPgQKTLZBhg5xQWZGkWMcx7OjMHWX2regj9ieHMLfsQHkISTUM6fvIgxVeZ0QldAx5MtK PNxUdAP4W+JGFuoD2ElomCHl8NMio32XMoEYJdRdLcxyO+PoBPEAFS76IdICfdczKIBnG95YIWcm gmWZvLM7n1D0G2qDtzu8ILaADC+oKBg20c3BXMyZqFTvcuQacbWhKkFjxlzCZ/OqYelSxnACXzKi K9j61ROBb+3QjPuSrGZSqhzKWRLJWf2RYuFP6YY5PviKznBB7pWJetLAMP76ydqC+QrZEwVOWzU+ 24d2hY3xeFOFGFdue5zcH1FXwKTWYyQ8jsuV7mXnXOq3ASA50q94wgxytfU0gullLyHNTBfxmb1n RNcBjA7Glms/S2UYBF0tWFBakKmbffAxr8HU0IehQom4YMA3T+HgA/Oe/DSNj09GW/6E2PoPZWNI yrTVAgqQaaR6HbuYX2mgpRcFzuFZGUVYvmip32wt5O2eZxW4IWxVeHL5lTWl0rb47BTB0Sn8geFS 05gpSF9EGxa4AtrKM6r+V3SamNrlYvOrvCIAswMism3NNtkfnY6w7wC/m/fjrJ38542jm0BXW+pq BZb0yXFJa+MqbXoWQpGivCSs6k5RNm1NkZrzS9O81+ePXKHXVmdLswLTP7zZVhvnwC+MeaZOJZEI s21gCrVAZcMcL+vZwBQeC82QjBtYtIKgY3pfbw/TlX4uMErw0/3gdR6KRldlae5+doZECE4Pb7LQ u17JxiZF+cVXSzSvxe4dHzDBdy5ySZkKH/xwR2DtVBnrmECy5zAEJX1c9MzA7z1H2UeF4sDezZDK ZauCWVDpo/R0APdWW8C9uL6kTX11aZYbpraIFDYFRPeWKs+ddERkWkQWzJRXjGMcB8ylA9O3osp6 QOZlA0FR/5IaZ2vngEI1/Q1ZaxbtBWidB5/DL/73ta9TzDgl5eHgeMUi6mTrFkSBpWHuY7Y02SsV 62y0kQNItP8JIUK+v/RoAVGa1KHy2MV5JUEHMASvT1e+V/TFSRXTKvmu8fW352l2LPfcSo5VO3Ey CmzuROUpzl+BxU3MUTG1Jtb4bkrsXozgkrigVFtr6acUmVPmCnf9hVckEcv1QRnMD6shep2ndLcj LWtofZwROS4Li/sX9TjS4cZg2k6EuIeuinTIKBpNzQm/q5zW/yA4KfTtQEH+RGYjYZtTakgWWxPQ NWdgwVXOvUDe91GuLmkoVDX70eK/Jx5JqtmjydSteZSrOtUUrXygDLhLCSuLjM+beAUMmmu1cGmJ GRI/Mi9kCs0rTx4RMLNmfuzRGibxKzfj0FUIlBAGBLdIlrirprWdnttU6UBhBscCrRVwhse2kKl1 wfh+N4VBdhx0R1oGj44jGo9xXpWlw6eyxmJl3QzSjDMFDngmvG+ZkX7Cq2CJW9QIJ2qwpSvAdJYC MFmOpaM8f4uJLUkbDpwSWrSMCBmaOkbEVpF8/QCdtMRbxJvg2S1nyYGpTQNHLLa4osvRZZ2+bc5r rwf1EsR86C+a82YHY0ImI3OwIa+547KKTU0T1g0DKncB3rgRPhEM6D+ITtgDNwFOeDL9qWe/R19r f69lBviBlbqJKqYwfwgb3ohBRZFSpEvZCc7yi7GLdNvbMuwEHXgr5r/4rxONrR8eer3Rdeu/Zri+ VLMXzaHoYPyKWBw6YEC/ie+zbmO8fNv57SiCBi1f1pjmkOw64Wh0VRc5dY30wzdLSH9GRtXrYdHs Xr5mysiuY5ApLfjc0z4HYbvXZPAihXGYSJ8FcwZI0+4ffroE5LhpDCrsZfahLBP4UKxJbYZSE3BI /P+DqO1wb5YIGzZRhqqVeBSLhCI3Vom6ZCUSAhmOjAGN+2qz6tiZFpGG7TtBfB6wVZzNnDejhq7e RqyIw2mXZb8DxIDc+RyAVTkpZPSbb87MMFQaFIsdtGtXk2fUOsoJkpuNk1CkL2PSeKo0VJcZGu1S VR+ABoynKEvtxiUgCQKFn72We3EYXOu4IeS2uLzfT4Lwq/nqrozHmgel3Xetf9l5V5gPcFg6/pcY ZYRMRCKeXFWUCsV9M9sYdGfuMOuRWQ1L7+FoEP4C6zTyWVa5YtfHTLAfwyJmiL/5wp2Argj+dTQG RMG+lnN6eQC0sv5GcBXBNjfIKPZOZ88e2bqFm/q+44denrmRt87zz38DM5lUkqjhszV/MrsPurOc /6WNMk0MUcgD8vp0L1oZkXPoXU9MqTfJwLl5ZqC/VVqxBbuHCEtld8mI/SxmRfYxfS/UVlRxiVwI R+I8Ccu7PshZuhlpA2P/4XM/ao9dre12y7qN1xZ5EGxfz5dNZLVSksjqbh9Kr04ihBw7ciM6DDkM 1NdCqTRgM8hrIR2P1vMHdgXJZ31+kCivia5Jp7xclMMloIuzm3xmNmbRAU2bqErPeyH3AlXqR2lx uYq/Qho7iMS0P5qlFwvcp7xFVMwmPsMO6/UJ5OMqPx3+3qHrlN0oOJq/PTEStsP6TNMIB1rRs5gH DHzSa4FIgnWYRO127lxxKJfinyrLOHFj2DFwIMYp3amK+NvgmF3JTHkmjIADcCWkJk1cMg23Ni3P wAre84aZ9mAGUSa4Ba8tTofKD9NrSO62MecoLpITf/d43NAiDt486Rx8LurI5m4raXZQiOSyqYmP sAKxgRJ+l88WYVqqlNTaCxDKy8rxwK4KFfl9f4cAAUbr28Pip7GAJheMI+wRzFY+4QhL2Ye1fCCI znfB5DCDhwGV59SvwU9G4k4oHwd5Ik2k1kaT5FGUV5ElC7SLV+Fjtwy0aGG5BP4pBSB5eJP3z4LN e4kCZ84zNVgdb0p+oMVqrXWAdKy3ie+hP29YeoYsaApcZHl2U+9/qM89lMhnGqVQq5hBh2nBIOKd 5Wfu7W0BYtuPvi4dFjTmwcSSZcFqwGPTR5Fa59op5AiUP5x0+Zn72jcNAoH0UBfJyRJXx+qEIfgl N44ROJca6zTAKjoFQP50vltUnhZSK2XoaTZz0N4uAMlfIGlW9hxMAfYt1ov+J57nza4YTIBWMfGY n670pJUFGQAUhPqcPQXhfRkqazDQDvw6ouwQj5Hr7LOrwdEeuqSGGxgfPLWMmPlkOnszRHa/gy7C ano4tE/ksBQF4LQnzddnW9MBXpz++EVI2K3R0IpMt2yRQ+yG/lngOe0EaWsdfS3KtZ8aPuJCmKbg TpQrNg/6JB5bxIkye/GQIyqXou/C61eqRKcJTSY0js9I7P715WGfAugHzjpaD35eRbK4csMlCLKA 2TKEsZTCqZrGjQvwfypLPweLhcrroXLKBsMxYN0plew2Dq6W/L/tkqUFas6GmglJwnYE8xloFwjY iW9XYWQHzG/lfIpAWWGmuz0OqVe7eiyYg1rZfUKins1uY6VuB2Juc+XXO0SX3Kt1tGGGRncRVq0k +2pOtVlpSVJ8EEKie1CZDEdKHK8tasmKe4SjRmrFoPskdErREpsBnQ73m84z2b9Vfd6avAHGgGd5 LZjvoKJpbwbIf5aMTX8dSdHhd2QipUEXM9giEulmDn/AXUfxNidOXGBnZDfJKiVVxOaKG9kRC5BU b0+12fgDQfteN8Rxocw0bJ2ZdSSVEIg3cHkbsfVnTyRb/QpMFglco+RfKVOsxrb3bKy9uVKMhrQT XUJT15PvduiIT24Pc2N2wkGoUebqRMp3day3Wp5/83w2iUiEAGmXJ47OOgZKIuIyuM3g8Ucufe+l /Dq+D8VlhG7P8uGKaqNnisrS+cxfz3x6BNeH9UY51c3VgkKQ076DKYIKFnDTiM6JdBYVvvQ6iZgc 8OEQXD3paRaFBU4TaOoQEk1axpidAVW4HhZr+KCo6d/FkziPMJStQN8/o4Hu7kMpDqtnjXhegyKC /Fs2HAlnBFiow8HO3sF1VasF91lTseKteEX3xW2d0PWEqHuV9a4rUD6vPFB0dyVU6VdROD9qJx8K GdXG0qZ5v5+/qpBT79wcawesVx/1Dhbkh4TO+krtC6fyCSSPJ2lLEJwfPFRqt+3K2KtMj+Cmg47W xYHN1Cc7/5DfWj702e9MLfNNQAPYbydymTCx5Q27yierGFuxbDPNXoGefPduay205Jcj/Ed8FdKf hzxu1SnzBQw0uPAoNSAYWtRwZz+Ys6YBkcW4ns5gd5tC1GEatz42onLxEVQRSn2OH7+WhTeZCNhz P/hqxWp1riM2ZPWE9rVFZiti3010nvSque/UIQzCxxkwb6XlFqOm1E3QfxONijFwqNfrHY5y9muh YRVxzYJBGHu27qCbbsODi+OqNQ2R2TLAx7SIChK9e2J5wYphn/KcRNfDhELxYaEoAtZVTVnPBrA3 9qGvmbua4bjexzrMs4nXSpDMI8wIWOQYmKFtEGx8Vjv4Jc0gvl+QWFBM1fB9LLbYiRm8cZ7vpRXc XgLwBwJ6hN6oPG8aQeZ+oaySEwk+SF8uZ+MkA/4NuJh/QjqRR4wH9SKYaat0X0MTI1liSLeutJZR PRhhhmzaVu7gpr72V5U1K7xLj0ou0whx7Vx3NjXod8M4LEblJIMdgjtiNaXkvft03hsSzm7f2umq irW8MqedL8cOxexQKptk2skTKItURu2uMpQ7h2tOxkntgyBczupAUFixSLRCBs5KLkCCPQKAuBEF KQ8F9Y4P5T7yZdKga4id+tbHooUr6k232d/VZ/x7Wh79rJYslACMkxLNG2eu5uQVmU81BI+oHQMk tIC2JRJniD+k1Lv0P6DJzFUbDOa29QXKywQmJ4DFmz1PA/lB26fZ0QZnSAKc0jSzM+dwpB8C865p bKOxbzWuj/1SXRAELLvMl/+gAQ7vjz0Te+tPck4Pw5V7p7L8On0fgQ3GY9b2Bh2J4LnoZ62qjppl vmysjV1QDBl7FZ68b2ihnMHdYUYz26yElSs/JTzmYqlGVHlx0VZTm98sk/LtYLsY+RmzjBYS4ORW IurIXsO4uBQSs1pE1Gs4PNyOcsdVzhGM4Mnmby0ZgZx60SW5zs6JTb/heG/2dep4NiegwC8xFY3C uESaE7oix0LVGLzJCtek/RGRZTuLuxPXb8JdthZBmZjyFQ/UBEvkwXffVIRl37BTGL1C53xajZOR l4PAWx5zHJJ1O/lFogBOouRbGtR9ZqCEAtCJCEDNDDoA1eqMt6DFCn6O5VU1NqMJ+5wULVl4SYCE wHDFFzGvEIjD6JRD8k0Q3YwVpP7vJYRlRvSQDiaeXX5Uytt4cu6rP6ajBhBHi0lVqaFkdwhwF6Qy Pc1ahd4AteN2LRw1RNz81Ppz7K4FW33XoquvzIoTXQTYinuiOBBa+uBtCAxpQFP2hHGC1SYVEDM6 5i84jIReiZAgI11pnCIOghWFyH8IKy4Cwr/HNqRM7rn4p82+Es/p1CVIsEWVN1/ljZDFQ6IIdcNO OE6XNrUW4fUWCJiXT1/vn3r96PZ2+Ox3eAsB5imll5bJnPGmnoC89GuswdCtnjSCZk8Gd20QXCQc /S8hUb7nGFYSgEoVm/PCB+6w4q7oxwoJdkknN31YVS4If47FYiwSrdAfjoo4JPrwLmTWONM1Uwhj Jr2dC1DwTbta2zcOJhlB7DW1fmYDCjmtu2f1AqanHoYkuABowaqmrh6Ro4MlhxzVyuWwJzfueBuv LWTli2yjz4Ws2c99Xtzf8zbc8CloOYw9DiaaM8n9GmEwgjO4c/aPz77MtZpFqR6ZTRucyrgyP9Sw ljtoeWraLj6jW2yn6R8+nTzMjLkqQ16JhREuY9a8uDqX0yeAsToevkYMtAhDbS/BJs9mhFk46f83 lPEFaQQysMw778te72wgh9zJ0aH0a4P1CSMLGKq6OpymdshvdLo+Qn4bNtNwj6kYz9C3autIcnzQ dFqiQu4bPp704vzMgJx37LRNnSzR1olBj9QjoL5KQn6vdf9W6bHtMp4K21eEfWMvXRPoZFPv3hw3 9fw1fIqLfCuDIcfzMs+1z/6yo3Ks4IlsVLq2FShM028ALXEl/3U9QK3K3lUDlZiSp1mLbuX1C8wb qb8/hpH90woMB0OZzyDgLcb/537wHtHSlqu+P3L2RppNCJV8wW+HP0EQSNF8l99kM1NMbSPHDxWL Mz5PXANgJbeOJvKWyyRrBCVyr0KSlLkOs4cf13fXMrW/hjArwwDFoqQPHDCm262YGazNimGG+K5o KxM1xlbVuKk6HLSAO1GRksFHgIvMRrk/RutqCpk51DN8/29D958F6kvBRzRipp+kkO54D3BVH8C9 4TuiDVXOTI/s4WFZSyXXYykofNkBtovy4PAHgOMBP35dboX4Y/whV0Dt3TTwYr72k9uAyT/P9hv5 DVChnrunsk+HQFVd+4B4JIFOsryoza/beJUZwFI5Ybp91qz/V22Il+QUZRRenM/PWbmBNbMx1TUr owwU299GE/VedqFbzFWso17jNz01QlPdF0UoWRBiq2mszfO8XkOyiPoi+pKTBp+gKPmUMkEG5L97 xhiwSzoinVCLXVsN9QZeTloldhdgoc0cEwtU6OUvWU5N/I4MyXpU9IKLTePGDxAmv9u7YFD0Bb2N MJElPKNi3WIEzRq2xCNMrFpcLdhisNlDBGZWf5WMTH/XUJQywNVz9Nvzje1XtTOHtvQM1tqiTR0O GLHy5zc93g8TlS2ayGeZFSTKnZSmXxnundauSn6ocuy+dJQQs9iHHmvZVDLVcvHZhgvQlJ9cuVW7 n7J7N8Az0Jhnz2xvAHRNMzpF+MvC4CwqR9H3eNyyNBPACEV8v2LIm3kNcse4QNha2h7NRPpZUcqE RtiC4UNjLIpyKzdaUPuFOUgytKl+Dw4MYUYwXprzygIpmE9ZzfDtINUyJqxGY0eO9mgW8Y3KDS5T sL549tfrD9IrhNoMwy3IHkKe5vPoq6S2UUk4mnW0gcHwAA07poXhOP/fMUGpeK12Vd7FokDTCgLG wFGYWk2tXvNeZ2xArLuH+kKG1WZDTY89/j45DhXSNUQI3suPK6OwQQE3fYalfB+if80HITSIGeTx Wkh7VCu8gLFXYoZcfSbWfYLog/ExwIRWl+/Q31SyeK/PqCrssYQ9BRkO350JoGM4JV+/emSsN7Zi 5OludeYJDisqwuCX/KzX4DKXT0iVwBbwT0S18eATHO0dns6L0oskAVpyEQbwxEzRjU5sCTpA7vyq mytjGT6pulleSr9h2vi7fsBHXe0HKBg4w1EsTgju0lIJrkqTKl4tFXj51C+vUXdgfbyX+uQXdur+ JkjbocU6VAJppr3SmrJ7qnjsbgAyrncq4PEuSgSufCCGxCibyL/yekSjHiOMBSKWUJS9CFgCpOxH d1K0KCntgh1l7H6yoBUHV3V6demq1BrnxBxagGsrrapy+JkF3GuuUnIwMLR3c4laN5cM4hL2mO1u oxdq6+Kjudn4HAbpWkw/gRfdg4RBIE1RXmDBFzoV6u3n+yk80hjQ5INsj+etmMxL0fjK1dAp8y77 2KSNCGp7gLoH1oi+LS2csyzlYTs11uk4Uq4+t7px7JTaMAhNU9umz+8d8nho7g5exwZ8B+Dijkqr VHHI8zmXg7ix39re85kOQYzPYKoJZAVPSK+kDrSbe3p92mRxz3TpD7xA4SjZG59jRNTftwv7H5+X wlL2uIEjE/T8pldJ4W3nVNrMRdr3RYz2bDhnUO0cGSeFCQcAgSf7xGsjLkYox0ns8dD5JGUVVi58 JGwzazB0YFUtBey/Ph+lxBjJliyBOFagYJTh8qAjlfwE+Z5Ntrk5pZ0jaWuNUz4EZrSjJuR5xRPM X+Ia442LQz8YdboCmK9m6BGCIGxHk3DCgHw75EwsrxAHlDZ1M8FewWeQlw9uzesmAAW7XVCzN0A1 0KONjDFEEhmxgLTMYtnINtaXe63lLU1DIdICD/H7xLBLOz9M683ST0VPEt9Hq6VQjLIIoBsqZMgE 5/p5PomeonCt9JtQd0UJbizEt6lL4XC3azUKrWDdC7CHj9xpwFezWPHFjZJ0QDKiAOBrbJPQKDVL sQeC9pbIQQvL5T+vFsgYO5/geCHH6FOLLmBqhO80gcq/bb8A008LUEOdK6Mc2fIlvU5rUC+TsvBu I4ApJtuU8iZb70uBBH1zy+t43xZclLapY76gn0oxrYW/zGBNcdoz5RK+usd1tqNyxnEbkCUfI48T c+XXuEVPb+tEiO+dwrQ2hDYCAm2oMMe/l8aMtTZslutEGVwFWHtwAdIZ5SX1pTI1QNimSxjGaa8i pJt/crYyX5x1HIYARyC3Tiv2gzmPXaAXlRpLfiQeYMYRI46t23nMFJNhN86THoe4vmAXxZkpnUo2 FkonzZK+g+9Wdj7GN095tPaxf+RdNIFxSA/PcQfwdRJavZ6D8ASU/Gnvexw0p9lHQAHbHF5RrdEq NRsEUazKXSW343Hoo0A52Q7hMFneMY1qBvslWKatEjTwDiAZlQmOHZBzzqHcThadKN075/GMZCjb 19b6B9i5T1+iG410IX6fShMFT8aM4tiaYT8OtsJyrNRMzrtN1SjVDCH3wqza7Q4eR5kVVtI2VByY qHNXwQkK6UI61PEMNMgia1omYLxaZH/dTVE9RE9PYP/by0SHw+qEtO23Lffl3u50e9NVUZwwEb4P 91e8wnx9eJEejqN6ERwj3VbZ5eyo3F94y5YSoUYIqG5JhAg1161G8xU2OiswkLHSBAuVKokt1JNK xaPn/EqmCf8CooNjkevkypftEK/sRK6+ZvNB64+8bMSfje8awe/HwM4A5P0KO0E/ewsScXsSchJU Mya4MytuB8m0hreXuqlCbtXqtgmNpz9MicAC6477A4QGWrNz1Vw+4fRGAsNAxEgxxFWRsS2fwopg 1cCue7jNwYGLTXaOIuXQVEFzvm/jLEK+7vZY+QKIhz1vonCDF0RSIbRiWhYrvwYkLKpelo3P/IGp xTS0wtlCuUjcuZEJwdpb/XK1NfiWRciEYEPT2HysI6rn5rk9iKiGNjmzPBGAgR4kkg7jJ+kASkHv KT7DSAft5KLieBoYr95ywMZg2Q8WJa0T2llo0426SZea29Ga7BhqAfcc1JatmC40RKjTumZze4uk zAIDOxEgdGfyCocCpV71+sm6kDbemRRcAfyuXaRdEuus3O/XqmMXDn3xvrjc0zbRm2v4AI/hiI0n PeyR0T4YnF3gHuDKcwyv+UjBacJxiC8Kqm/M4lQi+DXdWH6N5RLmSRGBSFceoFPm1dfSvGq8cV2y Q1GbFpHKmTnS4T/6NPZQCMOY1C4fPM/IQGPljy3D1DsONRDOFTzWCKVQ//pUSANcMy9sadbrVlqx xIm36IF7xeQDv26NcBUbScgYkC6Ymi21MmZYpVFg8FOorRZLUefBGHGsbdWxa2wyc1Pft3vWJ/Kz HVICe8HTwrXgCIv2zHAFFFhdlO33tIAZYmzen1bo7h/bC3TdsQDFMpBMtDWgMTckBmEgaGlvDfEL OvUBRevkfVdC/AHr7YrPWrBlGWEKkDVZTZ/Os9UevJrIH7Tozck59EQJQwQWX7AHOgouQl4fIjys s0/mMdx1lzS2pswohf+lFqCkh9fwxKdP6H6sEeFZx59XYnbbwx5A96OWzAiR5y+S+zK9fx11fNMr XzKSTNfx6ZCjrBKych9/AMEonvIVu91tdQxvwC/TBMKrYjgHjQMLHPJ915ywt0dQqCLpDtHhQe1f vpWy9iaVbNXISYAe4nmFdsK/9ajq1x0G8lkN/VnEtw3Yo+2IfF99eHoEzFj1Axp8Y/1+pZleKOac TzvsutpTvOjVlW88wzXuKyY/+T4ll9sj61kMKkS4KxQBubAuikjftNwnyXDGK/9wyXgU74KoGTkF OWu4V8lz+CEaUOjHHs4Sfc/XCcKrXJJDfd4nQsjx7u8DfCEZkKQr/S95OJEaoz+9gtOXacIpONyg Scvv9AQd6IRtNmIHNJO8DudY85wB0ZUl5OKaeoT/yLI3F/l2tEr2PLP0zL1Uc65NQ6AGO7Aj/uxv lf/8QSMtaNyvl8AvJncPMBS8qaz7g8ULCqlP9/SSwdSZ1MslI9WKZ1SddstMIhV9k4HOe3VSiN3r vR4XrcXBYmEmrQg0UpIUN0NNE77Yb9LYVoMztKxQULbslB/FLNCAHm/Y1sqLqtegL701vjEqQr1l Og7YxhMDdnvlngjIXNeO9mmA4KYo1zNmwUbJPN52Gno1yW0ZqKii9Dj9Z132FlH7Upb0zeMjq7aR BKl9SNEhvep2CHAvG92HPszGLo6ude+bAJMOq8wsuXfay6awWWZiTibcqdVoRXdAlxwEBfkq6abT tcR73vBi29/QDPapR1JochVg4CkgKR6uHEHgGvKqsui7PTrfMAgFlNUaY9qiWbq2TcmFS82pFtaf r8jI9OriVu/tP5OGLQD8Cc+O139k66p9zw04VtqlINpAyF2pLs7YYoML9hxwAkD6qjBc3il/DNOd yNZrCfGAg6ic/8td8uw/Cc8Fql+7AvL5EIwnGUX0TQeByY77k09eW9M7oaOiVGUyDkaAMM/PnPT4 gqx/feGM8lPhQ43bZiQt2y6yjVowGqMqqGlu5l8r0zYhqdnCQmnCxiBsIHgIVMFdZDq29P1L8qzN EcW2D+mkszIHIUunk0a67gE+zitSS0HO2udcFr38nO91bglCg2ooYYdcz8dVJa5x1EiwS6j975GL lFKqOLzsmTwTHIVsY73TQeh/HygBrsfBuE+bh8uE72bw24abtG198i5A1QxV3RrvkrlW5S3MdtV+ M712MnXkBXcc/m/SC9WvxAPgeqUgQUK4qT+p23MzrSaWNkBp2LFVsOV6pOuxdOvcB08QzYjY/ucC j/I4R6ASZospnkRSoY+jdzKzMhVDQWBlacIcDMVv8yQaNEytEG8IwNdC5bvPqD6Cjz2vrEI6kAQV VRFFfcjwS8dxcHMry4rG/OOhAzL3drMT+BqOFegzmVWnEuu7KyfpB5HvGj7ROIM+RX178NVk7uw/ n8gb5zXL04GyZgylZL2nhDfkGAmdDxoR9UWGSu8iI6KHka2QiY12WyVofddWQ7h9uJgP7Inmah/o V/UnkX8FlqK3Z76bGJ/QnnAlrZ87G55JhXtE4rrzkyiOACVoHgGABCD0xUtpxMe3K7znwDMKVZqW djLfIYwd6a9rngh4g2q14mimaz7yIqMOMJJkIFFwfmvy5Zx/BXF0YS3WQkvVxqMYyjQfcipcZ8R5 ayKO8oaT2mu6JBFep00cPMyjUIGaicisZf3BDboKWX06UDbrzkIA+0DDJ8sr9fNWvFe3ZevQv0J/ OPigvf/kaV8B/Ts1pM9GViPS5jTRbtFLAgMxuRy+uRdrtIQcnMhHogXL5IfqfVq5duVAXDWgAkiW ghDlbnmWDwujbgko24soYBPElJKUsHk2dN6vBDWyjS471m+91CXT3E+BBvXBCh0AiYcoP41AHJiA O2QSFYUaEPSmngLVe8xYtzIeHQbDfSJ8YIJO3n2g/vK94/AeU7XIWRRF6RX8vMkB3CfB/8yQ3t4m 7I1MIQ2P7Dk19M0NNBujKpm9CcmajXUfqX+62EoX3C6SQE76cFvr/jzpnWUES7phl/QxW1ACMee7 1aailjvRPoEAsfkAjgSQ7d3u7jigPb+cve8cEICoGMKZaCJ3PJ1DH2eP+us3vNPf/PhMSU7Z705Q O3fgouIbDjO7OeMrM4BEzjc0zczJVZQzAF7xeQkqB4ctVIqi3e5ULpHBXyWwQB/qA8UQKgiKxfn9 5kI6/LsH9n51mvBw2xNfS0dATjjmaQNKH9ZB4MxvbNCv5ZmdwXRqSch/9KUvWW7MGZaoPDLhOQLy m5fedgfHsii78dyNFZwKvYA9UXBOaPQvwurbPKEaF6rrCc6ME1gyWrIjlO41NEIfQ7CR9ojWc1ry O3zwZbVzEbGMy72rdDU0Oi/JK51nwxroxraDXfUkWfCuWGLiU0Dn33z1+kdCiB9IR9XUaBf/7eXf xqq41BikPy1BIgwQvxYAteA+A2eBqQvu0YoClW77WkKvQ/RtHluHrPJ7b847ctju7IL8KoNlDhrD Y9/Adr9QLJEZXgxYLEcSKVh1e6vEgym+G96MuLJAZDsnolPgeobRqvaWriafFXYAU8vPi91gmlrO eoiK3z/rI+Nz6n2XbjSgBuYxbe4yTidyoDMVaCAb44b4dVJ4bSeUw2UEdCEJVwPfnXnu5FebUL/X 8w2IvpTtVYqhEbQdGGMirRHGvJlwGuTeyfOOWVjVVTJSfg3VdbGMGMO0oCaYdAHxayldJskTbKLm mLxLqt4oStno6CXUVgeHi4moC0yxlZYVh6FmcObJCIE1UACbc2VAwmguFQxeBhSxeYv2veK0Znzw J5KPzvK2SqT8Qa4eqg02fEMZioI7xkyufXooZn2B4oAwvm8ZppDbeZ+V1n539jgY0062QEsBDI9M JlmjghmJot3g8Uj/wL/dKUXfUBMEgOIIfoPtxqM08BDJCzTrupSNXeZHJRUAS2WkI4S1F4rtznvk 2SjpiWExKbhmxNoHWoQFecLjAltM87knCOEFdc/Bzhwn++2BtZyO/UAZcjl2jm+4YyzVcGuDVp74 /y0DfBrrRjZHu45m+fi09w2LeS6mS7wCu1E52gdy5i4jF0R62S3dg+CwJAL5hwwr+CzpoiUhOlU+ DbvYzc/a0fRtdqYDKUmgq6K2Vl5xFUbdEOekzFxa2OiEGSkpVhQb0PQshrzXXFT17sv8b1ZEqi/3 cVtlxJflYflKngy4RNAuTLfv/lWi9DX6nU+M4X/RT8ogNybXPiUyic63IQ5FGavByPO7aEiFCRH4 BefymatS9rIe5sGTprBe8yPLHt5IOO8TSQ+fts3+sRWfe8vcsxPbJZsN663DWNIJjRiOSL/HedAA /tBl2DCez2j9BxXa30lKIgmc+k0OXYmQZWyNIpvd5PPj/hJfG31nkSC1J9eTPN4H2yVasheTRqoG MQX9DQbJfuOpYHsdbOIigs4KNWyNbM4yGy9EL0yuHkg/bnOzkF2JBe/9MWhxgwnzcEMsRMN0PPbA 88lTSlmr3GCcsP7uZmG7EsCY8gGJMZ9+a1lbAqjvkIDvUroZi9HlruZRUhuFov7cxozzD/W0xWNw q+7ZJB8HMUBbohAwhJ0IM5o9z/llcnFpjHIBZIwcYoE30HxSEb8S49xipYV5W3s/RQYAuz+Gk1nx GsjnhdeuHYD0N3+geE7pz5hWRD1Eujgbf4bsgsc7+bq3PfqjYpzyrgzGxhTXGInW5GK1YG5pS34g djUCthtEICt87bKmC+TRNcbeCOANQAdqjMLvurNKy++mIrK9P2kdGE83hHZLscRtiaPy3Jkm0Xid lfq1Q2FomDzDiybc75YVwOasWLZg2GvgNst6Rzwc7fW280LS0abxPM+daX20EyPOzwiCTb+efU8o 65+J8Zb9BPKhJlblFUWzMaFvW351arTeu4P/qC6yVLgmwWfjqMMRSdjoS9UZyZ29tXzRe7ZaPc2n WmdICxy96idRojcsgjfhqljBeP+/TYMq3R15L4cl3nJKBKQlmn78jXK6WXsOmjNNu0KX1sYa798W m0iTT140bi6Ep+uqPvPPXaSyh9KATxs4H0dOWCR8UeKIj/lHbCFYEPPB21q7ooQH1AMLlEvdn21h nRpyM9gYnV5Ej4iD0CNCuxHJKrOIsULqWhNNG2y6p+RDrpEfun27+xqYOnQcha6oli0p9cZPgeDM LdGiGnqjh9BYVfUkvToXVHu4Yn2HjuKBzncgHeBId2nJRM0CSWpBY+SRTb0Zhl7VJ0EpqXZFC3z/ PEif7vOm/8a4/VfMtWjNoG7hQxtjHXB3qYuBwC+7BwZ5S2e06bvxiqmHm//3BVD1QC8JCoVK41wv LNQD0Tv1zCsgV/o70h/TZSF9wtbTeQqA8FmoA/KFaIk26Pe9lmtQPzKBhE4CGbk5Pz8qVRSJ95iy IbhdrdfplyqqHXcXgS0WQzMUK/A3oib+ubZBQAk1xjcBGdCVNvtvz8G39Dw7EjiuTI1XS9cHCLgv JVZhRQttx70HnWC5Ge2nREIV06wwh4aqBSfWD4v01ZBjLE7V2WHgz8ZEIfNJQnAmmG0L0iSYZ/ji QdkwEpfmOPmPhpKJjGypH+rcxYk2CjMfjvte660p1a1RyH9eo+I29vy+oU3IkCHN3SBLN/uYNg2H rT+fh3oPWRVEAsUIYLNafqg8kM77SjpouSe3Y+6LBOxC4s5NEHukfSWxPMOyqbTooP5x3IrwzRva iPwtKS3ORx8tmucLRYazfa/uRe+0XsrisA3/Bl1Q8rEoADuB3GhxVAqVOzebX/DmCyiD8r1i5XXc zhLesix6Lao/CcePqPVgtS/6iB1kNeWthgn6fEL4BVpqsXippe2iN34iWiCinpIzzR71WOn8kZRX RlLw0Oac/sFpg03CaTOXg80Mwh72ANfCTSGiibO996J3i1gpe/VFMsS0/eSbhj7ZQXYTiyqEYBsv MrbogJqBky2+esSHDFUJzrEQYLWuSkamX4pHaMFjDG2s7t1nwq07ecCvvk1HJASn5jj03Rf444Ba UH7OoDHvGm9G+bC6MNPuZgZjLyGoqwWrtu6FnVeNPBsDdPjS+cIjKxKl8yFzSF2TjdjDobQUNITH DlDzXV8sp78r5+kFPSbI2YZQCjrRGgGRujvVMVWGZA55+gBiAZDO33wBBVg0HH7EzCUNBun01z4L Uw0fE4/iFaF7fjpCzsyRpEyaV3TGkT5r/YLsh1ev6n3ryfMZaaI25k4K6uFKWq2YMsox/vWn68Zu rfdas2hO5MTKhRWczvKAQCR/MrXBRVzyIz1HWrLWOTT+cz1pBREn3Lmft1JVZdo410YaGiLOnXO1 y91Rq5CxmJNBfaCMpvLgRx6XHw5zSh40FukC6TypAQsag3cM5WQiy2WmxW682Zx90EUuyUeukqzF t4TJlxNgWNVBXwkueddgH8srQiuufk7pKSAbiLDsDH7ltLRB0FUXlVcdaglCmTaD7ehtOKjMNN7a tlNKpBickMrQCVUJxTUc/nM85uJFKyl7+9jghKkTfIDJyqpX71e1ur8GW5rdx294zUfejz54Zseo uxpBTPfchZ5sFEgs63sc3WpqZt0wfdctL/oayx4Om99iRyyqY2Sjxec93CeCFaPyPTcDBK4A7lSa grq2ZIaBaTvDMC20IuwzE8Y16Pi0hsRfTKVESogVHkderSyUuUYgMVb3UAdq2Z1fwolNWKmnNlKn YAYAajsM+qDzgdoilrs/s6pe/ikZF5Knj8Z/Cuyyv9kMvZB4uvIgf9nCl3q8JO6mQL9sZejtPndk 7cKhlrDRSIiPyMRSbwl7AWWXTPOsiA0vtmcpOHQq4vkWZL4FL23wBEcIiK8B8pe2P6G4+3bSP1hF 0K7Vnp2GO2/qZgtavPgKd9T4Y9kj593RYFfKQOtP7cxdF+2kg3bnWuDT1pmYtRBNHmMdAVr+wvw9 r+DLPsHWAEB1DO2+9lTJiYRPYv977yUA2x/d5MbUDCggih1COD/MABf13eBP1PTxF+JxgJLtSsj0 EoK6cjKdxpIPf7FwFJj7MtG3bX8+i5mh7F70ljMUHBzxEimSWX5xgswWbondHBKat+5BJQ22xu6B zlNE6rLT55j3XtWXj90SEQYUhSRbcetq3sngMX2dwAWtMJ/hrCovhsTOoApHRUKhawnJ0P8e9Vxy RcRlcYGEpG0Y0u6M3VmUosiqk9OOszl9Eglx+KPoKwbtWCdW7LYPM1kiWDpUkAwqJkxD+P+m3KSA KWVW6hHf5iuQgHW9CPVz/S+nhencvM0Ica2cc2WlTCYI1/vmeQfSmJ3zJF85hBMZV+dlX/kWEjhd iBDOJvswSz6pMTdTJoHHsvHUqjgLzGiRXn8O+0NLWJdnRyE+Es6wv6WelMNXa8dD2bgLa7YNe27R 9Dz8MZjehNlMCY7aAaZap8CCgwsK8IO7Z2gl7u/G1VE7Cv/21JKE99mFwZETuxomMdY1Kb+h7qdh PDkPFNlBInqOPRYhmRqBejvhOnNHuAfr1hn6+1yHM9Jf1BuRvoKT45dbX1dZIA3vFY17cksI8SVv I+SnhTApRCY03RhBpHO6z5lGpvP5mgZd3EggCVpx1g72xmnbMNT4VaCe4ZH8gr+yrdSx20Qm+Jku 9YvQk2yJvcRCSMUXkwd67qSE/duYaPdD0Du8duj4pFYOtJWTyGEt4EA2+2+Sf/yz3k/T9S1CSt2u uWU7uVY5opOA4u4dGj3gEFm89ZwKZCXmgSIj0P5RfnlgxyXZ/8SXeo8xeCijno2utmIERsmMNHjl 9etWf0oBi0xeawhkX++oWjymBxthC4Ov35Ad3ryHMA99kmFP1yMVOraVhQrKHTh08Bq0vl6YO43y XH5TXDXRKzrTk8nX6zAGU5Mh8NsNOyYzhyTkDN/BoV6BCBZ2Smjyi4rJvIEfq8jx5QHuzYnzovb/ QAE6TGn80GPIIyUyMLpuDPslQr9Qox3OtrR9Ji3kZNJzjJq/uKH+HUoON//fz2dIPVIQSLt2dY4t uNy/a6pM7c2ada9oujn7XtrlCd8BKyw4HkS9Kj+fIMgzaURg+0B4tEp8SB19Hgu0iHMwuuO84i6H 51nDt5kuDQt8yGcLE8CbfE35xRsMP+nFt/nO+TY3YbneBOQlz6BVv+OcfUsH1IkvQiH60tOEFAwB 0xtGkHzYtu4XoAwyn49iR+BAlyGWFvnpGEBTogOgHBAHs1Uzlct4Uxzt6ZCr3mfPYTS3cFCOIyzi FHpwBV/i6SvGIMiqkulInPwMKv2B4YUZdlfAXbtJfxdubVpS+/l2X6IKvqRTKjBafC569fFIe5ji 2TFrCWqvyF36OTNv1cH8XPeZF7IAdVAbCUYKUnVQEp7IraZfojz/O8OIbQZFOhhDE0WkorNdpIZ7 NT4CZblELK8kG8M2drr5y9/feauHKRW42gNgXXsJdpm9lGqqCciQxwzAHHeyXryPk46J3OBMdWrR AKgJmdywC5aOwIRHqOmZHqOWblbis1AHiOVXVlD+pdLLFYcGvQmwLpVc/NbjLViC+GfStddAsFYy 1xdZgEkaZsqs+6339QrYmFdvwrxUYaTowOKsQypWwsXk/4LvpwJxxpdBdvER416jbQmkOZNGr2kF 7kh8SI0ZWw6rNxksXCCwVmyFQ3ZNOwlxQieD9idImc25dGSPucOKD/hR2vANMO1TBFBzw6PRiz1Q NwIiRAaMb2igJLJkX14xkh0jUuvgaT6lFoKGr2ReJeOSY+kH/MabyJlEEtvNa24WMTOZ95XlOx9J YgaKcTbMoa6VTZrawaIJD24R26vkdPVP4DcrSi7z3nMp2tqyO0ckHlyg131u2RyG+9YhMsYETFqv CeVBM5Yp6fEMtRPBmHf3L8U1LYYA07BeQ3y1OVRd0Z4sudXAlr9qiUucF0S1yEQxkGToQS0MKGmw ysvOaDH2xfWeFD/ioYIaQKWQWFag4zdLk3N559vgOGYv6jGNwFyKn1s+K8LQRmRsaJKixlJuNbKl BwnLolwFBFkglI3IiOcMAv85m/vRtH6hemfCkGwcGi0AoPzUEJJ3DMWb3hu6D0pdRHtBcWULZ6C/ 8KhIJ/42UVxx64W5UWDXe1R9uIJkDlyDBUZojFZDYHIJuvXiMZ/6YfOF/j2dEFSua77wtbQApbdF tXMqO1DshmtvgJIh5clsHflyCpYmKakth8DLew8wpVwjlJpNDczxutbyp8OwJdZej5MWxsibYMCp H/STbOXxuQBFXXaofFrMN+mVnYa1V2PKtOu28sH71lfUJ7LPJj+U1Ck7g+mKeZVb/pPcgb2dqdu3 0R9vXSvFrPAwWAeW7l5grOsQ2Qjz3+NL1XLhfHhaz19ZXcnfZrd8sn3PwImuGU+PW37Fpdt2qRRN UwvQkiLYGStgPDRsSlc87EzOpUmjbYLIjkNw2dI0IJg+bFCvfsc4jEOImi3UI2TDgJvediC9Ox6t sBmNgwVpRxA8/6RCzKxR8cpA8C5Oxdax1TpaGMd20gzg+mqhYzww4t4DMf6S7N/8nWXgQBCV0mny SWELq1GLbcj7YGrNkHvIGzI5TJqsM01IrjEHXaTNw9E9eWT9YH8x8CgJPQVyS8xLHEb3iX1Ut+DS 4VV8FaYy7RUBSXFfgeL05j40ShgA/d0yRF1BEJhvM3J1ASzjbq+SpcGm+QDtVGQ9tU/zrdBqKTk3 DAUIqUkTRlofiRUT+DDgHmbPsXyIxHOG3ri1JpH6bvQRqkTd18twxglLmUaoqTsBCfePJPpbE8Z0 4m/RexLEsvBcZSzR+ImBLvl5ZyoCR6kZMKJZsVTMaDngW/b2WmXc5zz078LsXUPs+GxO3kI4je0H dJuVyieNJBrgcRRHVz26qQV/n+qvc/Tl8AIHaWfftDkqxhuhjVnPi0yp0Kg5nHFQdiIaigFwZW4O RNCQt4bsBiGp9MDKReI8bzHgt8ju0eMzDWK5qUusZ8kV9fwgBYC57ZqYEtVCA+rWgs7plCd+6kWO iYyzAkRcvLbLUDpqmfZufRRP1ZRqkowV1BdGohbGhn7eEOM8Jf0s47QgEOZYpATvE2J62qASPLvS lP5SRnpLrf8wpkzpJg53k/HZeuCUHej6yq7eh9j56x762+rXov7f0o4dRIx7zQGba+rpmvLIUS1N R7pnX+AG0Sg3T93kI5bAfhym7vWi9PmS7te4AMG9tytlaNdqMypOkUQ5yWdubPYqaWNRH2qt9lXm ZEaR8jY9iG8PioIZ7KSs3XMxVZOfY5ahA9seJ3iBjdbjnrW4LO2+3Rsf53+p/1SNDoTsWMNrh5nm KUr8qFyl/iIey6klf24tYebXqznRzjFMVXaGw/LeqZSMWligzKZu/z4VxePQiqqJB7btP++pSFba pklWjEdxoRqfx1whGyuqoFQBmd3Ve7mywF2gEnQ4W+ce7EtMXYR236tlOGU25f42EHNTe3We2oOb yJmKHhid3j6BFna3Tqt1PijFQt0lWB0mvndHvPwwJOHy9FvKCjtU+cmnNYTIvRUx4xQdw6PhX/Ur EKcLondl6M7H3G8dJUK6UpiQaxj9oG3asHq+vKGER1gzRZ8x6VjneejnrxnjkFNpulTtRDEqg3H4 DHqn7nezW/otpO27WYu6fh7QZZRImuw7gtekaZ16hmJrdvdBCqsz1sz11fIhEfHod5UqFQPCnF/R xX+Y/1Ns1nkxaRiio28gDFzGbTN+7p0cZS4gFbGdyJu6HSSSNINm0aBBtZ+AtzTntTrON/Gk2b9N fDqWpOIILdj+gEjh4Gm82ZMdaqlWNs7cgaB3qm8ygggKIbM5eCAXty1zrqKZlUJZTIYiQULJasVS l+5xrtrDxVQ0AEFQOjV36w0K44Ne5yVxwK6uXpS5T2dLJEagM6iKONJXEznAi/d0zp57INe8IEok GKUAEEZ7kmX0qOlciWFua/rU5v+vYzcZ8Xlz5fw3MAJ+1rViohBzFBpw9wqM0uFxLm307cwR58aN +V3h4noq9id4x7RAwB7R8b8+9L8QyRQswl9jbZBhc9+kI7d+mbC45cnJNpTVlhqDvyWO2I8uPjYH 6qxq5qO+bQrGhRvFQEbsVRpGgxAeBXMPIX4WvghVygdT9Ton9pfzuFLngf5tavgmuQNWumH7HXlv IWYjfM7phwfCHz+KnI0eIKRpIi1EXDtVICkl4INyDjJfJA72+fJ1lb6yIk0WDHvx7dx40rMtkoAK pXbDn4K17fOQYluEIzUH3AO1NmqHRy/1ZHtz1ABHpC4G+KL3C3JqIW51x53kMtLdRKsw/KbvZ+7r 7A3lKiC6NJjvMvLmHyg5XFqzVItCEF3OgKiAn9BN8o54KC5chF/3aVP6xKK74pgYVUsJ/F9Xs0Yj YxaE151fI4frOg5b3MtQHzWJDwWZlLwH+mVayjmgRkATw2QFu0PHPDPluQoK32rA2CC53m8K89Jb v6fEsYnssgal0LE47aqIo3ph/JxEj2ampDDNJqxKR0tq4RdwsWPwdOi8pYBGJQcX2KqxaEs67Gnj 0k9QGWXE8y7+X30pJ2CoI/HKfuZ8clewip9yN22+sGGUh3VMw9JhMvFyeKuETf81JHdWI/CzCaVc HZgFJOuG49N6IZD4cL60zlFciDOC1vHFHUz4VLVnxaLR04HveZxOk4dtEOilT0z6a9miA2lBzeLd eXBkumMpF0Fi+PgaoIS+f59WzIqX0g2B3bVyOMKHAtDgPUoqbcERTuW0VIJmCwBynH0hz6U3IHL6 IB/dTCg16d0PU24ZcbSZpgRcrV8wIOd2pdYJBvOc4gq5lPRMqP8x/wXgtUYdPUaKfbJGaclDgnGW +Kgga1KoZsKl0yM5kRmzCwED3QWIxHQodTCXvBHeh75H/ytppNz8dU8avdhUyC/R1QQitgA6HK2c Q8b0B6Z015cYUzUSWbuoQOtdl/5Z63RjyTHryJvNEpZqW0WkaqygXXnnnlOiLMf0D1JFt9yQWH+u aFkEqGw/klTjcUzYc65D/6ZoL8EzotE1oaXvKY7pYVerWggOLQkLa1LZjB09yv2V56JoCsCNzCVu Xqi9x02pbDL9WbDWATFFsY/9tTLgdX0I6osZpg5imnGicjIrBMuIgOEVr50wMeZ1ejlNyEbGoKbb CYhGTQ4SAhpy2zSL3KQmFxXYVM/jbdAh34k7oC69QGiGcqWTnGevc3h4M7OcV0f1obMGiOoTk+BQ qtFdClNXX3a48xJoSZVHyrfqjyq3w45YJNjOffKmVtzqQRX4ggRqURwgdX93fAq6zv47jjrarVP9 5Nfc8KC0HeowP2F9ggJbZ1l+Rm/RB00T8yRpPYEnBcWMyCQizM0caHuFxJ1Cu7cVuiFVQP2x0Cbm vH+EZvjjSeXlapXqOMLSV4G5KJSzBp45F3ZERRrN/XKZ9fPg2a9s1jPPQlWMqTDVU64hmGbRszjt hoiferFZ4C/bflCxXe6dDYD7ca+Nn8bVkKOxIsgOhuKrwztr9K2q/CcV5YG0OVewRGeXWaR4h+Go E/qox68LAUNhJx3MXw5k/dUzZYzjLmo2ZFHqt0XvO8ZlV4mloOu/O1BVWvwfLVYUO93Ph/o8wVmr ta+FiAgd6H2ES1EuGf7RkRar/FfOdeMgIAtwHcKUhLJpctYDZBmWr1pzRYC6/EYHqfC1svtdjWx6 571J8PnhiGi3am1aTNkNEMUPQSZixBkUgdsYsh3wGq1JuU2cRBXbfm/Jx2YotRrgfCgBRLE14RJt m5gqi7e+0TE0yx9Q7LmaTh45qIQf06hllej0dB/Ztebn9p0v3ZpLZuYE+qOPhgmVvmULUKnzhqYe fdPWm53LQrsbVebZ57O+2xQ9IV24+9d/3yzG8eIgEZCNCXrssgvZQ523dPIMJBa+hY9rUjI4z7wY s2S4Xf5IkeCgjcWFH4NOZPo+sIvT+OdpgCte8NfBKO2gFpcpPw38yXI9KZeq44ZRR2AKXdt5HmXw 7yHE4c8oPhth7DuAwDiVocdZAyqXJSHi/eFSA6HbKSIErIbWH2kGHwBlXmuQrc6SHVA+cxeIMIO1 YTdcyy/HeMIad+Mjtt3oRRBZpapr14PiDVEf6lV1T/6jJw+C+C6XTw1T6+b8fPiZ0uOmVR0fXVbl ONsUK6gxJFRK2b8GfRf+Iow9cbiPTw67rFCz+iHPglHj4CgN3OHMeqoKGJFIfiMXfL33/DiTUiWN tVPSqzxSbuB6Mxc8na1omTAWnA9tHCr8Ea3XAf2vVpXIs9sc0etrrNIqiP9BApV591+UBGZhK4Kf ePPpACRsaRx0BQ+YKGlByulnTOdlrOTK+TvdvV8tr16vmMz6qYjnAhsRcRbc5jKmnvllFjCVnomT p+5pcXEsTiKTLRO2j1NLvkUszdJza9SvaR63ziE8wCBo+Ak/kQDK0YEPdVYAsxpcQXs3Pn9gy17B Yni4IxhGezXvnArPkI421jEK1bkA702QU6IevUH38be4jB5npb5N00lXPJdhfDy88FpJl7fVfgAq nBY95qW8CZFq9sOkswveqv3VGAhbVyRk49oEKTtrZXTNOOC5cvS3u2IkyuOcjgbyyhmxt3qXU8Yd LYO90PE2Sk9dl78LeUISg/I6/i8jSu4iATcAA2VybCmQA4H3sW5Xw8ChXlKGKcvFSjMSAJyTwMEp OLxojaPRGdRj5YHIJYmQckCST+go+A6elAxvHaGF/d6x16sRHv9/JszS1JWLifRpy2q/ZCR/JOFk neSbq0q7UalGqj6cYvNnyu4lkpb5qsmFS5ma44Ks1fRnCFI8KGSm1r81EGM1Hzli/Yx4zzR29/R2 HlqCO56TnPLgDv0eq70DTBP6S1WcnuMc3wixaDq1+rhfXyPonUQb+mxtNiQTgoVfJUc26KpGCame zAEtWRwdfzOewvtJKD08cZRIMOwotjBc1y3tCD6SPfwEqwZc/bMpvvBvSiHgx+ioMnlPvKTr7sFK R5hQVGzgowbQPDkMiadumpWNc2BgbzseG44qta1Pf5YUuBVMhroLyQU6aS0EFnJIMMiBuAl5u2uF WeWo/tcZQeGWvu2GygYwwx/5ryKFdCnQbSdZN9+0jPu7AMJNPjgtWFcuCZ3OzD+3W998AWtm8G6t 35+Wv8nxaPthqOd1KPWHWYzTDDZbqvWYngegGBUYeJD2COzGIovC8MmenbAPTV6nvFItU8wlUY+C RsLEJ71nnAwqryFvr1KJaIQrV05axc+3fCJSFSUkxVBn5gN3eFWIaETHJcVfR5+8byg+PY/w+5Xg yql7FbE+uMaqrfiIUmh4eebPnhGux8uo+MQLnAd/v+TgJDq4qgSUTC0iMSeiy5my9ZLc3JPjfcKU RTK0VsBySfO4K79JvVSNV8UejviipQ3I5ThZRwUmvI0jIEAGUHZf988UFnrV0PD6UHkh3p5BEgLO 6Ne8am5QHQcgNoeL7x710Gj3/cFfq0ESZ+JYyOGt/rclhMoNhnDgEqdfhzKogpb3eD3ueuDUum7m 8Naa5YgkVF/0bVbNh5VO11VSVdiEP03Jje3WNJwXsjUYuJY8xFoVwk0r9rtIuh5z2js4IQgGVVxe 52CbCCllK4GQqBtJxNqC1goc57qs+W6aD4DTc+DwKDVB9glsV20+mowTpQV0NRKOQgVs9k6ylep2 WFq0E7dQjDofmBk19CpdTYc/2wxqMPWim8nfj5s6pKQKC2ZYjZvun8CqkmzNZVUM5VCnf2p88sFA ksTcFyK0B8e/qAU6YiCjbHA2I5kqNIMxY7Rds3zaPxdBRupSD2onhaBAye98yDiwhstrAlx/Cg7Z vkTuJVlBDU4Y6AwQQ/G2aEJ3vndl/riVvl924ffc/FKfTJ77kDvZJWOTRg0e0UkoLFRBni7WLZXE oxRK0+msGc1pdGPWQYCb29XTAWZIv2B9HzgbXpXw6yrCDz1zbxTJZd1HbijGOd+dRaX2/QiIun6w zJUXxWu54EB4cUKZtDeMsy7ZXheot5odX29D04dzW5bZ0Mr6xtyElQcXWil6OKxG2gq0oxdbBOLN 7QtKJCzPMbFgW9HSAoSXcwpHdKEXjrPhZLwf3mSh7yVqdREbnPPeZ2EvlNzesPbDFpm4XCNdnUej n4lQ7t946vxvNjg01LsAAQJI/IMAQ/y8UmU9dGyhTtwq93AnHHsYWvbABJM6SecZ6M90EbUIHbE5 7bVs+VZS2s8wj6cjahtueRprxzg9QgXjPVy+ZXR91mVpZzKo043WMxOqR2fhopSiV1dZXA== `protect end_protected
gpl-2.0
c8cf807a4681de359133e6daa36184e7
0.947129
1.841919
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_fma/flt_fma_specialcase.vhd
3
15,965
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block o9UVHLWz1ji0qJbWFlByceW0Tx4/FmEnRlqyBWmvrbv74tciS6wPHKApS0PHnmkq1S8tLR0ur3Ty RRLrNQ0oWw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jlT5JifykpjCRTfCVdCMGgBxT9TEQoDUetZwSXhY4/GXLpvOka5totJgwmQpjEf31xHicljmLtgR 6a2BTnWi+rvxQVLR4tUblELdspQwc29z3FW+Waqzkror7ir6WAgHnkFzTgPZ2cDp+hBqwqETBaYW 3AWNtgGdz5pJVSj+wyk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Bo6eyYM7+5NLQyeJVIQoAO1nzniv9NUI7i76bIZuKLqCXZkmW+zk5/Nm9IHRHZYLIvwl297QIqUp lxUwhbS+gST83rxxpJaUxw8ergk+Mi27DSfweF4iSMpONnkA7rkJGyCEawyUKgRchxM4g/wdWRR2 rcikNYTNlFxzTGkno5TRLqjqrScKb5DMXQ3CuAUmjgVqRgPdumDhyouOB2AmjliWKMnyVzHnF7N9 tugHb3+impZdi7Qr5RtP7Yey/MnuuNbccLDDBTe0Iar2yD+1vymeOp6cguO9kTKP6kawuG2lxe+j K34r+UPsc/zE4ARyts8YefqVZO3BPTqj4cUIGA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block B9x+jda1R4eVJlfMR4FKM3bJz9bRgzmbTcSP2Ehsf5K2BOouOJKx5i0w6oR8RzyR4cSKcv9EcUxN dRUJZLRjGeaGERbN/OZGQZIRkdEZlYWtOcFqC8NEaA65H1vJ3IWLHqbizYB1DWhMJl4BDyd2RiMD M3PadexfOJIqgvxGLqI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block reM9s/7UsjiA6AZrdgx9CQ15SoRBPkBWIWNqtcvhr4GzKHwiNFTWRiObaT4hSX78DJHhdUu7z/Jm NSaSfWFCRyiXnIGBmJNLtr6660Z3pZcJvUcUexAn3k0OJ2K48ein3l/XrUyHlO6LwwTfJMbRG/EN Sco+M/NkbzF83weBDQR8Yt8Dl+qlZSCBTNRWuUOaBfN9/VX4i0PQR497LeVam6XmbVG29JdI9xiD D7wlst+TL4W0/xtFkbNuuocsO9tyqvnTWhrgcpmH8gQIGbpGSAxJ2PgaHxg0FuvbrVerSYqhRHPc wzzg6nSkHmHFMaHPi/Cao/2Uo0Jf8AEfBOErQg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10080) `protect data_block dPtEeGSM5v9d8dUB1RCqN5ooEiD84t9tL5MXdVtQ8B9T3mXb/r/TzKCl/jPS8zemIx8gU2DqBie6 NZkLQL5iebcDQnqzJ76Jzm1ztQgUqLR7jsfeNIDk6TaLIqDHREtqTAWLNIvl/WZyRSy5p6WyM25C ISsngtoXSoweVEHP3dEHgBYYOA0HQ9ZLBSWzYn2OOnGGDWXWv8GJdiGBaTaAccS+VTm8MHDiDm+h 811unkGCdgT0VrR8gjYrfJbRUXA0iAxyVjMVn56pV5fFf5U22IZwbki8HpSnyh2otLSbEEoCzkI+ xc8stm9tIGYTK0xouLSOKbKFhS4POxkpvPtPAvpOUesKHFM9TCjOawJGcMFspZSMqgna+IlrXPYj 1HY6LFjPvPktpo4C++ZzswM//kwrxlwkGNONu6SeE5SajZAcl0ev5yoPAKVVZWTe3dRp+8Koesz9 CjGW4GHbX/fZJtu4pnQHMNVr81rBBY3bjp7ZHdx53VNj06vbzUD8AfHTk3OZnXUDRb7hZl2aot7h 42wcqV6tJ6bNKLczTxBO9a/iofHzpQD85I8u9g58c+UK95C226VcwBVUEQhmGZ3pTeQy2vUAQWMY ta3U5dy1LIXNz5KseUHtucaV6xqzuSqb+tNLUOnWxxl1eqRqn9Hjk6bLx0XCGQFv8ffNevpphN/H rYWyymINLUr3V848ZQMBYT9fVKgcS5bc0ETyZbmszMExLKej5oL2WiHFAzB9InOWSInpSJ7C7Fnu cNsVZckhmF0P1nC5VEK/MKijEQQsE6XxCkpLWnMB/uOne4ard0JEG/35buLnsQ9iD9cpT4PlKXwt QSYuMRFf5aF0sP71vqKotY5w2jWoYwnqAfR51wqeBFDRJlEfHSk7BjhMKcuTJpzoZN3ehsmhwgKV AhZw9mUP9DwrHGLIV4gSEmQGBKo68fXQyi1iTjSaIy9YNsYVO7SsI1BER39FpmxB+PIxF9/SFsGJ VUGal7Y24ZR8FRfT2uFRuZdOo5RD8Qxj9HiXP+u1cBkRNVFjkmqw0okgLaXXaxx/qzD8q+rIL70z ftwie8tgBxez1rtTBgQ3xm4WsLH9r+us1aS9UPdE9XwwGeAcdjXzVAtW2W2pVTaNnP8d1DmaW/8s 6F8ankHF0r2pdoYk8lsL78/T5DfeUczFeUzuJXKkoC1xGrkyNTt0E6TXTldwAmCsl3fijxYTTKba E7suj964TuLJ8F8tAmCP7e2qGpaSVYDkl8t8MSTEHV4p4UR5R8wxaXRXf/fhg3cAaJy1Wj/9l6Zm ZgXpWnJEraZh+DX/V3yM69kBdXIvos5DmnwqpE00E8siFdU6NRHgNwAthF6h6fbZot9zGkMaormz v9TNGDqXI3jrVB0UbIkVRqRG5TgxuFF7eXhXaxvKVHUSbi/PKIljune7fEP2be1USpYbgbpG0mj2 XSKt6rwAAGPMn1DTmuByuT78iDmJRW+mcwUDGotkHJl23xcz2ondRZfx2G6XPrWP5i0KCUJu9DRM oPt4zlku2ffnp1VrTxNmL0woSRXAVFIEB2F8hVxHf6Mur7vjmI4GSGs0zDOS5eewavC9jJehDoCg 5zn2NeJYZNodoU5HDLgHxo2gXW1vsq9F7t6A3JqagY+kzw+gXWkuDyhNx4opAb3g/KWQNOy+jYr+ XEEQaeFFSMnc2iSK2QKwx+d9cDMZhVFLYzjic537OM4sD4s0LMbOyrWpsDG7r4xB1tXUOBk3zwHH NgjFzCDzQpMC6ke88JSnRBmaRhpgTD0HBUTD9xmpfq/Mv6IFkf4QUivMe5Eu0cvF8xykG4Fj6jbr Jv/yjVXG1jDQRFa+vkRm1y9P9+z6Efhd17SEpPV4DDdyq+9uifwTjq+ynFRPPKuvQllx3xvvISdF +1CmVWbPVc5sTV5RDDuJC/w95u5HdAn2zkfhSNDuUEif5D/vHnp4tT+YBcNJAc3wD+3uOOYuHapb RonVyoKgT58WoD7qKnllZtB4RoqV47PuT47RI39POpv+PQdEdUFd+/wbYm8dsvfkbliblQz2KqVO Ne4itbhEMXxWE1OCDE6W68uC5f1epBsjuS0nJUgqOdHLsANInDKtF9VoNraQLJQCUDjngE4f/uIj 8FMbD2BC16mHPu+acRXmbrDGjLB+nswf/xjkeiADyutpA20U8pO9Iqfw5I6U4iGTbXCdxLLkxzdZ pTXGcqyrZ0u7Ixf0FpNYTol1CJrMAsTX+iD5w8Un7gtd+mC7S1+q+2r1Slrvzl63nXDK8v5K0gCo koVQXD+Vt0demCKwWY/KkxciFSfyNwoNjypCUbkQYkvA7XwFnt8YcWrPFGiWKGadGVkyxhIkiRgJ Rya5feD1DBX1uTVngOw4X59q3elWba1F+Vj10QlMHNqiAFSsTdhQnFsL17sNvcqobr14ZWydOsXQ c+RdTZFaxymDUMtDw+XyEEA8LDEjGB/2w/R9i7KpPlPk2kJsq6WrdgkRvOivBOU8HryGJbqXD/by jdhhz/ZusriPuCop//Lht09rNryr15sEhQqpKil8l44Y6ksxNh6AYRNclB3ZTAGftc1Dmb90dmld DlBy9LKpact+cRANoOb0iLMeXwKWgF4BUICUTKHz/VkJGYAvTcXR7CLbr/qe/bQ6RrCJBpaKvN+K E2i6u7L3har9CmEXVW5AsPUd78swU1IeByMdcOryFGl0VWDzwzkyK/aJjOlZF0M/4nVmLBsPtZ6y NMpmu6M3jWAw8fG1iMklUlCimuJzNOqCqKqwYITxGAqwDme4N4HS98KJodWmRBrf0uQ+OxQvZI/h l8DWAGwYAxwrdtdU5RhFybbDvOikOEzz99suSO7U0UssN4IRhZP1+DNSHdpSSVc+VuZaODMo1oaL vxcYKkxpjjqxyYhBDH58vyTZwu4M8Cs8zz2RwNFh4wioIP4wew2PZpWCjesazamsvNoBlBrrM+yU FWrSqnXG/2gWoTbOl1UlzKdPRjxkcw9e7JpG2f1GQlROiVcW9SUJ+nKibshyJ/3xtqY/1qXJZxfV 1isL5O5KfIRjB+8vF3KKne+unkqJ0rbyGMTEEoqT/nYmWKABJE1LbbRGRbnEkAH9b6gNSjLDsLzm aLFRNLc/vptrELdBgCILEf449hRyeUu6Xd/s+J3G1S1nr+1w6nHO4+8z9ja5Fu2jOXVL0B+C2lWa OEbMKTm6gvD6unIzdI7vR4odqxjb1/xlGl818/N/3OJKhwCLf3FzIbFLs4DLF69kEdcsAx/eCe9B /tu8OSEbhFFYV9qqwkye1HWBKgYvxiKiC8uoypKC2Ja4nLWbGWMD9UNqxUEJfDkGAaa1sKB/lOjU Ne23LgL/XChBQRrYLNITff3XNmrlwLoVPDvw9YAl7kTDGFBcOQUORW7nRmZXDCrEqOfvBfWhIQXJ IJWkbtZbufirG6rNrSgIhi0/PDK9XjloCod9L00wfTyXUJvSdqNG1p/mxlyFn9pw+Y/ygZ/U/B09 gXekvSzxGVD7Tm/mPXUlqlk3tWVxTX6QOmEmRcqZwblp0rXuXr0JRrl9Qwit2IbnuVAUKDed/5Fp bTFTbir8RAEh3EH9s8IQZHM6Q3+kb00+9sDOo3V4PHo3ML0XottJmO8hKrzXiZ72MBY8Nh3OM7zG 2TdK0L3TiC66Ve/758RmvFmyzJCgfhcKYjCdOoNkbhkedl5tfHeH3GmA/6T1wUd8nkft4QGKBAF7 LgEtd/XPNNVc72MWw0HKvHg0g4txlZnbFz1Jy3JKvAeYDNEW8dMByA/NZQJ+tEgVhXeQe7yjiLCp EYAuV3atHfHQatdJfizSb+sxhDSJFd5dZgBDm5EXKz8mS2Er9HSqmCUdoYxNqgMx+rIC114t+rTj QajJd4FYGxqGiYfhNfSKPijxeSgplSYZw9yZsQk4hPQAJqXBKxlZOjndsmXrtH1KQCaXCAaTn+r/ oZXeuMtaD0xJT8Uoo6xQ99u3QmhSuRC8hTreXXVFX7yiebtUIlpyYrSLTlcuQiOibhyn3xoi6xpT L6jwhoO755+9530AvUMKsvloJhJI7nz3NdHSoA/ar6TJQAetuuvOBkfWcFoVT72zuoSFA9OoGyqv YSzqF3+cHsl643Ehl5KGdfQObbAAoXTOBe2oJSrxe4/utpWip2Iu3EM8d6GJBF3zajkmGtmMDwIR 9l0q9/eZkLTqGHUVEfAKgQlpbNPoxP2xZXoR8YJaZbA0XVkgKSJ9Vuknj/o3qew9Rzo1S073McZt lbD1pOHj9+pg3a5aXie1kocCTNiF9dH+kYSYFGS73W3k4wqUYwAaK9Givduxot0E6NtQM3sGQkXV nk46xh5+zERfu22Gt/Xi8551iuC/EDnrN36shFRWQIdKr7fWavt0rq//hDqX7WkRH6ycm91avk8M bhnTQyDlNKb5dFT8ZKA4LEClC21kSkvhGKZRSyvSfITRjZfk5nn9aR4mb4fhsHGy8dbugis7nFPf Oiz6grsEJ7TUw+tSQd14XI/Hn3K+QLmH7iJX0RTExVdwi7AGAPD4BLtDQvMPlo6spEGKpwV+suwr 68FqHvqvG1ESI2vCjF6AExMXR6M56p9kW8Ibxe2nys0/vujqnQhESZWfQ9ymVBz77tXZbG24lwP3 6DY4bm/+n03qqSeRXm/a3Vaao782VJmTHwS74emjTZsJYivpC/mJ3vjDZiT1DCuErXkV0KVxP9bQ eoYlSWgq6JLp1uRmAk5uxgJmhDiT7llSGoXT4v+tqeKincQj+9tEv5YgUr4p0UGjAJ0tY5nKZR06 rBMts2NZmpwJ/ecdGNm2/p7jDpZiiV3KtBnqrtwcYVxkewroXFEOlXOu+NtKGklv2MuJfheAD6j/ JZfR4/tC5T8Tx1v/wFvjMwurZxGu6LqS9rjyDByeZ9IzX07GuwQ+XwNkN0qOSYmOT77VEQz0uIBu erTF0WfKz3mdx/RNO87d4OK6PH8pwFkSzg2MsNJgCffUu0bsqCAc2fG6NKcwWSWslMexrSiq/+Eu X1r2P5+hmuklrGUZwt5kzsogvJ1dw40GHuOxDp+XNYL+2+GwvNBE0HZkUOorQLbEm6gm3sHuydI5 RJM9krIfUqqv+5pm/E4gkSW15qhJrHI5hk2t+OT/v5CuOZ7YrsvqlWq62uQAAgHsHOPP7UXVCU22 uBmyZCjJar1jsvXdj6NQ70jYMfmuwDhkP1223ki4avfOlMisOCqJge7LRQN7vXS3kOImNGjrE/QQ wU9m6iVzacAy+bbFfNU/UzeI9GmYXrH9cSI1g/3wuHFJrl010f2SB4sQoe8r9n+drmyPhmaZo5vN k/NKvz33r7vztz1XffFngnI1qXquj276Hj3GgwVCP3K1y3ZugPmLgik3sHqS5ynSq28fNBoTjEWm UXLxZx0Oc3yFYHppUbRuX2T2NAP4sHwaqFC5gYZlG7ohjtEjMJGbLlog8kS46KYzD7j3jlDtaPe6 Nfb/9rCshLpy50+xbkcostXwam5YN6moP6xJp4bbXR51J3LigZSY1qSXcgmFRRZNiEtF1RPaKzJS AaOcwXtaaSrLaiqfCIPvP36qppbQ7TppBaJpSRw2EQ5zybO3lHZoVU0CUcEoCujmwdjkbIUJBMFE j4q2Z8tO44l5UEo3sRnxY5HpVHLkquNVeVKwAyMy2UG//HoX8VkYbDhk3KkHT2hpfBHSUlZmfwaM Ec4Tl5JGx3PthFYIv/dxddwfkXDQfqSLiaMBDrPjEdQV0bwpnRkIxk8sxq/8NzDKGer2iz8KejFq se5io44pwoa3RSwSxemW/bDFcMxfBqANb0mOpLT4EwUE9WCID7s/HReAIg77TdaXwgf8xg7w67JL CC5zfgXUjq1fj/vX/CbNG6p3pdPNDMKfdB/0vR9OLkackaE4mAijJgYo2MYB8QwgwTzOecIEYTIt vXyi9UnMaZlS9uJy6kYFhs75hbuP2LSLiATkpN4mEIbFwfEKfdTz0blj1KEv7QnPEIFwoUIkmeBz NxuypUT9PU0c2NQZcg2v6D+GwTDKbTniw3W5PvWrhkO4n/X+oeDjuyHMee0OHbxV8fo4qizSAsMi OcL6EU4D3zKdsoF4nuvD6I2B36QoweSRWB4nHeizPrHsjHcePQ+x5yXtGETCInMyOwnYFNvszZMs ZNOh9v5q8G7U3wrtwTQ4btnoc74bEy6zUCEm1fAutoQ4MhgSJCaFjFBM9gLc9LIpZBmLlbyDG0Yl SKgores0KW4Kr/fFOJUKVzH4oGVzzGnQB053fsx/qqssSp7BL1ZbvQApvqwy3ZHV+BFWIlbkcu/w EECXcPbqhkpfTPBJIg6IBkh8wl5OCY/g9/auAORFO50FimCtX0J9oHJ8SNfTDJ10NVByuyYAHfae RUkErFCnAJoJZ5oRrLQWFFdN2t9O5ZqtSVwg8iTQ/GIbTyEYr9uWbwxi4W/2zVRxcGOVBeUgWD3B jMsg6Ufdbsgzkl7yG9V3GE9fCVBEulpgyzg1bbASGYb3NBqrAzYPMDX+zPmD/W8flU6hsbgiwC/e VPZnKutKU4dRqqD9jIOUzSEqYKEFX+s1TvHfKOyfcor03/Y980H+O17HSm4HnabWiiQY9b1oG6JC j9FszN1EDBSmbflEqeeWFBvsS+qf1JMBhsbtX3fcGIDTZ1ELmTWqo8Qf5lBI7BX/vAnoj5s+BsJx RxmwcAW9Eby4k7JhmN5+icyuFQFOqzCe3sH4KOtuRbpjZvHhwnZ8kxRSVoHUMOFTV2dq0zIzuRQ7 0Dr8g70u1iERLnSBp8j6k1SrOsAyBuj7iuNAWjBm9aSCUZHIeXqpWWA72e3GK//X4NTppZwu8oQ7 5VJKb1jH87NWeVy8nq6jFHr+dyst58Jx+xqQ+wlqW4x+P/HyDJmJE/7Zgh6wd1OU9WHd2rV0VhL9 ywZ0iQRBMVinRk8c6pQH992fac9NU75MRoDmELnbKXlqASRN6vBimk07w8dD/0RjVxsLW5reVuXM s1fJ0nGD6BW8k7Oz0TlHnNw5D9PN6PqMjR6X9oKdJ6+yVU9U1nutyaSagO5gC0BRcXztzHJTszMI qayKBgopwAmoaj0eYY5gRvOcZVemt7BT1GVlnA1KJV4VTCyZmGMcgLDmIBtVywQvN1H3t0A93J+t FhLSJcCNcKCR0Yjg19Tef1qmmZKdrIu7TfNgTv9Hfil5KEeufs4R1hzseCGdgmToeeIJUX5SmAoe 0imFPV6YbdI3kIChA/Uh5JvkJQpZ12rvvAIVIUbdXAB75c7nTPWrxPffMzf8dU1gOXTv3UVJgzne cQdmJZ7lMb8fRgBVt/zgeRHOt15wgqXvKYipIZnb8FVyalySg2Tb8iNOToOgbWbFWbaJDJKaYU2Y kiOd8czWFNeHvF/chhI7lhV/y9KcpwynwQWI9uxuGU72KilVrz0LqloVFvgy9xvot72/vivtNI9T 0+FqL4K02g2Dq3j5fr0hPr2h3tqNx8vDIrWkQegNeuYRchry+1V2AFYmGZ3QzRM3xlWbohLxfIxR 13G5OEQKS+aWBWi8JkgybS16kK+ipojYgRueUGz+o5GIauoCLK/qAHOw47VanBwQLB7r8XNWvxAK Ae4+cNApRai3+fPLPqHuP1Mp3azjsdr4cjqBa6ZYiZwfoCwko5mNQfR2R6v8F7N48xoUPlbcIlmE JQUYJ0O0ALVLvIuMmPhIBagHfzUF0bnyaTjNZFNW9Z0+m4WkO4cE7NS3pTHiFf5c6MDdnp5Wfcuy h+C3RzzzvJcEG4f40gfdMfoa1exPDg9so/eu0FSEgN7MDp+WuxW980NlinGgtqCmN8ITJ99G8q8C MB/ItIZPN/c/ZvSv3P151/CiPhLbnNeUZgeHukkcn4rGg87H2kyveG1IaVsJ7yVpqotkVRDx/tJc gXfK4WzaNdLRKfbWkysdomuYCDE+0npP8zBRJpeRMWO9EdzWnl2/ndXL8e88iwPw+rZWfd5UD9Ut AHkXf0FqFJ+hCRnLOUurScae3zOQ4c5Z0hTTZCQ+XXF5R5Db151JzCL5ruOqDaZVDn/HJEIFzmfd X9ck+HarnzU4QZfrv2mrba81a5dXEb8VIBwHiqj5iDr/urTBCzrEDs1N5ffWq4QUVk3rIor63ISj KERdkONfYc1175zP/VCrlUwY4fwhcHcmVk3ofHGSbfWbbhu23t4qTVe/6IKQwxFyZ7avRFN0rbFV NFROpXNZwK5rqLVI1F48mNn9Fo4yzNc8cJV9kVvnKyXem7KqW6/hEFKA8+nyHfYxcpt5HLWG1GID WyqZM/eS9H4/RUYFpNillzNnF4g2dX3tIgjAZLRDyx+raPaunJgF1jdgWo3ycaEc+ieZTzD5dyup Lo0MfOFpdXCzhwJATRedz2u/LGf9/v+N+mgt5FPoaCuhxOsSCI4EyG96n79DqByuPIzmTzhYF5XZ blCqSEk2j4UQIHrc+g2I9n39YE8YUlanm5247IOB2+yvx43+vp2Rgvca0ByAvUQU0tWZtOc/XZch f2xyA3054iwQQX+i+c3azYR9OWa8x/CkM3VGWA9OGdxxsqzZgSZpa4FvY1rQoTibt+bQ8jThUP54 KYxSMBB+Trn5CTq1xzODwL2OBNss2cprrQeFbCHlGD1643e6cAdjwze+p7c48nly4cRoVaRj8La4 ZU7Xte04hSW1tBV/xnKdxjy9e7g0AszKwUer4T/X+AMKpnyAl17cohSrZMIxgPuAA5KWW7RAR6QV f2AGdtNmWrD98VVyoGL5FzwGbGOPEriI+G94+UN/evg7CfzLgLNRhhxHYdvDPUKfpxUS6bVut6t0 6Fm/6qfJU7QlSj9/1KjHfIabPsD157nsp08yLmC+9xT7tpUNwexMZGpP/raX7opNCim9vWvQfC9D CKty/Sis1ORoYYA8sa1c6FtMCT7tYjl99kpPhqb0f7uFRX6XYAxuJXf4QtXs0Tv6ICxz4qMw0cx/ e0GmNBhQ9l6QY+du8aZGLNwOwirXqeOmZFUtwtYAe2DHVxhP1ZzATF5ZwYelEWdL8Fa33/oqgKnp w1j6BwEb9KUFFA0nlYubPzQ8+k0yO6k4bDSfxFFYR1oiSRgtx+9Nv71j2C0fChH4qx6CIVk7bmJ5 /YvCWW4dGTcHBI+/JnMIREjSsj8qf7g/3VRqjjtrrETEQaDuHScYi770kbs7hY1ZzZpL4UGVl616 DW1w0nV6gjjpoLyrmpuq2/trGoSsaIW0LYHwi9oT4AvVz9cDPU3qsGqpFmQ9m2ehoBGhVqspqqjq R8EF7dwy4hKp8n1CDzncQvjv9OXkNSPYzZeH7PfgusvktsJIS+PirK7nAtRLpggGpTaxt1ogXyBL FMs8VK0VSot5v0LfttxMOS3PWxjnHhJ94IdwQuo3Lt50KvxfYohQMppFSDu9tjEJ1dwZr0V8ujkt VZA6Q///5LhXuERZubQRP57CfRHpBjG7gy1KRbBtN79/e5mHfHuZhsH44jTa4j9UGG7hxpTkd4zP sJkNjlO8Mwhy3bHtQYI3ETuoSc0GzlQSYVqbTEfWDpRuUFFYKrCSTZxOQ54YP2kGi1irfZzLJkM3 ILE6OIREnP2CgRTBUvmCvw/ZgKt3UU3WJlqXu3CL+PMO1J2wErT2udKdWnfn+nZdHaCxmKtTMY0p muvl25JqpdkrlcVR2hq8qaQr4V/6LVuVE9Mk+q2QL8PRR/pFAYiqvGAFrmrDZDMaLt5J+37tbZuZ 7JrIc4hUjFhiQ25szIgoMSPBawB9lz9olq3oxSx79+MfD16AHOQjsXj3Apdj3OuXUlqLslbcwc8q xIv0gyYIbTw8r36o0cOrBWBe2NiyfriTAptqFjXNC6PHDCJMs61xwua/xQEltBiL9cS9KYgoxRje csPd0TiiL70RPhGJTWiZkoBJ9TkxGkwvzxRHWLbdSAO+WOCVLiIoYQRNS8ezoZNn0y0kFi+iNtSd V/dhoGa+aZAdZBh3Sm0hDmaPJzKu700jIyyt+rhrledopXK+6zrJo26zAJEsyLct80xaSlDkpDlX 139ZjtRncarWtCU+jJdR7poPK9kyjjDT35+n9QFzfOL0BSRVScHAf9z/x+h+vqV87vItSjZ7Hvhw UsbRKpupyG0EAjezsjpIqooHAOdTeaKbuxd3g7CNz4YEf3jxgx1aGYcAdn/OKZ6uAB3KhffkEKKc UDu4IFxxDjjEkH36uqOgyjodM/kIzwN4TNKE6C3eCdWFIouniDxbGd45NtiBtPVrAx9l1H08wFYC eiu0uujIJpKyzsTDkfxJI63vQ3Z2Im7/6RGyxABjBSjqoeMGbi/EB1ki9i5ocBQkc4BD5cGmCzXP PQYM2+HqQIL10SUSwABuqLQL0zCmuKs6SVRPlhymsYuYwDlzcTYqPe6bjtwlpTT/WcCSypiCFybN QYTRTpZMZ2qVvyaXMweiCavF1n05m5N8C/tzvW5FkB6JSCF1hP3L8I2AVLBRRUATWnAByCxs/Ely VOGDkwhAfTdCisOlf1SxWK8UIqtrzHZBYH/RvlCgTxQBZ31puzAd3DMKLlMnjsB4waFOK2A5sjAd tz+s7GpLFmZ4WLvqTwTx22lVTogt8/vvHIwk0J6r5w7W03Dw2U1xrtd0giJOQ/XL1k23LyFgBFBQ 2f92Kvnk5nXdNdTl+2n73nFgsQiJGI1E+hfvGEoCLDRx2CpWDj9H2jRYqDHdg521qmo+wV7kWEos C+9o0wYhNNRPNCCy1Ff6fJb9gZky04PPBOXWMxWYGxraQzxb6AH4TRryaHblS8Gg0xQYmzU/Ova5 LqUF9UkOlAFml2T1xrS+nb7bDw1R1r3J9PsvcBpzy+gjdx2ss3UUWiliBm2E7/SbWr+eUlJTnNya K12ZUACOsDPdPIBDIH/v2HHztrzDSXlP3enBUVH8JPEj4/VpCchoCc+bq3Sq9TFdeVVskFjPwJNX sMpa8Nhb7dIChkEc9FLwfSurcN9uwKJatVqljW8xewN9NVZgbvRUcJ7Akl7d5DAxieHPk33rW7MY ++i5xYwXLoGmTzCIOpm8zXWZdZPe5Gh6LhU/e2cgIiSyth27/o1K9pT4NHWGCe0KgziD1HWbHTkM vbAfiSWdX/Sv5OXiwC3qhlEqOBv2rMH0TCj9n2Eo2Hghknx6tsBfTXXjncueLptVZQbJEulLUTY0 EDLLZ3bbMYdrCAK8crflsyDDDyhYptUC2/bbMs4O6gB7CI9KxzPfgVE06uQ2b1XtYSZoKN78TmzO L5EqORDX1liBfFm2HyR7BtLGFRsgxlZktrUjJVgmFhN1jOVRoCGxoqZm9VKmOJh9M8d6tPLkLXsG 8OoPfuePAIdVJr1nrV8YZSR5b7+Ee3FpCss28HPCpxO45NlHCrNe77ora4R7aqQqEp1bN1kDoMU6 JR03dCuPp7tkJvzOCdJi0d2KyIgfq/Pi/QfROvcbM25TOxLyKUvQADcfyhU4LuFtH8/bxOLBdLFb iU/BKRgi7Vm60ZlNU+hqOtxWtqLfeW40mYMYPsivIKHIp7GK78R4cPmcmza5BLEig4r6CW1q6PBC /rWScHRMDWgzoeIhQUr69rSLK/CmVyxcY+BBxKAGjLTmTP6H76BfruxxsS79EHtcn5D1p0bJI574 NmKZwtr1GL16bo7tY8ms7360U6qp3IE3VD5IvPQw5EYs9YAXhD9JipvmrgcQjDYSnU9KKo5Qp8Nu F8tFrY+L9h6YNkmYTKEt7WFkBVIHBS2NEapPG9+WnMuV+ZE+pPCeQM7RusFN0H1tlbFRM9Qi+Lm/ tD94irYBpwdbmhST3b2S1ZYyIdNvdjD7w82qU1j4ivM/c/s3ggvr+VoRQEoIV0x4wp0JvlFEWalz BGuZAdN5QaGIjlauNnaHoFMmBTWlDYNY488aHwcz5Dc/8kGzhi7EAbQe75kEHWiT93TN9uH7ib0Y r77zFQnRFevYwxio9KcK18stMMP0P5vZ7z3jBqqeTWxnOPYb2x4Uf1/7M5P3CCEQLrJ9Mf2toezp XyqiIEOflqISVjanpvltY5cO8Efa73phT8a2g8FeLLsUluKDA1YQ924eXW9zN9jwf5c8rmMW/r10 IzXqWnIPOtwS1mwQ+/1P/smr/tOrYHK/EOtTMw/VUJQOt6+sLAkT/U3MRuc9KL5jiCmz3JwzyRGu yYLStMOaAkYX9LEpJ8hj6VK/+kQuwNFuzbBSHXm/TxgGvCTElOyd3Gq9zJ3RiA9lui0MEzSx+jJE OuLK9k4BaAZluryILxm922ppVZHMjxubwNwLJiXsO15Z0mEhpqpq+QzbiuZXkE9gvJ7J1vTjqBKi Quq6CyzFrfsj+wVQND7rgyFU8b9Cw0x59qzw+DS92LzJ+5/GTL6J+cxEAYuAy3zO9TsEj7uPa7so j6sRP0DprSpoaN8Cmu8WnhgerYACRUqQNkzatq0/UVYt0Cs08163kqGgbtANTmZNLKRKxYk215G/ GD6Ru5Y38tPlWjosSMpQTshAUpu4tNyjKtAgEvD1zROos5PZVOv/Cg2EVE51OSTrt9w2ynZHAhbz F4AtcYaYbSKHWOv6AWpLegYBwWrawqKVxs9zQqMwkTsjC9VhGTEg2/Kk8VAm7seUfDVaKZqqub5z KexJHzpFBSH0FlDgK20lpUIIapvt8N2RCzOC/aAOgmY5KyCEGdk5DmTKKLq1pQxaFxx6vj5Z2niD H0AWm9qXEcO+ozvDt4A6J5ZAzOUDYSnTQZrGUr4GflWWsK1Zf2prrtGPUV1dKKlJLu3ixwI53rLE zP82D0dyFxjiKl6PV5Z8BH7lsRFDxdRosTHQ4jjS/YQdQSah84X2S1RRR14T6mEN53di7Upa6LXT 47i1kIby+0LYlYV896Y5C9BhMFl6sULCjd75Z4ZATcSMfOe60Mw74DD2av2tLwcmE1H197pr9Mt4 GSWuQs8uPwZ4hmkLljDc3TYMr0ZLoKEdtRw4WsHKY5BJvvekv6qy0zJSCycl08GybiJCZqGXw2Gl qM7M12pp7XNQHHoWENZvnfAjzkV44ctYGvxWvCNclrV4ibfVcllkQ1/UwhZI6DLVwJ3tFQM1BUEN 3QDXB1BX4WuXR6qKvxBLulXzsBHw8Ts8Xf0vvhU/6UF+f2EPtQ1lp6YPH4YR77FE+ZTd28W2rK8I 2Ouj45OmQEMyb08dSgOVs+PEpwcz7ksKWDUvXvIWygUvXlA2h33YlGNSgreT/qWV0RPSAR+kRfHl cAxDXGMMMp9X4dZhZ9BlvCMbO7944j7dp70FkwHVlhIW6o9wAilweKvwoL1tkMrpQ6EPgUNENq6p 29zFLfWe1dXEaXX4z/xH8yNq/H+3NtlWdIuGZ29x/Mz3ZMabaBFfv8cjFCYVDNhf0ZqseugaGdmU uL1045ow6NCyVf6Ok+v92YgnqinbYFHjgaCvlqxsd//+mTt01nf6PENl9INf1Jxg `protect end_protected
gpl-2.0
99c1b35180de1bb5ec5e2038698c7a57
0.937426
1.874266
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/bram/blk_mem_gen_v8_2/hdl/blk_mem_axi_write_fsm.vhd
11
61,464
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kurRNsF/116/axtOvoikRx/B50modjP/EHmNfOYGMpX+1T47YinGbf3YUT1nQFyymGmFynazP6qg CZKl6AqmEQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WC4npYuXDJf/7SghrKfefbXRWo2QbJraNzzR1mDcY4EmV3b00/FHWB5tJVoLfpIQVgdYHsGkneiK iuolgBV2SCOnO4ViPg0tJBdogfYOBUSAQ3fuRuIcZB8ie/IQn4+PrXgtd3PVZSFJ9OjZ02bKnWK8 6KR6OZ4kolCEhNzqw+c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ckNs4qLJXHxsAWqs2aUVePAFGh4NIGEIUNu1IWWZPPva5K3fmHahWF+9AOkjQeYsX2G5Wts8vHVj 9fyH9byLMWz+7ep3K9NBBybAJtUuWdiiQT0Eu4Rer9zRswlM1RF6b7zejliX9HqpUdKTtnTEEFkQ dT8RxpcD1iDZIY1eInKQ3Z4vrj9cdvZZllWQ3aySbQqc4F813rLmgdN6SO2LETSz8lFBqKOqB56O 6DIn+DhP3iJI3ToksXrgfbhOoIRmzk8Voh2ApVePG9HTXoIFWB/A7JbLJQtv9uqUTs+h5FoWXD/r 5dLxU2Kd22z1tAbRg57vC+nNkavx1h1Zla4JUg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mvsZJu4YmUyrhRXG3fTIENvQtQjtW5Gb1qettc9e5Srqr5yrsPEHT61UDlecAKihMgQUi5kbDvt6 3XSKHhfxFQQ/8/tIp9QZHGK0MQ0B10K7p3RRVFcPS0iddElFFFskFDrppASQv+OFfyjG+1rtwSYF sj4f1rY51rh9dktsS3U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DVwROVVgGYHl9rDneuUOMCckhBq3hZSO7On1WKzLGK7iYt+AGTRtSJFu83nVIL+wgkc+cjJz/ZBN tp0QHhwstS1UfPcP/22b5qL+o4s3ypDGuJ4DvR+HcP4w5M7I5v8lqBp6V0Vx1ueuV3eT4aM7NCuD /wtNDGZBs1O0dw1Ak92BqYs/IMgygaKmpWvv+io6etTmh6JihpscojfYOcPBmDYm38HgMfqCO8Fo ENG8a6NBYPmjUNmmYpHh34ZbvuAVqZvTBszFiWOinF8dJbYaR6jzGLPWL2k4iW6fGwgs8IgioVbY hU4FHyqXvarcxIRx2n2qSbzMbr+iBbxEPJJ3mA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 43760) `protect data_block +0aNGQMeMJXIrgz0P3us7qk/wiFv7jfj25dninB8MI7dGYjeYmvAZRUvzp3MV10CO8FSN8LxQxfz cilwrbnUwbi93zwme7kDRXFkDnmNIDuvfv1RSjbr2wLzJEt9VKqt/wJ2xG2/8cZr8h39Bf9DeV/z MyubPfQ4gyLYOReYTjBZupZCrmU9BArP19xbwrR6/gaTjBlwOThR1dbiql2oa+5FMa3NVyY3VDke fTXn9XaO+TS4Gx5qziVNUJdfLWmmHCHR+ISvCNOPGC6+pt6HJRWE2ZzSFoFv5gj4oFMXJon5Xj4i Lp+b3L+rkctL3veTtuEePeXAeWdjPt+D3vQ6lVQ+IRvvx1V5S1qnx7uMj8dvHyT/DtV0qqhi8rP7 vWMVhH77tx6HCeITU11bRyHsftVBLFlxh8JUaftflYNvoOzKTfQbiiUREAsEZuiD8fvRwUqyIW4W KQOocL5rJPyets968sieHHwacTwfko0E0vPlaPa2s84A9pap/tYJ/3H0lh2CSzCg1bdjXU6KGpIt +/Bfqw+aFTjVKMuBV+buCFzJS/viT3a8aZ/uCdryucPIalSdDWaAY0InnI323R87weTj18gIrkzO yKu3uNnHaQV7pJEK/qNvYix/+VzHX8riJyyEjuq3pXxCbcVJll65ia9Wx2pb/FOIbP57sAfrBMAz 17CZjVeTHgAvwDWLYqY+eP74mIwt+tKBZsirVYkCZIBn2HvqZ4l/3AbTeUddxpVRC3+JgetW3FcD qZKAVAdpvKbUcIPwOYHUO8t5Wx1YQKuQSGVRt6l1NVuOp5434v19HL+gR9haab5G2kLleHqLfNjS fJLC2A6+SiKUWaQcqSKquWkMwR/x0NBSz7b/t2r6HwOE5W1B+sWDot0OeQQWvhHLdMLvm4MN9K9t F9zEfvl8cdH0oPo7q7eY/26Q+7ngSEHS0GxJBHsj+kdcBzIA/RVrb7OVcdisdThZC/9XsSr0Yqtm rMag29dqzHfggKOLBEbx9ntOS/3AbIPy6pKo/EQzB3UQDX0OyWnp/LCwQXlPTP1lNJxlC9yNILFC VqjLSOhm2Dt/21xLLgQCIGT261JRSSKYutvsVSPs9Q/umZI6sRiV5bR8+C151wCSwN49Cj8CVYtn ynUWOaEcQYuRl4hAPezqFjDYEWexvA13x+mvLUZXdPFzsoTA7TqUorRmaqAnLd1Le3Nqdx3TlGu+ uD/pGHtkZhHFCwM7yaAnFQG5ia5JrDSFq2F2H3LkDeNgkum/yAyg35hbQkmGdMXOWtyXHf5bxmny Svxvl09IgvZS1MmGfrXJpy+wROJx6ct4xiPSbncmC0AF263SlX32ZVBVaOFa0iUq8OfOvYOvcEBs eIvLKM4RYxh7AigJ7YsmZm8PDqrdSeKLCxMyBMNwJ5+cT1HoMcPWeURpWEhr2Z+RWjrRDTohVvrV K0x1q0iD1gCd0cdqOGR9zMfrqOtfCKsAIhgc+UG+QUyAj+aaFKOjFybVRc/M1TctTVpsR5CDClKN tv7ozXsVvKkb2a10RAxvYRuoPJ2eli02uFJglxXZtGpc+yINuynoZl+/AA/MNqmrHTMkGCHr/SXK RUF6qLyAlr6o3FpnpyaKEALVY7sysLLLc8vOfu1oTZ2Cg87LFXIiE1zNMLOgieooKrFKPr3w/Tcd 9ORtyK6RQ5vQdY10u/xvuY+LtC2vAqO2AYhYc75u0+cf3SZNfGU91KvW9XznibtA/qvmFsajRERG 8+pEd3XrcAEl8+9eiBGUhd3JlJRtbqkVShG1cWIwjCrea+j9Q24Z7lWl1JzRh166HRm3tB2nL0Ws 9pQ2o0LNrT5l6scu6/E6aSrdKysbWhO2hFVWzWiUlKLTH9UtMUkjyMESL/hgIKRuiU/9n76t0/BI RS0Thx+fs/MpH+dZz0N9CYpBCs3ZVoCKaY5VbVjwKqqSt3Vfu/8ucpLSCknHu1Tj+xRK4GR7A3ZC 0T++YBjJzk9fWZy/no10k7Ez26HGPAmgkiDdXVEWAW/yDhtEOZ04REO1Mw54uNgL+FH/FPqqYxN2 GaUITbutuJizWaqkLCn3gxCAG6f3tam0ujLLV/p7zfInDjYsKpFXyVKEbtZ4Jcwi2+xJlaIvBD1b QixFhxpt7spDo2Ku8mylhhMl4RUzoRllifjPC7Ua0XIdWfNHqMtewOWWPS+byMpwjMSfcxqUAu1L z+hPj7xp9NyuEjF7c25xzh3a5rxsQtme+f8mSNPXUS91u1l7O0K+I2EPH6ulBX0bJsg96/qu9nTI wT1SZJpaWAY8qgJeeb3IK9imz/tpm3mXjs0ZCSFk0Srq7k3i+kEhlyAVcypOa+kZq1lWxfRbgVCs 9xr4160x/7pZxiyaZxuWl70UqxQTxj1YvAcgyZthDMWYeDmBqLcj6/4iOJ8bbgqqzmxzcRPN4Fxg jAM71PpoPEMJ6ht3WKgzxAVHzlCOTVka8C5HHhDY0CkZUynk3ahTSDna4DW3O/zllt8UCogDbPs0 Ic7nysHgYufKU77dbY11m93sBeytcPghri5kB7ejWT5FGLtvcz+NwGvGiM66c1gE+7bZEZv8xsG3 ItO5nk+EMygE7+c2I84Wvx26s69fBdDmK9Tz2UzeoG9ZVUNxCxWhI0L5AfPocTjqCOWOJnyy54uV 8EjNkvGxhvdZdTUizMsuQwa0LwU1aoM7VWlCdqs5Ma1/RSrKsgXUfTMF1gZFlzhLVl58vI+UIiOy O1aYgfuKH4WJNIzFWaAUSwW4CxLuUUu/9ltBMh6kt//rbmb2dIiE9vIAe7bh/9tvhMstohGoun8l 3GvX01JcYwsZLOl/bJ+rtYNjU0LTcSogvlYTKm25VDoguk9P0/btBwRSvulCEIPrS+TRlRn+AzaL MvHSyyfuWWKarNQiKbNFj4+18QG2EQUDX9shus/Q6bcqUlnBH6UNChCcoA5KwOlmdKFiETQwywt/ brAi2wMhSJ/iJwDHu6AzdrhCpvmrFU308k5qj9xdYybWk3no9DrKz30pjl9Epo9yHI7cCftnDXbG MNRfCtrmjGRwyc3F/tRCwsfs1VJJK/H4SAd5wAGcWBNOd+rAAp1E/MyBXwPaAoaOfRhZrStTGWeK x2Z39zTMXklZhw+BGUtQqXCu9XeTr5Ti5g+9QQ2V6NxiDaBz6AXdl7LE0+vy0TiPHX8xdBT4oSu2 9buxJ10Mb+ynUYZTnI3/nZ+8k7eskP76Qj7U4NbEk5XnRwQ4zXK2IKyrzXSMIPUN80lZa042/E6E t6ddpfCWvVlcyx8PQbjp+2kbnGNDr/AO9kUqXpgG0MhjTQerGHbAoB/qJ6mpb99U/LwBv9GIxUxR Rn5yQPJMY+SkUNkuqdubyJRhkqFa7pfys/YtRH+SOMdaw+xWTo8I2bsIvybY2MjKjHag0s9zw5bT KyVd5sxgpEK741dl/a41aqCUZ8xN1ccgypzLWys19IbMzlQ+t8+QT6ocpuTH+TH6VXGD0dIF4y+V CfwM1Vpxy3w8abol0k0fyu4EfglZRfITSo5WugYGLt9vrBt1vWzL7d5mvIR14ClLeP8Tt5/izuqM iUja/ewzpYODDdRJt1Y/wwt4WkRrus+GPq7D2BFNs2lNkMQ1bRIJmY4y/ct1tEGgyM9NkN9NTokh pnmeRdfXWA/Zqg+oAhyxGziktyf/1Zt+USu0a6CMfHawP9WPRPp3oS81+j1AntzxsXoVQyza2JqD NMqpcF1zONjBVasb2yOr0eAF6fyYtYofBn92nAJLLDBm1CV6QygJQK3Ze0Xlp32uhPBc/OeJSFYY y97UJ/20lNYK0pvVtBKcLySCoUnEvi6NfsSIIV4uI+xIJv09kjtJPn5HAMJ6T4zsLSHBLDxTbHRQ 5XoFVQoGJiKKwiR7uLneayXGj7pf0x2nGFrLbnaBeR+54bbNOMZrSIQA36GRkqze1CCOc8P3tjuU 8UnfymcgWbI6NcdCPI8CefjXZtssdKQT8oLDEatDMf8Snk0Fd4U3PAalP4XLQK22/lVqTQFfjbg/ c33KPu3WSGfN7kzNJPZJgWYzKvbqe20a67PhA4G6q7GkJby6JcI7T6dEpyLCqH/M2Ve+aE3308mc 2bW1td6jxb/h2c0MCEsDeMxldZJObC4cl2Xk7l8ddMsrPuc3fNsBjDO7p29El8Dt4XHIwA2U806B PQh0F+QDSwMR1W4tU5llceWgLlALqFBcHkZ1oVRSR5E6XP9HRo8/fVSSjo7FGwMQdVM/N2OGGxRu JvWOMlaJgOBCYqBLWBNjwClfFxWYysWBeMcUREdDc0sPGBh852xg/fuqVaYopnxmvg6Nc6Hh2Xf9 qPj0mM6beaDSiArha0XY+kEsicW8Pv9nUOFjMrGkVi8r5N3UZijAFq/AlI0ewh0mRjapzxahYdZ8 sw+yKaAuR+jePLsUUKZM99q1wuHmgLcfghJAQw4tzvX9HdxjNuGsbQQycmZgAt4dPKyIPmh2vG/u KRIBfMXAUYP0WGPyAVMkHoQ8fxTXJo9d1wYGDVwXebsFrXhnGr7jI2zuN82T6y7ZBoJx7zmlU/MZ FxwNJv0QXZGKZWcBh2DJHF9gWwCNc7HBRASR8LIuIG/49pg8PFCWA6ORoLnv6QYyZibcZWI/6FL2 /AFm60aT0R5GrWBjFSuXB8x/HD2iyROjkbGGzpZPMtxaX7Y2X9z8oOnFXgozndsOk854yHvvIjRH WSJO1KRHFVz431pY/p2J7NukE/jiDZqr7iNKJcJR5q906t8BGP5g+iub3mT3QiGknjF3zYBIxSej ELKwa7UuR+zuyq4bD35olxB1S8CdvjFp36GWHYNE7sL/qMcR+/FhYTERWClRKefVNC0utK9LeGSl X12PT0WKxq2Kn85ZCPUfTf6fZw3TaidtymYProA2We8/v5KAJVOZPcZyTjH819N63Q4mRFkgwpIf t2sVWFFe/p7j7W2Yi6gG3nqZS3+OFqB9b0W2oaMyK68JbY6jVoERJJwsA4SVfsKoxTXUTiOu3bFH r5xo/pJLo7bXomSiFQUhGTpMSPygLV7rnonxoSK6zf+RaBOQKTA/qrGW7KgiN/Hhau4L5QnhQsDk y//QIsoNqH5K04c9LF5eAlrvNDtDnUInv+NifEjmAbnvIVhMUivmZ7G1XDtq1v8YDV+856iX2Tl5 QPC/XyXmxGFkSUrbMJi9HBV5DlPSEIc31mwS/1KlzO0PXIfQ3iV2djQaGbote9sQy1MzUd7IMAh/ sgNyPLQURW/ps98czCKN4oG1tb9/2RnW//Z56YS3eL6S543rMOAf8Rkpn7FJev0yM9rYbR0kOKSj 0cVUg8XV0Hd6sD4NEzXJkJAiga+1L08csHcI6gBnc50zq9+fApF+qtnO2Oukp9Af9ZEh/L2c6CAz WAe/Pm8wcr/jhdfvkf2ACWYFbgERniZ3JzA5NrVmS5UHjAeb3dN91+tdEE37B0xe6WpXo4WcDqSu rigPKb0cS7LF/GEvc4R+1P7N1QN3BO/C6eVWm5HkghftbvBGcSTdLUgeJic0DloODDjrBMQFFwWa 0coCr24WhuwcT1pMQaPgboCZGM2xuw7bTaC+sHx+DW06Mc611Giij7t5HxTAVxv1HCU5ZzN7ycIP wCs1KbfgGmSEC5EpDLSiyUXAW0G4Vhmr+UEiXw5166jdfFPG7uAAmMHNQDtGDGRDuhU8f8E+sbuI UtWKnWc1czGBnboDYYpI/tAQtnA3GD3p/zsza0RcjWvDnPdwjJUopeRoKHml62CwqlBg+71Holan Ld+oQYkx/QfN82NOYnI9o5D0TiOqWSYV+I5fQkBxY7GsZC+nyiFQ5MjvpnOqJ4THx/Xbj9MctRuK nJeIWAZD88Hqa0DeVnH3yasKKoHxYcMvJaOJNuUaVG0OcoDgNoX02PuKKfe3swC9Sml/wXVNzPjH MycdlvjdMSd8A7Bme6pea3rq4sQmaFFxp5doWAhr+ud8i4JJurkqpbZar3EYSiaGEf6ac4oDiTlT nCj7yC3X879PhJs8eBCbjyCn9YV2QAuBjJkp61ybEgCS91JTfuMUnA/nSfoXAGNRmxd52YebtJEN Kzlm+YRISmmIa3wVSFsMsvmuVp11lzeDosip7pSgajRftr6rEhkXA81VeHaU6iymYrQE0q0oTOgL 55j4jfbGzGJOCw1VAitSbtZ8eOoo7FZE2iHBJzSml8UQRxNHJ3KokhkX1EoJf5i93ahuXZ/KQsgU m4PI51WinKnoWopoEg60dU/9vRMHJr8ZATMLSaOL3Gz1mtVP9wAUJtgNBYdA4Y5rmZfKa5/HglWR bruNgQ4UFNp4ZfFWvXlXRVJXVKGodTqoPBjSVEpaMklqPXft1OAVKY2joVhlGn1CZh3ldaBUCrRZ ZYlmiaVQeBtFvI0haoTY7X4t1y4sDBcKvhvy/PVKBVo8fSdnUEp3JrR+64QQSSMlJyyOIPl+nmUQ FzrhIoe8NI10xOFi2/X2T18ZfCuZ7a3TeFbiYlordIqn+HJD0VDp4B42F/A84pIH2maYvCr7TIl0 4ASQLVh8VWZGgJPgwvBhrJ/E7O3PACEwLHbQU5H9ZtHNB0xGSms7MDsNqXTRuHzxM00npgsKtid/ TahEQwLcaNNxHgayVumLUMj/HpZIq6lZy7DOW8bKaLD1CyecJX3YV0/JwgTTPuv5TiUXSYdxTDdn r1s962RxEZLojuozyp8wze7lNm5COXkUFu3CUUSk11BZhgWAAyOADosCYPvbxE14UCkm/3c77oi3 t8/VCnq7qhpkncb1KnoofXp0AKIg8Im4FoCFqEh2fnVA4JzIeUtwMWT6ZYGN253hQsFBiGlXFGqZ 2qPAHmzlRPYwJmLrESHUe4WJqGiwjOLTyN3a5tHHKHFK/8W4LhMzImOKz/3nOYft9Kh9MNAdZ3Zw r7Fw62PMozH/tegslML9QfiVPebWcCmjxw3r9kvphwmK4inXi4WGVvjm/EPo0XCrhTr0opFbtqLJ JSed1OwfwuK3Pdx8F0pjXK6V/opLGQWdOEEAkSFo0fV+O/sBRWCxgRrdNkvppzlxrGo7cxcfYyz6 kzt9RkwON0lXXdRhnkR3mFtyBvtCUrv9AHhoyk3sBNh4DHayG8G5plw0SAvVYq3ooDVrAkSBrYNG p0San1HBaFS5LJS3WLa7rMiDkS5isPhC6AZKUygEcF/crM1/SQMiv72uhHcBGx4Kp38N1OF9kY1s j5ZkGlq6aNsf3UdjjHLrtklaCxaVMoKvQ78VYGhymYRQYS9arQZSaB1GAHCWHfOpK7+myNHT/Mp6 wDnRqhFdW7xVZTADpyPZ3Hew/TkxJDx5wjaY7upN4ghwQ9IdqK+z+8foEr705k1vhyDg0K37+KiU 2/XcTELv3lxZkfHjOE520JXHxzrVaPExT07ba69U0AAs6PEO85SKpoE6FTgMlPsZYa1Dbp5L6H5v nLnD4l2UQQvbDrD2LF7J5PCOfaRCLLR8I0fblwXg+SbJ5baOOVrEFr1pLC/AoGQDoADx1u/ByCvY X6FxwNy54IIHcuwiyGBq5Q2gELIT0ACKaUqbQLJ5VpLjtSKtZLaPwlH8Hb9x/cN5PTBls4u8INgv wMsg0rcrth/a48ff04CjfX1hy/QDrbRWu8F/8H6pMCZvw5dU/e9Y4Trrku+eySC0PBZ20FvqY2aW js8XEewj5BB2wFI7d8LvWanx5szRwD4fRAcLFSIXcNoJnPHyhaTvq7zVoVBfLsR9joBjfhc+BYZ2 T3guD/U8uzFwq5cw0rkTKuEYf33nFTHLiL3FgN4oxQ6iogD6x1V05Z1k+A60XF7EjcDARKlVKvTR aRRSSUToxX1z3pWUTcYShKuOjn+CGmG0vr0Wi3LnfP6TuL/EhXjyJjKzN2Kx8PGKwSqGVJjSRgWg 4nqVP92yKpBF0bPedV1STVT+BN5JB8qLIM6dF8E7g+h9ov2OtIg/f5OOUpSBjO4uZk1kaUgAlhKd jfZE8tHptsPlHsu/13AU3B6W6vXvcQsMUgHNJLf0ctMgMgRFYBvq66WEQhXMtMOpDrT4JWs1aXFN 61uK4URDELFAc/Fve3nEIGQb2biVgbCOE+Ym81JMlpRC8j5H2ghttkmarnPE9XYE0TkQgw9hgQlW krwL0SAkkD7C/2pM4SNY8jLnQmGIHHLYn21CdR1wZnrB+aLprEX/weccMrNr4Kd3WGFO34sCVNRV GWaUjxUDVFNU8VnqIvcL2UZCCMNfKgfij9SQlUeuUFeb5P0yGhxeu/0SetMyKusqiOteJOwNch7V OPVI4UC2+qqVyPu3oAD1gEfosR4NlqfSjpIgRmL4aCeoYxXJ8GfqqSk1zANtOWG0pgFCe1sFekzX tDvO83287QoCJR0G+vPilOe3U1PFtXSyY0lMnE4Ob9I6QwxWprwYd8iItgGCr3AsVcPtE5/C4PJ5 cpBSV8DoygVpuEmAaO8VwJc+wstK6ji+OC1Bu/CzExFtKwGvG8yRPtTfz68MkRXneWYJrWExIP59 0FbWGcA6Jn28pLnd2eWj+pJk+oOZ2PWrU1hJ/XbbyK7DUBYXmGbIMkqYcd4ZLzX/5ZHmlm1Sfonr c2QL+0B4QZ+zdJ1k7oCw8wUlx5lmbaP2a5h+Gi9CFYe6a2SGyeFuUdRFFBEVDE6Otb2HpjaWupht S5iIcWWL6Df/sM8SHSmPR+IiLRD02efdybuMfmJdanNNK3W19ueJtYDBVnd86iQWlK3uRh+5dZgo 17xzYpTMOU4qRopbka6LV1QTOT58TT2jt1Nx016ldTn4KEUm9XCJuNi4m1gyTGinJ8uZOXOGSe3M ohk6qvlEu+VZ4CEkfNAFfvU6Fkmn17ltNOFS8BsREMQQE5daLS8D7vkOdqr0v7wqVwvjTf/iU/cZ boq84EWsCcEY2Mng6ocFHAAPbtHLv0TBZFHoYq0QlrB/7ncTxKOaicrrFOTpGuIBkM73cgUQBanD J8zKg+UUrLuQSwQ7gUuNM1EtHpDAjMAjr/9tsBY3gaX2oZ+W9+DTHFNRrBAVajX9/MTaXh6aN1RX bLhf18+0nGyA+88XpRNN3iasO5FSJ9D1gcc0na4hBXfDEumXW+Kl3pz14sdvWK3RQDL/2Rkj3dq6 TfbRUVAh8dU3w3SCSpOL7VAyw+ge65k6fxIdX5O7gWKphkRgItjOEDBC/Cona/ru+62aouw6HL8c XJmSl3RQtJFWVYjWg6qPvmK0AYLi4URK53oF9tkeUT44HvYDslTDkrPHo8K89wJPJxQOi/Ir0Avm HbkpXSqEWsc9giyEQ4oMlxAgluoybcAicGZmZeo1bLtSfRyzTmobUWmSruYIBVI6c0OM5zdAb0zJ zPfAawVGHiCC8XIkyCiYPfoe9SniDxsxPcmiXYA9Y46dO5UqcURDfjd/w4VFNBDJ+13ukq8u4RzK d9CR4Fp66Tp3AhP0BDARrUfJTf27lOYBvwngjUH0jTY/nubiIghcdOD0eAtNa1KBvRV6Jn3okkgb xTvSOcr2VTAb3uHkasWs9CscqumMOOPr8yUqehQ2Axkj15V3m2BwcTao5GK9B8xbyHeop7rLmtkf 3KS9pnEZHESMLsQ1IOCJtiLAFgB2IRAYm5BztA8hFvjg46ec3AlFnGW4sxqvvUBuMUoOHptUdle6 3Oj1H8uwRDcOoc4kh/+cfSrVADLhgFF63jnyUmSerkrxlxkReugNK3Hrs9cTUzEoEzmYdf/NuMJH 5O6dbJRL3v8DUP0GzQRUo+EgBSCsCzu73X13lBbW7zoIpTiP69J6kyGEY5XD/1BMPEz3k5lVY3me P4AnkSGgEaQ7HMfo765lkna/dGDsdQE2vvRPzu082lggH1DpIuZI6wVxGo9IugYyRClxWihh5O0G iVCYs5Dd27IlTXlvQwBnErjc0+EetgIqam4pKrAb78lqvfpoMdICPFY0cmnNmyniXN1B+QOF8OrX hh7lZaUYr702h+mMaEiT3cN6mIRNLr+uYiu0jeNnfXBUYWpSIORPBTSt8zMUaymQf+MMYgdJDNZH qAldq2t39S2mu5wPA8S4w8S2C4rXlW1czg7INYDGpdcd/7NOf1GPe6YZKT7AXYBHmzNyg+jkOPqr iEKCSp844zjj0CJVkzycz838hRmUQ5aykbgQtCh2NGTg6VzLAxEKGoNZttblgyNofk3rAnDEkLAu v+MdvUH0i1Uu8yGWxaleW6Qd94UKMTPci2thUVbWVhwXEXWzTjdqUMK2eq9cEf/agrPLJIMdm5ti 1wE1IkwX6Z7RB/PoomSJnK29219U2U8rAMJrLInJWDvZ67X697CPlIv6ydIH4/QFqWBb3aQO8xpy V2rZRKzk37x2V+V6cj0WrTxy6rRHkVWpFSH0dviPYvTC7OqRcg1wV0NSCckmF5c3Gtv1V22T46Vl mNITPVBd9W7sEv16u9spMJIr6ZTQ9h3eZcEUSu80/dVlAq0dPOhnr8g0deoDDxUIEVhUZIDt0nKl Jo1u9nWeWr/EPZ85VhyXPXZKbBPfIfNW/brAnLAtte05ligZptOsfUMMv/+c17JzLiRgr8tB6aNe fysrIacloBjVPzL2Pf2w6skz+TTUyCNyiUyginAzT4HUqjPCDJP2OEKJhkTYXMBGFlFagTOXd0ib +xzlmEdx0r9X7YRu98diyL6wKU7N2YGLu+ioxUtv86VbUlILWip9pNE9PDohvChv8qoqHvdWxV0W UgqbnTnV2CfzQDbl3zqGw10Vmv1/GxTMLJtXJGNGshR5TS6rGsGuxjcinll08XDXF4+m2UOEq87/ KEu9ZsjZ7Aehl0JeWcdhiS8wIdGmBzZc4dUDaf+WV8CvdvaSMoHxzWHXfGY0VJYOMYdtctMlJ2wd PL7O/8AkWB3wLctZn98wIwBcHfLsycL5wjxAadAxZd/DT442EMGZ3sSxC572t4xGJFkOasWuw6o9 bDm6oco4lhG+gCus/n8IW0hnwq4/7JNr6T3Ys/KhhGlGIPWmKaIJ0evphQWclOkk0tBgnamlOfO2 cSEemCei7HFONBrqqHx4/2zWorIH9m1FRUrbDQTNQ4NLaGgFTZjtv2TMs1aaFnnCaCWuyixZDyED 2Zt7IJ/bLxYAlKcS1/kS6+xrGt8CAHykKUdzfZQ82PyQykcdw8ft5DLtMJC+WSsUAKt5K/1Ziz5t ZdwN3QMXWCC3XoB7/6ZSPiw7o8sjXwlME3RqtwNaGjCAOH7EdRiMGF4uCANBs6uAbQI0fF11pKj4 DQ7pdK2ptJc9q/yz7C/09iljKhqvOlnbQZL2PKatQ871ZvAmRp0jL5Ijk+ll6l1tUfmhSt4Qza1G KF/+C1TSOV1M+WK+5Jkt5LRhHESKwWnfoxmLiZgOoEHwuwPlzoeyvT6H9nhhP6hefgn82uTVBcTo T7eG+0ljGRTJz3H67HCQ/5s3zFupUdp2E9/30ctAnykXucdGrVTsOMPdYB2o7KiKWHTT8hUNoG+V M3q4kxzvlGe0H9XzDrrvhgXUrKAjFaX0NjlbsOKGbK5l3cvrF7DTqkuUSkyrNOLhLetc/xY+6f+J 9LsWGjVrbqsSFFxnWNVy7vVjoXaNVo0yjdM3PTd7X21cjCTt3J+eRIGpHeFbBM9WZglGgraP+dBj fRje3EeiulGsfQ7vBYoBLiash7RrOFOQnlo9ezimMelVsUuFQKnpolDOkLg00W2LrGaLOi+WKxbK 307BKkQivAnFcxplUs07hii0OY8cjt+YiIMGuX9pz2mYh6m+qJAdofFHE/yapIFkRgFd/4oW74Ax dRbIE+8IdxokgnbmDP781v1fT1VuRPR9gQmszhLurqgau+xC2T67Ni3fVWiRB2AmsUL225p60VTh wS+w2b9P15lRxyf2DpaFg/7edeWA1i9Vky0RycRuXe8OzLDv9jo2MwkrVu1INTb38ASPa99Q/Q06 joIwiZHBul29v3iidihWr19vF9hGCO8CuKiVmd/ussKTmFwBdz7iBlp39QI5DdNE14rNtEazREeD 48+vOrCP4V6JbsMI84OyAUXkVXTkqckCnF22VSa8fYOclfja3WBuN2syquE8Bw30Bf7nazieSr22 aNHY9IrOM9q4itGak2f8boF+42PbVCH3h9lbbEX7TRc2WWgxYCTxrwNiwmXt8Xw3vrUJdJcMYks+ pCsqRamzNPwCHPIYipvefkt6/X/nBIHTv81u9kEljcE6n0UWSbHMcBuyawSsczvQjhmnR5nxNgRv tLa9CLxqmOYv/zIziAN4jUuAyhUETPor85FLFmaS5bBB5ZFN3eVSBAWSeIyJpJKv7JzzpgNKdm4J +EEaazyk3CRet01KSThbubs28JkoEDgA8yEReEM3rtxOxby3BF+7rnof7oWVEbstBRIR2G1T1/UH RPjQmhqxh5AFM1+74C+ggYb0f/qgRxSnv6mxhcefDDbF2wJkm8LMB5IEoEmEvqBHTnljUonKqbqc 1Aev4UdWP7Z4C3sLJvjcZ7JcQrFeiheM+zIkB1jMd6qmDCJb0I7/hUsaRGzCgrXFlpxR5EQ6wc8V KWOJnk6HoP3X6QpHGPrDe5LnKoDoYYgKwk4F6ZGV5IttnmfJ6Yb/ti+Z3SgjyFsZkrhez//ekCt9 9JpxZ9vG4J42kaRdkQRTmsgFBjOxJXm4WIDaZIQQF8E34VRQ+Y5o+sRMqP4rXDcKWQUz20IIcceo 1ueLJPbzC80LJlB4u4QR7nlVwpxAZpFtJwmVvEc/UDZrD3IYAtXTnOQgNdDP2UVXwEUeI0g627Ea IDy/XTIHHEKMIdpCEnnfGYw14J3csgyAZmXqazhfmCLp8CSFDRzndohy4m32rCfl6E+itAdVugic SVv99JpziUu3df7UGuhjC0ZBQOWQ2SRfB90eMkkYnGpOPgQ6R+V9WYpGxycYaXA+C1ocS0BJlp35 hqqyS/pSDSUZ9O+Rnn0Op/pqW2QSd0RA2hWg6vVM/zRNr9ookkCXXyAI/ZYN9nR0EHb+ADmhABFP vGNAihz4pHpzIQ6JP9kZNo0c4uxZJF/iaQPCjTQWJLiUtx4F4+lcJICNKThyr0qxjhgTYQCaMPnG 33kjAEw9cSUFNARTTpVNnCooIxrfGOKtFrNhpu8FKsUMkMLsgzw3Hg62RJ8BBjqaKN3SJc9usbf1 yM3PkCjAmcTk9sNV10JgQaCKbRWH2Ta5Bg+0dtHt7xFcaztgjxo8LnOXVZ15gUULxL385AbgMLaz SPMGwuAFCLHN46y6WOH30+bVfSPJRVkHKeYWfG2jOCepwM0bctYQt5PuBgL3RJdbT07ARafHPgmm WbDbVAkaiRpg9yauDN/wmkwxt0eEJeRkA6Fub464ttZXnVI/XQ70HiGlpn2nAnSdSYULLKbZjgHc Ji1E1wfM+xSjXHh5likbk07MMeNkWLIbvC6FO3cFThQUsJlRZ3dz0XRmm1vYOO+yr5F+zB973MqU i57Kl/grqZwivEvUW7b2wnzb8kWCBgC0D55ve3qWxBfvjMMOaE8bFDjxpNgVLvC5oxPKhfnIz0k4 oMLUFLTNv8rPSjIaYnxsVQPFxDNILTV3p5VEyO9qrmyX0BinozuZjp/5XJxmZW4ZyQOPuxBPnbFk wUBUPoFe7LaVd2p7ktKEtbHAR7MbHRWR506C89kXDSjq+tMEKec2ANCbz48ZxybqRbED9mNjJSaj C5ZFXYOyVeE182kkFimVJ6Q/rDzOiVP/qm10cHV3mmOvenzBEqZGPg/9XXMg8xJelQkWSygHgOP0 D0ffrnruj/XsdSRKTKuSuHtkaQOM1jW3gYz2vbfZoPxCW4h+XAojBGc4t+Pr+M49F2AokVaU9cZT wxfJbpXhBe9sigo+P50rTsBlev9sU1o1x1/qEnrKh8kriispLRoNhf9swmx5KJRje0mg08qmOv8W kjgCvfkcyXY9rDb3syWmjYFW9PjKz0uM3x3y7LXwpOWFyPCxqh4bcrD8kfz3O8rLwHPwIY07QNmr RjPlPYMjuD0LE5Yv0VFT6UgqVxxhnZ83V8Z1Zoj4CakY11UeZEN5xRvaCVzX8g5Q1gvEzUOLWk00 9lZJs/jUJjzo6rfG68nD+eEPPI6uGFApf5ZkkSgikZ3x5MRjlEOc13udMIJHVHifnr116qjqBoyA QCoIrdQ6gU1oX6oOPkTiigFDfZLu4jc2yYkPzz6SWYs3Vu/KhusE4tJKytyhRBcuNXw2KccIHlxF zTlJYM5CSBQhpdtRvj/JhB3/3f8mVcyyVrgE4jAYGIM5XQaZY2faHQUmfBQjpkSc59xzInenxccv ABzU8DkSAoqJ9wmgwxC+XITlorzREiPtxNdOs+Da/RBtsnZ6IJVkornHiJFDllkXjMurYOdUYKdA +wKbzbE9BmkiJDqGGKMIczfPIGnE6/uQa434Xo5W2NBo/KI5JBfU/RQc6BEZ8l0XNNtl85R681a1 RImz70dH3y9lckU3CmuYCUldFsSVCjpwkYkRLa78ksZYC84CSONAVko4RAxrFSR6aZDxsLsetQmw GCFWw+L3dhlSSMUWtE5nZYCKqvm+f0ZDNJdHJKQeAcHM+SxRJjtjYnUy509uqd+L/TAIcKdkj79N UdfiJIE4zmHDCMnR3lzg6ZO8cN6ZrVVcU0j4UEk1wz+VJRJ1wPfYq8RPJV8bVTNLugISYoIw/vMV qX34Lj/sQmaHcqzzY7DzlqGulx9OdSrEu/noWVfuw5jVEs5iXb85H+PBVhHFT8M1/NvY56Ztct3l SeX4RTjIW6okziE2/zSDjduBgtfzi4npG9QakigQUgitXrb47WYLxN4RhfL8png0zZ3ZOGNlfWwk HuCyLXH7pBIJ2F6QAIP7GcVv7FO8j9z4Z7TRCzzsdk37B4y+cSKnoy2GuDK9SKl8fLOYwjOJx85D WNe6owBLn81M1XTW5qgptQ8Dy7C6x09pOB64n8Qo7IiBBpITWq8AtuXqfgR8/HmPuj4+OqI6NdXr JeSUvA8533ZN2U1jWXD96v/8c/LhBhG5wCEFBvvDACJltdvhvlOcXggOpTmb1XTf97ec9PA/s/Kw mwD2OmQAR1RMjesaDLEt73Mp6KePWZjPGik207PqOme+BQIdKrgwIvED1zaVrCSKRXgAtVTXtN43 q92ngFSk62IGWxqE0x7XIl1pzWel6iAf18eWq+ITFVpFude/DbuWYbkZ+OX0KhehowGcIu9PMZ9c +X59S3jR7AzJaVb2QZDVZoD5FNCOPctmxiazf9cZyHmVod1ndMJag1cLRr7nkTBNoSlzD+dpmqJh is1YVL1qExTUfdDIYcr5u1qva/NxV+xsSmLBCeksGs2GqtBPKm58NLGEeOFBIe2cHJUs9zEM7jcr FzS2japNK4pLd1FMriOsXh9AZ4UkRjHQ3YQKV7hQ8l/tFmR1xfwvZUMhxIjsxF0/Otj1TeXkUheo r3FYBMNerytO0uIs+jRV5jt3LmQa/1Rb/NbpInX84puO33gNOcz3MqXUi0ze1KVyHcSlBvZvTkEp F/wjRFKF+MRKdsUe2UaAVPjvTZJDfgkAS9ZE6f6DGNQUd3Jl2espL+YfTbIqeS1RXlsm7y+zpQBn aCenQXvE0JL2ZfQT/dIK1G7Mg+iqwLUCe/SPthRWU5LkvbLPxirdu1VdH2bD+kCdxOq4v/fFa9en 4h8ETP9WwvT9NBEryA2oocvLghRzERV6d6FCa2ZoKZjudlKhv6yFr/R3OjHcVmDI/k7SOTk78JXY aVmDiHZAjN9hsYLBIlf4DWVpOhkwnqzgdkQMBWtauH/ZXF5CN10g8m1EB6PTpDnrxfP3LUItFlnc aOFDRjLRMKcN3eq55CN3WeO6BqaofegcaorKf1fhQrfMQi5I06zRwFhz1yEI2oRLw4CV7aaPMaXO Z6M3ct8Y7bum+AVfcqMt9Q9t/w84u6ElxjagGDTwRNJ6Wm9B7OcJ6h/IKqe1rv0Te+gsxkok9vDb Ip90ZOy6z3jQlJT7eaWJLQotPnFS2DeyWnLYKgBR07Sd98hX4pbXdFG1iHPqbcitFGjK0LGydE0A F6NtYkBKugD03yYFnpqbf/4fEE0NW9FVvKEg25aMCzH0JceXW2FsGYp4/UHF9f9jcbKgyVNrwsWE +4IA6jqlPkf3DqkjWlMQwo8mqjtQr8pauFYOCNMVdW3xNgbIJOfgBG22ETH93cw1VHd71TBVzXfx 3/bGWHMTf+RCF53yrDiWJzj/NAPpV6Ox/XlutNuLWb4HAHAlutopIbwWCUhAFv6Fycq9PVDdx1Zu 35b4OOxKczkFeBD28S1r9FLDX09hN8o4nBxdlHo3TNkhZ5XfS4nxjpCEeTZ8hPdPRz48qH0e654M 1bM5gSRME7omBlJy8OzC66aBwRa4TOY11LW4zzXnfnqdELg/NQr9Lx9Ykd7ThwWgGVRXGiKd80X5 +doakfze8XKxCvveWIZTDrR1N0hq3wZ34QDOUcqUjK3akuTn8VLLv+0TFJUx+8Zk8GiODXpnM4Np OqqdA1YmZ9hNDJUkA21rbaahXkCyTiJUnO5xH2Gu4hizV7aGkk3Fh7hf1Hu8LwBAZV2u6XByMlXm WqpQHUIEhlAOppcXiS/q6LYvQghJBjCJwLpt3qqIK/c8aDSGuuHnVyKG4Z1paf9RKVvGA2o3LQp0 bpPj1BYhU13mHSxwjDFXCts4TUwlAIJ+TKGa6+bJ+16aeZUqQSZ7px8MWYxHtu0U3SHb6tTVyy86 D7X/OkYssmOock+uo7GBIw7E+kGQvWPBhePvoANDdXNfusQQQh4sQL+Ne31wb1JpWKvKvSXYA0oj D5rjJ2eRgwlkWxGotgoTAZ1HzhXA47I89l/BPk1qqBtq1Eu7xtP20pD1E62xGASi63ZqVt5En0da ZlqNgUBVB+UjYHa5swbXYoLt7KiWaqZQc4+fnFcWbsmD62JldQmYN2FBI/+m0/KJeKDEk/PUt9Cp MBxHLcqoGgTQhRaoulrUv2O+Lak5tdlqXbp9rPueEs7kk6e3tqJNSKNUyE9bIatbCHmYIXUtvUl/ 0YkXBdyHNQ0UeY78DQh24y5el/X6wQW4meRJKw7UJE/xTTOJn6oNWtbWun4/IoORp+WSsqlXr4Bh JfUf8XxelPTcJ5HF6Pb4NtXxcZmo3FuxKxbmilcio46KHIMrhJ/ZQbOgXydvT1zYGshmzbY+vsBO GVu9JrIh3wwWFfWYE8h89UB20G+rlzQTJrhRq5RARWkclAMcDtDtY1VQkOpzXdGMfh+ZYY2yp4Rv byfj/8g5yfHCqOqu7qwBlwbEluRE5hW14QtBeIdcMZOwMyhrSuT7Vh/UBEQImgxSRizWPJmQp7IR qagUR+92PtlyCf8JAyfV+Sp4mPW2JQ0N+T/OGGfShJfWwfJqGRirWUNpVTKsSz0jvQLMOKy3VKwj 9DF0Z+7eZkFFn6P9gdNd9ABK9F4QaLful/sBCStWZnN6EKmlRhzoUgkce7uvkbEahj9HcwHer0E5 lh1Xuaa++kAZaRbax4L185qGrD2KItXAD4JF4YuCSG8Y6giEiFSunhu6V7ARNsJJTekGlu7F47yU MsW3CFoZfeOgItBZxNZVTp+8uBrwNc8WgaTzDmEZpR+WY0bgxHfGtaPE5+zb0s7RYvmsHnj7mp6G WEAPGcpGUi8x9NNT1nCdxRfx8uEp1BEnRAH0hLtHpL1+DwDfgYZlgEHE6FTjD+R6M3wjy2tTfuKR daRk9y+frSX0VBFpO4uPTVRV7c3eYMbAWsnyMqXENbt2HPAxLleocT6W6wXxJcTN3XxQOTw1Ugds iBNfgYJ/3o6Jcp7Yfkniux0YWfmyPmNj39/AIFRUHvmtiZo2I/lZQXehf7pPaVGmi77mBL5dnxdT 3oEd0HQe/uVYyFXggh93KgneNaV86EZkvLU1qC6g7zaqHVVjlx4bk+B6BH+x1cEGVMQ5vjcpML9g b/r0c94/lqi4YiM55uenI6noWWXirz68Gsr5SQ+u9gaY3zu1zNLl4fif7z22QRQ/IRHJE/kUpueV tModS+JJFJxPv1TI/eUuz5byD3/RQBtG/I2uDtEbW79CJPTMVz1h2KUBFQdyHvZbeuToWykx6oYn Fo7XEb3h3Q0DVK5lujC++SlEHrOmFwZ5J2io6fE+fa4Z3nT8YmhC0AUm+NBfbkyS6h+1C7XEPHxG Vbk9L8DQrAzFLR4kaZJIFRAYdJ6N/7/lyUGWa7Iu3n/hBshkbI3mwHLDBrDS+9ZaFZCHcMzX3FEA Res7S7OJT7VG32P7TCg5HUMX+zUaa0yO72FThwm0Nqm0TOK0jUESVGvCo7ejwmXKWDa8G3JrCvh5 ty14hTgRMcYwqP/WvrvkoMDIE8HJ7vuDOi2MAv/exNkOW5/Bb3gi6DG4BZ3QV3fhWsPg8eVBIaFY iCs4R0t2IFoYqjsKkf1bnB2CxUy6XzonlQZh36jxHiSGtFvfckbojN4xcJzXsdOdNtqOQi2prP4V kNubrImAvSQCEIFSnzzS71WlG5jNpLA3u5mFU82ZksiYllzowddHXyhqv5daSLdOGBjRVN0BCQ0+ ItLipWLkH+6VeGxcH98kP322KNwl18+TV3IOA7zYtg/2KULRjJsQRmyAJa6g/zQuLGmXFElFPvrD tVHWnGOAUbkjCnZETwuJB2ldeGRphq9xGjmDhYFsPjgvKUL0cKXiZYMl0KijgkyUUH3rs1+JCHUl cOI0wXA6phy0KHg8HcqcjXKtta5vJWPAL50OWdS+3a+Net1agddUR65nosB+HfPzbCxj9bm1CVui 0yTT69zcRTrH7bnZzFCNL+YFlkIhUu37g6VflKmoJQNReHB2N1qKR29xxW5qBjmOoNDVIVnlDlBh B4L/ITp9217i4ALzhe4OBgwiUDgkFvVskdDMNuUBmKVtTkxtXJtP7F3Y5iVCJ29kvqp0oUNWK97I 9YaP8vTL6IwdfrJ0E44Y3MCnR//Si+EepbxMQ3c5OHggsvPvJ2NE67tW8MaZylxEBAetxmwaBDR7 crt2JlAuQcPUfV9yNCgZeN2Mw8QwSyXPAcOjZFB02xbU0c/SN6t/8+ilTLclPBuQ+348ctJlyo5y ufxYw1YZNUNOZM6N8vMJicVLFeHz0zIuXRXTA//6+z6yOomctMdUrnVCdrJwgVuphmWCef17/uJz FwIYKKNQ2BhaPr5mp6UfeoBm1V6lr3Hh0TzvauQsVa2/3gruDr0bajYcA0GA/nzqTEk3H+XMWOsc rgFryNi1H7iF/bDvsmgib2k3XiR27sQhi/smvaSW8xD45kTOWc5SGd54h/aoGy23+k930Kdwnx0c ZllsgT6psXM7EEXjkO3eF7yEAkGaiNiZzuTfRC9TU5ghIjgRu6TiwiVpb6GP+LieMVc/ssD/Mr2e crEjpmexUjvDasPKQvKxF6TP0TJGjn1ln5uNif+njJOv+x2JHZqyckYM3Btv3Sje/pIy06AFJW9w U4hF5nKRzIYvQK7qLsfTnsdKA7VnE7RqUxY51fhrUuqYg67UIB9rDFpV3tAAe0dOey2OTwEpSBlC R4nZs3Di18Rq/7ZxBPvTKQoH2lvTFbhp1FPrXhTeOAmhDmmLhFilBcFWQvaPfYREBqN0eR/CzR+0 WkCnbg0wcchPZAbfGeB4Dtdot1+m7Tqll8iJ6maXFqv3/iZyul2VIL/AOMKgx1u6MXsWiDg62vk7 5lxqyllUGJgwlRMYKBJkt4NbBSbSxhitctoMhKmIg436ogEd4rq02dJDLGFrpy4h/gAxVBTSzA+P Sst5UfCwC0QPB5IvmrhUn8KxqtnaU8BVBVIHMWXRoM2sDRR3MfBz4p0D73/QX72yL0f+UQfeToNl XHWalE+r/b77EIDEpCN4aRSptfMI8DlsdVIhX+vd7jv31mvM+p1jQ1U0FwGmIBYz9SwDu6fT+/u9 rjPblTX3PCc4cjYnf++GMKCtOedP+D8vV6RvNeo2pxz9nFNYHRgpm2t2xoRCH8lhrEhWa6NYjdP5 iGKH9pOwaA6f7gsVm3MaZuBR1PwbYE24zzyn/AMZoA/VFuD6kJ/DHcJah6u/375N8WiyO4qQj0ly MglTX/txmYhLUbuDx5O+0v9DocA3P2tBNMtgx8eNyWYAuVvzXhQhsulvqMuhW3so0I06lJM+QvJI qyqoeVWKt8A3jyetnZcHfHdyVXLVofgn8eHM1SzuyISItWHA0iTFYYToGgPbbdNuQIuApgdbHX2r KS/aohfIbZcGgC/g3xTXwjel96AQqRg675owxl4nIJi0bYwyDCB0myZ8mciBEYbsQRVJXa4VZOgd EZUvxKXSwEPcv3RLL9sjk0M9GwKx5ddKhKpP07NNYznXblUELi99WFEBj30eCwO72FlWZVZybLV6 tmluNKLJpOAOu5vTlaJSzZ7sFgFzu3tdGEUkXHVyhVhodZTN37IGPqyMp7aYvTD5dBknFJC10f6g /yhFWPg8JRyzjx0jXSKQl6Q+puP7+u1wZfzfiYbib32NF23wmXXTs9TIoQs61zALBTPaukyNQf9F 0K6IVGyQ1WZ9M4Fg4YSERMNGriCxKOUtQKXi7jyaQzCPFszMTIcklmsYlpGG9h30q0UVuvjd8JSo PP9grDzfxcU8pSkvUZ62eEh3ScE/jekFasoq0ZV6/89IJOUbEDtVdg23lEKYb/y5EfUWga8Sd/Vf XP9vLULu4cOp2LOPvUFdzulbk7WDQM6kM5QO+JlopbEeqUqYLYGd25Ofsnp6abcEj9i8aDXb6ocq Q078Uw21yPvhgJVGHbx5sxhPrrEpfY0ehL6jnflnbCx8o3+dHYdrKqRyf1rPVA0CDcf/7m7w56le GbvaK5K+HLF19VPMqxdub3rxZuuKtIKobYaShkU6AGArCP06fLf1ImK0Fm9Ql88UDrQpEHlRQ4XJ W+NpdQiMU3lMOQIUx4vSbT5olF5fgaufzuBe1394Ix1XVMGNiPVb0tL3LV/wFHH3fU4s41I/7esi gm9KgwfjMhjPH/jBVpKTO5wj21kbgQgizOoMAqsF30L3QM1IN9Xqbee7dbkuHpA2f7eWoe2ZOni/ +k8sEo46RBtoqqf5z1xowqOKahU3e+dfCQdo73bPhvHFv7tYYrNc3Wd0LNDtVsdiz5haEx0F/yLm YfDHIVYtNgXXFUNlX02qtmsSnyasJK6GRbfw6ybs8nhlIx2+ddLvDeWurkDfO1MCDmwqKbYzzk9X 1v6pCe1X3ccmLJ0s56M5u6+XXvQtWZcebiz9QT1yXVSRa6upjdcz8PtNEznaGi9wo0lDcb7lN16k phIt7GkuZnPX681IGc7IEL0R1wVNoC2I/aC9IPLmSLq2+kqpEXu89ZifobieFuJFRuz1df4C8UWw Wjk7nKd5Oi7tDo7qnZS6f1pUaBJnKSTtUQLn7IHofkv2Yu5WtJt4XFFLUtI6CPa98e/J2l4GYGBm dCCRPzzMg2iPyOA/nU8YWZL9IAWmx2Rq3jNMDnry/CnB9pAk/+NuqH+avZOAQo7+bRld+qT1rcbh IyLtOFAGx5O/w1GyRmBfS8vuCxo0zdQUCzsbHVUr0KwIwc+Nr75qF+SXFHO5OxazC6cQu6eigA4o E2pQLZYPfBCY2yIwFnt2t5wzXPZ/XBIyp/Y73weZ2Ha9alwUTI7NQRmM7lCOVVQwD7v8KYlazndt 0FZ+DtcKUFwIOQqxmpE6/WnJfGzuUjp3ci4iuPqkpMRFbD9h+QhG2SObTnFH+h1Ivewr2lD5xrMc f3sOVY4zhhQxHuKVc5/fE/EYYSub8wk4FqC6zvVmC+HBGxkaqV8suoHn9zl2TcfVuLujeMVW9FYz iT0/alFZuUP5wM/K2df6ISoXHQnMTxDNUQb88dGPM2UsNB0eGH057HBbSeJr2HyJvaNrbjg8G1L5 aUVhH/o/MWuMSL/qPYc8bIC5Hh2V7qoi82HfOJWhcajGloElKyb0x3MUCPIZhmlcEbjSeSucgnGy O8pl/N/i+2TK5CsnnyzmrGXHAKdRdffBVFHcWoJMfIUsjNqsmPY8sJ1LGe5JiXTKIUOMyvHXi/hb 49xRfx0qDsn7xr3xnkPIm0+J2OW8rgwFWYifWxhln2nY/DCqF4yp1KlSg86fw9HoaRpK8oqibu8m X85PC1Ss0k9/uyTYhSyPkuXvYHgZUau4+Zvc7FZ8sweFgT4wKontNq1YPE1hkIgQFwc9EKTScVuO kiFFEno5KJFaCb2UorXeOLwA7Mll4ELeyovJKblzfv6b7WPweWVDJT/dTYANLH34bVvBAUO6VXzs frZjt4tqbM1gpGQBihiTfH9CwJB+9DfhBytDlfwzi4kbjNjmc6FwIjiZ3rcukQy9NZk2KlbttMEs lLu3lfIaGDNBZI99KO0rc8A7G3t6DNJZ5ts+VQ8quJi44BkkphXMmnmxElf89JzTlk6BwV/3me97 6Urb+7xZys7yBXrrt466pexqoC06C8RT+wTwK3yo7Leb15EHymehBNaLs0eeVnQoSOwoVSXxpBF0 xJYi9huNPFl8boMsyxKlu0ddRw6lmQbhPU4Fx6FxBYX8xG3fL/dlx/myxmV/cIHATz+z4ITAwiTA n9PKrFhEpw3CIfmcIO1D+YcEDy4IOEtghTY5KXktxLsBSZLUukvWCcSdqbs6BTZrbbxUTk7+CRoO wUMZu7/snZBdBnIMZbVvFhN5jeiopAqr90INlFn2A6J7QiWLZGVXqHtVQt908e+S8u5VIPhG0+/G PtUEw3SVWZUWU+LUTk1a79n95TWIpabOm6I+gxnQ1lITQMFXRBSfL4C7IyqG0LAnUmZ4pu+fMjlA h6WhdbDe6PPv1RXFkzSTDY90dHzc90rTFzUvbyr+iNkQpY+6MS277uDwBBgnllZuC2zioMuMbXCD 2SPCdB+awLRFC7qn/yLxCJmwjt6c6NYXv2eJv7zMSLgDDuY25j9PCr+jAZPIVX7ub+DA7/ZN5sH2 +GFPvWZk61szAmOVlBLjVMLJ/4g+eXwAf0BisROeNmAQSKLaudy5h5zRo6inbGPvnxujtjLymZ2c 9hvVFbkOrF3v+NjR2mW56qotoenDWTR/Wp3//ZPQnj8FUBHh3DFPiz6zcsgT8FirmiQ5r2L55LYv vhwfjjMNXZjiLZymmCv93yHOV2Y/lTHB/OM+yWFCOshyKk9we9L8UuGz1+gv35Ta7d7zZKYo9P06 Dfx7zNCG3oqcXGcezgy2L7tEpHAMRiTc+88/onCVIIXpLxX7KotTmRzXM01XKKMxwLsXjbW1qA1L 9XfObY1/krC+zZz4w5U9qXvFRphKKZ8Qv3c4xHqFTj4oaM79lajkdpQA5ON+comBGjepfCeAUlIz E39P019NCttwsqhldGUNHJ2aGtkEReGcrLLvlhF9vdIRTmDOhPi39+AtXgaUZuznvcuiPYij7/ZQ Ualz5b+XrZvkUgjtkkfhpetKJUp6uu+8UZRmvCRssYprWgWJS53kutOrm1VjWiHzuLga6lZdzC41 OSMTPNmi9eRB4IjOTCumPecsW7QJ7NOVLqX20P8cmq5zo1+EHTfRYqqYRPhGrKFTifiBcgexOJxp U1+EE65FEX9vILTSbEV/YX179dRx8LyVEg3g7qrl6qaZtTGtSO6xArDxpCjgw/S5/m8QRa5jEqGH VBSph8e3rrrDXLvskggRMfAC9WydWt0z5J9m9tw8lqyaTYy80nHcJakahQ6njI/hu18t9qcdHw86 MbC+UQCRKif6vCTbbUBWy8jsCrUovjsR5x617pCpON/d05LsE8QeZ+0A65pblGPle1CRg6J539Cq 56C/MPYKub0+hpnILqZOffBj/y78QMfX/exEScDGVTUmUhsK/e484w1Xfmgac7DUi+huqe9TwQJi i9PMgd/RUI00DsO+V6i1x4ujDOhR2d1aIogiQ04oTZ1g7IDSmE/8bGJwYMfdRdHdRseGN0KjOThn gaAvqovugKvxgoI54TIqHGmpPejBnW6O7whadbFYRM4/DRGf/y2hAhYDQTfCGi6o8pshr3K5jvSK CTeb2v0twc1n3+Z1I/YS0/NAgxHes4BGTBiPPjl9MG5x74RFS0ZfXJQV2MJaXaxD6iBD+PUTlOZa 5MAhIKi1nD41gZiqM4RWH9s14U30Oqwob2H6z0EhyGUlzZr5UQLtYBfQLMHxy3tuND7h7fMi+nYZ WZEq7vaywKsx8IHg1iieTzKEYjKTZWVFSnqTdcNiVOynyFcrEtbSIGRYlhQaKOjM6fPWTCXafgLC X22oYiXio03LeRzc6Rn+hpK0P0QNl+m+9+8NSUGectzCeiagEPuElnQv+9FKGj+CwIpXBHUuZuBY 0Z2INZaw5pd6p1hHWmB9NnZ8nOSpdpQ6XPylllXQ5MCevVlI4VtGzo4QlJ0uSDMlSbpOdDNRhIkA Mbsf9tB6Jw2OaQP300IGkRznB0ZFNhxagNiysIjP6t5I/YyO9VOJgmGfBmdMMGtBnqxXYnmzSTJ1 KY+fZhXzXDhOgDRuJpnNTP2Z64ntetyW1VbqV5UZv+wLzqm9rBJHDqJzamUy7p9FpwbUvwz06Xqv 86s22FeI1gcUsKYpHvkzhkDAjwff1a6J/TIhucDcRlU+Ms0gvbPaEDjSFjZaXWegRFhATqQSYNjS AWeqCpsY6QnErwyLGPETuJDGdfHucTBH0fmk2XLpQUJ6u771Nc6CAdhYNn7VHbR8pUGAsFQMcPsj 9RZHOVrCYWlTqj1SqGuEUVilt4J2NjI/wWyurM0g9Q8HzLlpbCLzu9vBgnKC7mJPFYk5I3c1eICa 8NyI2SAsy/QBj5RhepnZpGOWMFEfFRwX1jH3gmSnRAQqBgguAte6JCAXSc0lsIBeUv4HwizqCp3D 1/gKALTmRs0S72Fy06AKiaXD+C9pGaO8RWW4RdAyspq7OI4502fvDUKYrP5eH8nOi0lYWjHhsSBJ BtwhOeA+RHztTicKjxbVFTmsXIABrfj9jwH4RAAoRe99vUaHipPEwtqIRQa0wMY6Z1xa06fF5elw U4xC4UUaz/irl2XdNtcSKQs+ZYFxTAdHs11YiESlfogSO+ionoWh9/LnJcMluSdNsMWsQJvJJYd+ J7rtCWXMLjssdwZ5AiyX9ApwJNlEOKU2sfbsmSJvI8usS4GRPG7fX1EZgDQTpZopjZXfBMwvYVxV kbVAl2qRGxMUbQFqTKx6vNBYEQK6TjsKYinXj/VQcUvxjMNHGHTZSWXg1JtVpYrrwmVW1dMDunBF LkLMYdAwmXZtQONxb5ITE+y7d9J0+8tetr5NrQVpJ1sUVnRLBtBw9SVLae33J2E8T9aZ6NCeRT6u 18/EO614R7Zsh80eFpfd3hR/K6KKCrEoVRM5atRNAcvBsfGA6dpRboVTJEGkoQjKAbhwxCPd76pA MPqZ5jvPpIugDCctrZ+rrlPD40TBA6pDPWNvJ5HWkajFDdGM1O+vrSpXHR5+56/IGKgA6gFnDHNB sRLPyppCoTlyxfSRyVQuPboEDMfIVCgEY0nayymCu1ZjXl57w/KYCw0gMiA9g2jPqWL5bKyLop6K KMPx/Y4ugMi7PRBexnO6sMW17ehGtuJv3p5g1xbUvdCJsunYeuMZRdIYrqX08ac6BdpUnngCvQPV mP7p+c2w2NaiYWkYia0ZQ1tmeMpKmRj8RmwDuORotxWSaamXk9OQmGQacB74eAE5h5AIwdenBYBa FkyO6c+Blw0k+fCaPY9vaOvJMJEIWRAeOMLBL8uFDyjTSqBzx3kVkFIWQjZPL0MeOPmoObAPOjEl 5RYUnt5gw5xBEG799Kc2qTz3NbZSYSmijqh3kwZ8ODgNjtzHkWEerLcFrj1KrpwJQcmXo7jHD46x TaD9yFXD0Hn9wD1IeJr+aVwVOTBZHBaBFFRMDoc3g0dt7zsrbFPFFOUu5be6wpqZ7VhshXmdgarc txOBaw6cLmNkCBGd9ySu49ETeVHuY0nlIK6HJ8vDOrwQ1QdHci+FRYwp8L5hJpYz2XmZ2nRH+o+G Ou950uGUmJJrkV5/hJUx/a1KvopVJ2b/nroy5cYXH4cGx+nX4ckgzHGPfmO1pwb9FOYBxXD/Y12N ibqdOeDbABWoNkitzsjZkCTDAT8WJK4jPtoCqgq8kogUqzf96et1KOICT41LVLE0wOjFvfuSpKYr M7MvSbgsbWHQgpKlM19czThAfJiLLaYwQAMlgAQZeh9H+RfMV1n8PgO/pdgAsvCT0V1fwt8xl0mf EWuc3p8vIVebSUO7oKnosZ99YnYy/FTSNjlkw9YxZszXVEDy4YUJKYMiUM2ND9JPAZNmEbM0QOQU sq06eISDRzkyMSN52j2+rFaSzOvS7Qk6oR0ZLZDBPDd6FwEBswzHc62v8GsZwQskFXr3LpSxmFOD GVmbBXDZ7keEh31Hec+8ni5jYbVOQkIB3Q8wv9nL2JQr/HqGKBIAHrfcIEDkBD2uWrpmcGNR1Qb4 G74x06U5jzUITqRH1E6cbXxk2xfZekCgB7sXrPfQqTPt9ukOJ5pIbsliqQtS5pUpwmqGbgdxsToK DW/okYeNMejhGTv0byhQso6ud3dTAoFrSxFGhLhR3ZGHMKGsuC/acZCwx4Y6/o9LBg0+QBwT17pW 5zr6EBVk83mX1pMIsqCUvfamQar3bLiCavb0RuYsvckFx8RQiznYCXBttqT4DnzkXxM9cLhHGJ7S 6R0V4Jfk77dAIsAIbHYyDjlvzJbcB3ZNMpPsJea6H88dV/lyU9D3fJ9D/BAqcMWD5FslM90tMwlQ k/+ZSoH2RvQMpXujPhULZqX7hbRaMGj2sE1P04+2sTgAEEeuDaewE/dS6oUCQ2J67iA03ilihpK2 4gKZUiFH4RBQ7GpImGW2vLiSJJDmrHYwvulD0OMrL8OKTGXn2gxcYLt3C5LjWA2AT+gJw1rmTrKR KvjKpo9fLx+iV9dk2/40nPylm/ebW/SwXULUVJGp2Cms6quWp7LkOnBzYDHLTEeu++K/DHIzlEUK zfZLje37W5IibPlbIXqQ0dYVD+ykeTXUhRvsOBkRLj66p4Wd8cxLhIy/mBa5dGpBDDxPV220KK3D GUwftIT0U6GZWFXGIbBATlRRW/ZS/KEEVOFjy61VN3w2KOvSiYHpurzsbVSIKHwxISJFua0W5un3 MOxlZ9PT5ELuvgBH5G3RibhGWyotXqhRoNepSkhjchrjH/V5TLivwF5g6622mba10JoUQjugY8aO x2S+VWdtKC2cSqJGSBXXF9SkmOrJMhNK32maBM5PcwIrS90QXPrtrLrE2VKkNriO7V3ATxcYDA7n kqMQbJXADP85ZjirCPlGDQ47raRNXmzp8Uu3biIF+JKTokY6Au8KyTOVRqGKhCbKeHuuecB1gbjb TxIYbtEf+RZCfeXNq0QqDkO+8m+XiaeGeo4QMA/+eVfiqEnC8LZADzfA0Spa7tQU/s8fSihjE/nA plK11TPeB+DV0zF6w11wE7YlA+ZmcVKRLgJIr2CD4Zvh4W4RuDF/FSwNI/IgQX7WCENVaitqsm4x grrtTVifeNk2Y/uZAbiJlJhSZerdfPa9WaCrDAS4zQoKpVmTXpjg9QA/F+GbUMEeltuT9g1pgtqE Wa/X1pWtiDf/urIyPao0pMtOwVDOmZgsk17T7e//vxe3G6OxszGUjkDxpbtZ0G6psyi3rS/LaIpS N6l71jEb4fhtH3CJvJGBPqaiOg5HJ4/mX+Bwf6Q4kIz4Ev1snx2uyvCWhbp6jyIF4rvysvqae94f 3bKYRfyuX+z+U8dZv0eB7I9fJeg0inaGNx7JEd1epPpj0gxo56UUwgvufWg0ky/l7j+Vn6tHpRiq YsKhCWJD5GJROLGEvIzg81X8oKIqSDoMZ/qnEaNhOrN3CnpSZonqo18XZrfiV6yUvSJr2Yq5pYhI IlnRQ4AqRtLPmKT/FMDWXFScJTUpqf0xaXUWVGUiWoQB+UF1xww/QKyvphlj1sDnrZlvErIw+9dE KQXVjnOxTzKJ9z2+4v6hfpKQGugfFQ95WmQDdfD8N8EV07Gzhg+VaWYmEkX1Nu0/LOBBnXu9RIGt z4YefQXyXi+3A7Imozv7poBCHFtd7t7cS5Q1I1Fv5A+IRngzf6nNGURIEgRN26Cy0aXJa2H3433A gBIeP1Yn/sfoUzGQYoGpPRa1Au67wfuMBovXpREeiXRvpBtLWSeCIwY+rSfDZzMVtadaFDkj5NGF J0hkDlAe7XR0B6vQ6JNN7dhmZnuaZp7g/CqyZKY3wRXsLcp/aaH4L0PFnUysx4aqg0Dm9QAD42jV eLcpb1T8kjEoBUht7TZjrFMXyYxAENrPhj1lbuAToQxOPsfFiyButVvbNcZNWNDwiol9JmKlp8JM r9cuStLD4pPUBiP0N80lWt1EWxaopVVA57s2kQDx5Tn6huFqYzCTHIqSNJvakQ2LhZCvX5xfny+X pnvNa5W9bb8KD1/wjEA1NLW/t6UVRjKe0cq8KhUFodb+IQfmuAaULdvd6g4oAZStSQHJGc7bFEkl BZNZdgG07TRPjgpl9cd68z+0jyOU6nuaZp+A2axCulYvqN2ucKyK+v2lBPT/8fGepogPWHgDMY+W 0Ce8CdRtw7y+6NQpQQkhp1Owe5T3meu4BYCUjPClmlR977RZoKYu6FL8faOmCW8uEuDtw09LDknE ed6p1P1o0CHRp4XYELlmDfu8PPZThTmjbjhTLF/yO0giONFceNdfnuQrQoADkrTnToEfZWvs7Q3p AUTRE25mD8dg6VYK0GXeIfzJxF4CrGa8L9ou7VkS5LbZDc6fwX0uJlfkmRgDl3gwM96tko1iHY3M 2SVmw4DpJnbRdYgtUKbmeIIZLY4FrDzEiHpwcZ2LwRv0xQ1OMHY4O2KnYonrZO21OYYtWgRJzZTf Dkcon4YCAI+3+ds4LqKAjpQnA6BGiWGj+IBsFV0aMWPUphzkKxkS2jd5+k7BXCGGAC5665aXpnGZ BEodOVpik5APm1afXyMyAMKduY+PzuLqja0Qv3+40l076NgzJiAVnEE1hj6yOq4pndgV4ALKF7cQ fNvpGlVtFUPI9Xjp5vQRi6ETii3R2rxgMnm9jSOTqctzi5esOK9kp1daj/MH/hgffhTe6Ub5oTIH kcG8d0UxCkg8MBKsEal3XSduk2tpCUNE64wnEH5ladnAncU2lBcwyjvqr5LFXhzcAZ2hESPFZkCJ 76A75/qTDODKBrgCH81fbolz3p+kuAtcIJjnMM7wq95lqhtGYXz81J7y3km9JOyStaukwwBaIVaC iafUJKQ2joKjrDj5gDz0L+tivSKuV6rPLewrDH13cWQcO0bkNZ4l4xHO5TEmso0gQcE5CKY2r+Rf P/1825QCQTnYfvIRGS6qmXpKYEamQl+D8C/vO72vsnn6425FKIxYN5m1cUNEXUY421As8lCLw+Rl 16iUB/rm7X8z7t+FrvpGNV1nCIFdVUeDyMfCuDbAyCdHeyytCr0hG662HKFEXE9AUcgmg6ijxEhB TXrJRbk0QQSiMLrUdOQBY+AiZbfh+OV39maFh2IWlbm/2jV+XW1EfMsr0K0x4CpF6ehNo7DEef5P TEKI5h8C9mAC/YRKSOkn0oTNNnzOyhB7J0pKnncsLIF5/0JG3/U8TDvAbteUvGwlp9Dqh2ruzZIQ n2g2bbZaw56IP5PcW/WINtcSFrn6qF9I4lxFeWroz6MR3Dzo43/HrOuM1V4ntqiSfHgR7NrbBZU0 10P9xN6UXbuIZwDbXC2G+NmzolPuWk+RjXgtCQB7CmPyTyA/USTxJJpeRrAYCddNhNWumCwCLicU RULoTB9quBWB29b+DP5TxWT2oEjN9Kq+Uho3bkHYFhFhv6qzcwRVmm8SiM0v015TTlFCSpkPxUi8 ekt2KG6qQ2jV3tjOijvnqdYzMOBvuOH5D2benccd6IANnfwaddp2er3LZxrp2z/ZHLPGnmf0LWOx +qp5APhqzJ8OpRtXdCGfD7O5aQYJs5+fGV143Ef/5yYPEIOoyOnEiDO7cq0ZyF1n3L3EspTaLfKJ C8t6o6Ri00L7d8uSoZMor9ZKpCs+J+Gv76cHmea/5Y+SFbyC28mkVsJHxMvSMbrxBMmzaOn7HjsP Bxya8nVOHznnIOnCH7fmbOyTv4K0eJIJ8pS4vin8FZNPo5JUDTkJdTJl+xEYquoWHmmys1IigwTd K0bf1vAVfH6k4e07exEF25wZiwxkDgOBeSTHUK19ygGXfJnSMYAJkQ6Moqyp870YgNR9sawP3a+g /NzFc1C6kM5hgvgTU/rSnSXK/LSpfHVNKUoseB8k9S5cqhrJMOIVOuc2Z0jGoHmzuZ6k3LL0B0As Ej28eb2EXh4MCXE3Pc4luiIjf8EN0GfNRfTqd45dFBN6BYAqSb1i6YwlyrXx69vZYFRM9PtXBkSN +rLBHmg3w3B2gyceFBiTPJc2QI0XEP9Ui2GktR8GqQPSMn/K6IRfvLUjUHcAa4KwqNgwHaPek8fm haFk2+m3MVFGRPVf3Pd+CrhGHDpQUEhNP9kFEU3yl4sni+92f/mUKK/s7SXrkzkFVTxX03kGHM0g 0uyCwUEn2wref8ClfGGawwbvycPyF3GMnq1PPbiCyylg///g4ngddtgkIpNZdEzwxon/0HYIPkaK I8oDFSFN3stietjJubJMCBCOY3Ka+HSquTcsb9HtUrOQZzll05Jrf/U4tpg1yZqWyJ1tqOxnpWsp 4DvoMIr5hul9Eymz9WDjp24nrBqEBqUxgyn47YXNEPCsABGWDsxoDeUmoOF0DFXW7z6jBtENx6Jx cxKqBy5Vzqb/YjUO0j+t00MT2kdIMWv66Z3pXnofbEWhikRAjSXkZkzkoNIEPWWIIMxfrLRMneJB b4z+lAqLCSwbrwbXKBCUSlSZjPcm3tyzsUR2W5CRB0POvy2cc4Mr9V1mX+jnn+dwHH3sCXwftwT4 RHLqw/hkwl3Lz0MzJ4Yn7hVZ8ztAz8lGvgc8vQZTMhEaS7eAHd9ZwhPu8svyMgIUPWBhbsdlk0sW ej/ZZpncoNlAc1MeOfxEaXVbvwOHdzkYPSS34wI9CZTPWAed2RJi67WMnZu+a8naA9W8BWN3/RRc clL/fxpaTUVuT9Bl0t9bXJU9bpv60esABSyEcdteBmNZ4lU6ubhN0AopNHaEBCUsEMAgSslLkViU YxfHTx25j0SHUMhhVi12alk31oSqxMmBavN3b0tXK8ZkZOWUTz4fvU7+e0ko4l+0O0CD1ehgRPK0 Ywx8At9kyRTmzZT91NDLd/Nabx63nmDqEZPu4Hr31cWA7wFgNQtdZL6e6kYofNoqFbvDaJIn3BLP IgxSr7am9JyCDYvfIHUGSi6Qj60qmtNDHa2TswGXxZ15XzUsu/1siaEHwDfoo/hj9TpfiMI7mjt0 A7YPYzyjuNY+0DbjYN9YFlF1FtUNhl9XLmZ95vd9qz62YjvNq+yhFmR90lyTXb29G7ciCAnUtJhe N+aTS7Fl26aFgimSeDVcLHW9ulubBch898RfywLtl/vu5or/jYol7L7Js4bAgA8YxHdthda9jyH5 WLd9kJ6ZelDpPl8brVobSov7FlUJiqjN2zGWzPQwQ1UzIt1NNFBwMklE4d6xWUH43eCxw1I5ULbE MXL0Kh2zm8RTawEJOb1BqP7vf0WfZEuy82pWFj99tBlX8dWtEmiXE3Mqu4dBTRKa57QyRzzwuqsw 71R5FYDRFPwMfaKhaFtr8uoBqneb0XhzRmVQv4ZKM0rK6oyGZ8RZi4C25Kmc3hlF1IGkq4ur0m0c Nknlajd1EyiMSJ8LFlRJx6QSkpzzXFMmmC2G/MWnGHSkKRluNXYkKOkit78G4xQUx4zIlZPWbRCy fFGjs7YC+cliHtMjGJfS0NKEggw4pFCsKc7cvGhWCOooHAuNv6Fqq3pXcQ7OnfxOYOcBcniycPBo UiLZfrGAViaS8WB8h+0VxTy/vbu9rx+q6kiUnlxYJiu54vG3Uy83reyV3PZ5Nx5wcCaRYC7KAkCL hm5t88ltG3BJ2swZY64Xx0A0ozFCKs47AQG0O2Mn5sRCpJA+zpSes3dNEnzZbF/JTqxh3sTwwr7b HguumvUO0nvgTh4V32wBMfOT+8LtN541V4ljsYtwyJI7jg6Fj4e2jFwBSULIgxuxJAXo+SvDzgD8 ws7dzE2DLhNcUAgnsEf9biGQL/HkqGE9smh9n4OT5gayPWhTxo5uTo4QW4YqpKCAIf8srNabhdN/ Stpv1pPUCEIo9uJsMFMyUHqKkzBtgyXnbPCwA2cxvmHLI8hzE6lu00wYccA1S0QjCZYMQeXzAOMw hzDOVrRSdxwqFlOeQRMmkz9gqOhgeLFAkrE0XRdNYrUGhAezppIueXrOW99JWR2sgNy6X6pywscI g/30JAO4ceF76LIz9Z+FBYnaFXXW+7+b2XsMCDfuhF7XIkAm7E91sKOCVpah5D2KocspYvyNjQbZ VYCN7W5upRnpNdNIZz/MmEJEJnkkZolzyUpPAl4oB2+oZyk1SgM7p9Hm7w2mYVgxelAqLdjStXP2 NEmFwZWQ60DS+mUb8Udlnowu9/U5EAjG83FjddwmBxvn332O7h1ayvhc14Gw+YeTBq0btJjFpg8o qNUQFGJaT/fxNRV870APVvQgQLyzpDWFKFZsGWY+WeE/AaVsHz6qIp0cDGxpBcK0MYd2tupIjwmw HiwPUhETMXV+3HrI6BeaxbBSSKZhF1Wawzu4jLnjo2ioyqB+7L88KnzDTzRueH0C2sU+n5PyPj7a M20EZiDRzufGz9qwKsB0XbYkac3ts19ZbEckmbcbagtPU05hyneol8mUg89nWIQ9PivIGuwSQsjS mQHpyCyJIZuMWeZWm+cKCPz/JMMITv9KrI26jH3dPWJqzT+X8HV0xTk2owCKKjqUve3PnRlbwZUb wFYowvRuTxGj1UfT4hYuh8AL/QUDBtGAVriJzP2kVgP84pT2LRrxxvNI7rq03afyBduzI2IuqtCX JQr/cp5nOwELQjXO6Xn7+CHx9UdN170oJ6iIcbFLp4Qhzj9N7ysz+ncw7uol6HOo2hTCnfUCGLcn /5yBUHX1Y/HTJlCB/RwBcWUAJGSNaDzKclXwowj9FRSoGt1uc9nW71G9odMMiPcJHs8pNqnO0k/F ZwUGAaFRHAP8JiALJTIGZYwK19vMzHO0jrRttJ0PCGk8KsrCMzxt59/3L66HNmPHiycBLH4a0w88 pcbRbjOazu/wzjos3TliweUFonSDiP3QlP8/ADn9i5M+cl89EFyIu3CnRxRyrJL2o0AEwgu9qG94 GPbGFpjJgVPqDhy1VY2IDRaTOi6FWJHauxNTSBMy4nl+jBI6aTM+guFcvvWkmNH8cWJvwg0FgWM1 Vsebczl+qUQotCRk1r+NI0WtZe9hbndCSoAP7Pxd2OvKQC5ycHijvJ2POaEkTkvPInnFLDhwKZeS iNdXJ46b7c7wnftQZoDC3UwLCysrYysV0gsOF7X/wEOKV69HdiunQHnWU4iul3tauhtJlAL+BDvw 3sqIdDeUTKv3JY6Uuovda/ULekHRhuHbw0EIcA+eVC67IQyCQamX07eeMGHai6KQxcWyx+99pmK0 Q0TSRwotz6HHQinzY3aY0uCh/8plJTrFVftSdMmREvLs41T4cOh+aXFryAxpoywRUCVFNXUc6HgR xQJnYzbC83jusHnQFLfYsMLkyLbQlu1m7/SvbFCTue3RmMyY4lHZnSQlG5GBnAU6DTH9KHL467h1 fNqt2CNXi3XHgxvsAbKzwJqQflT3QarKxdXuzBZV9l1s81v9F2yw+UH6fgtK35dnQcfZulihV8kZ SGbjUc41tGer8e27zy2J/oT22NeeIsKdQOCTUUP0rkBkR5X09B22pkwl4Bfaraybb4G4/1cE/0Ww 7hlcsBddUdrylvHs5xFl/Om3dOmIxW9BQyt0YxPtRcrwryKH3/JaONlT9/G8dRq4M8hCfuCV1Jlm syLIrXYJ2L8tydCrZVmnYy05ePmqLVbG1DfuQBldecTtV95b1E6tpBDNKQsNFhdFUfOP5d3ow+ou B0fM85z0j3Cj3kW3mXnTOrAefhs2krytOp1pJ6/bzYJbQB3PjxaMCLE861OiCMDSr7y1aIS2v94N I51NeSjFtjFUizpqNVpfAxRoaf4qZHY3OILZk/MAHcQPQaqYLfn1zB2aaFp912OnuXSnx6j3iX21 EA9vuSSMc0HHAim3tq/gcndUvEgUfY0KIKndU0dgyVeTfk3yJkwe13ccFQ4A2+uw6ORUsrIe9jim VV0ptFRYH8JxlUbveGiKyMpjsR2aV0npLk0Fy7bQcgWjfUrW7b10atHztxse0+lFsKNAY11qCesf XTN3BJ+WF2DywF2kHGvwtrQPW8ELp6seT8X6QzYthaDXYHuPyudWHFGplWeu3MjDgXN4bfGE5qEs qVVpLtHcg1zx+mwVqbAe62vsqXNmiC4OWt9GemRWY570FykbxkoTuYcYCjILjHFg4LHV4lQ3HWSu P53FXEdjn4wZLOpprjtkoUE8ir8MEZEOfaFEAVZNse5atuutAYGXuSybl7cj5NepwkwMsWy4cdi6 l1bM9A8NryE/1aHZdnzwc8eygZUYVvhhSN0oV3hk69PwVrR9lVUjznha0TkzruGkKhbZ09ZvU9Nt hiW4o2MUGXJXol0t24/JnlzvjcSBTe4hbHrfv6wkWxUEhY5AQRyxPPvREVzayg6+/9R5r8mp2Wth oeT2TYGpUoYyN+F6+SurrQ2+VaoibF+4EWkoabpoDdPMqIap8RU8lWL8SIQYclPN3ldJSYdUPcxN MMo67BceV8P/qas9HKwugS0Hp5UlcbM+eNxxr6iJhkYL1LAfcwbm3HNzo5Ca9rZhjCTPghQzYYGW UaRxnow0l5Ra9MfWKhvT5OOxmGY5C3BsLIGMicuPFm90MdnhBqi7EIzDwfK+h22ru1Z1hQY/RHft GDgAWPbnHqR0SqZTFVCbAm0x0aJJVmdYICIe+z6pLeVaqA0AKgGns4S+gyQSUjtgH2Y/UVEzOA5D lmh9h8I/BmohkR3oshwkAsgDSKrd9UZtWuTPA9UsEAJC8PaRsNt/dJYx6IJ7K/a0D9O07doZ/x/r ipwRcIFInunHXFGll5CVXHHlcvpxmcl1X0XvMKEceF4+tT5Lsngf3KnRY9s7kFRzsfvizrwHFXDR Tdc12CVF/v1XSH09elJIp705nHcJD4lChvOhFp6H/E4EJ3p0JWP9fYKbiWx7PcrFtJkhSpaEXbFt vianpexpmhVVVU52CGob0aoDxQiCyfV2RY6uvxrUy74ddhjG1dAhPl05KoH9uoyHJHKoQDIYlE41 pRMgsa1/iCke/j689rrAJ3E4Xtn/mMH+DCW1FjRGYkTHnbD+yYrcF6cemhvcRk8fkNZAQ5hJaSjy li/+FgAh7IBY+/JfPDOltclQBeaUq01MVAjWUMMHh5e7s4gAs/22qvt414hEOwLmLpqt/lBBvRjZ ZthrdrvjWtGF53t5ZCpMigFVsgIfeRbXCtygEuBnoG6aA2ryu1Twb0v+8PsHMZlQzycrulRSdp8i bSgK3y+shZiaegWtJENn5OMLee4n4N8j6BUyMcCAcrfytlYC+VsWLexAbtuLNVe+wQtbG71m3XZr jF8FpUbn8Y5bD936lAo5OaZ+Qme0B5Omo9JbupfHSMc79/luOUOlskHaWiF9DJvFiJZqe5J0494b aVSwxHAW8u9Yy5y+SOvrIJtamMm3B435DzLy4WHYgG3yOJxnhJ9cQ6P0UHCgkFkW4+Mueu0GkEmc Fmrna7W5B+VahqNraj51zQkQ64RWXfyZ3ZPzcPGjlR3xZer2GMkQLmivkCDPg/uyb7AvU79WqzDi KAtOKoCfDBUYkVLNJRo6wshaIPs5+UFNHhQYLgQeHDjK11W+XEfCBc1Y8ETwWvbfqXkPaTNKjTP3 wb3z+Iz2jIhTPhtyHwAWFqOdZQsl/SIxMD/Sl0QX9jix7oHP3UNYuXumFEc9gzDFVt/rHMxtgcEQ WabUW8lF0RecePK45WIzUj+TlxprK3dL6dXOxkZlWRn5s/ycBuQ6OaTixQYl8T+m9wv4q0//bRim IntF6ZAIcRW/3hAZK4j13FAOiYQAWLLfOFeaDWr5ArmyGD0quXSBiTWGmhvg0yT2BDLGTd0iEPAd 9iFz3zDkf4/fdP6Eda3I8ty2Aa0eCnOjyAItrUMl1UkZgZSBmMxu+cyej5CC4tkk+nP5SRij5oxY ne2bU5uxdH6G/V73v4usaOS2VgnJN6fDxJMqRE73QoGd92z+yn0dxISAoHDxyAwkqWc0df3wqMiJ R9GWMmQu82n6RLIRr4k3UeiNRuAizm3Hw1LxGpnppxn+uK6QMxetP1bzdR1T8bPJIy/CXdMnJB7A MX121C/iHic8IVYSZjULIVsktxEOxjcV//nm7IpnwlBdhfvRzU22uzWhgGXHG8GhQ5+fH7+qtCZB qbDpFUXRDVgGSuIud8hzUCm0m9t0A7ABwSnHSlfpO2348p+A9PWOw41Ny8IA9sZKxmzWkc4ffnyN WcFcFnKE5dCXscZdtLqmAueAm3IIiOl92Ug0ux3EqTgwIvMpIqB7eQnXie7yzQYKB5EzaUrBbRTd YBdpynzIC2Puu8FZFgq4oLPbPWLVosyu/HM64Gjs29oJm0ow6p/iVuREzSLD1tMNjmu/tBP9Eozi 9q17Hnkd2Z/Kf0ESlc7g1Zj9jkoF+OvOzP/rBuqA6gqO18pRVJoAooMLNui6YvogqwkhEWmEOG64 4lltbb5G+05QIyw5q9WpNSuBpyCWM8TJSX9foH8urBS3+BbmfcLg+3kOmiRXxBWnd3nZY/oaMrb9 NvPGDUD8XzA5DEQZ8097+IOvI428UKjHCuIbQP/0qpH6LN1YouiqK6UkY+phcH6XEenyHFRfbJJG nSsW7xTzVZJwHSekr+7FAx+gnRUYt1j2u36ye4brFIjFD1GOtwvL3Lhlc+FUO20yvWNZPh29N5KH qlsPVSTvdZblt6G95diH0L6zIG2pyyKTBq2V0BN9975zgB6gTikJiSXYqsy+g1/RRlsF2SKb4wN9 YPZtUJyxu9NNCJLnWpwU9lNWyNd6o2fiQyu0oxOHnj6ywgACsPP9xSUe8+39cbsx785I01m/Kb0F tUlnOiMYOWdVwXUD0QORfMb2ntHCgb+vcnM1w34+vGoBCYMs4tvyLRNhhoKJEvIBU9S/yC+DDOET iHq32qC/+HF/IJUstMXEHHU27Tku+ZO4IhytU7/zvE4u+Pv/YXcW/T67TvYa5m8djm5WKcUJfUnF gMPrvcUFPpk3NCDn45BYr0DzIM3S2cirMp6M08Fp17R5DqwdXrqQs5HzhIyEUZkGnKHFUzz+P0lk vTdIQ+C8rUS4Xcep9Ny07fv+vGgeNVgNWb3MTM94NrlJO3kK8XmGhzIPxCRVErYGYu8VX0pduDvo x64dt2PU6M6+6C45+VsvzFftYYJTDDIEyagmjt3DkvqAhkbql4yq49d8UlGRgj7FHMDTQAn/Tnt/ T9GMHNY0fKfGWK28OJD5huBgFx/IDgzuVNEcP4oeV0DEq2eHaGsPMhb2v5mcPb92hYZ5EFgVP3NZ BEYGLALun0X8QofKGxumphnBHdodr67M9O/M5wPuBAHBZkbevnv6vo/6Cw4PEbTk+ItXv75AGaOx 7eNwsT6ChRv5aJnUVZltMXCgbWyViVuvfLoNy8kRtzo+lNusE9wM+KsV92isZ4fIAzTaC0+KwD8k /xdrD2JNYKCbCxJTewBcxNALr66a5hCNuFSwDny5RvwczIMOUw3/z7o6uVAhtWSuFOJYRcuSx7U8 hDgXPqDrjZkSwP0uMKjre6kykgvNS/YIh2/diaVHoCkz5nXA/mbF4kJ3jQjNiQbn4dJxIx6lbWhB 4f1srybpv6Ki61BgNcJCpO1gLoiwCEDoscQsUVPPhJ1oVBwBzUy0NojgNtnvYTOjppLuZqV5JGCO uWz4LD0iX23StpYqqayBF+4+bbFW/rldLXkYZMJUH+a/olTkiI2iL2Qz/iL85wxdpYe3M2Ef00Wa YitAg/VP3PAdB6bZ1j1mP+Br3MJL2b83JasTpAm/7vlunKEV7aldTe2vuWh7iEH7RH9DKpHd4kQ8 zYfRc6/zqrax6To799zUqyEpye0g5cbthLEuGOUeioOiyc6EnQRX3rInLWfrS7NCvSDjuFUpzxvx Gd61H5H9TMIWtfveoWFvwAfdAkngEeuUNYJ1R+UMgOYSbhOdDrBAqhhYy/Nx6kdImY51MO08+w3O YhNhbRnH4PqGtDSWwLgPYTs5KTXdCRdSEXeaRdVO8ofj2aYQMFgTrLltu1mWRTkgpgPgXenK4XR6 oH/P0TdcTDkrUrKqOWvL0Nnm6PboJ/ba5vnBVnN78qxlqjI0bMqRbsKdC1upOy3QjtXvsj51ZQ/3 VK1qn4n3FMfWLHxiHxKses1CSLWIFuV8Xbht/UIGzb1CYU0QuuD69Q3IWx6uH2rJH84uab7YtUsr liJMPEwQlN6FHQQvT1/f05Y0SZy4yMIE050nxjRa69RaF/B/pf3jSxt1xPw11wlSssGo6gzkMQoD HK2+qqTgDxTAtvpbYYjc04Eaw9sV0ITSB86ssbefHINR72ipxbvS2A/ZnUR5xaagZrxXpkAIxIEC mRjLFtKDIZqLO5nrosC9dlU6SNJVauNc6RLDZc5wr3g1Uc8czI8duC7DSNKgbZafzGOgPyvJEquN +QrkMFccc3ApRbQ2YeLSukLTyhvq+T5E9SwYhDraHneMY8MzpOUllVOEGim6ZzcqFwQVldTVIH7C XrJodzjc8sb6InEpM98P/KMJW7wiLHradLzAsbBzecPzU0lvby/ObwJpb7Px7CbJamqI+TTfnzjU YFk0aRzU2QP6tgOM7qQleElA7ATG5ukci7wcF2dhykj5vvllgB9EJCoT7IuNLuDzAf9JaBSuSD0x t9jAEHTo7oB1X/B7oJVPOoq8IeFPaXgwWwcCAJ66DUUykQiZNoAdQ5htnVzy12Iin9RBhhY0+XnA E4HVt2c5/3ikD7SoEQoCux4GT/s2Y8pC5o7aDPnuS558VffboVQiLTXWmE1I4+6NMH8et85ItE/E 9iGUtEnbIzYzTC7OFaoW1ewKPTA8M1O6oQUPH9JaPnrdExMxwBpKeQ7ViAGz5bU37AqJjU2JzBev 1mpHST6w8XNFqci+NC2a1hS7q54f5dkwFxciD2ndqu/qvhCFAVuQJOEqmVPbRi+OKIfK7FC7acDw dX1HVU8RafAgwnYeF8zrLX2uUa5ZAV9/Hf9kH0UcSeA6/gGJZWdaS19Jr4rkHcd0RPHdHSelfE76 kvqHzyxc93uFuJBKMfEY5gz/4LKfiTHBuYeXh7Ey4xMWSM+CMGjgK+tImOIajY5cpDtl2ELl2V65 /HWlR7dowjKny3DX7fKgCWKNHiI6a25KhR+KycX+gJUTzLMcNWoYs+ncSe8kQ26aMaiexWxkkaxc 1bVTrdv6UjYCkm32qKyLDghrGGrDT/3Q4hHzt9CrQ3B4LSE1iwK8hfD0eubj3d1UDB1nt5y5KojI ydN+X0QNJqn+/wgl81b4HmNugl9W9UaM/amvl0kQ2WZND21vS4Q6iBHh7SJ/4liEEZlWMSZQugBx v65txjqnEm8ntensBBDGAJKljXJO6+2oL01EoQ/dvhgXKyUHL0O1NWcHgLsdmHnMbeers1E+p3n+ jkW7o8twlzCJDxQT22ozkUWdeNeCFFVgdlwd69Fnl3VuFyD9fdZzfoRb4tBIr8a7Bed1TOftrmqI bUMmnyinCK7Y3fs/no2D4uxqmZIjzim56i4Ny/P2WywUnBFbzdJszs+OnOvcYukHanSsUMzl+bP+ Q3LBjosaVX33mqMSQxQ+0XlpdOy/A5ZG10WJqpKLnNVMdabhzN0CPgtRZakz+/JCYWIa25xe9to8 sW+AwMY2h7SUdmV2WxTz0ui7yRz/4UoFBuV+UCvKZaZ+esPjXgbOCBUDlY81OdxVh07ZbRhS3O8s LnA8DIAW/3jomnaupjxWZMh5reT65NSmT4U4n0vkIFwz+e0hkZdwqtVE9fypeLCKXSp1Mcee1+tD 15Q3D1olmmE29P803j67s5YotMes52geWNvtWR2f/9X22Q00BTNFM+kbjx+JB324R5398g1k3Cfb Ge7FhECgrohVATfuNBHf6YR7GOl2DlLfM0PXquMjPd9Ahw8q3E6t9Wag4Atzoi8yaKXi5TBV4LZg 3W59zuRvxpOeXN33KS98+f+E+aRG3LqsreYiRHQnVe28pmsUAox+DFoIF2i+OnemEJp3KLvcOh4N M25zD1zBOfzUARBJBqqytHgzdmTMf0JQWbFv99nql4Q1B0RIdBt1Mhzt6fL8/vpPYpSWuFF7nhrz uAZM/jrS5aDimvW8+f4pZoQz71Wiw5M8HpVZxGTDttiQMIwHH0v5jDMp88BzUXbKr9NSj6nedJ7X 29/fBYPy5Grg8TXLYIym0gzroRRO6JT1emA+HFWsLS+NwueLXosSmXxhjXCXYtVi1oLGJ2RHkXlX gbY36oq9Sa08sR78dVFlc0qp+W/5RUIoT3FSdaJ33ms4BQ0isk3bIQVwpmPwHHFhMQ7rbmJuiFh/ iEr0YfWSyC8Z5DQVkMr4sEbLHXBUzYxY5M/dYlSvLSgYQRHWAccSi/LefkrhhBKgiZQ740WSZlnt v4GDLhCEAAiYgY0qcBdHPn46ZV6k0hprn48vkdOj/Innfrm6yu92ey9QHetcYxeoTGLi8WHWMqw7 lnBDQpevcLjLe8mrBCty18IIj6xvMp564yyv2HwgmeWlqD6sGuxL8RKaRfTC2im+MxvcjZmkq5/t KX5sqxIMGu8x4b5o2oT94PWY1Fzep7Fpa0iouiM7ElPiWBntd8zVKXCL8mogAnFCxgue9giddIaV hsEJTpXyOBSiGB7bbRBOf1d3zkuSK/xVcvMOaKy+/OoI9XzUuKGVCVSztEZ5SSKJSHCmkFSCNURj vUpVdWZyyGLjcdiaxrrk8RP+/V3gXBL/C6xqlGcqoIeeeUCCpCmFaLun3crAba7mf5F2R0bWF3aY iViIk/XR8O9erb/tilF2OJbbqPuL4r5U4tHb/ycs9Csioy32F3W7Nq55cFdf0I8uSLjW6AXem8Xj P95DlW0Qfff3zKr7/WL8zWNAvvdTp0TtZU5lE3S5hrAfdeRYSrIuZKKFuo5RSxso+og4/tSVfHBR DmWOGAEymp97QAJRvorOpZfj65IaKTXdpClTXyA+Al8MLMnU5ukWZnftfr5ktJXFOY8DhlFVsmtY qGBN7nFlRPLxUIMkvraBDYvHrUO7BprZjrrHN/ZkgGuDOoVvfSpBtYSxIdrFKWGFvpDgKehj8tvc Aqs9oKC/yDhpLieUdBxPvVw4nsGWsvYHtcc5yWK2BsaCW6gqj2KiLfmUC/ic1xSQBuhNnqZRYHlQ BZv/kXIVvcwbgVh8d9E14LsbZ5MHw43gEVG0nSrVUI+ieXI9DlgHPDqu67ZpYfGaXweWPLHYhQCQ Nu9sJpgj5HNe1tETdOxS37ExXQN8CvjFqf1Xff+BI7jY3UtBIJpOOa3AAvN7z5ITihSF91/3LOK+ ss1vNwV5ZM6n25GDcBUKmqdB2hCOef+qoQ4yf5S8oHfXUAVi+xLREFyDLmn770ineH79/X0Rzc3Q QDnhgXz6P2Qy23TqJcAb1n3WgI+bHC/DUfQHEYfnmr8pss108slsSlUydymJn7/yOThpyU+amed3 ApqDDWqodD3YbsPvBwyoHCdDJkAVfY0iCN3ZMk1RE2SRVQ+OqfBWVfWVfHgPGRQPUgK7tQBu7N7R HGfVG/ktYCTfijIku2ZL2M0FwNpIii6C4X7gA19UXofGyRmVmbLeAJEqZQvOXJpyUzZrAaMeNOtQ B+trdExuQP/4V9KEHdUzPJD2frH2FMw4VhDjkpap7D0xWi3wvGQflOQkFuUKpQepiuo6uy+DMEoy dBnc2aYW7RmgzqGGLd06UuLRv6Nbievu6QjTkldzT3EgqcL90PVRRxATvB3unabonEZgK562Mrah SFIEO8x1GW//+Arp5+0roq3EkQOWLdflyBv8run/bn0Z6tnUWeerC1gV8ETtjHEfV1aM2FPRK8IO WzkKyeiH6gfe59UqUDEDN4NnYfrHm1b5V4TS3oTgOcXSudsiZRIfW2o947mlGLnaT45TTD1XEzMS vIAxpFc1poXINCHqig8Dd9JYABCcKG/7Wed11+IaWlwHuhQ5gGH7o9R+sFOOrnEXsRUSezQqqrJ6 NX64DWQZH4GGyTX+Ho3gjLtASyTzYpd+RDP7T3rY7h51WV/LQEpsyPEHNtgqi0pl3xwg6QSbHIwD LiDIfiNsXkD5s9OX8XjVVHwAs9VU5gk64U4+LOzYTTeNzNF5OK1JveEe/Pu3tP3fe0d8IFjNl9Zz 7kyp+UJwHLiArjhuhakYTbRGO0uYng44wZ+4RKNXTIImbmTofrjTCf1+o11dYVdUIEXa8mlYEAM8 uf42N4nTk4DoCNrKtY7MeTMikRgKh5zx9aOZsUve3u5YcAz2CoLBogZKEP/fw9rpg2UQ8u4KTtMb TIMnBcnpCRo5DFPkczfZK9J1jDK6a34qpFS+fjxzdxEcZWtknmoDGdNJnayJOOjzCgzA3nBE+e1k mju0KHOTY00fGuDnNJQdUpSIV4s1tc6V1soPwVA8dOwfAiEiFY50IIlocPrXWaw/V00ry1dwNpXg zTKzFs76QnxUWaLnyDoXaP3/bdRBBXpYpD3avnumaNSev+TPJ8zwoZVZuYELgfYCQCT287esHcJO T7B3cZBE98QcJC6zHrJHOIVa0LYHFBwVtnB+d6a0KLEBfaMI1nbjNTCCGyhO/WmDK06zC2neoWcR 1BHW/z5cDAphPYFVlEVNx/6ZqN3sqkq9iT+KictuWppTvx++DpZfIa5QTizVpiyZ4pjo6Wzm5UNS S94AxDHdGjvfQVB3GEZCWBLrMAXzV4SxmqbW3ZhJ7hVFLfx3qu5IvREPd04XLXVG3Ce48f/psLYB Lr/aeuMHz5K7QczK5NdKzGarWOwSgVka0O10qYFvV+M+t1e5Afp5wRfg+XhIPP0NSS2MuLVrFvSL Yj9iZ+pPjgLV87/FDtSk49bkzWDM7M1KNtekJY3UboA5ekYskwlEUb5g8Vw8K5O99P3AHUtTuwhl NV3m5V732s4qj1Mi1ZRldBxi/uI4UhTEq4Axxxc5D8yU8NNTm2cxc+oB1U05oQ7xKrjhVfLHiUpT pYtL4HZlcAnvuZsLMOjDv5uCs2NRTUzklqMmmj6YU+BSyJyNecv367Iv4xc7Ee4kEGAdx05j4mf3 zOhaCnxhkwv1BhvexwV7DwZrwdZufSrjrRCJtoWevF7QU5YKostPAmEazSubD8lbFGX5SabS1cab 2UWDdWpfxWh9mYLDFRbXEP49GWfAHNo9gQZ6OinGQbsrWjj5lylGmtiIgZNP8rPU0a4TO8GhLs+C MXi0hz8zw7Plx7aGiAcXSTtyJf8cBywmtNyFHCN9UTrX8VH1uAcyt+kSf31pMcnMxBXjYD5uIF8p xMkhyHfUxrrXhO/EWUU/bgB66U3KRjdBXKCot/Bi347Fy1BBBeSj8uJRFVi27Co5srqz97y8L9SP rvs7HG/ao1xyFIWGItVD5A7vd8Tok5fURzqHMoLq18+LKRBHOtuO2dEyQ4pubCUF177iJeQHGETy zuEvoVMh8Am7Y4hk6NOvxNpsNpJfC5CcNoxWAxsf8/5fNNHVXBMkRDllROTQ36aIVaavtphALEJl HTToVPf1nYeNsMyXcxAOzxgulXnA9+FS8t5pn9/0oXMJAsanKI1ARVHLUS27BD9We5Tqy8RTmdkX yNTf4KLSwAp5yic9QK8C8OD94fFY88SFjJBVjLygg8iSXgBq4cbHvrw6DweIvWuh+WRBkxQYxbQx eVWE+BpwQn7o7SlXwNjE11Ph6yNqeVlwfpQhi63xoZ+Br2oEdP7MCbwkQ7sQPuZ/mRlbQDaWoI6m IgVK07ShFnC8iASgWfigsCe/oUg2MT1yogoDcxrUQyNCEKne4/upMcdW78LwSMjCwQocsGTFXasl AAd04sdS2sQjjPfj56anIRYiU3JhVBZKRItd3CzAj90YrAFU6d8f7yOv86ichsHpQfqi8n/bzvwK VjmzOVK2KXmXBP9ozXM9KE//4NYaWK2F4KKs6J7f0OG7zLziK6/7rKByTvXlOryKLFGOYcxXBCMu zv6dtf7STfK9OljQIN5gwpRAjAFoQniLBjSDDfLoqhzfZ+5W2mUJl4pgZqnBn9QAZ2TnyVlh9ZAO B87N+vCyxhFQYJiCBh1oCghg1qA0Nri9uy91WacO6nMnWV2cKMrnR/mjFijwSn1eDqVvwc0HGvsi 7p3OHg5+8ekbMuVh53d1/y3j7jPplnW8ienYGsNliAI4gcp04dIIC8wIdxM7lLCBvQbQWJrwJ0bw wxGQ8CkNLI7HzNhfvmv48tsEuB87zM81igdHCjbekTG+7NQGjDHdKJw/c07Snmzq9DYyNVQ50LNg /K1ylcGUkBaA8UPeodA31IIutcMbX/GkUEzYYqcCQ2u8a+blaBKtEkxifG7Mmj1sxUaQz2wqIDlO 1HIcclCgUIr2IUo8RSgNt3aIP062hDfuhbvpNpcom2+maLHNY9xEYilaBCcO8z/7b0JVPDar+ewd 37B/0joWlFkpo3/7k7yUcY9A8rZql2b3+ctkBbi04vvdNgKtGVpd0ZaKKIxxJ0HZ0Brn+s2MhdX0 FBNskOzC51hMrfJyMMlCuayfFcT8iVH9SVo0Ltfqk9qzP/BGogY9t/6kze6ZRzAtvDN9kHmRkbEc Aaadvp4ENkynKU0Fk6NR4/Bg56iqmNwCiBNd8CDpJzD9T5zW+GmEcGU9KDR6Vkv7dE5jS2MHlWt0 IPoWur4EvIDDCKXkb5ryKVpW4lVrpraaak9vzuU8edFxwiJy1CeYKefVPFaLPgOWYdq7IwcJGmCY XuQk/yz2IS+pkX+MhLcdvTmHCDYRpB7Kaf0iPV9KeFN4q3F23bZk9zlRBUaw3LF7wfvMNUKJKpau NQT9YUZ2Eejk1jNN1zOBC4pUP4tcieng4oYdSo92Ad965apdxPLcaKYQB0HHkjqS0tRTSXt+6RIW aqDxH2W7mIVU6sxf7bQxhrQl1DoYhj+cBa7ct8kh7YeMAEfGjtXlSJ17u+2L+DtKoO45MHaSVd6+ UKxJd8yYAjQjTrA0sSYEcXAWmzBrJrGrF+fhctffTuv9y0/FlbO2WxuSKo36s6sfzst8BBLdsSnG BKxc/SEz2Ldtz33vWp1StjswEfACiEO3iS6/y+GTYMuSR8kP5OC52sLpmiXUBSZMiCsDM7D4gu/Z 1PYYonAhKOhax6BhPMALpNts9qLTkM1GUscRrwwBSJoz2A+G3fFbC4dlpZdgl3YdkkANsOLW6eQr 9vpY4CN+vTv56nCuuKf0iV1Ix5kykIULJyIZUrAuyp7PUlly7uV74MH6bZ4rbTNes2fzQhkwXqLQ T2sGC0YcXFyM1jOGLDRalge69sq1sxSX0tL/D9vs4HChPWLegbqLuHG6jetwBU1pDC7jpcTnrpRc gx5OCL872Rb1wZM/VqSavm/E74Gfb3T6QqJQRgzwJ1Tv3IkyE/OJXwTPAJh6BqiSdpYwQ8WYnXRp 7ptM7Q0fw2jCZtqvHii0uYAprC46FyY0zFNTC6DtOFe4uGTseMOw9BkCF7fY+WkndDAJKYK3IOdI 48iMKux4QdQcCHBee29JOz2vuSRpkkya0cSMo5P4KkaQvFlKevnh4Dslu+Io/bwE4qWQmkLsdntw 5dEKNdQC7HsatcTMGfBQtd32a0u7Itr/sWrZ5Dxlx3Lw0E5uI7JxfKD3Hq1eaO1VGNvJOpA3Jojc jR/pfQ6j5IM8aiRx8rkvc6VPr9jTnuzP36ROsSoLg9ismOZgjXQrww90lZdvyh+k2UZ4wTyIg4wa eOEOPz7dVjXkycx9V+CZwiakiBGvzJAo5G2OUf+KXx4LENMW/0j89pszHVLJc5LnxsVK1IRGyHQm Yc9IbbgpBawud8HokeVic66ge0M+chBgp2xwXYGETNrn7TBikJA9LlNyJy/+7WXVR20tB2cpkNM3 b87/7vVKuIHLOzp+vnfvFVnnwu0AVENDIp5W7qJOP2b3D5Bi4B066+e0xAyhetdhs1Rp2hO8e3Ky 2DxU7Hd7Mq01ufoQeej3M/9BcQKxo/Bs89eIASTlv+Tm7YnqcCET+eYimDe+179VmIayE390jJ9K 20MNze+mSGLCtUFBbxYO5CDXYUAhKcsBFZn6DzgXTGEQYTIPz7xscZGXaFMBRX0PYMGnktoHALis YqsQxtlVW3Hu/MImsfkldvldBf8ivntqoNWNIYSPvvnJdh/EgetYe7RjIKlXdcHnqo/Rhf4dDH5G X7lOS630pBwwyZeHxPkhndFvegPNWZre2d0J6o6bZq5FsCiYXYijKOcBBsHioKQQ3cdQb6wN/JSn 1GCRdUEJJ9TnjYDZcVWYyljwL1WBVOvqcGoBZTLZfVm844W/0zsK+WWve3/GeOzChAA3W7F4Vncj /0DUZ7iGc2n1njS+Z2e7yrdQAis+VgYrRKeZS21BKsddwtbVcU4eh3ElA13MYe1klebS1+S0XXTY HfdK9EWj/CVsXylzm3YVOl9G9Y5tmayxgIHx5nehC43G4RcvJWUiBNB436kosLKpXQUKrKCrjxYm tW9XUu/nn64Us+xEwS+Me1KG9US3e7aOcvlzGzWUJVjP7xv0AaYX4Uj32ShCIq3/fc6cBEjKxLn4 k0a8v2z9xkzBdXVu1E6HBkVdEDiHobLgK+5oS0S+wCKSEn7QukIPZhcpMqab8gJP6BhevKycIAIL +ctioizqG6jS3pSiD7fwKpTOxLnvX1czcrJm6BuIue4OrPC0aNlS3Q9bA/msq+iE6xrsKQF2L6BJ LP9s0x7Getk7IaMrKAx5393O9YvyoDp9x7k8kn2BU7mprGpLo1uwPjPmT/PLreRK7jRbUdSnioVN KJfE7QD8EwiaOjsOObLZAMFXwmWly9DTMdWYzDoleKZpTERCtnOwzTmo2pUMREASI3SIS+rdGLSm Oi6dgMGpnkY9FYHkAN4mwZ+s3odx+UrPglxaJA+XgPWkwKLlENV8vX5cHghDK+XG4zo0zZ83Y6J7 9Cfx9mku8qQhwSzrY18C+Nj6nS1VZEERz7C4lL1F4lA75Kh6Ny8RumhEqJ6vZ8mSsD0Zl239W1hJ mVfK2xNYQESa4Q6AkXv55W6WXOU/7+A67wJmLpJkAiT7xpMQUaPvOeb/aiRfn9TEtcmxZkPpbzuB ukagWeyn3oJoYcSAExvlC2y3dBOO0q8KdVJlPIFl4nsrEWtmE5xFMH+7qoCRlHz+K/SGOdjlxg3k rr2//D7ecP9LA8KXaober9o/IFO2mWhgNoGLkTyYFCN6iny8uYoItWt7xprHASEI4RQ3ne6ZatCj 03TNCT6CSTGIpRWjIyPIARJKcy3P9CHDBJ0WnLsGPsWqpkXwqKR1R0OilOd9qe1zIqUEJMNY5A3Q J1oe0gfDIcxLBSIiF+MolGmhWm9LL2enlMtZo598w8q2e64fb1xueV/pxmsBhNNZa/EsDq9iC7WN qV6pElW5fdUkdZBmjiVnTbaBz0/WyhbYE1lyoW5dAUf6CpBPasoP8LCQkKvQ9HafHQ5ZNLko7+32 wgSnSR08aq4Gf0ccQGICl4xHJWxugG5htVG326jHTJEFdTGQQIrNPhmwBbqx8lMEA0hrKgk/Iawf JmsgDczpo7kbgmzxjengi8yUixXw0BRhZN1MIO3NlwZVCXLpHbA3ZsZdutE15JO3+qayafsXTAZ7 7/eshE4wvb4tXO8TSLFGLHsBj1litw4fdqhR1fL6a6F8qPix2rsaUyRwhqD6O7Rx/EaQ77ewgJTQ 5TBv8BCKWxnLkJthUuJizpDp1XHeVv0J5e5hrYRDj/jqE+2TRlq8on9irGdn8sN6HL2xL+N73oFb rbIMBSQeAzJnMskFDLpZj72LEymcEAsXjJDGrdDhiiGsAN1zx8sw4b6alP3ACLtIVnAfSSoyohnW eq+zGv4NSDVPYw+CSHB/QUtJ/cbo7cN9GSpbRZRy+rFbAIV3e00JE8sPgVjq8ibZoE3HoOLTCYRS YJajcfabr5HUhkjNyEWL4MsO1XLBy4CYfWZdHC5zwhkrkRvGXKTQqqXygZPJaXUgAXW7CHtGVRWQ SFTIedxUcyoxgt8fenQxyZXPKePWk0FTuV267CId3X7kv443u42W6kaN4ulvLES/rctIT+yt+VOH mZH42dPIq0W4Rb3m/1aSTilCvPT0HPcUHluW1yv7V6jX75y8xm5mP7xGMRjc0aH2GaPHDXJfv0Tu UeoBdTAUjjrgA2WdM4Rz7YOQciVS/Ron/ZSwOmS/4kgaVzdv42WETC0RAFbYvVL/s1Dv/DgzMBmt eLFy/bP0fal4uUWprGMJGOzp/D008Fb1BNswAGGmk5djwnkdt/udbeGaCJTrd9lkaTgkM6JBxzxX b7kyMdg/sDEBZ5TqlMov7NFyLL9V6CswviKdyH3MIOXLOkSXAEg4nouNekM9l2LmpQ2e9JcuqgMC s+7ea5uMXNiQVFUhui2UKwktaF0Y1oxx/2V42vKFk3jzcLtNFjguURc9YkajIJ+sT6NbxLi8aya/ 6oRby++XdRu1wPsEWjAXQKubvYGESIGsQw00Lf/OylMGqOEm00fdPBoN8jHQeUfy4j2JYKfeDVC0 yCefrn5WQsWLtzrMAe6totA+j1wWBeRRusxnc2uJd87RDpAd1UyfMUQbPRotYTak2DZSdbI4Jipg lOPpI8dZU/vJ7U4nPPZP1XVZXmxYfEfmRuDJdyLO0Q9nSIFmOQQ7Nk9Ii0BECpN7ycHJr/Gdwi31 +/TjAKt6eNLTPQDmz3JrDU1TseZFM0XvuVSP/9MxrdkKTR3NwXaWLv/JdvRe6dsvPY+NPVIz6MOE vG21mLIXkwAZ2A8a/id7/jOXjDudMbkTmzLaR0dIfvTiw2TrbkR7H1cmtEz/cSow6LzYwqJ5kwuL ZDSmoiOTjoxsqo00kX/zNsFDDi0dKBvf4xGzAtzMHMw2oce7dPVRSgYHQ+pQ/N+F4SMLBIuX12sC 77jNWYwaGCFGlA5jr5VSQZ9doyaOwMoZhyOhGD+9d3eR3fxy8szqyuqbBJdTXUraJLv5kvSJKrMD j8Dh3VwuZFS18og0W6uKWzhYeUfPfWAYLtHBunxB0VzCk6Qqi6WqQFRe9FPtfvAiXMUNXHFC9zTo VW8A5GKMkkUb+wSQNUxmwuofNjQoS61mafL73hHDwloqjj+bq6SK2bghO+T9J94UxF5beZOY8F+Q 1bX6vGHmmOAvUXSzsjnck/nz0R40u1f2/mPfRqhG8bfj1AEHTmuRk8Kh0rN+iltGstfxrbiLzomp sCQro7BTdRD+E2g/BgSoxpADZ3ly29UHYF6L21kAliI0zqrkZo8ZheVK7oepUmVtAtzrOi2xrkyA ck8UnCaKfgejv9NxrbamgdiSnqrW0S4poVb7mQuJ4pZ4uTg3Lyv8jmXU1zpD+WMOolUO2tIHcG/d oBZUffQ/2zUaDcdnpKtnzjI7qUJJHknhs2LLMvg6AvIoGXFkLrJTv0D/G10uiaBfJvmkLo+07gsd gKJcl/cMQ9E2Irbc39ScgDugsyB3tmsW1evvY4bbmtGYSRhiXarWdDT3JjhF2G1d6a/eC93g2Ilt r8Ao2NNHkmwfAAgKWQfc4DUvIoxbj3x5894kaTLWnLuVDiZDJ7a9SEoCj8d4YKMQWvS8TVpOvj8C qaTE/GBpqoGQfHt1Nia59co/IhuCV3CXQpDmZ931miB0RzT5/N0S2AIL1bLgue4KdYHwG/R+deZ9 qTsK+pEtm7syW6dVDAyeMMHSdI9W9jWoMPte37g9kK9Xp608B90oWNjxIsd4AyLC36R3Knkhy6ti NmZcY3ZMnqpsThYNRgl8ELwFrWoaruyJniwNrngZUDanRebPvbjr2ejrOg4J1jA5o0PoYZiR6qTN gvSBh5oH1413epLrP5poOTem8RQ+KkRtMC6U5i4RlaXFuLcSS8gc8mLpBjl9YVdiHK2K//8xGVeT nyRIOf4y/cTNRRrieQ0lJcAeP3dN2sjaWHsZLxtrgv7RZ2q1ugtVET6RvkEUpRXHnFsVrcUEuu/3 Z2xNycu/MQsW2HSBduy9+2wtxH6Hcv1o4xL85NxPgYQcnlw0FBqjZPaJ8vd+VQ4A3RHcTeXVsYdq o7QaUAnFh/4SlF1pStszS8NjqXBubEUoG4OVsR3dpDXg1Twj/de0TGsxd/OrMJdoOaREhnNiR2jJ 6whTOkKveH9t2hmMeGyIoBqXC8W+ZQW8huLzqHL5cVCzfMxATo8kMZnn/NAL7/cUyfHN833vrP5M wmVQj7ktdUkjnCylROe9+cz5FX7zoVyXFGpKK2keUXoVMzuXoA1LuUwpgKvhdK/HDUikELRLAUCN 543ULSBRCPljOq5YKJSJmw9EL86y7Z28w4OJAKHsMiyKqEGsF1EaQycPFUGX9dwf5lLhUJJAqTAj t7WFjosIX5XDCt8VOSn7C6xc+Sy7INpenPSO3MdnNqTEX5CFpbHlwXpJez2XZOizKDyaltfQh2Cj 2T0Oyk2c2zMKv6LYdfHmcDAq0QuHplG4Ns7GKyv8SdVj+JCDDUEKyskK43Z/N231WG4K8kg9Yhix Q90//Eb5zMzR4OdOmMhXZpwNMZ2dBl4ZUrOeec71uPegHQH2sRoQQJNUQ4e6wiVHZZ9Tl3xUPFH3 fsfALQ6fi/vwigCEBMGvTbwEo9px+ey5qqOwBZUVHv576uNUYxCmQlvS4/2YQYBmPQFhLHH4mEdd mv27WVgyPr1T9icagwKsMmNtjANpwlt7ztkhsMgRM1I5GRwgAjt0enkZ0yTnpvSqnOjgEvjdCIuA yrxg/AlsQpFlcXDoOLsS44pz7Dq9N+qgoNQQZlG/qHFIGq/xIxseAWwJKT+sUPkhyV2z/oMxvGBw xdxrfdsxu7jkiuVK5eYxGfYlE90ffKXf29X97qZ0aETVzvsaVi0JFv58ayd88k9+FueN6t4wV+4a PcncGwUvjKHU6bs4eNTgHAwLKVFheT7mEiNXEw/skqZIGOAlz0IXk71CWHGg3xgOxt6qn3RDqYsl AuD0cnpyZYfenMFE+4bdcp85/a8VAslqvk6pKQEBIgw3KKEhLdKCqNIft37KyOzmgfv8srsBsnC3 u5fugQ3iX/FHDQaFe1qIUxeZeUh6VBb5y4L5GdaeJotWu4pTn4lcMNk/GMkZ/lZX0S5vdnvgDQud dKvrV6ztEO44BUcWJJtPzLDI0IEj0emdvUlNk3TIq/DoEZ0H3TIyIPlKBftGwCo1LVP1KsXJp9Qe W1Kn8LbXn3uili1t0fAnCAs0A8G5Ze7oX5L8QvhOPsD0MPWsywebKfKROeldNiG4Uxyp+hz5lGzT Md8atqpI98Skp35Hogrd1xoWxczGafaQ5/lQOixm08n0s3Ab7sSIcLTucQynwgUAnqk8LBAELCqm rMDrWDYLtbrmMw5IbQCpC+XnoPz2YSeUyte3K44voHf9/K9WCTwVX3gLiIhd5NjA3ubLD2tbYT8b vp2RBW8yCFViSYZabEkyJO3TJ3MtyG6nQRcVKiqn2UQN81M9X/OjmFp+NeV4EclCu6fTOLSeEnZf bzBERvOxIq14x4JgRnSMszaD8hnmYrHsbAVYFfxgpdjs5lBB9qYpoj6n0UYSLihhZLBC02BbOhdo DJ0c1lSjzpF+iagu5AVnuSathrRp/xk7+b5rH5/3uqJ75LOUHaQdVn6owpFOo5iWHSKARCL7XskI TmI44DoG/k2k9rr5WBEPdXZDYsgqrvxixXcMbTUpgx83Svlza+l5HC/GDBnznVLHj5MVfnFPi4Li XoZQaimutcsQdarmD8UFM4hvi4K3/KS1ut6Cp0RAAV+s/cC9B9pFgz3lhQmaRNnq9xkZnCWSiDeD 9p6Iw8AK0k3+6Ebqbi8EATNrF3jyEHuRyqyClZvFCyQeN54/Tih6Htr1igIjPk52JeRUzfr3B2Z+ TM1WNmQnRm4+RGThgo9anSHI9utTCX/tQ1/ZdF8WvKJIHnMtDkqPHuRMDphUFRTAmFhyJV432u1c zvSlfg31g4lx7z57BWct2SHyPCCX5z8d+V+lbipK+ZYNWgd9qryRNzvw6uodDAtE/OxnVVLSQ6fD YlUacBI9aTvgxG+1aAL4wnuTV/fFRZnT2I/0B0Vj8rmL5SJTyLbgesVxzClfInWzJGfDujeR08cC LJp6cc1T8C1LGbumK3dZ5+BeMnaGBfk0PN8l3rRe95kavme55z5JA9B5ZMuyiuD9pXuSc8evUVTs 5n22bQy617CeZPrK8acTnU6boeAxVAB4xQkK3PeMa2u8vUhcaSQNacfVOF59+vJUfHGPaoFLCiO0 iiY34vg3s3JYtQ7846eKlsr4hjReN2rhVskZ6TeVoOPMMw3culVTbs9gR1ZhETmDf0jDkGTEDzgW cGwWsm8kUpX/E1SZbawLud9+bCKqua120Y8KPOPfHQ0GGxShEsDe/wsWnJUhBiEac/y80DYjf/uN 6XEfGxiVGFqeKmY4dDLR9isTzu5v93iB/RRcw6C7T9lokFIid3GzH7l3g3wwCeVoEgaZusbSoFKU uUxx9ji7KminlElkhGDJQw+naqCOzLrhzpmGFdygDAWYbbkBuLScUiMEMXmURbZzOYN6YKU2YMey V32WlutXaKme8ItOQFKUsq3Kuw29DdG3CC/Nbij5GjbR0NhEGo0tBde2AkX5O2I6dapS+dxk4dZd eyKIHJW8x3Yf9tStsKcBroFZmQE2+IPNLC7BA3kN5xDWtq3KUxQZZ0rPzhsKxBDC5H/VDlBGIy7g fcRZFluOvsI7OqrcgNdAZZpa2KzIjsIeQkiC6iTpFZP52xZPGeMHCNLdR/HVvb4RMQVA9G4TPpxe nHXSM/cBo47l0u8ICOxPdt59+sRnmMS8ssJgncZqGfCCrqF1m0NpMel4Fx75VCU+B1iKat9DhgrY KZWintno+sTmseSOTqkC1ujC3EELAnwooLzRBMKGVajwPtgNjTtWman4GWjoB7KXB9Ir2xpylrBJ eSyDGRZdDOJey1JvFnIWitDq9ltDnbAVMzI7cQBx2YS98JeP9Vm3X/xoKgWFIjfjCQLNiutJEy0k ZS8weoEYdyXUnr7rEi/QVLEVkt1wTGCZ/1TuxWAt7lI9DdClr6zS1MrphziF6cMExi7Iet79wtEE PfGBZgd0KRp3KOZbam3Aqeg5ms6FD4RwTIMtyQytUmu0WdLXsEszSKwDqpjRxh4uHkA+BX66/4CE xddMsOLvoogaWXdPNK4X9XNHhZhzbKEdY9W5X4ePDHs8B+ihWO6o+qr5zVQIsPCwKfVmBtiu8Xyx CxPH5ALVYH9iuDcCWJvdqgD3T4GPyp/9AMmUHT9fn8ZwHCuUe0vbRwVUXA99+YHTuadcLhEHGKUs Irc9qr7T9jhAyB+7H/q8XICQgUPb6NnouNj3tlK6Hqwgp772qjGrzZqfeRZ6oV4rHLUKUa2idgos Z8EaYrn+dnvfnYcdhnB46MMc9f6JPjmKk/cXIZrFYkZI6TUGiGk3XFTNC56wM3niX5L1Y2ZlbWiV G/hYiSEGf/VHE9ejw+DeAOVqDV7oYx4A95v+OMZG/3oHhT6qUDr0Uj+ifOCzJq4s6pHunlWvNGX2 oN5OapE/OdpXUAOFroeJ/Sf64urhxXo2hfDC9EPYQI/fOGYjAfA4PA5Ae7sfM4KkPKbv0XgnuEVf wC1EKySaWg5pCs4mSTAOf8qr1gLMFGT15upWPudicsGVTQcMVlEzn1rjWT8J7ptTniD1JiUH5cPA N0yz7QfuUNSW2jnMRMbPU2EXK9AsPJKv7+KWi6EHCi4mLWpzTpTUH1/2hm2MO3CzDB+xOhbu2Mg2 vUpISWBHQ2yo/8Dc8okJRxUUraQKcnbWhV0kkfYFpk9JWr8QskJfE3u3i+/Xb36TOM0Jlzjtd3I9 wTPqo/htCFkkqGuXgsw/8+lxYo+8gGo9vHXmjbuUn8Uc6ccDldeNayn6ugvXia4M8QBctdkE/7Zb G8DEmRkJ+Movq2HNqq0TkHtsnAXCby8PVqvk4buobfAFfriZU13AZxgFZd3CvFmzwBgV3L1AhYTQ MEdgNFbZemUIHizdGkczwJ0/cjvc3WTHDuFQYW0w+NnL88wvyR4Vq9pGcIcvPWcoFXVtSWm1pKdk BNyDGF3Z4w4EujhkUHOt7kGPQvvMlHspaj9WlmhNawEP1tbtKeW3Ufv4iP8NdUnLP0CXafZWGVOr fT7yGE56zjh/UtL5RcMWo6bJw5cvt0+eJggTQYuU+crpvYB1W2i/BK17gmAn6GhHY9ijp9KxZyfM Q5U8Z8JqV3OgEyNS2XRBCQlRrffAtcr/U6G6YFVQLylr/HqW2IemYepBIrQarLeu1RqZ5v5YUA5i Wg3RxheFzNGJNgBvHdALnxie7BirhRSjmY1wx+Ci7a2lIkYjjPqi23Oj+/qelozBqYJCpaQD9qhV nk8BO20Z6o2JRUNsULN+n4Bid3oQCxf7mtW3v59t4/n+XQy2XqIQ4xCriDpLgV6VZCzRSXfLJ+9u Xc3tdStfE5bYC2zkebxejUtpUKvntmBcL++INoIYgS6cyALXmNSQQUgQYHLtMpGpQGaYhz2x9pWH B1rLcKCF5SErnhtbMqTMyGVRXNf8xboVipshqreqd9MThO83HyJEX+R9ir63cJSoBbQlhlBpSPyC euzlj6TbQvE3kbMht2ryBjFlCOm1Zfx/ZPDlyDr5G1R0n+FIf97MYPHHVudbSPj0xaIUGErjon0W UaG90XCEcYhhjAsFFsqTfAhobl85roB/oLGz7jzAXDpPDfwcHgSQwtPIHWu13/Hhq+BXjcC/7H3m VEAny3UfdtbnKPqa118cdPxcnNDPKU0BSmwd1XeIFZSWmYZEWGDe5o/ZaSDMK8B/0J5ep31UONZh KMKWtgf0ku6PDqljF7LeIuPjP7pkz71hL8RuDJBjgqKFmD4nbWs1vpjAocPgkxBp73xAQn5gAVJr CbWCl4etSEV7cCD8IggEmLleqKwPywzUAmwGmXYkx224aTpRyXIYPFEtYuI0RscdrLCyHIibtR/Z yZ52RZqsCFpVWp3qV+Pl02Q0UxBJb63qbtvneB9owlZawHGAq2X9tRihaACsG8scDCLGdQR3wstK dgUxLqCJA/ygbUzhXTcjX3WuXez5fMGXjU6mueflBy4pFUS13XyggyIZ7UBLqipGBMD1UXd5sjL4 u/0CEUe9Juw43A/0DcWPSleyHo8VHFTSo714VFEEhM/MPZVvvels/L2egR3/oiDSJR0++Le+rD7R JAK4z1ClZZ0Y0vaqszQVgccF04qu7ZaZJnz/sEyK8sIeMipkh1mSwRcmgesFvEUYDQyccW0RzV2o wGFdh0yk/9s5BuRubsh8LAZ15uKFaQh6SPmZBnBv6Fkvqoa6gYMPj1t4PY+PyR9RXm2ogQvYEfJ9 GV3FfozzJf9nAQiX8oAgRAj2sV8yVn++j5RHDG+W09eNl0MYsAVHMCcPq+1IROSEqD0WFBk7KbqR ggKH3R11ChuIiYfqFN0uNkzSDO94dcQVwmfmyyZ+6MPeJ2D6jf5vMsDrRP8z/VoQHksxLEVDCRJ9 r2Dml9aDMhc6KCCN01BHFa1NJA558LwMdwsO5vT7fKRaenMF3ksooaMoklJtdWePnikLz7IrZico rGXKfadi1FbVyZJiSRhtu7/V+8CWw/Vzm4IxTQ28sGFjlMwrsw3fB37RytLqD6Lqb7LWnCSSoZ2t JQxKDrHTdnZrBv+D5PoktZ8BmiDl8WRBayFwf6J2LhikVCv8YGLV7LJQyi1pcgOT9BVAwmtxGPxG V2np6Tz2ROsDvrYtahifHRQy+CsOm7dK7MPQFBe2U+mLdq/GhCXE635Su1u/KGMCojwwOd4YiBVr Zkd6KOoLt8eBJTc2NFrvW/vu+aXLFO/CKC3UqxSIZwiKSbzVLomiHpYG0nt333VqRGPJvmPuDylW 1+yJAdJuxU05rO6H0kOGcQIhxxjCuGAPjhJPZmXfBaOHXetRpvxJhD1doohZU+RSn6nrwXIuMCu6 +IZ8JBqr0FbVS81sq0zTUKrhFSRdlQov2iOegOU/3G1+qsRi4ZyhUdpWOy4U3vqeq4oGviHehRAG t1Z8h0IdfPcC5W4AS05tSrjYekkxrr5IO0UrwV1MXfKoMv9pjEwS9jMdTHx3KVNTi3XE06UW/FLU vweP8+/hu+tQiHsKEtQu/IBmp/J0cbbkLH+c4MNMO4YaoHMUmbkWKcFDkQnFKWRQmXrE1yA6pkN5 ATl+cdg7CbQbLAGv6jf2cmDhTUtK6Ggk4CnyBUINAKNaHzNtQDMA9gUQZ5HLcx3UMsokwHdR7K6f 15JyraLZrYQvLj2WcvwUjwQuhOKzsBA4J2+r+ZZTB4DIMw2D1GGmLiE678xWegIMnyI9VCDJhlWJ NO1rj2lTg3FMQWT2atnqWszqthgiJK4tVAmU02hhNzBejDZ6aZ1yAC2YVKR9T8Dg2AS7xnNRVfUx wMzJERu8zRspl/vzdR1I6GmxoHQgwipOAJ8YpX5GW/A5A28kh7VPw0m9uy8gtu1nEd1Ymg5IOHsB Iooq/O8h/SgbR5QyFC5A8dR8TnnOo56QgZITQlDKwHp/Dr+EaMCCK+1iy4kNLzP/vWMrF/UoY20n mw4w6ycS3ttnNrGkoprOB+UW0zAfvzMVaxonF5wk0oX69leutY8ITaz2WCtvWVxmUe7HkTtRaK6Z dyUi/+/yWgpeVOprNeVtkKMpX/5RHWLW6447NuzgMlQSBA74v7lZ846AUul3coU9r1bWMqR8EBHW YskoBS3LICWrRW0AGVB0tbeDPvSHBggAiHna1sGGEqY3Ihp7aBhMXG65m65XhsOIHA1qBGDAUIMv hrIenbkEeoWkNgyGPt6TcVDGNoOIl+TJYvgHIHTbkkmtTFmz5rCeUL7w9wpNchTtKP8psacIj/Uo zth2PbyG3O3FvL1SLcgarxux2/i+FVV6vV0DfzzRhCRH6CWyilFncEonK6QnJINdTagw4WRq9zsW iI00LsyO07B7d0NZAPzSV4a1d8h7IYNSc6l4Uc5G1lMS6Zw3inC3X36yxY3IHTLTn1llm03Pje+/ W08OQwtMwQQud1TcZzGa0579EunzM9kt5sDlYkZogA4a5NSvrhY8ISCWmP5dj11+oynwRkHCXMNW 3L5+uP0VHGpxgXksi8TpZuhw7PC/+WY3C8aT4qomFghzR8yzi0scBtvyIQZnSnIfHUtG7I1viuVA SsZoo7U3soej9HunVMsWPg63aAHvbG2xQHrPiYKvKWdVtvOYmrV8olXHzye8QhtD7umn3Ve+0pVD kIhnGzGsbXKy/tInepsEj2RbOw+25iyUxF0vcBB+RQJjXqlWn2frG+tjikhBYZnjcMAYCwuTdm37 u1I1b5qjs9AVxNEVBexV+fPb/rEztoGxupepn8gdp0M/nzWFklSmnQ6lh0OOET47KVS+xyeQ0cuV HLveWdfY9Uetf2LvAJvPi2OmA2ttXF15BI/ew7DYVG1UCg16EJLqzk72nIK3Rk42sTRq6AUGaRwn FlEWfzmqoWN//owr0k88CqwiDGjoIQH9WZfyYw9nWBSfqOAOAdfp2V6usUPhryhhyHUfRHVt+uEv C77G9BhYVdvbXAwkdPD0fo0hNXew/EbuWWgREpo5m3kmmjSPTpyIg6jYxS/9SdeAMGSFQ3VWjktj 48F/651gqFQT2tK8TAXFTmRDN3jAGdrs+0RJiMws+40zcFVsS/Rtq6qg1370v3DK//A3dMmfDj8X JnsIUVJEVWXqbIJcUay+O7qrtuofq0DBLpEnmVQeDIWWMFJ8xVrSEkWSWNYSr6bGTqDVeaeVC8MN dDsUgyKh+/SZqAAN7SrCl1TQ8bn4SJEUG8o/UVqbSSh30KevFE2aTz9IwFEFjyzX91MPw0L/56VE I+70AzQcgnx9QBWUtoQcuIeNgMKgqxr3+Rb6RQUddp4hegF9pHNNoYtanz4dlp38OLCTS7XxzB96 rN7EGmMs8ZywoXnOaOnZHA1XZ6x2RQAIhcfjjeOHfmaJ9RdAscwt5mE= `protect end_protected
gpl-2.0
083b071981a2be610cff658d9f0551d4
0.952037
1.823425
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/synth/fft.vhd
2
11,309
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xfft:9.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY xfft_v9_0; USE xfft_v9_0.xfft_v9_0; ENTITY fft IS PORT ( aclk : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END fft; ARCHITECTURE fft_arch OF fft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF fft_arch: ARCHITECTURE IS "yes"; COMPONENT xfft_v9_0 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER; C_S_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TUSER_WIDTH : INTEGER; C_M_AXIS_STATUS_TDATA_WIDTH : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_CHANNELS : INTEGER; C_NFFT_MAX : INTEGER; C_ARCH : INTEGER; C_HAS_NFFT : INTEGER; C_USE_FLT_PT : INTEGER; C_INPUT_WIDTH : INTEGER; C_TWIDDLE_WIDTH : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_HAS_SCALING : INTEGER; C_HAS_BFP : INTEGER; C_HAS_ROUNDING : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_HAS_OVFLO : INTEGER; C_HAS_NATURAL_INPUT : INTEGER; C_HAS_NATURAL_OUTPUT : INTEGER; C_HAS_CYCLIC_PREFIX : INTEGER; C_HAS_XK_INDEX : INTEGER; C_DATA_MEM_TYPE : INTEGER; C_TWIDDLE_MEM_TYPE : INTEGER; C_BRAM_STAGES : INTEGER; C_REORDER_MEM_TYPE : INTEGER; C_USE_HYBRID_RAM : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_CMPY_TYPE : INTEGER; C_BFLY_TYPE : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_status_tdata : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_status_tvalid : OUT STD_LOGIC; m_axis_status_tready : IN STD_LOGIC; event_frame_started : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_fft_overflow : OUT STD_LOGIC; event_status_channel_halt : OUT STD_LOGIC; event_data_in_channel_halt : OUT STD_LOGIC; event_data_out_channel_halt : OUT STD_LOGIC ); END COMPONENT xfft_v9_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF fft_arch: ARCHITECTURE IS "xfft_v9_0,Vivado 2013.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF fft_arch : ARCHITECTURE IS "fft,xfft_v9_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF fft_arch: ARCHITECTURE IS "fft,xfft_v9_0,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xfft,x_ipVersion=9.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_XDEVICEFAMILY=zynq,C_S_AXIS_CONFIG_TDATA_WIDTH=8,C_S_AXIS_DATA_TDATA_WIDTH=32,C_M_AXIS_DATA_TDATA_WIDTH=64,C_M_AXIS_DATA_TUSER_WIDTH=16,C_M_AXIS_STATUS_TDATA_WIDTH=1,C_THROTTLE_SCHEME=1,C_CHANNELS=1,C_NFFT_MAX=12,C_ARCH=1,C_HAS_NFFT=0,C_USE_FLT_PT=0,C_INPUT_WIDTH=16,C_TWIDDLE_WIDTH=16,C_OUTPUT_WIDTH=29,C_HAS_SCALING=0,C_HAS_BFP=0,C_HAS_ROUNDING=0,C_HAS_ACLKEN=0,C_HAS_ARESETN=0,C_HAS_OVFLO=0,C_HAS_NATURAL_INPUT=1,C_HAS_NATURAL_OUTPUT=1,C_HAS_CYCLIC_PREFIX=0,C_HAS_XK_INDEX=1,C_DATA_MEM_TYPE=1,C_TWIDDLE_MEM_TYPE=1,C_BRAM_STAGES=0,C_REORDER_MEM_TYPE=1,C_USE_HYBRID_RAM=0,C_OPTIMIZE_GOAL=0,C_CMPY_TYPE=1,C_BFLY_TYPE=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_config_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CONFIG TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TLAST"; ATTRIBUTE X_INTERFACE_INFO OF event_frame_started: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_frame_started_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_tlast_unexpected: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_tlast_unexpected_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_tlast_missing: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_tlast_missing_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_status_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_status_channel_halt_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_data_in_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_data_in_channel_halt_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF event_data_out_channel_halt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 event_data_out_channel_halt_intf INTERRUPT"; BEGIN U0 : xfft_v9_0 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_S_AXIS_CONFIG_TDATA_WIDTH => 8, C_S_AXIS_DATA_TDATA_WIDTH => 32, C_M_AXIS_DATA_TDATA_WIDTH => 64, C_M_AXIS_DATA_TUSER_WIDTH => 16, C_M_AXIS_STATUS_TDATA_WIDTH => 1, C_THROTTLE_SCHEME => 1, C_CHANNELS => 1, C_NFFT_MAX => 12, C_ARCH => 1, C_HAS_NFFT => 0, C_USE_FLT_PT => 0, C_INPUT_WIDTH => 16, C_TWIDDLE_WIDTH => 16, C_OUTPUT_WIDTH => 29, C_HAS_SCALING => 0, C_HAS_BFP => 0, C_HAS_ROUNDING => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_HAS_OVFLO => 0, C_HAS_NATURAL_INPUT => 1, C_HAS_NATURAL_OUTPUT => 1, C_HAS_CYCLIC_PREFIX => 0, C_HAS_XK_INDEX => 1, C_DATA_MEM_TYPE => 1, C_TWIDDLE_MEM_TYPE => 1, C_BRAM_STAGES => 0, C_REORDER_MEM_TYPE => 1, C_USE_HYBRID_RAM => 0, C_OPTIMIZE_GOAL => 0, C_CMPY_TYPE => 1, C_BFLY_TYPE => 0 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_config_tdata => s_axis_config_tdata, s_axis_config_tvalid => s_axis_config_tvalid, s_axis_config_tready => s_axis_config_tready, s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => s_axis_data_tlast, m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tuser => m_axis_data_tuser, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => m_axis_data_tready, m_axis_data_tlast => m_axis_data_tlast, m_axis_status_tready => '1', event_frame_started => event_frame_started, event_tlast_unexpected => event_tlast_unexpected, event_tlast_missing => event_tlast_missing, event_status_channel_halt => event_status_channel_halt, event_data_in_channel_halt => event_data_in_channel_halt, event_data_out_channel_halt => event_data_out_channel_halt ); END fft_arch;
gpl-2.0
66b02defeb3f612add72032acb3693ce
0.688125
3.193731
false
true
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_fp.vhd
3
84,613
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pSjJBEnxB5fZCGmWK6DQAuU93fRaNyqtfmSu9gimwflp4EfBxh3maaFSTOn7f6kCFQrXLOEEKvVv OA2z+PQDJw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rpczg73Vq3kmmKYGXHMAytfNhSjAw64tSXRB0HEI+o6xo5XwWzyVKoQIpNZQOboJRZ+DVaDFGjR7 gF+PIXYC4sVHsFdfMHTVo62sD7IPOr3a25xXCSfy299nv0mbSvzbCuXaOdzA9ggpO6UUgWWZ4TVz klUUuqjIK8IzappE4Lw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block oAJnKjR/LeWj0FjMfH8f/7NuFmmc86AWIr1WJfcZpaHirMrzAaGofVdUPwtS4exceOCAOE7hg5Zy XIpzE9KDjaAMgiiOGAILw0+OS4/U6noHI2EJoDejtV1gJ56/PtWPtECDCnx9rEbg76yalX01F/QZ XQZgcLpNuNavYV9C5syJAyOqYxxDhvplj6BLn73AXDwCEa6I7fT7GFP7Jzz0IXMVO+RkwVhv+DPs T3HD9QJZnLdZulMCxjcv6uL00RTwQlseW+tjuti9fTvaTCCzgyb7wPi9UAF7cgr9+I5tKJ/jS2Et zNmbQLH3cWxmE/hDBfv2Pn++G7PKCfPuAZcGdw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Kw9ks136QLSNzAmfmAxBvdWHN3WqowII2gsEIjFpNzpHVkMrpL6W5a5sZJ4+o0gGr9TY1Na1Wa/5 QYrVpjQmUkG5UFwXRK1D12zW+2IeBGwlGLQNNt3NYFrSJL9mTfdHrrnKNLMSehaDVOIfUYJa4h7y jlQtJD5S0J6WStDSPUE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XMvqyKl+8F8yJa8F4rNxN2NuNjtGoG+13T6oS+beZ5fIty14zFJXe/PEwBkZDmrHRZhDL7JU9llV +ArHDXXfUaXLQkc8/fAHDshKcsS6wytq9dtgPvQ0UyB+Oqv1xrAPeO/5qhY+ofBmRfV5Gcz4lvlh GYFIVeTYONKXM3o/yJ1gxhAtbpBBN3SK5lOEaEXYZTenFsm0Sfws0sVuAvxr0xWPeeLM9h635eGi vnTrSS+/qnbpbcV3VhVez/c87JOMI1WpDBoO5NbkancQQzCTOeEDImeH7NkRC6f9Af/pC4BXveQ3 fkacSB/A+hlC8lCOkO21FxPv69k4jIQpatHHQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60896) `protect data_block B5BIvoJnpi08KNLWHq/OOciT7RyR9Tgsr+rCi29X3/zW2kuesH5KVKQ54Zm6FL2z8tpti69FAaMn PeqfEdlVlzwyv3oMRAUCzi9V1pH9qKqdw9aTkUzb4BAvB9wH85iauBLAkMaRQaKMyXKOE6/258ZV Lk/25VXB33u5Ii1whnJFi22/otLm59hiq5XZE0rNZdBJ6mUWKVf/BP77aGajNvJlo6JjtTEGd004 YHgOg3d0Jj92CM6U2dBkLjohRpRFIDPl3ZgcknxMHF8qtb68CEpqnN/iqEflEJnjlDTVfNvJMGOa mEM6wcHy4Yo12JzhWsmTTFf+0JBjsJPpzy6PvyNvDb0m0SbTkjv4HXEE0TQ1IBrtg07oUdpvUp4P cqkWqpka6yevc6RmNfBOtFOUO+Q0Jt+3OID3YGWgyVi7krKmkQPzr2BLOlk+0zgrYY/FdvQrIC9q ffvlM0GsiTuImLYqxcUnDf0GQ8vBPRf48acGz6Z4LD2tWnzqiWB7XD04tiQyLFXQqWiJNhx+9H47 L/Bi/dzTDN2wZxd7a8s9FzM+S2u5tSBRhLFB27caxO1fqn+8OIexExuZFvTBDqbkX/PJ5cPIRN+l TkfyJXXY61kCjFLyz+ywrEOMqN80hQxMssZOvJHLMA8CvWsQik+/NmMu5uHfhKqWWudr7UiiotRK TfrK71dol0PgrqWOnuRdfPRPOFbNNAAFYpOQH73QA2yhAZjw+qESJ4BHfJdXE7w5ZiVbpgCrFGwo HR7RrkDoTsCl7sLZsu2+ZOcsinZKIe7lp1NNdVRen2sFKoChba81VGzjtVZTYV5VrMMe40rObe7a DrfJzendgQjL/Cm0NEUX9cIV32ff47ZhusHw4UjIDJofoCyhprc0av4ufmKBodaJ7iOyN1dHAuyt Bnv1B2EPTut+uSNNOZUSiERYsSpXMTqtJJztbljlNhjqLMEYwxEefeQJad1QRouIcWaL3MDG8kun SDtmUvOqdyKB4Q2PhZPcsHIYU7Sh2Ce/xCuheH44FRDqY8cu5b2Yvzz7yPdu7D8sXTCc6szlEtge JXI+Y8fHB0OpC8IOJBxXVR+vLIwsIK7Z2099QSv94ixvFOX5zHekbyLPThUWzaF4YvJSZkAAbviF keBn1taOGzUxidm4AwVEhuPuhZdcYKP/XkomfJPxF8WLUS/rZFtGma9wIsU/5aQf/tVcmHJUfS4s 5cKVEeGXOtrelssEG3qULogPpk9SvY5t3WpTIYUNZSFjMmSgNtlzCliGOBj/1sViocTqU1oVxD2U Bj2FVlZ59/zxEB84VmKppZO+EtIUpVumPFvx4GT8rhs4gRJwAvwu1j2eTAo+p/xMl7/IbDqxIAao sQvB/0Rk6BLpLoETWL1K+ortHj43Cwl47bBtg0hPhC65z09kgZHIAnATfI1Q+sa94yJQtb+I+Btp +TilFetag8KPXGiAZy617kGrYT1pSlFpRx/hkFpGBexhhgjqr+FkizWbob3kLPbHWAfvRp8k5R3Y yRcZy9cXmlAq10MjyBn4hBy7Qs5/yHIXwNWXDt7vG4sYuKxupS2U8hu/Yp7Uijj2/3xW8YqgzfPz 1YYjwq/y6UV1KpXJaD+CRZizitPi5h6/55eBLOCmaW5+LdyoVynvDSrvoolp1kuVeaC5Bx0Ko9U0 db9rFbsGBCs9bb9HmCJQgVbK36LFtaHp8kQHTYcn3KLFFKnVwbTR2h9BWTlYO7NOFRaIdTHPl9Jb SFZOMJG5XMtE/nl8N5iVfGGniDqjaJAf4VcH66HsOPryWTh7Q6nZF1knz9CVd/75Z7aU+O/p3FvP D4EyZtFyIDA6U4smUxuCwMW5YTpkRx2y3jFuqZfYYbzNAuM5Dhgx7qPYB/4XlRL/z5hQ7LyhDnaP g3gZgE63gTEf3MjD3bZ3xSODNTmulB9Z6MZG3rk0BlshXIIB1lgd4paNrtRlVkrhr+lmAnfnppnS PIgQldtTg898Vt3NaDzU4NLL688hZ6jLiJSHvsOYN68g29DqiM9f4fF4J57HiJDNJm/JQmMTVHBV NPnc72xqYAFys+CPQnX9vzr5tsNGbf/RWHsjahuPr6W4e85DKd6g86/Nnzc8maEbt36I0/Pj62vA Y3VDfTEmFukmaWTm3XRuY6zWw8FzGjhSa2CNMQ3zfENkUCTW+f84rLGDzHEA4gqGrP5o0Sm/T3Od T3h2hjt4343FQdhsM6ETi0w3HrpRnQxH3+Qq1hh2hQLaWD/VfbVhnV4QbPUn9klgmpjSkzmt0cd1 gsx/23CQ5PCPlofr1IHeXQ7okvwFcfwG48J+ruKFrVoOxTdt1dFrICF2UuYwmtZtB+hMHGSxy0Kg zUQh/F1YIVDwiOCyvqhbAEu6nkk+NXJhKxgp/JjVrzPRArpji00eLu9WACvTsE4UwwEk680Jqglx 1yZKVL9JCivO8J0wnPdqOu0O+r3H/hRlaPNRSRPMRHOPPt3ashiVqltdSdpSvkcRR3zDAG0y58RD eHD50L0EPfG4KMRv57WiK9hezJkT6lC5ORev6ohDHOZB8OzHDHAPgGUTHMXYocsxiY0Q/hXbokE0 87zR9A1dONUEIqEQuTyxW2RxcP75vGDidz6iG3DTG1SgYng36+gOvokWjT6xB7X7L7MmIqY6yCbX oG1lU0/bVv1sS63xG4/+OCOEfdTEWDidSzRZUEW38EQVggOm23APnHjy2Murk00JvqdcHaqNexxQ uD/FIER+JvrIlBeJcNVK6QkqgDppYQr/O38DEg9if41XIr9ar3AiltVPK8mXiIX7zXJQhJNIuU4l IOUMmJetWv5BZ3Q2rLwacRFqhdqNVsvQ0RqN2UazXO4fWAPy6+Ws+mchlRM3zmNNfK1ItaYZS7O/ 9QUvofkoEZFgEtk+k1rY3WfN/CECImFousL7SJOSScPFmkAeJ3zwB+qTEekNbR4lcfpkEXpKtpu+ a+NfsgiUZiPCpDkp0HvE31v0xIHYRJeSHrpmy+6vTCu9qwhOjcyLAsIoxm3tVJdokgCSHSRmXUCX GXT/4Y+cgFwUFUcGAVLnXu4VSPO2XT7wN3+lekDMmNxXPgGEEhbOkpRp1CKQewmrVdChlFFZS7LO 8p5IkmyrczTHkpvt999GW5fATXzJg1uEej3YYBp4bdCBsOfMVZhytEpVpKjyA/EX1Qjlh9riBcnl slTzC/PmpoK2HF67Y33/ZhzbUh27hB3vR11aUhpLzE/E8iMm6yT0LpalKg9QEwa7//CVaAFQf9oD /Rb9iLMrgnj4ryGbqmHUTIF5KpH2hMMUPPsVHLmodTjFZVSiGSnaAK8u1uSYen3TpdO3vXnQZx3Q 8vZxU0cweUqvuIrFnPM2MTjskFe7Taz7Ys8l2m/fYAF1GLz6Q0O74MJsIw8baCTsEM4EXjNkt3oj hqyrcnkxo2BlwLx7Ep4gQPvbXeFkyPCccYu1v0TH3Xh9xhmJhra9TF6YhyLnSrujfPnG2hLhIxOk wXFoSpTES8BeMqMSzQT1F37zUHX8bH8HNcr7p1N6LjiMOH6pZwjVhbOolYnp0dZqrSo9751iAFMp iRhNI2tFWKAAleIZS5hM+BT1lCqEKQHs8y+B3eVqHlaedRkvjelIu16B8K28LYGnGRudGG+pSOgU xMeeQM8pWk6iWJgbZQ5R47L/9AopTFqg/Ea3UDxIq8tEysXSG+NVGCGqK/wzhcHDlCvMqxvYnaQA VXN0ddFlpZznC41kzerQ4nNBKeyKsmWq2Wr+2e3E0BXp2/5gSMtT1QGxiXfB1P7cMLNDnFfYtbrz QPENmtkt2BETYtTlLEkLAGTwXTbGhUqeXyjtnTK7A62Tyk95XohCZMfcXmSvwITBMxQaz2+/grpg W4hy/BKDQWMb0NfUcTzSv/rkYeVnHWN3HiErm1VjhtJ3Ivqz4po/9a1v7LjBBflx5OrbMmBm9Y30 hxUzzrkJfS4uRgyGMOrMQfdeAaOJJF3A1CYj6JiDKqXwIL3pUTN3nh+3k99c64MyxyoRV510SLDQ f9kJ9P6Qzm78r1n03zkDztlvirHvuaZSqoPLOB8YpWrmPg8tkvJyHoq3iM86uyaKX5zsHICVT0cy 0IKoQHErYakGJqYtAPFNgz9eNb+93YvLfubhkfZTGxQ/Bam+QYzKucPY4CICMpAqGNVdImZkeDG2 OvSAmW7ZNPX3MznjPcdJ7j+Ei1sGB9ckmriOCK5iz1DHHTma93mi1XCH1g81ka5WnQZpOFBTgsgd 4d/6hinNIWegVHr235gFh7K31NlPe22AX3+2ZKxNwpBk+CUp7XsYHw8OgHev2xfS7Yzt1Xb8k8f/ 8+C1WkpzfAlEk1b65ZqeY8nb7RV4NtWS8JgYOc4Ck+KUz5FHLgJHvu3trjg5AO/1BYJBwvaDLyfp XDIdzkdb7ckJ2pgPDpAA+yJmNDQbHhDZsvdbMM5+Md7vq6+plGE3vTS5aqCZtngk66tqrr6D2at6 V1uJFekqiYo1/Pm1sbS1a852Jd3ZaeCspHOBh/dbO2vMDRh+T+y7D657vDR3uTgdnsA4Skfs+1YM 1mQBjC8WNIE8a4tIimvJw0/E1ltIlv7Kl02XsF0OFlgU9EQRgVUo68hVTd10pbzuFlpT1+Tdw7gb lHYghCOBeACfz9i4Q8HJUrZLf+XIyfP6zrjdM9SHDpATHs0TU/D+88WW0shxMx55NAL0tmHdqTxH GxAdoMbGiq57L8g+A9CV/rKc03Vgyr8UCpjRI3A1u3igNjxh2WT2yyY+xZayQqOQakx/qqat9mlM xDPrcWvKFkEfkCtEHjKnA7GGfimAXSY1/PAV4iN+17qolDNmLiESK/wMQGnGvcGERqe6y4rssMco E+JShf7D7ToS6D22ZbGwspalB9JSuz/EmMh28vzP0X9FIlf1MdjqH8auawrPDjD7qvVEyxZ+aiWn WTpthN/fg0EP0T77akL1BVdt6DrkFo7wT7pyIKBOek7PGZ1+FdrfBkQh3DjFpAS7REwRH51VT07d t6Jz0xRf0NVPj+vihJyLXu1pnejjpsC3mG7gjBzN4TlYTuEcDcFct957ZXEyZfFZ5bCBrH0ffEyg oXm0G4WLPgq14db5VOJuDU0pH9GPI6K4okbuKy7GFcn6cRNgAidy7eX1I3n3EgZEH0+uiCG0cBgB dcEf8Z4G6iFptpd4NccF9WCOjLmLyWNf0PPEAgHIv4i3YZm1Osffhp6XoVPF0SJ1SoAbrA7cauQw 798+BX4nOYIs5mpvTtuwZq+4338fLV1wPi5silBFWFcETvXWbgKohOX8H0e+vC74LmLHQtHfWXcl /aGQQJIy6g0UOq6Ol4M1WZZxlOol5dm4NJqjE4eqEkKRfxIPaOBzkdNdQnLruFsIZG9S2s+w4kF5 nKzqCRnZe16nRs4+HsKRKtANT8D86RPmTwYt3clfUl7LTIPiz89y+rBy4j6AelG7oI8yK37efSRB YTRPUt8wueWteGOwy67BWYUCxLnwkEx6e3B77QzJmrDab5Abyy/iSdtMeDdbwsp1Mxh/SqiBaWe6 w35JwRH/NfzkQ+YK/H9O2kJ7VH5HGA39HhVM4NamNQ14W4Yjn1yFY8BBCmKCCGWUB76hnpQmK5SB 6DDi9RW5KwJi9wSfMuTSfUA245ETELj3iRXuq9dtsq7I5V2xGUyP6heHD8IgA++0IrUv2yYRj/bP asJ+/iDzBJunk683/nOALMp5F7CeIy6m74i2kegphpiELz4TglYOgUptHUsHKOXdnBkyezS85uso nLdIYW62ONjvks8JqPRm9LdihdIuIfcp0X47238UZjejghTZwWg3dYuqW1it3LnXTWWYBGckipbh BBF0rzbtq4+BieFnMz1VPZhkOCAFpMx91g2VwUF5s1RNYFFTpLXAYI85CN1n46nLd0ibyfpyq5Hk SLRNHmO5Tab9mU12JQjXL+F0OatDwhzge6yPNv9t+pqxzbBGuPeHj/cTeeUbI/ukyEI5A7xoWy1Y 0tgq9YdiH99dhs9FiB9YwiXna2yIsLIIPQyZFywMjbAQ2fcfLfHkTY35IgKzWJ1LfR20YaZgFf/c xt18v+6PFc6KavwutXiJzBoXAqu6LaZSuQEyRbPJH9p7KQNaUu/PQLvewhn7AxAO3eudUNOFZ0w2 PyiLzwHX4/oXq4Pkul227F9IRUCYmmOmyA2o2gvGD0ZB1q4TpHr/R8gGQ2n5z04kE7l2hyF6zSol 5716dzg4cLOiq7tFXwRvBIa0HFS7/5QjkuqUUysX7nX1Q+VyqUcwug8Qi3yfV/24gW0tiynUjhoU /5/JaAhX4DZ8tSZgHtsAroGKZyjPaXP6w3N/CrX/sJBNKHL8OyLulyEq0gQSIZPoEasheIom5Bj4 Hlb8vj1SNyGryBwRVDZnvE+xzZG83soMmwRfjdhZjR60MHFnwTa7eYiZEtdQHuGlYnkjCQT90X4f iAYrhLIoscJrCyy2EswU0vmIZDfpbXCwk0HLcXuotwLlEF/iSCjuyOzqsWX0p/nLThSjfBPaIvh7 1AfSE4vlq3g5lHOpzPF/oQo/Ih8rTUMyiKu6/E+3M9xsZloBbBErL+8lhXzlaclDK16IIHc88Yw9 dFnZ67i8WFkZw5QkyMexI2mK5xNBBGsD489lM2gQgcYgXvR6bQvnWoe6k+DNPBuU62+4nyneT2Ki EksHXi0t90Z79hLsyyKJ4nqImOtxRgOg6q5nCK35oBGQ2DvPaYYbRHykmU/9y8WYtA9lSq4MOV0n tKv7lMlCHkkb9o6O+MO6gThGRx8hCY7WNoNAb+Jsv3dFtVVIEIDKnuZlqJY3find04RSqze9H1x6 h5DPB0q74zi40yQiYGDeUvF49XuULvtXOIj9QeuYL/oT4kXX0YFCSSgfIbU7EtDPCZ6smYB0YMqE W1jFS2TEywV4MpsRy5lkYf2VefkeS4dDbhPT2hJaYixyTBupyprw9dXivpX/aYPRscUx0Exas/Go Hd9CUrFl2nCWE5swyEGl5oEXwwhcdbWfXyoqFWfdikLMsAQ4Z5KqxhDMU8GK0cAefWPmVXx99G7A CvaOqZg5Br5PlTzjX4YDmenT63oBmR9NrVqXRs2/Cqkc5ukGXZ5QSuZuIBsbc4CIG9hBBOESfK5V aux0SEQKThdjKelUlzQt2zunTyVnbRvvnCqfk8N6awwc0W18AhDuh4PF273L+L9bYjz/V4gJ2+V/ Axw0KgHIGMQDB0lWkuGgr7sGnZBTro00Xhoax0lXyt+05DmuQx9X79NTkDGRwNe4+fbadHp1bEpt c+zuEsJ+ze6aCn6Bw8YiVw5jTnxgriRyYualY8NyCLxkw75rpMIuHJ01FxnfkHoI8hBjDOEv9tIY 3LSWxJoGEfFtB4cA074kpkCiyIKGRmI95jS5o5bXuuX+AFjTemcZEN06LK4DHN/gGilcCknQL6PL IN0kBpYwHiSZ/jYnt9pBrBmNVpDt7quzEb/yQFTv8MdCwRCrAXzmt+FqJmfLl28MhyXNfyMwlrBW NAtuI3cQOvpIogZDplM3x72vPuPF4xCF7HO/3qx1sVZDRotGrUjyxS3nY+J9JaA8wSbPeU2z6wfp 63LQVPB9+tMUcH53DAY8PrnKNLI7UeD/yk5CzjY+iHEmN7hEvR+MGOvkwyez9CFH9+/PCHIWj9Qb 60NmYsBc3Q9rDrS0hH2DVfHuXlNTVksY+Fwetl9xmjIz4KvuEF/B4LgFt7ToJfOs3TT9oZAQj/eO EYyVIUpVAC2CSUR2CmKzAe5qrgOBgn/oEMdF347eikdYp3iSqkYii4PnXrvBmsNJxuaaSAVQOA51 SwsU7qyQLHxvJ5b+ChIwnecsEXGkCRzcgjKxV5mkcnWit5FKkNouPbdYcPyU5EFF98EQIlee4GDZ gBrlHXY1WnV458Q8VTIhaXtXvt4lbORtbF10DQef9QoUjwHRW+92fSA1ek9gX0lXaezgL8XOGlOh e7GQfSxGXw8GEsuJuT4k3L7cHxgSwesg7E48vnLkOpTM+nwpWK0hgwNVo4Y9exJvIkivEu0HnhMQ Y/FgplRLIfHrpT12gAAgYQCD5SDQC0CLK6wTNbJYodFI5k6JP/DtQucNthckgSokfFhUqa26292p fwaM1i5EWiYOBpMcWyHu2K1liySDRRblneWsVN3NU+m2+C1dVjVRYugGl0287d31kFjUmUDNVyVu Q4K7gmC7Rl6hx8Bmuz4v975NVu46F8sJ0xZGG9PyOuVHT8NJokhyyo8nanpLw1zVSNJ30xuIgYqO ObVtuGm/O7P1snLXF/Che1XQEQGZtigkU3tzaBtRq3dKiGgkygWFJPiOGZ1Egx3qvW2kpiUikoGW /IdnISleb6aONr2LfJ3KDE5SpmNkFBL+nkLOos9U0mvZscmAmq66J7lsh4y2jIWnE+i5cvvOvzkk WIR5V9d0UsD7ReNUJhbwgXI3a77mm6LYsmZ5DAdka9YQjdSCgB3qeaFp4IptXmTfX4YRojFg+H1/ PXLEZfTAe6b4kfqeh+aqnsG02CwEORXxtbM3KhGvTjpoAlHet6kd8ASl+3uzYoLRMFE3JU1viMT1 m36nBuw54hPZV7JoiUJ7yx9HINEx1lEOMTABQkO3B6Nwql54Owj223n5UB29BnP+GWJjyQbZpKyA MGIjP0KvY09ELCFraZe9y4TFfTJ5M4FB88lJq1StUwhFMcuBF0r2tq5fCv+JYmybx4PLs2fyO38d dqW4PPldHAOTteR2YLYtb+jPOE7O1dmNqjm2ejawRNAaysA2yiZG6fsjgo+ttQPNW3uKj5pza7Kf t7D3GT1WpdF4HKH3M47I/qcUY9NU+0OC5gXxXwVOsN9wTeDfb2NE3Zmh7llOclMzF109SpnCZG/E tmwvU1B26axq5n3fKvg/MbVL2qEhyjqxU7AUz23HVaQGWyNUQmV5RdODKPEfs0idQi6sl881DJf9 8Di1j7KTSAJ+Y/jHDSjIxiRRU2vhx18YkxpUA05usj9hW37K2yCXKPhpWj58Y7h0H7hD01rpyxa6 1MUStz4DtfHo1QaZdVW/Q2GnUxxf2tKpDI++lRqnrMZxGQb5IItir1kkeyNPvEspIk13P0quiX5V Z10yC1BqtWFQ1wGmKIGcu+WN08ejMVANMr44pTupxMIkPr5eLSuzauLEtH73kcbHKPsYJhRHmesK MtNOR9ngCrp/bnCxSF/UmKK7/cAwNbOI2di95msTZ7iuzcS0w7tYWmezHgF1NkOjCCnGMv5oSIBi RF8VA2hH93QHqj2z2z5RY4D6hgnAs06Gt//MJMO9CumsSDCWLW72ZuBQc4JKZlFhTC3HBtcckAbB NaY0d2I+Stsd6jaRip/I9pDCWiiDSWIofEuFLA16ijgsZ06Y4S5uf6jJ8HwljesXRL2HhGkzDCbB BR8Q4eZ9MJxe8ZvjcXRJ4ot+jjBYeyyeVtji8QHj7vp5XK9pYQHP7z6LvQhTbfifrJS/sHHIXfBI KKFI2OVHNs9Xk6NP2J4BfdFuYjSyO5tD1kC/O6rWEV3EFw/ZR4ci2gxLYc8O1asg/VCwv7Qn089L 8M/1mGIIxtpR5h5w1ZncQzIfmCAQeEIVJ/9HfHkEh/O7dUaaMSzfERJfcf4mY7p/OnNRfAsuetm/ Yqg9t0xYBYB625ZabZDpYJPVZ7txJQepYcaWAXGe14hkspciqzhT0cdK6Qk2n3UuxK4CmWYBPuWN ip2UucXhCETAo/I2YIdxyypKv1ZImUk3UihrqMrdJOYZSwchU0QmyeZPTcKjZj5LyjpydZnaIc7/ g+C+bRBooAxzngjTQ0mkIxKtHfPdFxsXAuMEMQWLVseUVzGTR9EIyAy0jMtNG1bRhR99+WRU0a0t PRDYYwEVwMBkn/Ok59YAOtrW67NcEA+rt+Y6Dj0hpEt/bSZClRMFMxNAITPXkmYo4eLFveNt0Vv1 nfgZFIJdOs9J3UI/t+4mA6auWXdNa4/y7trrVsCtg5f5JpJar6jCs7IL/2CdI2Ky3Fm+mbTEcciF jY16e8TG2CMx0mZYWWyau70k8bS3TCLMft6XZWBpeXfnybvusWjv/uA6DEJiM17o0tkmfbwCbn6c u5/Ei0/UGhahU1GBgiJ/kyZ4u6gKKyomD6dP30aD3T/nOYExdFm4Le+gSJ4ur0+CDS4MZNVXI0GB G+QIGfpJBVnpU5zlhL1jDPhCpMvEY7mUUzQVyxK2hz2gUP1i3T99p3APENwQU+YqqmWsE3pteKiC bSn+Odaa0mklKvq255d8mbNfXbU22Hhlf17niaAOUDROd7bxXUnejtWABGBGdKuhn95KM/H/5YZH SOyFez5n5XfKCCCMZ1Xt8L24oCllZ4+inuTbR19yHq+UxFtg7ACQAyEnGSvrkhSAquGQtWPJ/V6e P9JOKorQHrUaaPBSJ5MvuIR3T2Jf2nbgAfFpTCWYnm/lJH5xuTCmcmo7XFts5ZzQ+V6zv4ma0CP4 CGE1Q/dLu0Jy1kI+eETR1SYVxjBMGjr4rtiuREBgPAqsmJ1kTebbA5h7KlqAabwFfM7+EjdfKjbv 2+ZAKx3quG0pc2JQ7YH2gaMKa9s2mVDH+8SMuc8O54BRDFBHcigyWtnOS8Vt5CfsInEd0rMh4tZV ycSYQ7VemYDpBFbIXnQ6gizgjDU2pMNEpx4zVCoG9iYj100qwTI3jU26t6gtDObnsyJZ5w2+6Oam /fyc/EE0L2TgpJ2VIQdYjk6J0EdnTKUj5Zh7sNzMvioN0xETNWxneLftv2/szZUINZzcH46yQh/U 5ZaJU9lQqjhn6gfgiukiTjUFfL+Cb9hSG00R/SrTIUtUub8laES4o/ASvuN25SKjNLUxIEiY+HfB /saGCpqhlwICbOixYOJoR/l6j0r0TPxyagxKDB9iTEX+4bFOflLot9PvPGmM+zdO151NZT2yYgV3 n9Cvx3J5dr8CGZL0mM8AuF/fZAtTgM0lW8Ng27s2t1BQp3M+hjM5TDoLK+1TdQFwseK/FUmGkBQr ot5u6TgACocjq16/0WKsMC3BDSJIlDZwWrhNfweDRqqFoo4kUlZ8gqqJ2jkGNsxYNkPA3Po0LDKs +W/RgwoVwIpNtUmn40zppw7RotdXPF9W+rEBqgm5G1q0GcxnxBOeIeGmZhKrHJ9oGklTkRahNxUi IYlPHvaVmQagdMTgdipu0eWxSf2FrMFWGr7ml6hXJ0CdXMIDaxxPcblG43QsWdK4mhjAgRLfkVMf 9BfTM/ZGCcsyO97Psd2VrJMhqGwizJb2ntRFsprrkqTig6iIALUsGTAmgz+V+KqIS+4DHNvHgCi4 uAXK7l3cMnsFpONgzk6JZlkM+w8cRd1f7zmtduLl+Fr0OxwBn9zwHbIjM28VicHatuQapxdOrKxH ed4k8epaWi3pRJkzNmtCkfeBfhHb+uUIqOnnS3hObX/z9Om1xHrAgD3Asox4ZipGiEFXYGrvx7Ew zaomYwcyLM77HxBSBdlhTrIWg0EsA9S1zX0rAO8HBCt/EqskI70ioTzz68tCEW54cSC7dAPDSSfq c8XZkpg17/dvdv5e9lC23AL0vrHVMsc8k5xvx9Mu3P/hFKq69Zg+7HGRglyIJ4hmw3BGCrqcOdZR asb7mZ58qmyGdn97Luk2xxpio6rF4YMAzURdStpGFVG7lXyi4P1nET5QcUaGqv5Bsw+5J2PNlHUF sdBOYkaJxll/VSgLIvLGST7Z0u2tlbQuPAN93/bIkfvrRV8ihTOLdP2EHbFMDqzuwJQj+wCyXb8e tMlrTpsIRl3HL7HD+803T4qnSQl/FxH+RsseQ6rav9WmjEchNA6s+4S0irWbh7ECRGodI3Yzc9DC 6ren14oqmEW0JqRlCyflchpnG7VaXFCFnlieoENQE809M5UsYFtJvx/LjRSBh7zOcda4t7O5lGSD c5SsA94mro8WDtgAVyYKuQ/tP58AtHCqK2fgKGFZwRwVQAm5udBp0pNrznl+AuujtjcEBc3OdCKW NCylBrEXhzUtgChYhOnIy3GK0aC9hWHSZuMpPVp2bCyAhP8LuffYsPtW4clEcbKJFcqoYfuuxxHt 0cSt7CuOOqSTLRZfi8n0lt3IXsH/PlStuu72v6HJUKIeQ266qRJE7NzqOpgutI14hZaKWIVZUGgC nMDtL6kNglonsjsm64hGn9ZsXGXLyvlWSwX9uwd//354FSk/Oki7tt45gTz+FzFeprBZea25qh0v Z6I7LLLJAM2UfyhQv3v2/8zsGHAGQuJNlJgBH3PWILPoC0c0i2FofKUAtXTK92DLByV7wkqETcN8 wVxYh2mGvbMr3szts0vJneuzPDE16sYNJBOqHjst/iU612g0D8w9bHe146728x1GunE8UvKenE8c nFvWZLpWHA0JcqRn0K9oHH4VQKAbPPQYycvZiV2ofrU5OeePffdUulmToZ1MI3Z2ha8h+JODYsLE 8qAdeoxm0ylszyQdqBDzpFOuIhVG8ekOFqrUpWNNqIUyVXvFncRguG1/Z/ZvagIYD6LHSR+W5wgI UlNULkppqxeJA+3roPvoV9xmZ8C7mQJDTrswHm4NQrnYGy/TuTOO9sDNRgL9phbPROJkDavaQaJd JL6t7JigBkELu7HnnDvsSnjdN56vYoUf7J2Sn3VH7rCn2LL+9duOuRIbp61fZbdJNTH8w9yjQlJN Qkaqd98KmkKaXmgOaAH7dBznKYiNh8BVXNEye2MV7LKA1PZP0T4M3rM1Vacwk8VPg38oo2UGBfX6 iM/hNIULIWGoFfT2oEBuFW3NrrYHlyk8ab7s4dEwc2tZruSo0BUwa2U9AsZu4WTTozP6rDf9HG9l hLl2f4QeMdaLEvCJArY+GcA9RnWqtlTLPZ4FpJYuU+kKx38pFU+PNkgKTZwB+NnbYme1jJTmDxKw lE2MfXNjrGkHbr9Ddp7zWw+qHRyvTeyye4g2Eh82j/TR2CE0onPuFO6QbsQn7VYICcVh5C7LQpHz Fe/Y9JLmkjw5Q1lOND+pmm5H4ff/5yuMJpDe0tS3xczRZNiWebonYbL0ikIk4pebaWnhatfkTo8U Q02rvJOfHDRkJFemc/NqBSqJwtAo0OjGKx3bUtkfW7lp3WJdI3I6mhSuGcFw7ivJm+yXsoB26xLz UNZc3xFClo+6jrR7//1h3s7mbdOHI/+k8RFcCHtt78zMYeDxoOZoq3NY030CzZc1LX3HG+6Gmch8 v1cFk+w22hIjBlfaQWwpESh6BXs5E8MKaCsTxfHSnlUKsEdazmDggIV8owbokQSTjYouL3OHgxX+ LWtXA8L27iHe3eX4cYfZVodr7lYPko7Ol51PRf5Ob7VkoOlq3gBYJJowC/LcVTcixmaOYLdRzgaj 5WfJWiWCAPqaxZBpuK9GrjkAH6k4rs4qiZeZbIlALbjeq+kucdcP6915SxCD+XJW5TarwsxQqdIS HiUoJYKcbhQS6NflbKSnto4qoLM87PpgACkYBvKInQnvhe7kxmgBOSwk678mPmQws9q7AYwu6noG 3F17kMK/kCRcQMFdBJ7+pLYybLr51RyYWrsfLSI3PFb/K8gR2nNcZ2HxRjaEs5JQ7M5KhSjeGQ0b zON0fTd5ChhmGXm67PflIvYmjYmFG6Mye+6SSGXj4pgaXTYs6vHLTiA9oz+TSmLQbfCy+RSr0hT5 NpnkxZkUKNhzLwNkx31mBwTYH+qgsFAjWug1Jm1xehP+5DN9jPjtIjCCaWSi3y+esG+wGB66fn9X hT54lLmCbKoLI17VWInnmqqfLIr60qACjT/+WKP0b6Md1OiKBOsVm14SsLSVjl2aOdYX6vTl5VcM afHrY87Z3qn/nubuYRDN5o+4gVjy4BdyLVc4E52Wy5c3CmgxS+CLvT4tkEgNC9tX4TaKkXWXxXjP 47amN0a/ehw8zptSPKazTZd7BkPAMNJeQYp05Qq3n3ykxUAb9gwFgRkYGvA28u6tqOHMpGjqCvkC GTUT8Hs6iezQ+iQlEH/BB4vlydGXugJBLM0GPI+LJLfdFS7S0fLyWMsGUt/hFbpcXeOnd1Nq1vS2 yRDcvkDcmB7e+4qpcc3p9s1/abZxquG/q6+LPWTNFZ5bkP96RIBlfdS1K5CHBc0KvkakERSzLV/u So4A9LX7UpvglxS75YWwgAKYeG+VjlTzEFJnMPMQnZdSFOYAg3tTb2iGoI2muKY7xYGwNwqoyiNK zux7eNgv8Y18y/N4CgMH4cDwC5OlZxrJIS4Hb7Xe4Gd84dTiYCxsf1JzhXnZz20UkZ3QVgTO1T+P 2yKlBVJHVGF7ypPBSgknw7Ofwh1uQDoblFavWAU5FOg6obieO2ZTTExQAvUJ87+ShyBTMBtXqrY+ fAF7R2FNyuf5S7x5GN1VzsHmmPw/HQfEouNaw8TyLfKpCI0vjTWSCDtGQG2PLtZyD+UjOpIqbyHY rT26+Kn4kxC5ukIC8uWvc3gSmr3WysgrSGGIuNn+xPuyYTP7FLRpdWzuYgk1GPT4EeG/6mV9D3lj ZAF5bR89sDL8eLITIRh+oRF6LWHHnLoJ113ylQo4Ae5lSXUAInMk8M6oKn2Q3CYN0YoGLpN7Wmbx SPeMbjiBeAy4omLHQiurKGalU0a1cR+7tXR+vKi9afqf8FhyhE/A6HidKADGoFXecT9Gyje1Zn5g aqjq7DB1u01aIvgmzA/6BpM3+D+j3BFAZAPlzvnDxlAwuXAYaPivkHGiQAJ7jc3CnaYQY9+GHxUc CptHIMf5vvjJb7poc+IiCX0Ex+sHymtE5p23zmHCh8WCafnG4H7RcwVSYR4sKJl+aXoO9nmrj5j7 SExnqNBJAFckcvjKas7GdxcTHThcs+ZafvJbj6YLxd0kwcKPT+0Ak6T+OTdrzQEWZi+cZtqg7VBn KrwUMPyjuuNj0sDyvrpbwOA3UxoY06JFvb9U40M/rastHmzEoUbxrayWgllWzEK1tWVxkmOocOdr dunBg2eLJaW2vk48myVYC1CEXeSRiIZHGqTHFT8FZhIQYqvkjs4aeao0ObcYAMe1CX+TuEr9AZ3H uyhWIwhfeRC4gctsq9Q2ep4xhLrVU1K+7MpE6eMN0ErsEWSKxVshADJilUPOnL3tl4dh0b8lHCcM PbGxUP5nw6aSx0EAsvZ1nEHqyEt9/pJNlhD1N3PdKuesif4HaRwY60UjmR9375H7IArLM+3nYroQ zP6Pvv3MD1pfQw7/vUg2j4qYJdyc+MvVFfhnpMsQtxmwUzO+J3Q55UDj/UFs5jVS1tvBB8EO03Uc R4nu05QySWQDr9+AFKBSPt34bhCJLlfHQepv9fQdllepwOrlCbksMaS7XZzL1OhWgp3DA/BfvQkK laDfp8jXMvSvqjdQkQ75NFhEKJ2C5Lu2h84U35SqNxj8zWviAukS+vxRkslzJn6+F7qx7SmsiKsv dD9Zyrvql5AdOZe7VuhiLvO4ZyPdz/H9my81KzjkQTcPZ/pjdsoyBIHeo4elUbEMFs0USqZfYu9V ybKS4Pd3Tnt8ewxpoYu8h650h0LB3CWkedry8lzl26sibOSVtJDkB/g9BYqfhrk9q5EFqz55hiZq gY+beaI5Wmdc09gp93LB1B3sFt7EBBnROXNb67DGas1CYLW1RhbO+njVUYwDElttubnxHBly1EgN loUsHn+zHaBOJ30dozmtrUfoOv7opeMAYW4NLVf36//DiZGkxb7xxHC6xzKTA1cPJED+bAEz9B0g kmWQXooB2XbOz+SENiz8RZy70yn5hZt7KdKfMxwnqHU6k18/tX25nd5x3FMLcYWlUNAwwdMET2DS tbpEkQVLYWsCTitYhtjuiZx24+kJBfJbFwk4FASdcVZ1kXwKC4F9K1OUVMgvKgf+OHckjjnOmo+i Sz6kwpVSnN4x7p/YlPnJ9Ovam/HxFLbbf82CcDtJi/wprmYGK/RGJdn4WNQs61uJ1Qqe0tZcipvo 1RZcdSOh9cPQIdFwTOqG1FxXxFXvuyBZB/EM4ZnogP2iU/W1hhHfCatK+391NPOjqNOn3zWj9HiK x3pldlOvOTw8zRtxeNLsbzLZlEWReMlC3fK3sNA2lTP99y1HapWD6FzClDoRUTLQ5RKbY6pf7wzs Zhy5hm0P6/PNEHiOzQ5zJls1TitVXJCPHsTh2d6ZVJS45VcAXnmDubExSgMMFuVJbOHOgcVpExZK Tj/YuMywZtbTUa4BtY5XyrRJrGFNeq5MgdUpwoK0+bp7/4DFeIni9uvqdOkXc+X2fReMdmfsZugT 6YjNTZ3jxqHsVPX4Hoo3d0Yq1N5BAd5UzVYkdXP0SanUz63z5pT8YXuvrJH3vzSIcxjwi77A++65 Z7OQRMdLughRD+RyaRCatV3ZwZOBnNtFaeedyiWYzRtzT6CT9mQlDbB9le+jUX5HtZePl1Cwc625 n/vOr8fDxESCFVAL4Up5fKB/oxeCJkIg0EUWNOhDuL4RRj4sewgzlRqok2Jj/+WoVBAQyQZGNTp7 6cyf/fH3IwHAfVNXbm6IHLxFQGrx8mDw0a6iSXKVOpuL0Ssl/O7aoKx4dvVEPly9sjIYcPoYpL1D FL0ZWAyda0/tXgw+Hsf+SDJe2txIoSbUGFnwHLJBlPKGyHALkVmT+k0vhOTxp9lOXqh+o2UUk8jZ QWhxsUpCmJhQlAdQQqp+1XZueHeEHRvVHvOvWhnaLGHM+mL9172hEqMETij1ifOr8Ypz3FOQOrM/ t+GyXsBDTbjUmAElHTJSJgICUShpTwue7SOaLDzWbsOOaQXPffUjoYBdTrmxuTg6LnltyP8aeyjD myJs2HaMwH3KXdPb9XskLRidxHK1R5+byygIhqt/qS57CRh335IHTtdxN5NJDrlTPFHu7nAb7Upn /4luxKwC73T0jdaztnL0unl5mECuX99CgU/FVmxlwogUZFEKvrJJcdUyFJCn7rq8N1a4WMI2RVnC TZYPqprAPMXRVdJEUYb+u2dL28Aq05yMAWsxidVrOegPCZVVoIxVmKDMKqO/5DZ++fwpBNqtru/k /hguqRJvAHFHYvqmwQZDFj3LYQqFz+5yI7jOgDhiu3HdaGMEOGXMFhVhTXLUFwiTUGQXr6KAyq+k HU+P33/o9Q4aORtTcyhaiMB0e1E/BSoYFBrg0W3Ns8D4dScNAXEOcNa01gzFmWyhDQ+jiaK5XXgC 5X2FE7qIW8WxHZ4U4ae8j7PXGa4nJzcLvF1TN2qbo1ZrK8LXPviUqNonm0EjnLQ0wAaZPI36siFo qQMfbpvigduZ7lU6JvN/Agr4V0R8Wpk6R9W0SKS6rK2tNBWjkQq4YdBAKMWM4nz/AnIA2xduWzAi D2i1WxA5mCP/7DSu9toZbj2DaPBy+xp12bOaesJjnz6yK57jWM28O1ywLnj3494okX1o9prP3o6Z 4gqvHk1AGI7JX8TlM3Axm+QH9EQsvistvImXTWKNkWOICwyikjw64ltOk4OLkYN2NI9KdVIJassK w4+P5pzEGu+cV5AA+vJGmNf/b6blA5ptxR4Zzap3a4cvu4+bCeemjJ1qXbcY8WxJSPvGRBa02tAr 6LsNb5ywu+q6UMqyI1c4cgt6LmGXvr80GZD0mBPThxR5SPBe1mdvDlit5WwghEFe9EKw9UrvSt6C VIvENm67x9taOUmddnZY6guruBK94Upc61zKg4lOGtwlVSlPw3Yzej8z1opvYFpjHSStCFo2VvlW J6RdzIhFmPM9TcJq0vz2TGBBi0VxkDTcBKeUeB3U+64NvqM5o0cc2woHcSJ/Py3w1AZ4Q7B5oaYm ZqruTnPDwFHlBVrX8TvSycN7ZdpESVGQ1wvSbAjgMxpkeeeE8lC6jaUTuecotHGSfY1tqw5Wl7gY dHT5W1ysFgWsMa9qTHv+5cox9iHKys88J2QEjqJ8G33oixbTU7cRqQ3FxL/LuUp021RrXBEWgbPO MXGJEd0MuPouV0dPrMdVF8JpUEMXfUNtUwEshjDnQbTd0f5du8L+AzBHKNZDvlw3zPJ+mVTipVqv WqaiOFpi9t7r44OL8Z6SELZnaQ0IzxqyLRHvAGu7eWr11QOaNgtc4IPH2zlTZBTVO9xZY4r9gOHL m217l1yaaNa4le9vRPrlP4BOY3GYkAmmfoeDt8EYaxlhZF75ANA3G1t1CJH/7ah+YKyh1vZRnSkD cobWKP7jb6PR4+0TCpDtPgwKwCJ7Of7mBp+vAC6o8+E5f/ryvu5W2EGy1luKlshNDvnolMURkwz4 Qtau8ozo4xxlrlMTGzz3rkWpePlM0JAn1KFNgwQmUTsDmbZOlASZoWkxotOECJMdHXrWViwKTBWs mDBKSyvifMupnVC53eBEMgghTeat7WzLbraDu4fpi9NHWCaqlBI4ZdrZ6NLrL2IXnEuTuQoP+KE1 mm6nAyTzSonCrUrAMwPnUbf2lzWXUUwDRZ9XjMDySuLXqxg8B9c7MzSuzlrjgb5O45mrkXeJNT9M qO/ERq7GbtPtTbLuusd3tDwQgO1yXE4eYxNhfVBOxNFMKJbgry+GbrB1C1JndF3Dj5JsFJONT8Hj p3wWM3AHF5TBzLNYlpTxm0balWe9LsEXVRWjM3a/EZATDJcd1acB+tBEhq5RCoL9XAqj2L6v3Moj FIUk+rTEZKqn0PIL5KLPccfnYPgJcbM+gGpiFQhmTqyMTjzi/lKz8E+5+k9XMIda/jN6bbEhgzAr yFwM1pdORQKdDZvA695EG7UfFMmbE1cdcUT+J+UuDL+/Sq8Ex+6TExEZgkT9h2drX+uTPJTOFpb7 xv8twDPJwjmeT4Ia+Q4ohgH/Jcwgx3sosoRyi4toiFX5FjQlyaP0XmA0NfTolIS+dp7r47v4eGjZ 4YRWvHCYFCwRf/hblSoii5V9V93QgQgPxEqnNZ4Vx24EWdCsSYE8dos9om7j+Y6k2m58Ty2cvL66 vhwBA9sf93Vdy+SUIeWfbzkokG0QnNcsnf7IebphIXPFeCwrZqDuPnPqDW4M/euGLinuFXMUkPJx SRi4MdfI4pucCdaLZnZ4aQnkcd80+S6SgVbD7MZf4+MEiYkCEtveMPMPXsaPXkAbDVB7+y5huE3q iJu5qlG9HO6ZPYjckt1YHQYkeZoPN2hNzgjAcwkYvpNuihtx7vPRM2bGIlrA791WHTBa5xSrzkmG YC1nMXoDJVXuthb3SOg+q1CupnRy5DnwWlpr9kx8sNsk39puF798Rnjsb4D2hmA/l2IJ2wT9N58Y 9SGh9m/z0sb9Fw+TitWHSn4wVBKsliiitblNlVyPjdtekUlpA1eSpTukh/Zl5bBgT3oL6FZCnTFk jOf7S9yB+s7T9ralFyS4TlcTFEa93+AElbSddtd5JQyuTsGHeMmgonw8janlJZ703iqCOfMOT9xT yEuLtHnsqk3lgJarASSYdH+wsm1j2ucKXNEkM3EwWfdp17AKPlq0Hv5gaBDZW9R03+j83teqarQY 3IVX+n+pbkgt3K6qRGGW6Hw/pHbX0Fl1AytS6xS62TAHJJlFh9xA4jn3jaLbp42X2WwyO0bqhnB5 C0MKRWIfUNtNKp7lC5DCho77qK7rRYxRFzALLdT19qfTgbwgN3Yd+pTYjlPmyxeYQhvUDck2RV9P 6WMWnvSxyJY/usghn6rGkAQo4CUA0SWHKCJL8cQjPlh0rAFe+PqAkdyFOteD1FDtqgnS7iTrDAS4 9Wn2LJEZpWU2eESwSRP81MdLIr3f/9XL/RyHOgBT1F/xgXy/XvN4m/6rSC6u2zLbDdVhg2MjBdhl bMuCHe6TLYrWTOSGqn71YsQHDyIQzTNYokJwtDXHvbZ9BiBBG688eDasAMQyhA/4Xb//v2GuyWgx c/rzc43wt/zPDMR2d4jSwllWpJCIi0tS739mfJRQ+Nr0mwfE5ciRhdd8uZTX/BjUeFDPXtFs3uWd f3Cdkxkt41NENTw0kVW+CG3Q6dZ3AOASbdfdl/BLQaL5sV37Jg+YLt8YL9YgKViSdzSIPFJA38sA himAh8UYQhVhTkc31p892zVsT7nUS2Kurfxzx1DDbjdjDRNgnPcbOJzxR/DoGcMlZmJ9952zid3q 84sDtg5CaavRkgWbmR8O18aCCYWrvUWqLNLMEXY5AXEGoxltemFofVmYGqm4suCtSVyaXayl4rz/ +r614sIv1C74i/5tPid+tYkm3BjN+eL5Q3CJGi+J/bn+jdSl2F+MrENCr/NxAah8X70a4Ms3U96L UDcfVf1RBIAhlq51AyPwTQrb4uwAE0Tfvd8bGchyK91WDzVqKLna3up0xWDf8RdkOAxN7HswAvLM T7Lgpz0c9LcQ/kuKK34iUQMYz14s53n3RoaCmPpbUyiPGktD6ovtagsBlbOrtZm3kNw51bOvFFH2 MrLWGuHTq7WoLEbfbUdPGWzuYBQFqtSbHdDvegJkCNkewD15GxxCGsiZszsH7bdUYEPINM1Xnu1j B6klAgiiLia7LXIH0PeC7UUoNMUuUlZpjBHuwK18xDT3v0/FpBL30Q+fSNxRRwo3am7Ew8GnBapx YhMeu5JwIl2g10+InJ+nKlFVXSSCQmpln247Sg2V5fgRq2KfAI6u9bFQx6clCDLECwqf7f6H7CE0 4frZDxf+ZnDxv9vNfLQU9F/TeIPVjOW++9x5ZP3aSo8Wsc8G9AfdF4cdc35/T+qR90KdkFVx32fA MWVxlziG7AAV/Dte4/40PL04PW5DliA4+qI5kAgRqWeY4YrAoxrZ6ARMZecXw/YpZFs5oDMMRJba m3Dz6W3LotED2mkQkm7Kwnh551qdM7wuGjtgcjMDOD2xiC0+cgXrm3gRqGDsrOHnybDdJmhYLoXz 2gu+jSJdc/x0f+sMf7j3TdQ1xQiFfEH18WNZ3ij6pm2lGDNGlA/2p01UJGVdFLhHE1NZKjpVnLLz TwoLpfnAmH1cKjKakz0LCGNRQ4EpaIfiUeOIH1+lWunKQ93aCG3zOd7ZaJkKOWv5ernOMegapjI7 BzWkU9xubkTV3ruUJPA0Il3eFWxObZ+ICVZAmMbp+/lqtCkoBeqglFF70y5tIXxnevDSblCGsCEd YAglhl36LiH6LonYLPR6ILfTFO++eorlGbv0Sy1sARVpW1bDsXcrvVe5MFF0/H64Fq/KMCTfExf7 cSLfcashlUPvpUDTHVmxenzRsM3XhXtdQSF6gVZQkPsTYejZDWF5R7WwA4a2tQP6pQYWW4ObNuvC 6RkF5jFqpOd+kz4yDODBScvWvW0foBQdHJdk3cRlSkoDNR1svj7xMHcrQ0LKN/mWchb3VO5mFOBt 9ititEewHkKUOnI7QRqD0IhxFwgSJnziOqKOcPqE3VXHLgLBkQk3+etRRIvlPxvUJzctYQQzSN9L iT10prj6qz+I9vkoLyPMIxvZLn0OMGvKKFOvrZw63cAXQVvUQAwLxzHcD9ipuj2QTlHOw+MKdTfR Vv25841ZdhDz3yLdPGb6juo3XV4XfbX9tZY5y/YUBDVDloZQ/LakrnukzdCwy+P05bmf68Kq6pda iPRZIQ3ZC8CHOVFeCt+MjxEVO+uI4SNzK18CfZZM89TsmE8SCINOir0IIdkigGuIRs+y00jAhH7Z 9/AtaLQbrOfknBRwBwZZhSbbKHFkYTE0dLpKOtWBjM6d0/wyXQ7R9vmMmaEQccdk7MDfnA0ChHUo j2vFRDgq7XazdwXmBhDJzjNJajL0cPpmNAFcpjCe6l64H4gg9IUW3gpPLAyj50Ik775kuAox6W1q XQ11xN3LKtCOljCZnS6D6R6HXTpugZ3O8iMP1s9IiumVkWItiA5oddIN3zXQr8BXPetULPxmRkme dLRzmuOo7qkJf9Bij+xEKF4+T31FQA8BilIxsXUqu3jii4+GJ0Bhpv5k/V8igUYamyBWF8mnWBzZ NQXErOGLZz2cBuLINcNOytfy9XrLjJXyUUDsEI4OF6cFm0FS6OO4DfPJLyC4hgyGkS++jfEWpkEf PoakJPthlKoM1SWDncxFBQvo2Nh6ieeLrIUQBsfgAMS3rlX9QWqma9IQeLbIplHEnbRRbuyi52WG KCBT1eYLYl6H+Goa0FMlq37qewmAaKMikwI3qKSQnSyfUZKF9Vcg13jDg0GnnKLmXta8P7r+rnbO UjM/TqGsoKucv/x8/y9PjKJkEYd92FW8IcObyEcY8sq55DdN0vue/HELsEZPBIXoTHfDRUPmEnYM h86mcCj/DxZniBXl2i0Vr89gmdK3qva6LJ3h/abtX3kcrubQVphe3F4w7xYM+qXF0p347ijuna8l kTIj/uzKckowPy2ynYUV/QscxtyUZD12OO28j93DubU1OA5x+Z1KCNtYhtzJ1kPP1VW346Tgn6vh 4xiJ1CMDqmD2ob5DTPLDrimHhVdkyt9PaMTfN0zgYVeRH6MR1Xv/5BSQTZj+egZn0gTVSkmMcCcW sQE16Uzl1QhwPZooXH1PO1KUYC0wKtRSf/eEORkSh7QChHjo91/Ffd6I+Z9Zu5kTPgs7qzYmLuVy J3ZeoGFSY5F4ZxobZvfjc+MaU33XVP4zUGU0iIwm+pv/aHPjWhVrFe2cSIzq+k312QLPClwXyYp4 TswGFL0A7cmsKndmyMZxW2HY4fSwQEeYJtM2Y15PHTPeB0GdHtmGup9qgxTFVYfxXKjb47rIF+Yu wTbsdrC0+DU89UAN9SXztWpTFsbTIlAyUBwElSPRTVk5yq2CrdRmtt9qyhjwWf43FETz3rG7oGga Tzn6VtUSyTVaj/lhY6bGi6zRm7vlvcqALVTLY4O0BPwdaB8d1h/MFOjYjzYTlKGZJ/iA825H/vUQ tUrAN+jiVeTHkciYhIWtJGFnYpN1FRI1Wuf6I/FA64UF/ipEBbQ+jSjfkvRsgKsM/z+rzWt6TT3W bDVh0TuEnuKXE5S6VP928Yx1XB/IEPEcsGSvalmzzGFOmfj3sej5H29FASgDsMrMAGghoiQoe24t Q3fLkf9/259cz6wZICV0eWFksmh403t406BJBXjvlr/OaxsbIgr9ZX8bSRkj5QUSAjLNPLLCxBVj 8zhOEUAzKZS8KVwd6ynBA8RPy7LsGUIeha2bRzgOs4flDA7WUdQxJC+oAAj0h0zVOI8ooKcI3Ioy zBAg7ABVlycyXVUHbSo/QjaweDBbLONgKDiB4V/7JCVh+RniBxqvvV/1mFGLAFePElDLM+V7ikAm t2yGyzJ73FcQACTlJ0pQXzG9OA124e21/yWR0SmvppE/ya/Fd/aMy7cFabxlPcDLg4zzt/d3lZ/L ZJBWg9PtlkblVQlJcQSfPBoRr8BqXge43OlUf734i2eoHmjxkUnx7gM90Re3HtNtAc/dwnoSC61p 7JXrLo28qJRp6swPNLbLCOoIlEgKEnsjFAf4Etkx5wmol3wSb4fl0vTU2DIbkQ6MwUnBRPFv7cgg OO15rrfPovi5wYh2uRvils+UZCN2OoJhc2G0JCjS/0I8Uo2vJ7aYNeBkRKMSeWfrVuxFso2D6Cxv sIW5lBnM7f7w61U2gq6WKCDddwUJ3eAKeFaA5jOFMsqLNxfSZWKsAoq5uhAOnYI54qKe4b4n5RCN pYtaQV6gq05yeuBQ6jTICtHVvgtQpZEaCJhUedKoMTNy0v/ZNSQhsgs3D3jd+575QfPKJfaCEbj2 9WDJsvCTpCq1vuEXonSHa5pTfngZi+JgrwajLTRYvfoxXs7xhOA6bb+825eEvXOyJj5cLZJgvMwP CJxeG+P3SVtV39FmRwGk5PlgUeeg/bNJO7VMfYIcQR1KNpexC9jqHLevYwUNG5ALrIzWH4tmhF1I bVrPiBT91g1Hi+ZsVzvTpe9FjYRRZrv0q2fZ6wgUjPtBheBK9vJB0cbpOv9xiAHIh2bs2kQD1gVl uQ+u+d9svZ3/FnWcxUZ7OHM+H5dg2ATdyF+Gklz3zLsWhXmAbP3FsZxce5f7/+pdHP1tx1z3Sw3m J8wZ5Sz0sSHFYZzLWmSkZpza4091RUXIgTg2Pk8XQsEW4lwlMZAZ2sS3nACFsmvsUGwqlMf//f1E h/nwumsWnDrY5smNSzoo5Lipc7mHWMc70+5Hp0Pdjob8rrOM0a2onNeD6ZFVFwOeheaPlPRNN7or LhoiT0N3eM5ILOTm8FeiffwwCMDfxI9bgHDckjtO2flMb4ICs1rCMVzzVF+rJbdBlmT920bKIPYk Qn8oYGnc1FDUtLgUEC4ulz5xlfJT3c3R7YoJTCqbnLRlImMADBWmcKYQK3tIMx5hE1lY1WdMXKuN +iINOCUssqcKsJHBj4hJi361cp8oRG6XsdovjgdK+KTSfuM7xqZ/K3pjIJzkG6kVhXvNwFlBeykk 0eGuJ5Ow93k7W2PFBZSPz3YylqkofG7IsIKMSlyawmaB9jfw6LN/tilDKM9SuATk85uKD7HEpmb+ igv+bIgxBvII3SeyLWTs8BZ5pScwjshBkLy2kD6nTw57rSqFULtTVkvA/6o99jT9nM0XutBHOqER D53+VY/0T79HEzTK69ExYToE2T4wH7ccWhESCgb4r5Rv1UEGfx7qIN3Pc7yGUfGtfjvRWN5Y+5If CG4ClC6CSz8OKrM4z//D0EBleArFUCMkVkrFGcRCc+t2hxEpE45XozK18ruLNv/P4zt7TENr6a2m mGAIIBGTL1cYT3ayqgidkzGjDhsOJpUUim/BrFwY/o8Z3rzYeyc96CCqotUbxGFLp6K3vhbM3c6S uVWq9oX37ArHUGs/Yx4ugDNjvT9YwLZNkXn8LPjfjNLLX3a6OfCgVTMlegoyOPh//X7GMYPyO2BW RUqTtpzAOZFvBrMvDJj/CB+NPyNPDaGJoN6FTAjRt1pMO9Uf4CH96QoW/2mb1mrZmk4aXRwCYfNn HsrSws3CUzv1QRnK39QA1PmehH1VZRfHCL2XGCmjblDoD5M1JKgRN3CvNe4RbkLOfIvmnLv3+ydO SzL4FdRMtZPTW0BIvuzJKNBo9kSucNVvGozoPuqIaE0muosEHZbLwP3wTDIV5CHO5bbnGpqnGHyg e4DyxoJ5oFAqQ9kj1W23ctV+ndcWi3RXYhg/dXWLm1NqO6IzeRSDLrB1M296jqYGQDs2Ad2UnxwS Xfxf1o0TF54p7t8+zz1GOWnNFX0a283VTO7OG8UXs/veex9SheUyrlO0Ti4FR/tHgFU13j0c7PBP DTJjR3BqqzpEXuwlkca78ndD7A8L1gjnpNy6r8L21oVMKfo0+qTIE0G79Kiz1f1fRdQsQs1iyB9i eLhKl5WUoEPeCV+bSwf4Hch5/0Rjzl3j5s0CcASrBwMqTMGDk1EkQmxt1RhMIhZc2QJALgESWKzo KSTUov9DtP9Byi2bJiTyWWdroDHGSZGrXb1w1jsYguI+f+lqVin8hzVP9AJjDjFfUL/HMRHpP3Za HC40osZkhH2VKdKeG2YDKp0qV0mvcO3DeaLfwr5Ls52s9lLRgjot3BddyLvvrmUIvnWVW8lDmcxr SzoN+VX/xd9ZaTTUL+wdaYqWua9sbK4d9IMKpkusBzOS+ceZWcQ1G1r/DVeOTCB+NUX+NCoA8NlA FXmEy5FWf47vea3L/zpHOGP7oAFNBGskY3N13yjAjG2j6VmZvU4pn5OKFPCCwHDY7IV0KwpvvHC/ 2q0INydYBlkMJweqeyh2Q4v2pzdLQMbZQMjUtBPJyAJpfV1b3mzNyHN5hvvd26W2sYiCMiDo3+qb IUwRh0y/Jb2Qoo+91qf8xna278CW6gmAXfRL/I17kQmPvcbynNN1hWLq9pYq+384uFAA+p77pP6o TVNJ+vwxzvjbVEdsG8sZnD48xZur4HYnVjExZbxDzkxvzBPEdX5WLk1ensJYjrSZX3fWPkyExZbu O4mnczRFMx5/pgmlkpkNPbamVt4QNrWLi0zECVEZ0NFnGGLnG043SaCEky5FOibelKZ4iP81ra8q xnYNfZpyuPp054q7TZDnLKSS0sJgVdoHIgwG11beSIG7LdwIISb2jgZEAzY029caYB7ZZVXSLqMa fCNNtTvPTgbImSno3b8CANfS8nwCnA7+TNaY7efJVWyPoAM6aVr7fcE8XvnfPpi0MxE3K/NxhyoL 0yh6oM0u1Ibe+i9KVkd/dds+O984UCJMp2vjgjacr0iig1gPK8BG/ZNjoMks6kbtkGN/hKc57QSg K2Iw3bj88bI9h0rwFFWo94MnWrRQDm7fzIApQZPBmFhy2M8XvRmiicOGABGp0xTgHGjQKAmEPjEl b83rLt9R3aVpiYIq5DKRXiBOIWbu/KsW03a78TMhil6jqlPpf4Ixmmo44lCCaSEgffNfaKW0UDeC 1Nw3f45RKTNs1kP5l6DPBjWQwquzgTLpl46kIzp29fP415ZZTQScwTaBLi/fAQ+dFg0kkXzv37ky zZDiS4BIq7HkUpua2qFsOz34RGWqx9pepvnkkyrs5QSFcWSynclD9WF5jIILKAGo+XH2ZeNpWQZm 4t4KdfMQPT39u/3gsoTv0xBHrFZFh3OpNqq8K9Jbmx9KJ+4xsl0VZy35MwP6QHkjrFALxD6Y/aOk RFUouC4vLHTBxFyR+BmkGfepgScuLQkFUbk8Rj2vXvj07a9/PvbBuJ1hsmSe45dvroFlFhXVAmdz /cZo2wa/wpg9Somn77BeoNE2phdnHD03tC9KVNQasoTYiOyja8NB5bsXkHPSokhvMsYOvTJtqheH Kl3f3uHqx3b4LhkkfaAlord0g0TtfpC9aNbrPNx4jxdZbzoKjyynOy8IDM8mxQbcplF88fHLS0kI 9aZDtVY457Q9Xw4cxfoZm2/mlK14PFjiaJjPbZRhMzleojtqbXN8x8W9abcqJ7aC/LOwbnBcx/MO pReIeoLNPNPQovyudAdXbLe+v6eNkJBVi4hhqFAPOd/EgAgOZCjQXPCb1IN9u8Qrkx28BegGSx1N 7L7nzJOmPY7y4XEWdgVAitvDiu/hgHVfJHCFmbJYY/PBzAFSw4SwJMcwdw8vUJmhHJvoSCTqWzYS RhLLmqeX7IkT5yZ5KgLOY2xO1XnpH3EsKBBAqNnG7DrsUFXIY+F8wGVPrsx6altyQYr2OEkk59UV njDSONmbY9Fo/wWiwBw37nKvsJN7Uq1iI2iztMM/71GtOm+jiI3xrDGJc6WN4DwIwlIiBPccsLTm iFsevJa7dSuoKEU0N1ElA4Elw9mSbXXe9Lo34NjLEmZgRc0dAzIRmlSu3OH6Hc+SwqYayj4SRedT AwZxNx+AK4+E1VLQTFy4Na9I1s+GYKQM3ruz07xO6+o9h8i2i/AJIeoIBk/12tGo6aO4nBSMu8Lb rOpF3iuBK8212ytW5nVq7kGbz5WX9RoQf0L8/RSzHaM6QtGC7jaz2wpTa7YJFJbs2vOVYwCeaW+V hQLs+B/QAuTrVmCPSbB/sQ5pIkj7rHXQHM3wNfrQ6pXNvJIGI14B5TjHj+L/ABMrJNnfP+sIdQgF 4V6+Lw+/+a67Ky+3B8J4hEPc66/qGfuEdCDAOi1d+cS1V3AUKDLNM4RM5XXaSGnUphEdBKaaEQaP 6/sRGz/9qbhFMFVOiDrcSGdj1Y9Wx8lJXyrjy7D5s4H+BNLZvbKo2J0xC0HerBrBNjeiEEe0JF0K 2YvFaxxa6R7hZmXk7u0F7ex3aaZoFp8jGEBvq+eDLk8brFtgGLBwUWSu7N8vrcEksK9rO8GYIidm ituMavEilhDfYupABnFIBF3neA+Rnwv1N54XX1+f2cJTq4hHMh35n+/BiQrmyZtCDZrUZWcB5wFy qfPVTrW1L7VrGBsJpLMKBZbOrwXgT95yMCNoRgIUuUcu7KCI2/AfcYHU5oujzaQ5I5MmKoylcR1V fLw7Uwz5M6nTJhgesXTKDiRNJlyKfepdMAYWbAQ5PxoGBDx9lYk8T1kLMGvch6zMpBWLq5WFM33b R7hfhR9MkdcdCG6A0pUny+kQ7Lr2mtu94Z76UAK++1ZrvZVjHE306xAAISnMfoX6tRYZAdcwiyhP w+iKg/K/XHFLg7+jnnOIK6toURBBLr/OrD3d2Ht1lbrjRxagXrxiRRNMY75kWPu8eJpCaAdSu0l0 iuPeRmBI7rAuLF8S9VmsGrViUwP8PYmWnLZDJ/lVp/+QQznbN8f7zMUJbr4SU9PAgSDpao3oye79 U2k3uzEUHXs2bVuUn0xnTevT/cFAPoYluJfpqRTlDNzsj+tsADQQzdwbccaDoLVV1s396FXvRflf wAUYx+1QI96qcsAHtKdG4ckm0buCQTB+ceu4JBa80T5dgn16Oe13SJVhhlZMwywH4GUg7L4BvlQ7 2q9vjlSdOCbyT0T2UHIoArj9L5/c0iRmKJ8qsKDHEA/ujH2fVQO4yQngA5MzDDwTXXsj8mjmptMp HQH5NqUPe3Km+CB5Ku9A0zpVJdVQ9H6GAydw839dt4+MhubkOIAE1pivUVTK9PHccLqcnpTgTnhW sAPbK+ck41kaar0Vrr3R9G7v8JQIpimreVz+Hgpfnb/JNhcXxTYkaAyBCEHvSVdeyg5Ft+iGMg7B yHIegKF/SoqEe6mPF6DuTNhDsetc7VIrztRAaliG+PhCWUL/d8n/+1ahXBg0v1gzbqxJdvFH3TPl v9IvNcDUFcbbIuSv9x24VQazAXnBKXpFN7lBpsEIaa8ODygkYoPQoa5K0l/7oGVe4/vztwJ2FN6v l8xJOjaVxjAXhdvvJiF4s/1D2xbBlMbvST/twX0myOGpeyk/48SwUSkak6G6aeNDl1KiI9VtjMbS ER4klC6uur9HuXmKFPNUiTjoJu72x/OPRAUCyU8DJ5eAE7HNaJHFjrJEvt0I1YSNYssRETZa1S28 6aiKcz/Qlv4CrbUXmLhFK54Kxic18LHQXkvlvKXZzq1hwx4tQmRQ0VHHjfcu42/OhBChqQXW8GKM vCitSmDivwYRCs2NPKWRXrnGa0k2i0EgVG6c6RppRe/uCth2uxvfmYmabI0X+Abm5e4V87quMr6S 8jPIyD9rM+9l8PniqryPnIXRG290HZqFi3wwylhqixQxlZ3kAl/4lr9cXnshS20az5S3e5jRXw7c ulWhjMYb9b1WjPSqFLLK81UXQmEEkzkcFAa2sHJxTNixtzasNtu75yiy23zgEhW8/C4rZ2tYP+B9 1xGCQXbUVg4ZTq3k6cELLijEBD+Ib1cCdQGY8py2JoKMqe1Qfg9hTdO+Bbf4k8hgzPx8+yML/BDv Bs7Dw12QwiZA+PY5gkZsZpDv7ikgiUpM9pUjLoxzxNv2kCyiniiks398AolqAGHtPBHITZOl7878 0H7w3TUt29cWbGK4d/uTd0zZPCaYq8XCmG/khrsAZOgIxTy5VrKGMyfG4Enfo6KEiDhB8IEid2ht MqorXMFTNwraywY6ThnCO9qoYq19hiB7F6DY5X+RHR0pRqSWIY5JQHx5/Yg1iLim948uZQL9Sx2r St1pKukKghWRzqQuBkkNPgUgKJzgpMos50/LRdrUPXgkTY9dPNwGHi1QX/Om67R3POQmNrH+z4IK cNxYs2rQv/vSWEBFHbM9c5zp9lsvnhGQVEVtzZFGWfSR+fbY3DRbBour/FYuEr6NRrHAj9VoVA/f b5lVttzfxvfh2knBuN7MdrH4ImyS3ZN6NAyobfrvL6dNcykFhZDYkv93EYOnVVYkrq+mshJfaI69 Nk+ZPrSlGVc5oKLHYl2kpVnrG7Y45G/ixQ44PumMQ0Mp62QY2+JvbDLtRFWDp46B12FRgUciaRcf EfNGW+kCx3V9acn1xT5bmWAaAKBMZyKrpyX7qWuiUSf7JLcsHw9UoXLpXV+OnQm/oRBLp4TxL5eE HtQleMwS0la3CdY6RfLudiVh7cFT5u5iLOUnJF4pa1rlxvotxG1CQqaXNhwiKkdqJvE5eVHgp487 EA5KjX8/oQNdmSrDwPSS9q3rAxzIo/Hj4/DmHHFvK1RBv8IIJ1oiw2Xjhz+h2na8Mtbdwr5nDB7i u4oP6FhcT1hVtU0LF6B48ay2YFpuGyZ/voD1NH4ryXspFOHBGuyVUbkWEr2ZDszDkoa7Ih11R6Xu yWZnenU0DORcDenb3Ce2uTjHRvBxHlApfoF1Sa6IdxTHoBzL+1m3uaWdCBncKm+NOmSTQ5zLF50S wSohwWldaLkza6/BpC0HNIM8IbjToYe+ZILVM8k2rca/APXCieLFhasCmctDLkpSroNTHrdr0Xvg jqhynmRlVjziOqQUCpYcL8g+UvZwNiHOJeV6QqCQges9oB9EDpT+Yy+N37dFum4gQsw24hmsDHsZ l1RiBx0fwwxKzwuTigkwTpn0bg5JvJ+C0dt73fo+SRQtouwd/v1WzsjPNfx9GPVKen9PErRYBgEA tyLC30Opb4In8+jaeV6U/8JzlaFjL+SUk/E+EYrdLReJ3EyMQ0VTpgFCAuyEkIdPsUFII8cqGrtw RSP9PFBWxajB7Et4HCBXr3dAAtlJvmYOwFDyROcZFPKnBqYU4zsywZ6XLUQVVzeen7dJlYafu1cM 3Daf7Yy9X9SiuOPTzaS5XbS5tqjYgrfzmYmcXd3EJPuvhs04y7KD6qrM96BPRLoLSEu30XWpiNGR pm/JCm6jLc7qiS6otxsfHfa5D0Jci61PZ/qy74Xk+2eGM3b+SVTPr3T2F54pBaZdKma+a7nMS/B4 50v93O4ZTcN51xQxih4Kq/odZ+fBDWpiWkRkkUfGbuPutS5VHehbCkVTdvNGgLLfxwVP2h6Qsxak 5d2VbuSdaLJjIEV3JHSIXvtqetxQrKrfW/d7rzSsxZmsj/e6ajrLFkPenI8NEO41T9QJo3IxSW7v 0zpbj57clvdfrZS8t2ejddnGAovhHnyJfAV0ESXFN3OcCXJhAnz6/7AQPKXlZ9bOpoM8jY3UOv54 eWqhI3liix4gXMmum1EaznOgtIC8DIMjKWmgLZQmG8ey3GnyBxMda5RJNiuw64sBD0Q8iMapC0Kv G1wiiKBxu2k7BlrrpCUgzH0GPWNddv1BgvrHb8yXWPX3DK+76IwxeKopxym5uFYAbKu+oGMjZ/NR 9Zs6zbvwkBrQrbWFiZ3+iDLPkUGwkCcsdAVSQaJIYodF7QlClH8ABDzGz7OcOhJsj7yuaMCA6KeU 3z27Nu91lSLriDnssnSS8ONpNnsw0ISF6vV+1irBpJBqJ8IDhtTZ6luaIZ4JACOTbSA3dnXFBvVn 9a8Z5aVFdeD5ZJ4ax+/iRb2as90E6i5vv/bL7iXg/Y/ZHpGB/BPQ4/fsX5an23NCByrQg1hn3exS APoBi1fvMv5+re7Py/SZmkJt3K0abFOhVMg3dqTSARP8/T2wP8HB3Z0jlE1f0jP85t9IRXpAkQ6z Xuouq+d0MkNCXUwJyWnA0PTnoc+LcozgyM7MVQwCIL37ItAV2r4FyGYcK1u0HB3gPeUKlgxbRUPr CRr1AJ0Nn/CFTssfdPASs89xdzr5pjqN/Vd11W4wNN4364hGQQJ/O/O4LKf6/DSmwGA1Oim5V9/B /J1ggJT5KOMVGtBM5s9fFqsU56vlITcRGMH8RrZsUzlnIzp3WN8xvaLjUbK4nFqmVmETx1Gooe+b jBy1XQ8/jm9seOuVG8cZGIrtkVqRgwqKBCRuVUsp9rDshybMT1WPH7zmOb1bp+9sJOsW5g0pUUSl DKS1+E8qEMziXJpMHOqYD7tDvKjoxBp3kN+aw2E8KbNKTtyNc6at5RUNZA4ACRQmSFutNZo3swJF eZhbpnn3PVybMd8odaneDENSEVMFuwes9VGDPEKYGaUY/1pGU4YdT2mZqXZ2L11gZwzUCWyFZtXE nPNkI6KfoYpDx7mBvBzAqnk4L274Ka8WCFhMSf59Cqr1VfueIl+Yi4v9K2sYsTbwVPU1/bP0Jx3y nTUsm468DyhVRGs55BKWvzmL38e117MUXpOblqBrodeD4wsx0Ii5HP4lypBtzB1PqPZ1l94lXapC 5G1Y71Ql+ZA3a5Wo9fTvszgGJPpEYvKwSFTzYZsILFtBz4gmhW7wO/KZ+el35z+4RHn+5BuZbvIb 1VGWpgDxpaUnRKPxsczvs9gKgej1HyiOzR1OK3k0LdD6VO3iIVxmSSHw4ZLLYZ9BTf3/c02XIVJq 0S1qyzhb72k8+oGuGe26E0gMrEAoK4IBsCmJ0Am8W++yrRxdVf7DxOqEGDQZGphLdBvekn1kkay+ 7smqcRfaVsRn7iOlF9y9wRie/iAE6Rc2iGM8ckLL5Ru5M5ue1nB9nalVPQTvhu53si+QngN76647 hOmkjHVjV6ipwk25tloKxi3rCuk7Ei/S7l4g78pBTZ059OdBsSBioSxSOTFDlrsEvBJAcXFvh92b 6lCdTct4eOfKKordDrg7wiK/pUTwk1OKLi7ZYCPnikg4k6pBRD6B1SCimlnLLR7uOh+Tx/kLRTIW btFpnS3kNW4oK5tnYPcF/p6EEuPfmuwFj3uhvW+C9jAr7JOXfzAIIzAmN2mYaJqmUnSUN9P0+ROx S7cxs3/lmxKCnri4KVlJzB9sJSaFoaGP405b/04URoLSfdAAKGMJ7CBPtQyPV2JM7p/oNxaWUOrP 8Ac0JSO4C5Jx1iHdqqONxQQHt4+WXSqcwfrR4dweZGegyeKBsuehskZdrb8E0XZP69DbLIQYmeW0 igW0dbsHJyxswtDQrRgNNORVzOVwfPRpjACCxOY0lDVH/C281WUBwQ51YY4P11pAOQvZ70OA22cn Msvwa51ZDPBYcAjMKwdxngRZ8oeI7C6bPGAdU0ZT5OuFC0grVtGjlxmeFWjbO4ts/2pEcvD/ylme V+YjIT4jr+MPzTyTS8nIGb6MfbzWFu7JPT66ngOMKV7Mdq96QXxZRpMx4AWBV7qYdc2TPATppZQC 6hzMWkw2QyzlAG+JdhHgkYE2IALZr68DH9p9x4WKaDFLcloDY2koerfFVVbl2QZTtBuHDCIo4V4t zoD3R3S/hzBh5I315uo0XCCfF5slKAUxCM3QdffWArOiWfd8XXCB4y9ea3vbwW4PK9r6uFtxn4Ic 8WOUXQrkISc3L7/QkUBVbjqUH+ekYSYpIF4kNrHFFj4T2/mr70UMP0tL/4c3suOLnC8XVAt5e5Lo vaMOiqbBHEid9pIycIaANA6qpYatPv1gZP45EWRcRvqTFa/cKJgRuvFiWGhM6+u580onbdE3Nh+I A8Km8uUF1dIzdsLj0ln4S1Qk45Y0yk1uttLV0wqNE0UIcInHtVTOJYU6mz+te/w6QBy4cLjCqW4B eYZqZTVYzZPSnkugo07Ijtxmn6d/OPPO2fVK1j+dhCS/ioxDntWtr0vOBYDVgF7VDRXJJGsxFtT5 t3HSe1kNRfsgGVmqvXqpQlESozCp0TZF3AhgO+G25IFee6QHincJqA8wd07DFciPBbr/HT6Wws29 xVPJslJIgW5CRub0vhnLdckJDFqs3EzsULXp3qkvkjYm/dE9tRCvTRVgjJm/3ozUVidi5w1MCFbJ pb+20mwv/uXY/ZfKaLp4nTndKQxaF9sonjkpZUUI4VXqF8aGIvk1NgouHrOrr8tQa0aqPErqiIyU C7GqII5fzv6RllU6rZzsuPpKb7X3Eqk+I1TSVoR00DMNUMVzq4pE8yjti5hyJsG1CSQTKrPdYil7 yjCs0t6rdlq9OTrqPiYTbPbOMfvAdcd/lDKuirw69Dbyn05JBmTiJGEoI5ufy5sBNs/pETkwZkry DW3I9aYJBFMrrL4bGmMaGLIpWyVPTXIc0RUUQBZX4auEkwm45TQ0UUD1AEHSzVXcELgL3nGsLNhF u7sNxXXezsytOOQ8eBXRj/Bdcckw3vBUrE8sMNNbHXOzbv15ArLtac0u2dqVoj4MKvqJ/cSTZX6F yu4qbX7x8skoZbHhXQ4Ir0gl+YkRdLY94VDGzC0HgJ+Pw9IstYXxgnAQMCjPQ1Kir84OYpavAJ9y o3sVi0CSgwMb+/14Yym48LVMAylq4+4xUHxfNfg15Hx5OeYub/NxYoTyzzHnkngXgqK1ODahypHx Yl/IFJgXYf3VEt/zuf7oWBazGJfjfdiwdSdqz9ErK3/HE54zd0KfjaRRF+INAYDeSbFTkFayw8f+ iO9MohH9jhNbWvSsUabP8jf5zJ7w9GCA/o/mmcMaNU0oeKRSG8JasprCkmgCKu45vYPtFHzsRMwt o75POv1tXISJO9yPv8I2N4hn8//5ia/lXiC1w4aD7nWeqlkMzzdvhMdxaNB6zVeDcp0Er/9RDT58 uNEquZiY18sXbaw4e3VqvJ3PZUSaANRNVru5JfLyLWshW7EtL6Tt7OOOqGVN5h51EUs2EJXx5CwG o+5cOo7qs161DQvwiffsh1u4Zo8gJc3UZ8p6huEI0S7A12RxVDPTsizeQRsdRxCrguC0YDhnXNqU CP1xvyrcjUnQAOh+TPxYbctSguMepmSm8iEDUoqc58sUCTnkt6leZQw4ODnWLfwguCpxFcz0umlc lw2gWRL9+An1HPRr56eWLBjpHTq0tbwXriIksG0FchASU7Ua8simhSEr33hycbIDYEB2ch/DeByV KlIvUeRA9mghC8dUDKtnkUBLpl5BPLr8I7YloOE0qCO1EuEhhKBMAa/6wtrbW2JknRJPLOzETSXx Futj9wHTuvdXqYgYyLsqwkeuJKtjTguNcNyQ2gMibWZSEoFtN5N5MAMRxhQuHS5ScVxv+4fDDWIY SC8dZyDnh7bib4HMHeEcKABp1Jg+WvAoRG5a5FO/GWWDiS0P9btidymlBFUHduX66c0LpJ9lO9zM JwktidTZd+qZMKilRhjdnetOIBOHFjrDFgc0N/uxSqIibUXcLRNsTMXKHaBwoDIsBruac/EC5jYP IrQC2QRtlpenBgCdtwARg21NpKAJVcMiUpqcuuAKswmKvd7nSNjcqxCyjMaLORSTaFVG/CNAAuDM yb08toLMFwdQBkNpO5g8iquFHGuY2ntTe/4n8puXRhG43JRvdlGH8Hf76F1qn4DRN+A8YitD4Txz mQ2VlB1FbxxyGFgZaeV1P4uc/H3owfNfThlApee6M2IZt+oHjPCyR3D2HW2GF5kgTGwS8a4Br1zw bJfRG/24Jy72n7q+xMem0TYIaGZpqaJqplUt7OyXH/5lT0hDCeY1MfSggnvK3gMHx3rVBCRk+Myr SE4Ws3OlLpp/x2VbCENTbvZ05EHOl8nhdZzK+8oef00UAXoJ6WXMWh01tsgkSz+SDu5p5Pe48XrW 1SHymhT9yhhFnRqYSCjY2Yy28ZQajegjfsHc3onUaTOfRrLDTrsKNV6pK670xmepIOQ0XIl6WHSn C/hocGFg5Q8V6gERk75ktY0MdpIZ0R/g663e+NebhAAupN77+Wq4C4kjDJYHr1p3mVcazE/xxnnY vCiPUqogRBgV2PCI+qyASDJIOquw/cweDeTVSMHniDuJcdZFio9aDv0CWjGIVhXbvrWgWEwEY7Gp o2Dbv6thkKbn8I1W320IGB2gxEop+EGQLfDK8k69sNbfGAvJwSeRlvCk1XaMsV2Ht3vPWuffEHxP 9QI7B9jFZb1h5qF9uEmRV1EjpqjrJ5HPv3Y/GTu+p4Fwn5V5aAbkiRxaZbdBzlhdNAtXAtq77Mv4 sgrcapRBqTimHdYtzvroyjUXNzPz23r4MvGRCVKL1glvdXCJaGzFbzYk/EuyX0xn1W9WoArQ1BtG zn89p9HMI/tzvnuYVDG95vCcbVhkoixLgxDjsCHoEuRFbzVL8fTynua10Z2awchYME3fNb3pxzNc ozKpKE26WhBr5j6WLzg9A5V9W8kTcDZdiBaZ6+hq4Viw+h1zGfdc9PrpfdhpyKeIRQxiscFXN4JY l33pYkNrWilo+yYUjsvkpC8+dh4Cht8mRoNh2mwhpo+WTzwOaEJqcTjVtRvf2hD1h1apZ70suIrr HYG+YnnGlWVtiNfOsWKevB463OWwa8hKQDIyttcRHdbZvB4/JA35HJfydodp6AdQMBUw43OcSMJY 783fdhmcd7cnVO1Ka7OV2nzgNjNU1EoJPVxAXdcdomr+VkKtiHyGAoBhWP1wNngI8NpTzLbhHE56 bYwGteroI29LAFBnSVlbzBsK26uQo3+AF5g4v75C/2GNX/Pe1qr7tvOFi1YOTFbW6qdbTKq3a3MU WmjSESkSNJNNzvV9rw576ybYbNuG4pdDM2HsmhfOEghLExflQEQsr4Vat+sIdnyt1yZXBGM11JAS OE/LUb6+Qe/pSg4C6ZRBpcwXwLR6HEY8m1ngXlHEJwG46e+iIFVLv6D0Em4+KwVRmiO/DuPX6GZ+ Mq/ikpl8LVH0atCSJ6dsE/TvOSZEHcly1RSJcViu4FoVWnuPWmFT7TgXLP/HJ5ewkUvRbCHx7bLy k4xZlrsDh6j8Ii3UcpnX5GbA4xJPxo4E6hPEED0JKPwXOdFhh+7oU3uX27bTKo+q9Px17m9BIDz+ 1lVZc6g5ortNSGdRonJ6iMDDWrzq1YfGEJl9IYq4KZw3bYEFPOvfNY9P9xvvCHDoJaVeIq0mUeiH 5rD/tJz0mKMkIg2r9uUvTiVNm81VAVke7d1bluE7HcEMcq53thaGaGdS/F8QL0gTRsoQxM8cJpu3 /fYbVAp8UqRQyEfQ2y46kTT1i5SAHOo4+xw+U9BmiUFr/6SGtzHEavpAdnUrPuT/KFBJA+Uzcy4G jh8Z7GMkvXhKj4QoD9blFhUgZ2IkgWmD0WmjKCU7UiHzBvYK6+wOXcy3EwrZlIbFEvExgmS4HDIn k3F4wSGpdxkRbT3snHN4FAIsEQB5XGyAsbTIsggeyQYQV6pxNKoDJW19dmtcKnKX1m0QYwWtgzn/ 1aBjYBbI0NTHnPg+GwZWJp4y7nwv9wvftO+AxWVpoSPMiXv+3R9HlRy4yJFzIQ7xrnp9eXNixPt8 tQ6vuOhbZLIHxEkOUzOqdhrHvMICwzRF5zWMpjUo+WSEf+af9pitpUPq/pzP7Ns4EPENlKURwGti vMEz8VeZyUfefVSoIPqKHwxI1dYh9Z+NXyLgPkDMdUnaWBwqcEUTm152svkUe1pkgSAzJ0xHLPjF 7E6/Yo69nEqOZnpDpORFhIk0435P1qGhcHkhTcz01vXvPsyFFUxLMFvNmnByCXIPyfkSJfRXXL7b hBDXLa/bVo1WbXA/+zU+OBSwXQkjkdZar/xty5Eg2FEJDcRZBGz07zwKKYBjMCh2GiBBD2xt37sK 4Ru5USpgEN2VKDb7iblvLL+I/BRl4rHjn7DaHz+2kLKNBsHizbFNxctNF3rfnhZlMgY30qNgFm6e ztnGHGb3OB/7m63qwcKlwg8CD0lkJHone9shJHvYTziCKMbC6ncooUrMnMZWzQNfVXXdCU92vKaK yTZ+vg0mGeEPFYq9lOVt9fX9C1I87kDP754YAjMTRnOeS3xmvUXlitu3C0eoAk3QldNqt8dRG5i2 XrDp4D5umnfOkiric1+jk5xdf5gKi69aTQBW2DgsKZcb2UG6PBTcHFZ4AdrlzhDl69O88hMbOuom W6U89CF0H6u1ys0WYdknqLk78Yh+g1+xHz+HsovlolDsCQrtjYQ3TqtpMe9WmQktyKXLopPkiGLZ qfYIWxWRFWLeL8uaSDwOrvTem3ZA7Db1nk+L57UKSkLTfOhSXPWuIhqXjx2ySeKBCu7EU4idi42H EfJI+KMCFIM3rTBiilAMZe/R46e8FpHZB3oWL7TJkgsSXdvmbwiOwZi/1ZiDekKIbG9P58jb89Eq MxTePyEhzqQQj8MlLSQwWZNNeO1dWOYqhmuMyU+1aW0S6gozLQG1MUJOKGOhEWWFo2T6nkuAa0ZU wrCPLgeiOcx88CJItXIfpkdLSWsqhLdNqQ1j3o7850hob4MviRVM8u6IJWBlyvncBd06sbTBx99c cocF7CD9tZ0r8hu9UNORu84bYYmQcrc3zXcXiomTwT6i6vNMfeQ+oZkYkMkByiGI1BiyDjID6xoi Mbrxq/Fte6mRgGpeJJuXgVEOJtJAeNT9b5I2m3CpFbFRArED/Q2mBwcjH2VitQxU1zkcHS3fbHGR X6+9ZMkEuo7QiU1uIHKnSWNOyvWQ0smcGvhcGnkkMh2EnvmRVqLiL3bKq6Ziz0S3r7KS1ZtoLbbv 4ZsYjxVVHwX9yK2qrmKDUJP3sIuatNwOMDWKqzDm5dauWxfv8RX1hD5zQeRFytGVoMI+OqlcAGLN 2Sdn5BdCbUOjgxKfJ6X/Yvv0E0VMcSeg2z3TuqR2stkBBldVOK5pXVliGWZTCfwaz+98Vqn3C5S1 22T85++F0MBZJh/BsCnE/8q5OvNBrkfrCftzz/rPnvg/mLIPoEFbG99+JaWMmP55RmtRrdBdGaBW qOIU6crfejVtcgg2CWwr3TAQi/JCQ989xJRjkNUc/nMcrwVhAMmhIlXbEW4o6CN0nBubkp8bbfVV erRwliOqsGKaOPd3c+/eBhV3hjSf9g/BGI7s0a0Dqes5CvLzVhJ319sQJj/qWe4VQqACQGbFnnCs od3TgJ4FD2UsJqEakYcRbo0odZLCVjX8X48OXQWeFhNu3nMZzSLyvq37vNW31QM0WUdXtwIuZwSk JnC7qFk996AXfucADc6r5hJgLorIaU3eXWJg/IH43B+iQegKBx1J9fsg3P/V5XoLOkwgGTJ/FFpL kbYNuIZvVVg6t8+8W1W3NCynxs4Vl89F4Fy61WoaKx7aKsLKT1ktnMLFvh1N4baeUjKKzKI5EhUT BaQ2CudvouRL/jPKvHDfjIyRHTiQgqFVtA2aVlLKQRjwdo5S41VYZJf3LVJlJh7oD0IM83TuIDIQ YUTPeC3Xf7N1+xGIJA2/FFDz/9AckH7psvJj1o1mu8vyj3NhKr156PEgKR3qd4zuwPokoRsFI3Bz TlXBFpt15I49mSNvPQ2k5AT6+BQ9j3oKZ+w/ZLgjPpujz1lN7w2clur1OGH7INx1ADAI0S7AdSeS 5XonaJoCPrOb5BWSNHaqg7i3bOEtkQznHsfqFK/tnNPj7jq4yAPmfWsTZE8dUBRlpgIXM45MyEwt YFGOVfuy0dPnCsZv5UiTK0yLtCw8B9hCqYM3OYt/GVIZ5+Eri96sP2dbK8ScbFTT5cY5SGsE3PLm Yspv70q/R2dIOUkC651UGUu6JRbwd8HQSsACg69hgIktD4MbsbQSuGrb+CxunhCKA0fXgmxNoMro jsT3oOryjp719452mqEytBnLmru1cH2OesE3i3kppoqHmnYuTCpHpSCpsEDHu+wPGenRlwrwz4ww EXecJlX65TrKSoZf3vEa9aXhzVKUs40sdWZ+U/PzQePRWKnvGbncTdM0ohak3S0rlGpX2/c+LGlW 4VEcFWhxAAVRBUDAaO9nbE4YVxdpv3l9m1xKn4SvpBiDRgA1xPbt2eKD5pKornEMU6WpRy3pQm5f KQUTOzA3YH7w1S+wOnMs3Bs0EaCMm9WhjLP6hjEt1iJGGqCXvgeBsak0iNzmBB+vDAI68cCfjPx6 f2Q/gkDM4+9/ouGMr0+c/a/RJMUwbkB+1T6Wg+YiASy/5DxHS0yp4SyT6rPvcRerKb7LW97aT07l dIN+1JqJppjKsihORkxMe60CJobyP4fz6jS5nxDdnAViB0w6Api4HaSpx9bsqKwojw22I+3IDCh1 bhnHh6yhrS0sCSA9lrEnf7wLhmWVITVZfIv0a2AuYcjULBUfTYRVI+oiijPwgS6ag/QNI6wwxwk0 0K2EewdBi+y7O6x+TSS3lSP+4nl6gpNkLqd2i+VI7y8kKKxMDOndkFiYg9yaIjUrfE7AZIqEz9JR 2DKqokGxGczbYdd/vlaIZScuiAQUt+qOtjLOofPvakLY4ZnD5Me0is8CmHwtth/D7IELra6+lFR4 HdVWs39h6Apvzp7zvQX7YonjqL+LIWUoQ0gBRO0eFYe0AHSMUhZ/SGhrYIZlqBH3G9jAI0iYGAct sTj0HRwW4E3xIGJwDgXkzSJyTQpxT4xyQueykn8dbiHJ0zTclwVSorNTeLxanILRA/5TNGaob04L sSot4uchmitYHNdeuvfDAidBylKW6BAZZyclOIv/h87kO+36PdEqjyJpMwGXsAQAyt+XQcfGuPhA 8DwJYmE35qzWHleNGc1uiVjRvp4NFNyC3+tjwZyy732NqBtguTNCtiO7UAeMTH42ahgLbzE+aSxF lHZJOkjoObtugcyhXAgFQzgHjSGrLHY3l9PkCx+rSsIg9WWpWK6kWucEbB6AYl7SnDskfDdKwRgD MA60dySHpBMB06dsUdHHl/nhNsy7kseIskSDIyYsUrhDN53klA0ND50ewyJW440CbMlo27AcephK RGF3Ccun0O6nJ1qu9qMoptivCCKKoKdXySWmAjClSP2rs54/VMspNzqkud946gfW+syOiHGFpBsJ hCtbNMA2BLAb5gnBgy3Vq75alYZ54FNJCneH3Z681QJRbW1VqL3hrTIezjCo65f60vhPxPnW+sdo 5pf5uepwWnKf1DzRO8/033uGbjomkHIvllDMH5AF7H0kr7TWLy9cpXsysETMiNniXjLPplYUF96H lHgDn7XdY1nREGoBCcRUWLVMyacQE6GjSrzief7Q7MYNpputnqex0z0olTOOWL2z7kGOiOYmo5Ij a7wHWRuWuTBtrpPrLnU2hTHAQC2o6XJCc/b4mUM/YW/gxsy4KKsA8mBUGbh3P4CDydDI0prAI0mv pj7uFcdlRPDj9o8jomxCf/pI+/pzZKx5bYDpZorrEaYGdFr/J8S/c6t+rTCSrORpLXQndEbyO5co RpOwMfhB5Eb9Qxy9GQFQzy35qZJbgiI4wDiKrlosB4btlwGNxeMyj89Q/KbxWX9ONEwy+kYTTvKq GvOdUy/Ia3kA4FVOYErzWa/jUKPKWpRTrOsV9bp37rbuuHMXuoljPnSc2uqAjo7vCM/ZcKPOtjAz JAP6FKO9uN8ncrH0upgibH9gVbXGfkfm+2824/c4TF+DseV1Eodw/NEbi0wJEjunehlPo9185+a2 /bimCJ6+vyssgEL3SkMPDoBV3+B0O6q+WlX9Ac+u7muBUqCEhXX7XAfLyrzOQw11bSWqbg/JkNeg ur/RK+h46r/VroDw77EioP4mIpoFFZXg4WlugTje7l0RvTvkX/kusTfL5HEoPgyICT9lRP+XwAoD qxDeg09hLTQ9YJDiLuwEQ7SF1qE0eLNYZCT+GClxQmw02tpwn1urVMOYs7Kbq6/OhjQocvFSpN/k r3o/e7lLz+1yEHbihBDM+sqqNIh6blMRlcsVzQN5upRyVRIZAobi3XfDoye7T1oWqD1MXvKDpJFK hZxRiHNervo5IXHgIIobdTs7akakU3oxpbucGGDizSw4esZmNqxmQMNmdIUT+ryYcf7Qd/dC9HQB YMId8alpD7XjEVEk+6KGG8CZCLkujer0oL4Q7lB4gUC6HG/8OadaHvdFKF29OJcgH8PypmnK6JUF nuSUMJf2Z+LQzPz70PWHkf2fA8BUnORlJOfpNiHwc8rUcm+9eeV7WqKYbYG5TJQ3XggXZMTIpmqK 80v40ZhMLcabfpIIru6CzKAH6wpdfvZy1i8JBZ2NEsqQSGEpgwgq4CF8UKhGS9rqbuIRri4Y0w3B oNcyMG+rLBLf+Z/+QK4KarzHltzcCKnfopp/z+0SatC1LVbtZNiKNLyBtg8nt3gh4c6t4hdSK/fW bdvHWk6I3olX851OI+KynJhU0Izubj1yTulZLXT6YEY/NwyyaCla11ddRZKTGM7/LwAF/93jn+EB aeippXNg2DHrKnW+ClONzg7J7mUUpys2U4bJl8tLmhDjk4Fo4Iv6NuYebxHsnwZbq/ZU3UDLCRKs Rb3R0xlGu29jMo8C0AxACWweJvMz4SlKHfb148ZHioAJOsitf3Or9u9TyUmt2sb0uJEX7PkTTa8j f+OFYgCccxAdSiEmBsfBSBPKthPzJDjs6bPKgGRUyYL+Ji5jS6yW1pFAEa5esQ+44+lqgsAr1hVZ 9VpUJhUshZbVAx6VD5bdINpEqnkhBexlufGSxPW1pxKjq4JlXISohfG1m1zd8L7nxU0jVh1eI55L GiOKhWdOdv/zk/cKuDsG5z01v/wsaV1IeG2Mxzoh4lCkTtjHY3FPQRBgcqkOVqsmo/BN6ofNIax2 VdXhKf6lUEo9b2L+YoCEnnsTlsX7mkGJGGrtNfGgOcz9rqejvl7qwXsoO4/IoKa06dVqRSp5CaaJ Woo5MZ2JcG8Nvpnvso5UbbhqOrRZqazadkNO2hrjRJZoDWbUGbJJijyE+gvTkM+93wC2kO2ePYqF 6bcWxcWwZ3Y6g6zgYoalLYHdsJHY+vbzpMbXzC7+t135uaFTQzPQ5PwENQQXSlXcdqsxJVNpOyng DZRwFURgCHktqwByqSvEZyxdku6aEya8qGA1MPKh2nqMkySjXOByoIIMAX5HRZ3NpMWSkhZNAutL N8ECI3LxjDtqiQpuhXo3HgXM5B2n9XdQo+iio7fzX8BZMJman09S6dYbAGvuPludGR/sMvAuvzQ+ Azlfatp378j1WQOWTBk/QgeKLBJcJizeWSICgNhkyqYNzT/2o+AA+6H3cFOQ2wEvdcXZab07ilhK nvlMoLoWplvEvQvDtwz4QWlPDvRdzNii53Ee5BYxRbTmZzezPUUrem4UOYcPmWCWuQ09cDfI77Hk lY89USNxOZtuN/P+inmSF934cWp60AGXF2ZCGXIvBQzrw/u8GZZ1A7McSYfUvXYBp/UNZYJjOYV9 DvU4VqA6rxCoVKAig++tiai9BrRpobuV2O5yoNstLe/kMgKRJaxmp96D3TFlrONJOXAWzdaiP9Gx 5s3zd/Y/P5GBJh3YrhtGtUFuL7ug1REbz5CnebPWNzJMpBwNS+ttI56r93an4eoI5JpR0HpCpkvy sNh0nVP1jNmfprlaav+ReT9YjvqipRQcEurTD0N06WzzMeXzFD1W9O6ubtzcMcsK8qYfbr40oRQC Z8Fd7JFEUA9iIDRXBUlriu0LqAqOQG8MACGjRAv3vkwosGZq3t2TAFHCc0+OvLnNQhxx7AQV6wBq QSIfaT1XqNpyR9ESjyghIiMaD/9p3YE6H+z2O4OQkaDcCzV6LiPMJ+lSKhY8yLB0yQ2J1o5zxiIq p0ULLrvI/zqbd/UL8bkGOzsfqDITIg6d0MDsKQtePrwHtPhtuS9q0L6DvKkc4dBe0cQiFxk4F1co FzugIMeNBrq1lngKA6fhYn4cITpp9QFT/oLGbu23wdvxIG5iLctnx+sxc1yLUNTQQ8jBAqTimpUB jdGGHna1Qw0qSOZSNGTPNPFCfpT+dI54sD7BBHpzCm42LHqAAtl1CI21/l1O5oVbl0CG5PWbu2VJ cB9jHFYKEW+xLdd1Osn7lrDk1pWLWnIdg/W33lDS65lUqbJcArhFdmHdcUwW9eWqOkpXpidSsmcD 10uUZvX0t4VplGsuemzyLb4h+txqvxQubvV3Yg99qhiYOqtvCBvEcIi39GnaFeEO1eI0cfptDogC 25fPfKj08GC4sB2+HoWsxicYRz45kLL8axKjnPTAY1/dpvlb7eA4rIPDi+f3BFG3WNOvwW1J9xui yFXjrPeiB1ltXbT3Z7nB7OtL8Eshje9jstJetjkZVH89snoWg3RqvtZwJn/phwV5a5HfHervSmpM QPbsXvcbpQ6Zl3C69ZRvzAJGKsm+Age8qhMToP5GpYA+x2sriHhoMUQBCviFQGO9cKYU2V2P2c7J UsIhQmpFL5KXJKp7Hp/if6n4ybxg8IrZvEftvKFJ7s040yQ57yuHIUWCfniRK3SwZ8Ht9z15Ut9k 5qLtcEU3px7UOAvfk/bjXDNGifaSSHEXjqlBGIWItIQ8sZDzFYTbK/pBVfh/0t6vPm+NAgdX1OBd wTtTwnlVLG5LnDkyPW7VYNVo6HRchfCXaGvQNv1Ee3/LupphUoGlBqxWhxGnCyDLjBML46B9Ydk2 IU6hANeAOJdKQ1mBQM1LvU4gP/OXGCgHvSG8IY9BuFnXNBm7NXJPAKfhhrkLt6AiipvtZcKvbbsy 1H855rNPwYlVyYbRdnaThaAi6B4INrzVUsECH7bsZH8QASTFh3m5cY4b0GPjhIniqWsU+WQOqAbt XGzKVAnphfVSI2gOdcXuaR3pCrwJ+e7sTTagvTKrkMGVXQx57JiKBI38GWXx43wRm1Bcuw2yov8r 7W0KX4d1VtTGe2cU668nBy54fW0/gysf0KUSpY1EbNeQxzMoM7x7GLiYuvjgf8iCyTh+ol+/wlyg mXQhIksrd7VFo/u7NTXO9XZxW6GQljtgEZRYqvbFlKZ2SYw4/jEKPEesI0xcLNWBrsIiUPL/McDd pztfiuPKsbAIxusVW7a48CwOPngppOak4nWeWrDY4B7E0GtcqxiBMIbCo2Gp7HZaQaw0phNPgCbC UGVA5RuWxkJlA7WvmeUzLZCMABU06jeWrMQxBTrwd1dpivYxyHbCzSzsBRuQqxgpNqw1dk8cQ9uM u/2fWZZP8tFaifUWtMy27JZC6Hnp0Xl6pHIAbpHgB5fogyGMglXNV8nQ1j+kWqtp5SZMHgUnCP5P gHIKvEJDEnfyiJPtn2McV5qGbgjnZOB5EV+Xq/f+wUJ+ENBR4Z2HFHD5ehUpqLGfqnQVxH+KPf7M AH6Zb/w4Rm/DPskfuo3JS/v0zX+NRvC2mxKagRgF/BxULGC0Ap4mFwcZwzZiCDK32oSy3pCQDfCH UPPRs15dAYuwahFi523Sp6wZVVb44Jngq8R3bdcvLUpoEjHyALB7jCm6HJvEupOEicylNugR9S0b 2g/PBH47f6K9zmbbbYPi3SkYowApT8uZYjAvGXy+qVJB4mlvK0KhKUP2NK7gSlwGfbeVRW4ENO4u lD7sxFZQcUucViQtbgkGO/TqRlf5izR0ZH8fRVxaG8D4zQRqi8UTRcu7PMkLLfxSPRmYPsunHRCq z7X6BOVFKG2Hq8mUl/BJArsJR7XKjjWgI5BldSqmrW7f90gZxTMXQDFUzybFhKM1M8+jpcjbAL3t dBu8VCRgql1EWN/kQUXLgNKJrCTd2IPhBJDSajlayulLWszrDw1YbbGixjcpuCe3g1UUFos8mQVg PEXJRaEniKWact6YEZ0C5/nvQBCA3G+Z9LX63/b3a3SA9Ir97jPy9TZMdhUDSlziL8TdtDPzZXSt sn6qgO/Xm853w0cIpM+5hsmNybgTqPBJNL6hJzM2WZcForRvBP1CSV/GwLzz/S5ezuq9rBv3QkAc OYie9GmP0VY1ZqsweKy+9XriNJvGCHNy4l5vUgvfNHmPiOOSDSzZXVjOtZ67/wkRPvhdUmwWmB9v bogBwObonzl4EpO/gLE2oDtiYfI/78CX9njiNugr4mBvlR5fwWTkmKlx8oFpl3edsXm95BB5kV7g GhoVDbzWQ53cZ2L50mwtgnk704DXAfRbHguy6eC+juZXm/iBZAo9bqEP92FIVEOV4ekeS5tFxQ+X UBfqd3Z1GasYCj4vZlKD3DbfNe+m2tJ35kEtcMk878o/Aozca83Xa3mZ75RyJHTOUsDgJAQ8Skfz DvfLEXQd0vA0m+yw3DKdkWVe53ZMHfNXTUNmJ2JGRiWwOF8PQ4MRN4QAPy52ps51wpbOrTN8MhWi hhOG+yFZVzg37v7bBeQkci+uqB5YUCG0L/jg8hIptu2SDO1P2hmIrybhp4kqgA/gb52TxljONyLA xQ7No6/MI0omm9y6l9ARDtAl2jn7rjBojzlVKMzSCtqjZ7hwv1OVa6lNlCR5dnopXydFMyjlSWZj 3SJr9T0gxivMqJh+hjV3vMUCNW9EIJCBBdNXD3foh0XnVefrww0Ndc0bReIPjXKBui/0PSMYs2bE YFhTYLA22ZgobRIRODqK4xa2sMwGFoo3xnYsHJYxSlrQRVl7p2aZ1TEGX0yeALHxn51/Sx7+e0dV 9FpXHfZSN8DWVswAbJOLofeVW44AOuoV+cIhZSe5asm7GE2L+EqsNPhPq1oV5q7eokPe+2vjOUzH PHWEdWABLcMppdgEqXvv1MKR3eJdIE5YgfeGln24uDJdMGl5a8J88IEkEc5iAbuxqzUSOtLVPuIR EH4r2Pndx2UVzWHJK1NCGjQPdGb4y2aSPvflsXqPeWTLupb0U06gGU/iMI0SVj3MDGHjYMMTuPCK nFE+ZDYWUS7U1/lt9DnurrkuVkfzQJgoZ/jDe7uZCmA4AzvSGwgyRIWUSj4uOq/gluP/tJCno/JB 05hjOMUvrZaeF2FahqlnfjH2oEPC/nTe+duknXw+LBw2veTu9HSbVf75Q4YkMcI9VINmS/SE+/ta 0QiGT5kKdUlo4hmNpN8gEDV7ObE2j68bpdEXUs32/msVlIOmXGFd4MCoqh/mxPvZxToR5H4Fyhe9 6S1BNwDa6ZS9xjlEAwTGWm214TSLkwpv8tejd4i1XKpohBKGy9L+NLHEzA6eV+6QTqO/c8dxi2de F9BviaWfKK5J6Tjx1SizZuEdpbbamCNBZi9lYaIOwfYCxsdl404e787WkRDY9+iVUhLu8IlShlqY qiScX738lE4pXBkmbmnAvWuFqIFqTfFTKMJqegAStTWAq1v4JyESqzSQTJWGwjLFK39PugzTTrfg r0VXFYmriVkIOLnJ+89SNpl2SwN7TnP9FP6Rr00jyf5cjEBPKsDtkBcGc+Y19d/LNd/8n0HdK81P DQ7vEZzKLUvzREkGz/vetyrDYra9CEdZy+M6PqQqPdsOlf/4A8n2YY/NKY2oQyoRf9uICHs00/qv rkv+cc//c4NlwdMyIkHCc7iQBE/jPnVHL5mvQSSrFQdeanZEfjjCaaRed7Ly+zpxMjkryCs95eW3 pdqgrqF8q0ct9xCJJ3fhneSR4UE+8QIqb7E2f7nWI3flWPBeczYmZ7Xk0iV2GoCUcnPEUCGLclXf THK3kgKHmMzcN10kSv5t5wW4drfqKfOXm+F3PhY8Cu1q3Rh/zoTljTcLye93Pla3CFVrqobleSjQ o2BqUIfYajS3j03IMuT/GR0JF5t3GHdd5RAPkN9uK5gKppu2HqX9/MZSEsa0avJI3gneaOymptnc 0Tc9sL+f0KjPXuRfg3P7ItL4SRhCoNXPX10Yu1H8VKALbQ9NS1MYzwdUta85j/PMdN/V8yb72cqP wl4IAqYohW/GtMBBVzeD0TSSRX0s9yjrvuMiWTVWCRApKsKfc+xe1JpW/wAIFHZI1hQhS04BOxWq KN6D99i2BLj4Ke6Q3qop6cYrgOaXG4HsJR/g25bx+U0wVSIofqD5q+h5YfJ4dqdMAxHBun03j3z9 6RQQ4BKAGyMmc+DQuqDqApdi95XfMzD3gj+YIECumU0hdnGmXXmez8rt7MAxS5B5bMSRXP9k9Suj qltEEAmMuG2nJ3Eg136WBcIb1ECX9+NPRZrCa0jDo9Cj5kT4hcKShLL/gOXu/W32FzTBtI8cXTKk yFu0BpeoQw4304pWxe3tNp78rBZq8/8ecxrATBBLHBe4u7WvhomMO90SJoyiZ3AbNLCxqxdgyse9 W5u/LqbwqM1iGszgrl5a1hwrkSY5yd4jNx0HlSNzUgA1uvs6AGvKx2g9+7C/tTegWzB5At0GkOmh iV5C5ufPe4Dd6jKE/9ZnhJ7zJ4N6DbAreR0NuKmezS19OuuU3aiN8tCF2U1ESzr1hZ4/iKYFXEHb myBLkY8xqixF0TMV3hQNwgLgGraD52ZeHvLopNFt4Fz07+T42Fu9MZ51ulwFxNB+h+H9izujjdol c0yuICwz6P8hcJTwSaN8W4U7bAa6s189CoXCX/CADAXcjeaWrExHNAirnKfJhQzdW8u2O1lFCDbw Bfk8gFjkuIB7C9J7r1rH+L32Ij4Zz8qwpaZ4w+JfxKV/+3nnZ6L/wMpahqZfN1Zft19EzrVOnPdC X8IYyZzOlHBYmMhpQKgN+w7uxuVBP4b883hqLCBGZXkPqZZ62LLjs9iTJk8jXk7OJtAHGFhxBmrF QyIFD/pBZsE0CvdVfkB9BW0A0SXHwa4CPwskKfPMueCIYmBkJquXB4SYSN/lSBl2btfZb+UV1eeF ICThIDxWmsSKuj+QWDlsq59BPh7ynVaTDX1eKUqeMoDEnmZ3U33SG60VLq3EOkuU/i6D25L/OxRY bNEJK2/gvhMZcYpeuBqBtxBjg8sxzzXFSoshJWq2reTXY3QGusPj8drExrotEMYGnh74XKVqg+/G qs1TjvUsqJAIdVD48HsB7ccxKvJYrARPB961nr2F43fitSwdT1CY7EqKDBuuRAIVzl0GSP88LOfI kRLeK4mo3weM1nvIbDZVKdWTL4bFrH2wvJSJFR3HwPemUTT9v4fui1/OUaHHXItzRB+WEXtRorLv QWwo+HNhKFmXNxqoJhGnEtzoye2iCcrTN7lub5yrAz/42zLw7B9cNONehxTdyf8lHCXIE4Iji1WD 2B2Ptos0l4nXuWhEFJd/gtcSRHlKgyBk5hWXh/GDwpsVhFZL+CNF3t126KLh/paSX/2N20cSlJ4Y r0v4QisdscUly5HjZSvSZwCjmjhMAafO8qgHpxVppP2Ocsv8gSzmRPFM2aCmYoscPUDU3yd8hEid wGS6JWS3i8+NHrU+9ZHiOJcmSW0XbBhvt10/BJInivinFIeKPF4Oa6H4CItyoPTUdc2huLI2fnW0 fGx5mP1z9To2UCIJGR0HBdM8xYCe6nt0512ZOufGMA9WG/U4xbvEjEdDsd6A6YHJkJdie0SsVszA e41yWlzE4WJG6VkxC/mB94+8K0eAo9MmLPAei5XPAkVPCPKbvNpY19rM5XB833ZHke+IacJAJlk5 X/dEBlEyIso+Bm09KieUI5TqM2GRypncQUzbsJmVRCNURiOA7q7cMZgwavmTLHR0XVbbZsMz6N7k +08nP8xHPT2c0CazKTBWTneQioPomuCaWaissfErw0ITQoeDIi34EOPmIZJFvByvGiUOTanDMh90 1a3t9Sa0GG2AM3NCgz4ik8TzzFLzGrtyVLTSUS7VmZKSb9bFUeKED9rK2rvK8SNJj2eWpStSPBFp rprk8Sb5D7ed0HeQm9SgvhGo8Gpuz9bqti9k5ik7eXOcV9QBS+3wWzVoatqXGJLClaTwA1sO2XLF UCi6lAiio2JXUEW7ykbw8OFo960KCe5XRU22cpeWGsbGRR0td6QSMeePW4lvozFZk8cqj3XWFXt5 vW/JDr7xZ2I4vmZmDoIh7zr6TJrefLDIoBQ1pXHk9RGb4MqMvyXtfvrO58RBjHt2LH6gIkuE0t/x bdYqJ5D0c0gkFvnEwv2opvOsYJLQT/aWlmxLOR+DoVj3hsPWKurxrHbFTW1eT5lGhuRYVRpYXFBt GGrTztB3GITnfev1W5bJNgPfucrwQE/jNBRIXkgNFCvyUFN8pMFkMAJo8XDqlidc470xRCNr9pQt ZEiNJp5ri1qLFI6XMzfdtiec3K8TmICHRO924A7oyYznAjtx0sxiHBezGLRbo/GW+lMEf9H+kYe1 vMh0zPxtzlVtvrW2R8PC8j3NJvCTlCi1u8mHEktYcaawWVyQyAnowIG7AeoWHtALEe/upz4o6ZIv 50AFc7CztLQ+j5ApAa6v1vrmKc9euAjO3wQurlOPpKB0P4c/0PerwTNlcoI7P8M8dwseoTLRwqQy cT8FhUHzyY3RWSQr5Xt/WVhhxMtKoxWbVZaESFSTrls/tFIW0qZF8VHi/kMCY5Fsy9xbcsoKWdNq 5lgagNId6I01sTe9d4JjjPcWqj13wqyfSBeeJ39kgXzzORgTjjfXQqpZSorKDkPTq2ILYgwVW3iE OZkyCpr6Nwj9UDb3S3Y09S7RmTX6PYbFaqMfBFYK/Ke5m742n0yQQZKgNEqBIqi2l12xjT5D4aSs MYqEdkeAQcoLDU6hBJDrWWHsm/psnGPnGtVu3/D8MN0kigblLaSHnHVaKKWzttX2wLfRmXvPhwuV KKr+vIEnXStxA9apu7UjCaHbLxXqKV6vmac9wiKvLnaebzLUzhk1RiTL8Gy27Adwgf1osw19onmf 5iB2HnKLnARANGFQO/4MJ3VcovmhKQogsn6/+hF7tXAQ0Epd49x4TWT3qWr6i6eRk6jfdZolZMaI b90Sokl+G3G08oiciSBneDGafSj4Yg21GZ7CBVZnDAHrXV8bPyYjkelVYFOZvL3nTBj/pYIlNsIF SCzMvzwt7fSn4OEPY5Pa2ysx2ovqW3sKhqeSsPTaTT4DYCxfKmCIbj9SkMqEeamKJvimBIHr7Rao q6FZkaldv1ITg1klfK2KChrcW8sJVjn9y20NW+/wre3L08TX9zLXei1j3plhmGsTjEKo2x6YaFRH vgGKqclEONGP9AbD+tTcxBkS4qA7Gto2z8RhNtxACSzdmwr0MWlgjJrBUMU0zokyS/qRVzZrspPs bSboTrX4+I0viGTeH9Cfoeq6OD2UDogv4u+QpWCrcmw+llli0hzXsdtuxZFrOV6HzjFXar+3fkgY 84j4Ibpa0vpxjblHJlio+nOMBnnFBrTVEAzUFK2Y4ExhVYiE73/Fx9UFv6Skhby1u8yNj0Z2UcSs qu48/mbUqGQQK4n9m/HQU58UNkgSYh2x+wLFZGwBGJx9l4EA/hRYZMS9NYBJJcH614yruzr9gpFI wOVxFkur0+Dbh62Jfn+9vECOrVMenq0/HNGVFM3VKg1XVvGv5TctRn2c5QXZaVHhktq0LnOm43yq /pwZork234OZoRJ/PQi7ElX5MPFOkHUv+xTdjFUc90dEC1AQt3aNlSqo0lXzXZTluiwo6TvcEvVn ja/nyyMWWsneutUjVPBf4ZpQFWo/xJ5M+g8HKR3uJh8Fja3MYe/80E4t8lLA0RFyUVmIAGYZTtB2 uzaui4wtR857Z8rWpGgoHTlmSngo+Ch8lyE2Ed9TYMyYw+K/gMoIeGgfMxrMwyOmvOjVyBkWsPlv SBJhtcze2uucj6cClpKIsk7tXV6r7TEw/HiYRxkqHyi9zs/1DgyQuraTb9UGWE/Zk4XuUUuvpase 1TnMaKQwx4LylnaL2srjbwUIKV03FipaX66gq66qD2G0Fd+9AGQXBkwoPxqHDHovw5hhicQ33zTh yeNzdYOWIoRosDKk+YW1dfUSTOAayY3mgvtWtbyCvX2eODOZDc6rcdUaJex92kjqKugN3KJONka+ A+br6jfk5FMCVGHFP4/HsUt66AQhbAuatPraX2rEg5ETd/K4oaRSFSckb5KiVaEL8xVsw1B5zk0p zcJpRgM6FC/iv6Nd5rIFl9ydjcjJetNtC8/3X0Yo+AiwObHKOQv1Tw8KCDbpzl4Sc9JNnOqB6tE0 ixmrwF2BukqKBCV04VjuZNWMtI4t0RFP0FTQzDedQuK6KRVV5dyMDMDr4eHxD9MTpaDByJIJhp0v zodUu9jqE6QlSbvn/B7+PNdM9svPKcYzzRAwmPZK2hwFgO3pQaL4Gc05FILeeGtLo7EY7AgPz/2a LG0joVNwPApfrKGjV2gEgUxcyI1E/mRSUqCP9vYBHTfBeH2g9FRiiGVL05PFII2dMTTrkUmoQmF6 oJZ/wyJvWqG1K/smkXpo0jge0eI6xUdbbb/OIImRGW3MX6FP4uUuBr+MDwAq1FBX35oCfJhHsM/+ Ysxx8Mh0VqBFAMOHO51MZ45tVsTPCERdi2GCojFuFYYeTLKe9vOTfMGcGGbdHT54SXcsOiTePzML qJHajpUDOSL+4f4ukW+sHXGgl8zf3cpfbRuSUxyZ3oFpFky5SgiRJamFxt0wgYnXXYu5LiAQVDIe BMBvBEmP4l8h0vp1gzRPVfCGAjcfIjLHPH0oEs4SLDqb++0reYz87XUAHzi5k5NWJAIv0Pk99YrU CNmBuxphNsg3ZTVP1HnegyXYhnSrpVRjjzkeGvam0PYymOmS1SOFwuT4M8Wyan4h8T55vZ27uA2X 1M+daTIplJNVsWfF9YvZTtQ9is/Eqg6OArE8pIidqF/4gQ/J1wkZl1qdOzn0EEhnMYIrRpxcfKzV aPYMmekhYyPWhu/GA92CmM0QAtRV+wGNLRNmtsmEBVxrtZEfs0tB+3MQzRvKs4v541qtbS3ApQ3h a8RkHjdw1PA1RGKr5q0K/VHm3FrHuzDlnzD8lTcWGpwU2sW9w9Wu0RGIxwIdfjW9x8wv+PJUCrcY WcQ4tBVlDwtPOZRo+pcCuthoRYkgsQ+IFeaookDUfAt7wq7CMJxImtDO05GDhZQ95EsZM8PkxLwL he3lCvuoIG9JjvXbtTmANXgdiXj96z2ws7lFXM5ClV1iAGqifqtbWdlH1cn1hJQPMETigHmaHP3z Irb02IgDQvWNrvE4Qiye0dgorprhmgH4f93QSK4eVCpqvtmnTEAyxbAuaeZPcSLW+MtQnKdnYITZ Ju/LLKoIjgoxqEDJ9Y8yNTrSHZdTvbPZMyqCMmAjWyk+XDw82im0LQLd9GnXPTerguHiHnc8E1tF A9s+beRW2ZFBokd6jwRpGdbz4pWD3jdh3BV1d8S/xZC01gDS7YLUXYpCUPqg/JVZxeCk4AJzjIyi 3cfU1tQgM7uhLyslZxjEK5gwffPU+BsaNp+API1vfsfLOPwAV/ybOVehTQqQ5xTAvWtUKPQd+VhN ST0K0b7sa3OLFtv1zHiruIJWo6telholLD4Dru5kV/bDLxiu0L33p70ST1MhJkzIXeChQMuvmQHQ ZAM8NnRy661s8ojRzJB1CYhti0ZvxXGrO5wL8ok+rhHdYKuj1oRSbqWLtuL8YZqsAqMbEWTQC+Cm eYR2YTQgdvmBspOUCsEE2EaKJW2XimkuZJHSg5YdKYDXkTSr6SC4GXQXk3HjTgagoOHlVBacwnk6 zzdWu+JY/wsK4Kir8sHjkczvM5jLy4vevZhBJgX+q29FTyuCYZtVYrsg8khRkWSqMRjQPz/wZcM8 FRNTubTiRfm+0/2H8eBGliHlXSbU8cSyinBEr0mSlVyuaBnl3/EqqWVqwtaLBtpfpqE1hsz6Ib5C zx+VJ/SRQVEuis3hNAYU8HbS3G5+NgMmdEYY46qGI7edMgWJS4WNzWYTyhfb59THPWWnlcZDB3zP Ux0ByLKU7RS0mgJz28rVDXN4k9C0E8YhnS+zSjUi2KoesAcXPdY0koFTs8wDuUbTsd/BPMI7GL0L b0nwDKBQSyf+MIA344yPDwFEromfVO3TOh8A1ItkCJfuWaSM9loVc90MO/jwiblygIsqlgi2jlzi JKo84fcVQEsFIcgoZu80P+aNrHkoWjZjE9FHcdwATS8Rcm0ZjE8mXVmBOySFJsWAHpV+fyX6eLMb r/cHaaSRguK/flJVbSbcvTnlt3uesLB438LTxwBrDY4jZUIDMqKQsRfM6KU8RcE1l7tOGBbSdUoJ 6PVJyfO+TjPIiBwRWDGk7HgoDsInmGddmzJq+UzuIG1B09RMgOIKdF2WKm7yoK3f7+D5oXLCOxkS U9yKhxkixc7Xg7DfptrcE0rdc3rbWAyp+FK+Nz06zqRIFGs5yjaRFyp1jWkcdSXTbiPND5A584mG ImQ0yPhzh6YWG2qqufm0vq2I1O+ncqKyJLZOJnmiddiucnZTOj03CLyT5/7J626d/9F/oEzteMvA 90ZQTzn/k9MWQ214z3eIEK9zptlKEJNfZfTCe8li+CqiDOcumfVUhsL8VYenKLnxte0riLi8c5V7 e0rBgpVex7/5uXUGBBRHpNuUljWV7eLVd1YPID1HFaKYJ3+yyC4EnxYzuEz8o5hZ6cOXoK2+NSzO K/yWCILB2HAR2XmkzxYnY3lxcB6HNUnoy8N2CtA3Ki5ufIDIFDtd9/upTrpc2710ImTTGPd8dh1o VN18RX9JROVWNp7P1qCRMLAB26kejbqkCYWjcutD3F2y4Yw2CFJbdV6JILdb3CMHhv20k97OTKd6 Tc9q3dgpLdL4UNQnoyTTINN4Qiup5MluyVDgDykm2XEme6fHzfgWw3hCn3R+CkK95OQMOQoyPTO5 dAN4LpwNqbv9WLCfgKQ6fOcHdj2DZ6hzfK6q23xlZRfMsouVMPPZwMbS9LtRi9r6LBHfUASLAI23 mhHPjCkVTa82zXqmDtKZjg28ofP3hMqXDJ1TaIt5hCsZQBzMUlMSN2Cfo2jbOhv+xnINJKUT51ny w0ykD27QYGHY2neTfiauMmNwQpuS6EkmZ9PyK/pHorpamN+rvB9V+o+PlyO9sgjL2hRGGGhwPxC7 OC0hyc2DImDRq5u9dyUL7mCtjFZYSz0i/iVKtjpeQm34KDJQK+A0/z8FoAmo9NoYWBDpJAPy+dTG V7KAyIEqu2iqTBNqoAMHmcdNfV5PCq/vSmesysg+H5k42qKsBvQ+vKg/ZPyvr9ELBvoRj4o4/WGM Nc67pCqRrB7PjJ7smeHY1cP5T+njhEOwuIvxPtSXNzVImFET/YKJ0n8VNDSRpfkCfkwGsbHOP2s0 6H03JhRRvfpLlFP97wE5d0dBw3m76e8JUS35yxIDP5NteKzhU79pdJ/jS9bz7vnLPVxm8vTNQrtZ Gt8BRZWMNWmgJYc35xSNyyRecSdbkRFT0T4wTWwksI/zaRjq5qD7H4BYfySQ0lbsd3tnOP/P+AGN EyQGwdw5zoWMJz1N+6iEApLyqcDbkl3iQW9gRqo++Vb8zfv7H4Tj31JuOCurGidldjXL3slPnREp kbvopdUwJOLsqF3x071n/p72DnwsTRD+LLQ7OBuA2BuOtSI+hopa3JSQ9megYDoLZGicSyY5U2Z/ z41TLtTqWnHu43x2Si2SgBq6v3CkRKooHmmcroSmXVqp5sGt2LBGUSK9ka1m4dWIocsHEnb6y0VR brD7jxym3iNamoCGfYfownAiyMJOZ5kG6QraDesGz2ISAybfxK8HbFd/WNwZQP0Dd3cO6I6RhgZg KvhVDKcJLDQFeTWo2A7PH9SCME2oJ5ZvNvVy4l8JgOZk2KtBzsUGsct+leelzfrvf/FBtuUdrQvT rVOF9fsFqueUrbxmyrz6gSFJIdHQCx6BDHSrRO+Ns62HB0vciFBhqKqdaQZs7gaUQ6QCw+ERlspA a+FOA/xaGOpXIiZpeZObl5KX4JYGXIHUiJZ243O2O+rj/WPQpvOsav6xa1tEilgV2SKfDT/t5gEo 2d1NgWaPWqJne8FUAM1azJyaBNCmP0mxzB12xvm4nBUdLI/8iMPeVcEGZN62BA1yh8L5RU8t6pKY A/PubwdRzBVTkLdRaFM20awzuDBpBmq/fN+DtZkZhGcGHiKz/nNmDRePybs8WEKqUzneMx6Cp0E4 v07rONyZPaUiYNLQKA/z7ezL30ABbHkWXj3trR+NSi9+BrGfFGCJtSBxd9gQiqFEfaS/jpvmgcDW PgxbAFGDPY+M3YanovMyFoC+ynm0d25wXjvdAjtnW4mb9SRuEEhLICo115WnQrIA+y0cRRY72xwG YYv0Yfus/Oj/n4U2ja/qRv5IMTKlLJDvg4rW2sO8enjuuiSY6EwSJIWfenvUW5xAkw0LTDeD/b4h uBOgs7vATNV2n405YCIsce1xg8wFYLEcRLtvqN+RjSKh9utbZt1qWXuN42NZ0OAskyVjz5FAkvDM i6epeBgtB5JpSXqLvEx4Iw+ocPCpcCmlGxnxDOKr9jDk+lRrL6fInV46PnhfNVcMcrLAIyD+iIXl qBe0cho6cqA0uTT17k2g/tZB63HCeNX2DbiNnD0iXIj1f058jPHizPRjL56mgzWMgaG1RkZi9YA3 /TlDwI+qRpAuqO/spPEFldHxwu6Skwt9IFUZG+YhGvAVGe+rs8IgdveYs/QD0cL+2aRnEnhBa/FE FfWsZ/ZNN1kdiPU1V6+MZ/jFPjNjaib5wkxSeKbG2Yy30Sw6FacNqMHbLO57yAu7ewvS3+49xO+S +WAMyM95THqWeFcboteyT7faOZrVT18Rr7vZxwCmnPxHOXdjJvz6axLFq3Fjv95urwshh1h5OcH9 Ln6RZOwuRGjvjOEIAj0DJ8qVditu6kY2ZQxiFPwcO/gfvuVUrgzStlR2C1q/xRXuV8m9fRzYtkI5 vNRM9tIwJBPi4dmpimu/sYstosKIKuqOVsKd3f2ntzibt8I8JoSKSiPeDCo4LPXmi/g0AHBnlCGe fcam4StoiwEclrBJ85FUIVGufyhNnMywFp95l1SdlRxr+C5iV2rBCzMghX45L01Pdw8lEx704qqh LfaVa2yyvfoM10RrRTZ2UUYfW0ZSpK5S4DNbnYcjEx06jHig6oSSvfCyxFTpKy2aa4BUcvGhUVnw 9DKtPUz02Mw/hWwAi1RoffuQntV+yEDe+X+fv7P67kbhuPZ3ZLL+iY9X58skpUCB/fvZ9sGklIOz tYV6H13auVK5Zurz9EuuFW6hWjI5sDDwPjxTltAgTlp8QDT47bTkxzCJo4LVJd/gnn3ZIjMPVW6w VP6DmRUJp9ucnoBYueULbZVmXzPGFxR3wPAaSqPzJrtfioOc/9Nbiaa6ZJZadP4snUrQWQ9mxxoY puEh4A1078R27zcRxzHAw1wxuY5ahBkjyfzLxvMoNg0H28s6Ka6EKELsTjbs7IH82kbt/VvnJA+Q GPYCRtuO2Y8aoG8d8boDOTlZl98BI4DeSRFdLCC+WBb5Oxfb7OR+07Jgt500ngQZTibwNPcKxOrr XLiFITxb75mFhlZ0ZjwtchbYFUYswAepVf5/6d6aF7jFpPr1y4X8+4QM4I/arpx92RiuqbVxPtTO 3jVG/UnomM0hpElCHtS/P9xDrs+yirjcfdflJ3j+KKUlpfxRpB5FfvQRTGXgSSRIlTmjTp2R6gzp 9eO+aS7s7cfmnhp+RLRkjthtsbjs/WrcveOzmpjc/jAELDZ8nvAPKkbS3g5C6l2oqWdSu2h7c+KO qhB0qppiqp3lDIyPDakZJFPNRWGfeiVbtkIF++DJg0PxN5bkmFu+QeKfa5cLiTHuzLaheSyTz/Sy B5+SDlZdve/7w46fm70peVQyPE/tA5GIbiaryqJo7+jRdjVCJauWCgmEaT7NEFuXkhuvT7Ouixta yqF0PvIrah1YY5+IjpH2oiJu58i8SjzmPyzd9CYKapH3RiD2c6RjmzHxeThRIfOlPSv1DkW1RMDq gY5wQJQC1AfI55v+sp8AisoV4CftLtnkiTiSFXZ1OCXQ9apGJZoMf5GvTmH3xf+6WoZl/MWKyuUR pNfWGHzV9QEXJ3gATzXV9mvQa/ygMLEUaNh1D8pfjkaRB5gGl2byFIVaf3HWEOPkSh+Y3rJtlycs EkKkSpzCedEAh2e+q3vWOADLqBjssraXS1F9Ysa5ecUfdA9U/+cfXLrByEMyazxUo6uWDVin46ET wK7oIpnwHIN7Rf4u/IY2f7bdxpZ3hqzk97Qe/vmyDLgg2WKX1AJ1pNy4FrxtayMb9uWr0EBCbqDD X5Bj5McTAORJUwqH+BrE+oeUq0997xWMdB8pM2Ino1FIWo4l6GIyy+yJyUQgT1aiFzdivxM3JbEw syh42jElx7MdOmRqHwKdqpQYtQXfvn0IxNOvOM+yNf7Rlz782KoBtQ7FwzF7aQitIYX8leEsqBns 2oFbdGFRT4sKFrhSIhPTZK/Eh+ax2/aNErVNscB6UnljCGMeK17zVpcoKiO5Yd88ZRi3dIGDaicK l6bl0Tn5B56EzE3lYh1GuJv84VOu2ZQox6Z6Vqc5zzxgO7shdRF0aQJa+FxFS+3e3AsIZlTvOAm2 FPENnsuJy0I1FtoXusTxNynFA6eqSPi5PY/ic4Nt1y5/b15fLm723a6c+/ydFw8b9mRnY4fAW5v4 FjOMrS5gQab44FmhHaM7v1Ezk+hvv0YffO1JeSO+zjRp07pNOhL1ePSO3FQC3GMxVXTTt1Ih6FbX d5xOlmEtkTAugVo3YEYBiGmV8WIsNC9bQ2/BcRPcah2oVi1e7ug82wZYgo+ghBkIwZd2rOvYCv2C ddKSEbz9QOTEY2C+RZ0b0QPOGw37Kr99wXYtWdP9wUqoCmb6Okv5ZdiyVQD1Ucja9KRATFIcoNTS OfofCXhGDbvKzgJ7Ib+RfmQiO/88qzRFxIS2Uuoi+DgqTzy4LqY1yIfcRFI1ffmGLQCW0bRZ01vB MaVP0rrcBVZbPc/zy+lQwbPjj5xjYK2j+wnkHxzKsErO1KSFcmpPXzJQCT7VjMDMvh173H7WbL4Z HLJrES+4FS2VKetmLAcZIc3GcPmyPgJIzj73zeVQhjHxUdq3kbhZaEjsCDTO/wDb6boxVCL3/sZ7 UxO0QEokiLAqhUueVAWGy8odZFRkjgrIYcwv19fHOzRDv8nlEUvAw3VG+cbGwMEYHsRe7EaOzNS/ bcV5gXOxflR1+El0wHn3g6hx0yV/+Wz3QEd/kZ7Y/DBq28kgtekea0jf5WpuQtthRBfPpnVieHX4 MikMb7MF5hZ8r059mskpcz7wN5RGrKnk1N31M0i9dxPg1eG9jM0/PlJ5oe3cddoHNHQ/B2U5LCdK 6pXlxtlX9EHxS+X2KjzwDhhyqAMSFJh0mXGaD4brCGaR3uUPNXdv/2e5aWNm8NzceD5M8P3ZTXQy CzdE1EeLvpvhs/OY3zyAStTOXlkzRXHXfKa5h2bSEIS8PJiLh/TRwxa36Qd/yPZXq4nh9a7UtCG0 1LxfzOFwhsR/mT/NQ78qJ1eNzopHAoAYRVjwBfDZb5zR1HyvOqa0ZY5s3F8z+zTDPLjZso539dqK K308NGbjL46RI4ZoYgm+to5eEs7YLKlzwLYoAAU1pfU3IPsa1dKDcB40tI2zrofDQnS+AF7Tr9MD GDzCtTSNP+zddCcK0j464GMZJlZ3u8P/tYkCQpVh2H9iWwBwJ0UKSUwPyJMRthXgnM0eTi1SC0G4 o6sCo7nA0J9juSoSySPcHz3/TbOBIcKuSwkxpRxgv3+N4yhI6ZDAMhwbHFgk+c6hj8mfnKf7lvPE mdGK3tBkx+HyF/bZzsdfcXGYJzZ21bRqrfX8Jo7VbFsP3eVrXgOC3FE/0XZzQoPlLQb81hw1Lr6u 9Bohi3J/e55Ag6lx5fA8jmT92pr4Y6cLtqhO1UoemXk1Ntv4mbbujKPz+lSu6aKN/kzCAiM7F/U+ 3F5ugFAUpRLUmCOv32ZvGc72WHuTRRa9NWvogZqNMKh+HdZ8RliVdm1qjBfrG7qVxN3rinF3qTza iBGE7vwJe1276BDgkhhIPFrFwhtZ1bCs4i4zFeEp9fO1N72tvL4o8TAqiByUVk+v9TyWSz5tCsAy eVtWNgqCJyhCqXqKdDYD7Exmigpy/+THmIv4uFmBAny8CfFFP2V7+xuF52oq3DHbSyj5mHGdzZKO EDvx4U1TqVL6AStfkbmLL7SyLGqsYlIG9jJ2D8o9Xzv26i9cQHBqg0QKtlApE4ang4/JZtcQpRCb 3xE3jRCqfbKPxMyZogBmmfnyIzfwSS5G0Cye8gWfvRqGlVvHDUoSHZO4v7yhetSZh0FZ/p5Jb9vG +941ap+dyapC7YMiwkiJfYMrcoadoRgSFZkJEWTB7L7rna/hHElzEkmJZG35qIC8Gg+07rkjgzoN NH9U4Rw4o/x9KR8qVuARIIgk4BWJcB+224dvL/K+8IuS/l5D//65saI4+UV8ZGk1cb4W2gLLlLCU neNABMVJ7MjvlHS9J1fxNNmr71cc6+uEVO0OKQmm+NW6CEa2HPzLb375oCGz1pTfSHqrqPMZEqX0 q8Aka8XimuJYspWTRp5L42Zotlk0Oa+MY2qfTWO/TAs97YBUmOkGqwDVSGdxaizs80lwpBspdT5k L94FbgvL8r8regDQrG8rCV8+AfjOVabpX+W6EUC404abF69N7QBVJbS4AT4TzgJa65NFvxHlLQ2G +jjrjBPApft4dzelQxEaO6vSXnOPAjjXVuJ+Zy+E1/nBTIZDnjc24Vh0K8jSVbMrqbd3NDFErbBA wS2ZGh6UfUFyN6W2Sex/kdbONITkCXF2gYWjuSUmK+BfUAIKIgZ2PyIzlIJtIQolu766D2DQkJwr YbA+9spGUMHZMpisrXGwooRRN6S3fiNg0Y/v5hWVVtEuAealzAHge54TO/NnEaGpcRXk0eIUbyD0 vX2p9KnCcmUr/GXPVtYh8a07ZTur2bRyijp5InZlcKszgdArvSfD85XN0ggZSd+rvvi1l/7eLx5U j69O6G0b2l2hPp2tpPcpRhnV1So/NpmGn2MvZV3u6uvR4gtq9D4BsGVqF0AbbO4YiYdgiGojbHvf W+ghWpZgcoojVydLfObytn1bWFomcv/59V7j2iJUTzGwgAIOwkS8lMrZDEnondzL7JYf7x+G29lz CKF0t/+blkI4J1hZH3hc0wkc0NgDatnUaqKjXgCbl44jNKJmmDvntk6Ue3pPxg5vzq91INSBUq0M GKfKHDUuufzSQH3FgIWwkApuTHLFdWh1Oq2jyEzADh0LyUtgnXIHjWca2m2V7861sitFhPcLNLcB SkAr5ooKkRPZpJI8ijg3UkCdtU+X8Ua42WR7J1O9PeFV+r80xQjIWdPhrKl1Gnxj3L7wLfXvnVes ZjoyrAPYPb6NAd+yJTHGIdMVmKLV4c0J+mhqo93NBTgNrqL6IwONUoDeGuhRe67OW5BTrrU10EgT McO0feIikpYyvn7cmnGILwiI1HHNXBW8YZNLbBp/bw1Y96PiyB5hHQJCfLHtctDWTYW5epMt6Vhy sa9hfnnMjZrO6/etDfQAn6vuiiIANs4z0TbpPtS6lZgwY/o7wfKlg9f1p0yk3Hho5LZZwaRtz2za Nxdi0F3RcRG82MhZkCZCwj9KqiX/zB7x4noMZqpto37KKBHBEuo/aveSUruBuKpyP5oXtP+43tzI wOB5tLvBFuHH4lpqCWeKZ0u7EjBoSXFVtBkWkSZz5z872VK2loQvpVxRdL3QgvFabkikt9H1OxB9 VNyDmxIimb7HQSOEOZSebTVR7Ec96Z0IxCGEwOw2qNdHup+dPHk92q+bE6gT+Cp3UY2WKfkLJVgm OAMTeEZ5DiQmJMmVJZQbirI0p/vhZwoPKg4uJou8HOiqHVpU5h8zB6k2U/fpfGttz57iFdchQQbM /ydhO9277OKcl+8zA2YUbzAm27bMNzoW7cjBhmsHhPW/GiXzleQgXDT9xiSUGEnpHvzrZDeTR68t XwaURcXAhfw8mDqAckAo6PK/G6gGGNwsd21uG4/jcl5x0hJJ9F2t/p0aG6/amv728CClGGyBzCNX rlwJujhP0xzMFKxvFO5MRihkqWfMPG6E0Sh/zdv08qknIiymjLmiYAje7S4toprVFLGZfO7K4PkC 8urbTGNvIw1IvLV4h/KQIOrNK3k9Cv+kCyVzVm/uiqpR7PXoSiPsT/EW54iWlJZkoIqS2Jjlvi7X en5Ti6u6Qu8/8y+54q5C8BiI8WBHCLZNRj41wOCSJqN+wyfsqeK9Ssq6N0H2IGw3PR+xeoKg6sct XxbWOPm7dQQemHXdHirr1wIBmcx11cB0gyVow4j4bjd33LuiN60cVd0FthIveCJi+xCYNzS+CO+3 7JAZPopByrA2syFWKTif/tWNuuK2i4wdGbxBIybIHE9rsVrWOXU9CUYv0X7S25JrcuI/fDuk0N6W 18lmLAwd5STJyx7oX5cSqJDCSrVA8ghzEtJuPWByKKAVlQmlQP91rd0c6UQCYvCDzrGpE20XwkV/ 16mGnPPnXY1VRNbUaAQiAft67fcGOqAacrPPI1EwTKWskdU+tG1Omwrtx4ty1ovRX90dnYxXHSrS 59UrR6hPXIliOGriYasQuJKNTffH8hoGfsisI++gTHFkxSL5xlSBG2nvVO7E4bQaYeSukfrLmp/5 UG5cyuWG1d+K5vlGbrUiwj7foQUfnCEWRU45wIWfVrwIXT0756W+kK4JJc8caFCmBL68xSiAOuq+ XkJjSO9kEOTBK+14YOQ3pJN41aXzVPegbyvGEvIii9kWBKEBvjWOmIU1Qb/rsEaGQmtl892BSCtp w/7bS1J3tqnBzFvLdpDL+nTUxfrpdA+zA4cpZLiEeTdE2JDxlPF2JokpaoQfHC6g2l5mW+trFyRO v12ieaEledK1DURi8Zpu6HZz6F3138Xy9j+h32PW8b0cwIbw8sPUYNmYoAY/JieGxvepwBCZplIi kKctKwwG66NxcrxBfDAKDGh2GXlah0i2/aAjjlLnjzXwiwvwOjONcn5ktq/oB/jhlgqQxst8VRVM bWwrggtdsCF2TnctOB/L1SwxXO0UOuYfMaFNYpnIFugTYHnMnaTOWUR6Gm4lNzITfMTSLQqKxv/l QURxpCt8cj3ZeePlB3E7p4Qmmfs9NwF+2WciAjhhntRsg9OF0e8gxsrhYCFdfENLq9rMExRvw6sv qxQylAIvayBWQe11esYMaIRLjAtLIKrRsyA82BZ7nw2vS+8+BFlVi1HFoO1ZrXxs+3E6TMCWrTaK teFbFvqT4OldkCLCyQkFrcJWyvUp3ZoomP7K7soX6aKx97ign6jN8CujOTZs38/7cpKOJYREk1q3 UDPMR87reKEBt1NAcynnHfhkXNWCmlQU+ESWODRwvtO/F79GMzwreQK1/GkEER/d4Mo6D0n3aHiS 9hmc8VlYL6QpVp/wxoQIH1ds8/6E2u4fMw1A/25L943b8jWG9RHBWk24GHy3zgFU1HZLjk9sw4K2 zWq/FKzm9OjFqBYuZ4e6V1NLj9EYDjHkSwZX7Vv2AC/9zTfaaOX43GJxX2VmZbiWBQH8eYSKgV2C CcNGj7RHHtqMiCKibz18XQVx+TEUwY4hBdgZL9odUKtrLWjXrZITH3+hMPiqSbxG7FrmNOkek6c4 daKgefAVUVK+7IJAsyRegPdlgodZkriMeiT96pTxQW8xl72ggXMzxegghcSpa6SzYReYw7f7sTKM 4fUzCkxPxkMj4L/Q/iKLFymLnJMjpBeWTfJvtA7j6ZLTy7d5cXAHcxOf44FmarvbCaXtZq1RNJZJ n0z5mQYibpfA1z8+S/Xtd0b45E4pLWCD1B0B81zrmgJe/7Q8NJ7DW0Y2TA2eeZztPBLUaZ1LI72J lxvTRV0SoGzyihczTg7DWanxU2Ip/7mjQHg7Eck7W2SFMcdaJ5E2lzsUtoDlymmAUpfZR4Fbp0V2 +1I8sNmayWBbk3qhL74RgslTgd6wKl2/nlQhkGLOOW9XQEU6UsjvQAaeFGBcmsM+AhbKfoEp8ES3 25l6U+FjzQzA6q3nv5a1syX9eAFsa2LK/3w/QUwnYHLssMFZGlKQRo5TOr0D7RJKdeleGYU/dhGD 2AdG8iUio6IQBKwSzRDs68T1jxAqSfP5QxInpZMM35SdxADImCv2OcesULduqqoqRkfeVBP+Ygc/ +dUyHlOUSfwUcQQWFVdKZEv7Ap4I5ZSPQFRqtMhR4pc84suPOamAgxQxqvQ5JJq1XApCf7+DtVuy BBP4wSpUPoAMycpQAcJj7jnIOnKbCEjvsJL6SR6PaDNXVH+GWKjqCO7m460BURfGbqfFr4gDApNd F0jOmxZp2NzmcEp8NGKTTnzwAJCq+tNZcZpQGNB9FEpjke76oLA0j5CDg4US49BjDTwnyoddBiBv 9KFv1TJMxhdzBviscjPena3oFj0kAdBkfwp4JbJRXkrFV5dy0MnV0sRz3aCsqVUx/xL12zWyyWAj n8LJ5p4t8Dc9sgJ3IAmtAyvPB5jlsle3MkrNnah0qArCIKJjChphfRRdTaPd94xkJgOvRth7Uiul FT5ibWRlfKHImiZP7iHYgzLs/3Y46pBOAPIPC8S93TfcZ8JJi0XfgvR6TiSjGZOlICekFQrplwqL IDNJh8znNAmorRZ857T+3N9Fnu0MRUog0CnBagW8dFkShoCEn8SG9mKZtg0PSoUfy6PUhSDk7dV7 ALjXBrzi3bFQ/VQmojN4I5h9MtEDfYhdL6ugz/IUpzT7oq1BK2uqoo0golNlxZlhJMIN1384thIB NNqqoRifULi1a/fxC07QzrUTuBDO5/MJHLVqBc36p96AB+F/4KQW4j258ZTqKGpPyCGUSV/0S9P8 +XAUqEgV5KHSnwkuFDZo+fBjp+H1KC1FRb56XkrQKUWiq65B3mPUp4QCaWr/QwVIUuyK2WXn9hPA PrVbDk+TQ5J5RgcYWAeUUKocSAIlyZ3e8KGk0/e7aAvnoC4eyRkDh7mf8izJ7uGJk3Pp0d2YAKS1 Dwd+WsSxjnhzTyL8nXiMHDAo7cXmwKQWWfKAB43ZnXGCdCw7xODN+UiJq4KM6bOEBPQypijd+1xK 4941qhzd0amtvQLElUC8n4E+QDpfdTb/rOmhN6NuJvzm8t7dT0VNf6FQ9zL/HPvr3cwgsQr+dvyz xtAEdzKPGtMJbupUtk+5p1+wgBq1MUm1w60N6Y5dJHkbOX+euaHVY+N4ZN6jnxL/T85izjN+JG14 yjyYZqCW0Hsmxvy0Z9/xAAoipAsR4Amzm6UVfYVIOfv/Tb54aIqVT/aPBb6E1YZzkKFmZ23/Yr+u xFz5Sm67We5F+C8vzinMTQZ8/OuNzSpoixUnA0ORPXfAk4oNhLHkUwop4WQbr6NXmZbbjvVvKZjj 1WjPAYAb3Z4hGCR1uHGbKya6+NP/AfoF2YHqWcrkrm/XVjMQVBjkxrIi/SVrnORhfIYZzqZiSoXP Y+hGYwaNjLcfh0KJcMBKEe094Y6p42TtyTfpnaI/zT0NIr7raAnyVOcsaDf092/YCHadT28ai8UF sZJVROmwOEyWfGrLAHjuJgDpTlJeaHyYU5DYTpwmraLwMqWYrMZsSVshXJ4gZgtc+ZUevAo+8qRj e47XuCT7C1GNx0bSIk/xVCwMSmZ/YYFFPJcgUTwNXMjELDtQ4sNJ23OJVXXqMa4DD7pIyglgy8M7 vPZ9pA8V21peqmQXGAC04gW0QhzO/cUS8SIwqyMuCjWmmRE7jGqYNxbVG3hgsc46ct9+YhkYG1bh C3tHw/VubFg1aLWlUYvPmOmA2/8iJQ9C8VtT1By5B83ij+r7O5mq64az0435uSGeV2SjiENGM26n Pzsa85oZUtCxv6/E+4JSgLk1UCLRxXOPK52aNwMvam/t/5pYXyz2DCNOvzbCp9urecLa+vZiU6G2 apr423AtiAjrffqHnLxnrPJhlRR0rAoj66s4k+J47KTb/THYUSGy/HihnMas3iL+07HenykEsbP3 yhAht5mLOqRSP9MkKfFIG8HCku0C4UaxsPnUA9VUcoRuIPmgipEhGJMq5LQkFncs/RaT9kROgRpo RTaRx8dYqgJgm2Z21saJRhYDeGOr5NFP8SyrxIBF8UoPdjnfSb4ikyMI3gXpqIpYr0XPbupSDxJV IpKMWM7s0rJzWDSN5ySe/ndi0wPdiu5DBwyiipzYTHLABiAt7O4DhdzSxHu+eSyIfGXlfSWASTio Cuvgl/bYOKlovqEuVWpeQJ37cn1tyq5HTrXy3qCnRwyNzgpI425rnom69SQb+woc0YtnKoFl0bLo cr06IYRNaM7dko69nyoiqUksm7SYEjcQ0145BaPMU6ly/xfmSkU8QYlj5l78is72gTB580+UNvdc mIV+XMKh/uVJxCtKqepzY1JViU9yLFJZW8C3K3huxx7DB22ntQgsKcqgmsVc9a94YKeX7eEA9+YY PI0EWxxvFe62aYVqIfeWyDwmgYXW6jr7dk47cblgohF7+7EmdwxJBHeD4q0RUNd2OyhUi7BREqMt r+fTRmg77t0oA+2AwIF8KuVakDUaU9gaqxHMCQC0adAylY7K/9Vh7VLD9jQENk9j2EEr1B+QlXlV j0xc3/f5a0BN+Z+ahKY4XlS2Xv6DiBbkCVwTZFfVXl4JAaFd7h3Da0cj6rXVvgPxerHvVT01uNcH ACQ4/JAv/G8VMZhoJaPdD1n0eAUDMQr2CK+rKiqH8oYBOp7iCyhv461dhSmkWFj9AMqCt+0KXCBl hIjQ4AVEu4oXQX726CEHy15gdqnzesbWmN7Z5l6+OFH1ccQk3odv8KiR01iheUx2/njR6120o5EU t04kLkOaCxPH7LnkdmTTtiU1tdYn4AOMw3XB0uT6SE6tZYvDofvK3aNsa+iOzlNOcg5DD34XQT9B vWxU7Oz+ZJkoM74X4453uwnmBBvCz1ZXR22kaOExIOwb8DQpq/CMETxzVj2jUjhod0W9PpOzKxw5 NEQHkEGWXJ9BMKp/QfUtOMVgeV5ESI5rNwuj8EZt+lbf2flkpnDX7CDB+V/uvL4GN5LtsdXZz2dR wN8yVpvlMb1uDwyBLR3RyYo3MYoIAEUAF/xE2lCEFo8ti5JIjsH2LMA+0agxOrmiqcrhizAcFafc R61Jk2YoEkrgk17iv7GqXg2n226UTBsgMjP8C31bsuFZ5GHQgSgI4pOq/0kCBgZqaida6zXYlZXd l8vXNa9nlv+9rB1ivgvZIcfoySoad37uVj3EDj5yk2OucCDuLugVUonqb8bCU30y0MJm/OrSYU9h HRaNjAdEEQTjV7i1KtSf1L6I/pgA4I62XmDT8otqnacOTqyzImuq5znwkmxp7SRCS6kg6Le+r9On XJkWSJnjmsr7G7O/m9vnFi57tSdpfPxSn/pquEVg965MSInId+7uVdAyV0ti9RgJIhj9NtsZ0Lq2 +g83XVnmpyliQ9tFKLMy3lpI2JRZAL0tM2FggvMDHupYmOO5TGc6DTy82vUGrEX16aBWTZpv2YQP NUJVPI1xRBCwogubmvYwXeTgdpYijxwjvXbAKmBrgiAfdKWqDBuzS4OkeM6vSN1MeDnCPZFcK8gD 95S55Jl8HE7dAQyx7ZV+n6S8g61zQ4hpKkGIlxDemK2VqL+Jret/B/eyD4FjPCaxpKLbG4TdjXmu bJVab1/Hy4FeCYFlObw9VWgooV2TA5wmn+wf9XRd6rg4T6tTAKfRCDQV284nlUK0Bba/HKSYcfFi mNpp3C5QJL4lQe8FKn1Q6fl5l+mXFJL7zjl4fDP6mXwZvmH7v03UChTY+r6Hr4Ug5CTrp0FSHWJe JxPw/KMBi4XgHiQ9mp3W+Q8NZCtsMxQaxWBVfm8JZx4kq9b0CY5UZYFtcIyqrPpfSK5hR/VE01rp o8HKHVZBQ/twKIB1e+zt2Mkg3JetLIfdh8Q3m8g0jsfTSQbOsz/E9kaImGZ+eXAGMPk9Xdb+mina TUGuR1VSoCFLI4iIQ21CWPNduNzuECNJRXXPvlbxu50A3Rs847I8tKmz0B9pfB4hWY9394xVDCxw eQyj19CC5eJe/bS9sX9E4P6lmUrpxYBLxeHFULtNm2/4eOv8qj+x3wzv2A5zWwaz612y3+rAiql8 KM2nFz1dH5adRytzf7CsL6mXruqh+VI5H1pM9OOStsitGJtEEPeO3Gq/T/QwCjbLevspzE1+THkW cpK5N1zvhjayqLgZ6R3GjYhbv+txbAwBWWEvYFcsNh2MhOR3Q5Sm0sfMTqAhG+zvQft+xCwDij9/ O1T1TMFEDjSDkBIqEgLBSRaQvgyyDQvZqsDI7owgQeYbXU1l58X2l/2B9yEohBuBZ8e5DAHj3Lnq JgT5CQjQMGkHpo2P+xXBBt2NukEuwgK8mWp/iYC8m3L4JNqgBnSjjj+1Qjght0/cpI0qsHlNOeVg ke7Y2s0H7mIyv4Thfmgbu0MJGojmYSJQpNAEyPHpsniSUu6beuronWY3duSILgkvYBLebnaSDVdf ZtyTS+0gvQHukUckX48UrNZ5iDgzdg6dqbdt0T15vQ59TC6JPv0Jr21mDfUTuwDLToaaAHsOGvny eSQ+QKJPZhGZ5ZFrcxuwoDgNA2hb2LT7l6h+RDgK3weUVmJDr8VrMhqWQdPgoxUG8/QmM1VNT78o MyagigZuOjilh9BCm4UAXueTSxOFRZ3xpgnovDxdY+Rk+D3YsXiBTSQTehwo4k5bFpBN01hrxh2B S1fmdTFma5+4YS+6Z5MbD3ljAqGijnUo1herBF1aIPVcefdUHbJb8onJ6O77KBg0nDyakybvnQoD liD4fz4rn2eg/IVovls//ViK4l/uLH0cQpoB5eeRc/pdHStHxQknZSk4rVmSM5fUYjHHTUzzMAof gHwW87B7LAY8sXmmbf5tYE2S16kS2sSVI3gJqWxjSso0WR3BCPD9GxXkJuo0ANib5F22/q9hP/UT iIEhqVlfjSqZVrQGpHE3Is5HCncrt/4G9XOPjVUZroxVZ8N/Prt/L6whW2PbtfBsDRxyZx9uBu20 JZC1uBEigVIw3OB5MTTyTH1thQ8R/0PDTnBPtH/TvMUVqeqwxHUcqkJ5UBM0qTkeD9Td4Ka15u59 f3W6s4ZouSt/T6jZEKNmLGUbJJVvfb5M4Xi7DMSue2Hfivw7ioXMRJbC0RwOfxfTZqWgdcodJpRS L/QJasKcPx4JyzuBqwC0sa75PvpnC52N86x+Szf6t9slEoPFs47Apy7jDbhbCGpcSMmwiqoawpk5 tEXN8A1SCXyQQM2i2WyiiRvdJjbhmGEugQOQwarz7tgA82FsLsXqJSocJD+wsZTaWtiXjNZE/R8p ngJJZBfz7XNCT8fKV6Jh6Z2Jsmk9VvHazNT6E3OjxfAhNGfPfn0i9Gah0XW6UcLL4jDAK0zBld7U b25gi24oESt6HHBKwT2D1ehtCnwI7NQHgCVGtOrWfIQroTjMVO8jxWPGcbTmzO+of1Q1Iqq00JhN MwLxjNmZydzwuQ3Erq56IWbGtfNJvmwLbFL7I8oCV/weBUXQAdNwfJFt6xAtbJ6PX6Yw313w/zWe d78eH4/myUScajrhN4ki1yYQGyb6FnR/e/9Ce4vq/uY73bPclRMLV3w74NFBwFg4mOF/XKwoAhhK g9ogHefmFIk7u5mx1H8zG5VV1IMtMYcqQRgfty0fzBv/k9h0gw1sau8nr7MLZuLxF9YzsMqGqSl5 gzP4RCnuPf8EBeaAdMS8lH3OFhvveAgnyWUpiCBP14QwwW1QncsqgZWFCtGn6+pDGAAvj9kHmkO+ Rj0dU+4VrRi3F/msVtxV2Tq06kRToE5TTnQtuU3JEYRh3UeFmX0sM3V+vXQVvx26Q2AdxCaPCsUx jAWXBVVotAseRFDQcqiR/dtiNmVk+BJnlsEZJsO30M7foo0WnzNSfxXmAMNkGBW0gUA0aWmr+NzE /3sRSQLk4Yej+Zqw97gVxllB2buDKVGepRG6xa9PKjkpXVfjv/m3QYwV/xDpqVJw46wiZbKWV0/s m7BNctojP/mVtm/nsmDA+Tf9sLf0gH4LmOW/PCE6fEPmyPqphGQyWNcJn1xp2TpYZT2CjRKVSOi2 qjgQ6XTHgd546PhtO8AwDbj0AQNVT+TW7lwDUr9uV52GCLCfBpmsofujGFuBH2tGBZAIK965vFBM 5sBZZ1nBs1hXmXPvV+F9t007Uc6ebc6Nd93X1PKn8aQJsaRzXV8vFwso9mD3cgwfEL6FeKxyqzyi TTa2D/yFYTbM+/n8McHlI6EhYeE2B49wtWwA/fjw4g2xNro7P6x/En+w3ibBltuHq+ykJLq/dqbS Bi8h2doeZ/meQqeozrn+JDyPdhNMgnOpduEsgP1OYpDAKfxGiVWIAD2tbng/A6/bFP3a+WEx98dp uCLxdLzko1pIbSM4EixdLaYz8Nx5GdK21k5xlU6FeRy3D6ZSq+YleSMe9uVx5u5cGiBGzw5q/qGU 0bg0e1uVIiTi2pLo6EHOKf0y2wYDGxGG+ml6RbErK+GnalsyzZ0OC0Q4Ghet/VTTPg+JzFUhm8cE O5qfhye9Kdihy0B42/Smjye6FRljX54cWTCbt/snUVJIjILyEh2NSqSZz466w+ozgPsgQFlvn7np 8MvY2l9ORLWtoA17VBYC2/MduN8y4p+zxBS2mzIeaDc8UTRNRzAOsHKhV1C3WQETLC1mOxKBREJz nTO1b1z+v0sBy0T5xZa8U/bHWUa6EuwwlSosNypLPBemHqHezHezKje+h1DePi3jZI7yRbTXpcEa AfO79zrP0QPmJ1+V2cRBp/JPotMK69aB/hhjPFqr4HjKm4/hbNUDNSJrIp/an/QWaCnonBMJUlls ZUAscU63noGFccwaFtr8/94MhS258NWVhhu8PEMH5+MulYcDwUry0+tDNgoUqfk5OreeE6vjLrHq jSfYiIvrKJoYJLkJK3BzxREkqwUHwzlSV/IwmvASwHDlINTHC6kuL+pG5e9gf1jy9cBzmF4HQn/N Chi8LybwY/K88RxwMHZ5BLV8J4mTcoHfP2OtA+DOg+cQ5q8P0hujWgzC3ajtw9aF/Rk7nQX4BX58 lXXs19hQS7vb2DytEySZcxtH0AFyWR0IkXdNAYWaMdFmuc8dpewPdAowU7p4bXl4UEUHlq6bVhz1 i3g/ZttvdkUw+s4ceIR2KwV1Hdwd2rShx/a/3vHCgU1q9EodNZh7coamX1fOK5+aBLodeKUoR10j /EgGGGiSpv3cpZaEPCBbbp7QDwHtb8aACoJyj/zqebMvkYTJvbdrFeLt7o3r/y2ttS6tbl8RMi9K eC8CoKOdKJmXzUOGCVRFtRQg589kgoni+/TqN568KFh133J3hVFoAqg3XXhqoARELDjCHhOoZn1Q /rU3yZ997TWVKlTG7915eZg4c1rpRs/q7sQVrgoYwxjM2nzQ7paCF6v4zF5CXZZb56QHn2PTpKEF aVeOzEqRlVHUmqzGSOWfdr/2JO2RGJx6JooLSLD+OzBZjC7/3CX4qTH+3a53y+CzSggk/9+Y38kF gL5kwwM+LV09XmUmcySIKMQ9FVvGC371k49HGdWq7FPOCvB/nUHmzZSKFPFKOEnR31IwLKF0H3rD HMwi0OmQENnyV8zGn9hptEnR4Jq9zUVU179O9NTBfeLo89hUJKX2nOUeJ9/wTWVln2w41eL5/Pty PQT/brIAlZ4lk0LGCS4fq6U0eC1hWSESsk1dKz06pGgI0yaxhN43uBGSicUgslgMu7gpdVOlKVI4 Zua4lE2RcXF2s9OlDyVglJzz1iEo9keD3Ps+O9Cndw2aFg7RKl34SFtezc2NhpXu6vMCWfp2Y5XE nBcqRh8HBqo3RkriQC8MYeeH0PW0GFArkwWq7uup2dB0ZRDkS+kr62w+1LY/yW22/WAlPNqoIeYx XSwLE3HKZu9px+0adq/MpzuHiSF5d70JIfnx7Oy/Jn+9bx/44fxWfqNapPJdMUwR/qmelY46S33H MHox5fP/9sm9ffPshqkHQs17GxIatNTU7z5sCveSl+cx8PYr77tHlWTCwUGS5DPIIywmLLotyDY7 1GhXmEgrfDZ0IrFpD8MsoZsgA7JZmNOF7UbZ7YisOw2Q1okapYiF7bkOVWVdGoNERGAx2sqoDg/Q c+mSR1OjELzxZKQgGFppS520LoeGjQEKRPuFCRo+ISWuXCWcZVmU3fQpOQEyj8GDTGs/VaKKUqXE B8NnR3Gkt1A/t6DiSYpQjql1idrug7QN96Mc+cCyIg/U/ur6i0t1F8kP/wDhCrvoJeeJyMNmYQuY pQA9FDaxZDePDJoj3v4qvySsziU/uJdWTKRSLldupkOaYN0nxlQR5aPr/vGQW/J6riFumbAf4FwU wGmy2GSyxLYc7cMfWLOUpqOtOtC6oKfQQkA1BUjI1O48ie1Bl+5P8PvIbSMSnW6tSkDWXrTIHhjO rfU+vwQxWBRL9tq66acoRsGASL4g51DGzPFMQajry4ZAFarjOYSMcyljVoQ8P4dTPFuVkvS+uMWB PQaDhl3MdQw0++lpjCC2i7MEQtklapCtG8iZw7tSMxbVGB/Upl2mJXlSJZYoCkR3r8+hBY8mgQcN BoUvF6jEb+P2odJqT/VI8b/8UboCAg3n9DjCZqg/the8tW7mtQRaCAE16oDUNecwk4/3x5Lj428N wsQaQE1hTD1yoN4t2u1nwAk/d3xrktXk77u0QYH1Y0KL9Xa2J2Nl1obir9N5vMmR5GFwRz1fVaeZ zqMmbCSNpuRF5ZA8ypZ3eIZM8KWfAwuFJFbpynkHQKDeeSBxbPGvKyMtA4NTEyzXQ1WppUl9+Q9t EglW1KjB4ynlJovcp78Crf7E28EswBF2ampnwbCuGhHHYA6nwTfA9CcaiAVWMRuLSMAGH71tBM00 B/96fu1NPyZ30GXZvyCyXtCr/ENAImU1B3NFSsTk+hzUev3K5tncpp52YVNji2eIEWgX2mrniq1d yN81ENZ0z4PKdbYPqp2GIOk1SbShlxMffShGgs9VH3FgAbZqLXS7XNtqDYs8aUACfOMiv+wDNrX6 MOeYmBmCAsXwm+cMT/BDaRSQxZA5cnad7u2bi4paRWF6MxIhuMzXtidXeuU6vpKSvN1pXFTnuypw 0adNAxeLBIStK55k6zU+Z54KsLEnMonnuqTK25l/xUMpoNNvLGz5Bb+FbLM74qJDT1K6FpteSpoq lyZoCt6MRIrbfvKzaUPUJr0hDFJZoz8oSjrajrH//xBTpvevh+V8a8qqYuVfscryRSWeieSkC0F6 tR0Fy4reXko3fH/9kMBy1Bi0ZOr6S4g3antGyRDqMTxkrQxBNi0p3vPBt1G8nPvnrpOEOrGX/XUB jv9kzXO3t4CwseBCkuNybseVZ17RagUSPHf2poOGTQfO4wJ/7XByvhJp0astIrzi+WwKd7+lg6mK quNiRqcsa+Wg1WNb2wT3TLyPh6+md8ZcvN7gBTiP8C6mAJPvkicJbZClmrumMLlYgPjblQZHAJr8 j2WuydWrmhH8eguNfwXpcuiSpUiOWeCsNj9KiBFo+0OM0gSaPsGaVGB6lRyX6gkdF33MPXWF7EtI O+6/B1GQ/BYbBLn8ucGfaZQNYjya0dPYBHana9YdlWZ6lZ2lU+gIYndr+VwMBEW/VXjw7waJcAJB mnT+VuIR2f/1K2QoYMgk2cdzqYeibpILoHU/8nnkSM+YJYw+fH7d6SCK5kXo3RDRAhACJluFRlNY dJs0lwJdiCNspeyMI8HISTtUa5VqupE/+2X2L1M1cOk+SH563OwWzgzh9wXht/lkM0nJiGpi91N3 zBWkAMscdDY/SS7ZpwkL4NbIU8NeUl0LZRURursYswlw8l4jC/OClgzCv2lq4JtFbun5NcJmTLyx L1+gmG5hSFQEr5dvN7Q2vVUaOwwlcZHIoFTztFBiG916QAIqpIBOib6lJncHTPdw9FT/PX1zBLn7 a/7McYWgW2E5+ic1dzqUkx4EGhMUJcbTFWl99YDdb54my2MCS4su91OCVjQRGXNmfNsEWUe42RQZ n0Ijje6/41eTE7pr1z7wXQJcMld4zRB6E0uZ3dN+MdIjbcmGILlLhZLJaJtIEY+y5ujiXVvK713v ij3yoek5G4P3t/V7sppXo0CQr2mWhkUnZuNY2YNv3ycfJ/7PD607clzkZXA/OJz40FaR5S7SncLJ ArgcBC5gmaKjnRZV29vgIFUGkYT04nMrkEp2fZ3xK2D6Eh7Y7w77C6Y6kjr+x8dhWqhpINeqrVaI ikEIQiSPif6zVBeGWBJ5q3Qv27N4dKkYI9tgBq3B+HYHBOVGqDnVjdePb/Hl8vA4TDL5WiV6cx0r r+LuqI3CMjigBL6FH+QLjKC7r8cEJDEhkjOdNdde5TwwzT9zpuvBUgajPSF5pmjwhvQ78D9AdOCc gwT4mU+hMshnYA8V8C4UvtUEZ6BOkvuhhHViZdhNQgf5sPglu62dYggzM5wgc3VEdKdPcdbzxmgL KliL6ybMZSjdKWwMKTq2J9DfmyLfYZjiZJqYrlqGk7U32JDdUr9TeWPQpqmd6hgNnMwwMNDFFpVG wSoGASuw9jKS4g2iQJcFxneTMr1UHo4n+SzmEb25VdDk7mLyb3AS44zfYSN1YWzAuAvyAPveLZ3T iQZ4EpSUn6RkcrCTK7vqx7tCgUAwSyye8CCXmxJAs77u3v3IDxEpZ+fLZuA3mGmi3tZM2oaN7SeF wQrMsS6ZxoYKF6tqViyHmTdN36eG3VgG5M/jpWSj8c0HXaNJL9D10Pc/SGszsOs7souEnxeOiHlH Ouq99tv880x1fbakvF4kBwM/ZQOH6PE3vkqa0HDGuf48MmwI99H+EjcW/fMp7cOF9j0X+vbtxRfP /b1IZGfip2l5EKslcQYNAHXvjyeqpC4R4C8PD/0p9viOjz2ymhcHzR2mxobZeXSzCGrREs4DOi0h cpTpZurdmQPkB8Ts34J9ZtW6pX7RRw+7c5U57v4gfE53gJ/bvH/7es0I9DAipq97Iyy8jL2kcZjv w78pDEObwlI+xNCPhwi0qEh535euTwv4peZCUCek1H/AOrGVPBxZxoPR+DVirOtaZLUNpHBdbxde gUIrNnIGqGpBWW/8f23vv9ZjCaJMribjNmB68TKiLsJ6b1Qa1ueKHmQaF3usXK9HeeohgXgKiT+u w7x1Xl6ptdK/GPYqeW7mPAbuS9faYMtrxjXFZ4ff7DrCfZn6thjNZprqjZgcKpg6mOYtyYZHF7P7 cGVif/rKMxn8W9WY/UJUi789Q8tTAk973n6w80PQxxrtUjNPzscr5pysba9qJcsstGWXWm2+tRlX nRLvfVMg0pgOqsTU4/VJPfnKX6f66sn4J27CKakTw0b53Qce6thOPFl96nqfBx3nZrQ5Vp6i8m0i cFWqfBH2INeXKQX582WwIqtwoZhpCp4YlGCgysvvRp8yTJQUcdNn3XWDcc5p/I26Rm9romUOrf1f lTKLy+9Mxv52zmMYuEmKO+Bl4RFTQYE0rGWCBL20+X8R65fU2JPp5Xb9RyvtvtPtZleqcqHJ7sym Lw2PcaSs+Asi08+kCqRfuQXOzRHXGWXI19WsXJlyL8HLzQAivuPbZeiV6wEQ6/r/fBej7apusKcy mquQCd1WDOLNsAScAqcAeVDvGc+uPvQGMhniooBXjQaWSRkBdbfSa2gzuAgMp8X6Ou6dBlFNx+mL vL0rUiFl6X6vkMRILqGQ0ToO+BLh5USm22VX6jEPuK69lzr2BwOMGtGw1huTuKxwUSN8/dSR/sfU sE56S/iDlRDGXZqlzEq0rLSydwvlVDL+OSklzeCLdsdCh968JZ3NsaEbH42Yatbiz5o7Zewho0xz s6ff7beaAhs+lc/+b+D0PKuqq6fqHWPE/3ShtB+JerxeSVNaFBoqxAdbA2gJm93Yc7kWFWmpfL8s d7C5Tse1CJPuTELGDN+NDlGD3Hoo4nr6hApu6wyo6ofNXDTwfyBUXZoWAqq5r5rcfsL/2DWDKgfo Rst1pRfpzXW6B2zi9X1iCaG8UVvVQRTB89w7wJhr06l3tAZFUM9qOzSyAfVlVpOqWi3gUPcYw4bs ysqFJWlaQL1amjK/4AVlXJ5Y6n78cq1OqpMYx921k5v29H1L/p50wLUJXgTw8T2f24JnKQEm8SVR 2I6fgbqhk7GTcVlJp46VL2yAjNeEvWfb/CS7K7iZ1rVeYCiqofh9no0FljzRfbI6tn97FpY0eYK2 W9uGFGdcdE4mt5YVHS9VO4F81Jxjti4v3bb0KgI3ygzLJQvphmAyjQVEOVrYbDQwl/c/E7y7Kbkx ndlab5xc0fHR/Q/LTKxC1xfRrBAFUGzhcbZoKKHXiqp23tBMD2SP7UR+dgQ8Zxf+EY8/BQk7FzjR ImAYkTB/VxL4Kh1srBeG3EpREVxkMITOb4hQU+R06Z4mcACTAqByBoDKUR8jbfdWbWitd4YY901z +C5FxEME0J3JK6ZXVBjbgNnKRNSN7L7/PvYXZkNa2Lzo2Ba7CW45RYyOpduyqnynmYXNwM1fRMfP f2g3qIFyfvtEF/50x81pYWEh6LiJH9MgSJCnemdVmcnwld5Cv4csXHT+CAVWDZTmI3VUuefdVs6m 04qyPSLf3bng/ATP4w7XJ1IgWAmlryiSeZfxJhTX1E+wvxbh5QML8eWj3jdkKa0pVKCDYoq4ek9w SRacG1sV8jBOSZXXwcHXZs3vjrm1OpwBgIe45vQjaYSTLjE+8XUNS62WmD3w1cJXyVYIaavpd28i iY6Bvh9Tx8MFKbJ4c86aa93FhLcuiigmAJb2DNdJhT9Q7Om9uq1/nmMrBzNhoGlmT6IEqB8+ESTe QDHr2HJKqadz+3zq+/eOojM8+/aHBAM7Ex8F9BdhI+M9nlBSJU5d4zy9DoQlyRf3tXmXPlANHHlH NbttscEC1b+zzWx+kcbJJcgSb3FRERh+tXKrhg/pTpYicsJmBDcBJtE5j4BkXaIC8J/ziQe4vX6i l4li1J/8P35ly82HxCVGdapDRPsptsa/0MsFUYJ+nNBbMBhcvppA+AfNSLIImJC/ipfgtTbplnpn RgBkHm14zyyLg0qLcB9uksbPqcDfrIttaHTVm3urEmLFx/DvNjwQCoP1kmkjrNv5dG1DntWdwN1f H7lKZ80FOURMjVTIeEFBBaaLH0/zzlRrKrEGIuVryWkG2LhyUelATQGf2piXRplIGah/Uhe8O27W CtcOErgCt9ZC19GgkNam2drP+EMIvKnyzSvTctXOCOqDOHXCyU/kCaFqEIM3/kr0tgwdbQcRFTPS nG+nimVh1DIu0TEtBTtseoNu/tSk8k7ri+gIE4GtWM5GiaHHP9oa6hOL6PYklgbz7mQeL9Y8e536 G62IGWaFetRT7V4jmm5ncO9NM9jqyjiqa+yrJ3IZXnFxP4vKI8eH9wbzWgudFbPIF2SanTmInFYi jV/Su4BPnNQVJV2t9xBxYs64rkNkN9LkXbXz+vqgYTAFY/Ig0FJch1xt6xVi9qRN0IOO1u5lGJrk Fvn4M3m5Zd0Dz60fDVFo39z4Qkf+sfe3CISo33PPD85rwKlq64MJx418W822P561GYVwpwyppMo+ bkaT5KwYJH8XO4yDgkzBtWb+/FvtOMPuWgKIL8cYPOtLN22A/0AI8WKSugu2Hv4veeHImwkoMVe1 ujtvCg4XVhsrXi+jRoIJy8VineBD6hJil1KyP4xH6DyP4h6yVjOc/+9VtB+n4A+NgLlysMoGdF9V pVA7BDkCsk3X2w66FyoYJHLVWKtDuE7pI1EtvP1g5wFujmUbQfx/V4MP9EdvA4D1D0xfFULpkOzY D3mZFLloWnp4U41A+2FAJZI5nRp6QnZCo//ryoDTIszI0NNzKIh0O7+RyAwoP260OPseu4DKiKlC 1/ATvavS89JrIEnnjIC0sugh2rs94vKNAR9VWDtVtYQRj605LqPlYEamTAvQICaRpDOvsZVRcKGM 3/W5Js4r4w9zIqeWRQ/U517EuLkYkQWKPMkxADp3cyvzE9oMiv140IwR7ataZJMBIz4GskG0m9kM 1baKHkMYHswgCdbi6qiFzx+8YxONxpDvjmhgusA1Vk1VU22sjJMo+fctQnxjnOTIrB8t53lyOngd AOnQOaCBNoU2jXrShZaElqUm69pF17ZXEHZjtfGViKCYrWvWFmDPL/Djv3sbhGNLW6Pgng0CPKo9 IGr5b2WRAd6hakkPIRA24VWeWoO+tkRSUym7Qo7vA1T3p5Tswo74LRb0WP9yzv7rdb+tti9n/MIy +qDxZ1n/7XuiPxhz5wQBR9MAY4OPp20IA13gNcfUyLUYykTzqDhfJDTzNuLOxf8ngVIvKZLoBs7m 3t8XeovxbCJZUKsy1iSYdwQUhtmtS15TIDwrtTKs83YYmHXshYNwu3L43GUSL9twRKrEgljR3KNm PZmPIpS+M17XXl1tASmSTUDMD6wTqecUJMF2lYAaHkFNxxyF7nLTtVcc8JXZ3p3ahs/cBUhh5wGY PoXwUp9JywIa//VUpl4wn5zGKhXH3eseS702ys9bad40VRwfYg48KNeFKoeIDpX0PCQQflB0FJP3 7wJSWMGIUkMKLO5kykjRY93r9GafN3L7ZA5RSbRbI25i5d43o3OxjP2P3DsmyYUVsTkvLkxu2Es/ Az0y3+qd0bTm0BZsgeJDZPJ3ACwtP85yROvoD2zQWsqm7wL7jqq+AROy0UYC897g+vznGUX7i+O6 Qq6JiBhKyke3ZgiILgaiX+wKwlayCTk0mJFm4r4bq35VY2vh0Z9dSK+7EkTpEcZOOtCDCE9QLtvi JJrmigWTdXoKlSejxrcnSdXPZvokjz+zVIwpG33ro/LPQ9Jg/LGOAlC0C6kTWDxtCgtBfZuQNEYW afy3YNJJwXJeFNWzq+7VaguQP2UEtZiHTsXkacS1xNG2XQ06CbgjcsISVm5chTibehufxZ7r5Eml 6s5TCYdF3NFIEMLKJ03Y58XJp4TrIwbGeBjWbY14KlDFBRWYrg4/SpRugAAwoXjS+dn0nm/amH7U e/Qe/qYrChHrAsz0+BZDwkyG+TElJwzmPHZnUFWwX/lIAyxora4WPuyg6xWBcwmlRygjNqT76D9n FSAKJ21TxhAXI2a58AaaSRI8G3NIxT8AJNqRuW1SCeqb7QIUcAczL7pFzeY4FNiu+olaAiCvTOf2 GmgBulf59d+JMdYQNkv4qwbbzCObmPIvVDu4Sm9+FWitVaWdfLTnEzuvhlgqHBsL0WlT1hm80jtJ 86fx2n+hLAJuubAzoITM9FngVP0dqqMoyJw4Is6gKO0vyo2+goHKE8/FJUG3530qHUDC9gxh+D4+ bPTJHrnF4+ahLq1t3zkv4ojEd8++M1TSqNhIfBmqwtGOHYHqJiNQzxtQONZ9n0PkbF7qqByWDxpY eKpoghiJZXGspoXAOtyaZ5NcI3NmMzD+12rSrYlsCQqcIu860lRZiMiwpPtlMFaPJKV27cmblLcM oIoPz+CKpEtdZyXiceHMjEvDg5kEunBDYusCqZNaqygMvzq/8EPhsLYlaz/TBKe0Hviqx80y7tl5 JSyG9qiYuA2TdqmfBPG7UAi3TeqxLjpPuZWq/X6DzvZGOhsuZtdcy43VUVquLb2pmRtbS9Vz2htC N7Rpbo2hIKwHHwtjlqPLycbVRdel4Fw+f5XADxPsdkmUmqJ5lS+xMFumWHi5p/JJBokTgoDkA/ny hD8q0jI0XwZAKuP/soqu0ebIP7wlCVpBp8AOFOHceIQRXet0ImGP8LOSOIUzIqFTUGILkrboJ0G1 O1zhj8QhaNWuF57mzTtXJW/mx7RvqM6r1uXPbd+17bUwPuC1TmgzfnZUQ61kXUcqWv3DafiTb1yf 4OkQMHU/ztRuhg1Wx6HWDaUGnr/jVG66JToCiqK7IdoXrlRdk3SZB2ErToCLD4iYUa31JNZrKgNi vQcvNgREsUJHZv0X/GZTq7p9nRsE2KISs0wrq9TSqP0dTm7uIKGIA+Hsy6legWbyT/cUpMDaId53 glXr5AgOYURqQ7SrUPBNXs8p6bEKZ7s/TV3AdiJaCN9Ua/OvyXuL2g442PGNQHoqaZnn6Ye+FCYa ZnYHTSLWfUwynEWwPqNpCsBvBfz/+dtckmFW4UxJCXo0xluQlmYdRN3A05WU5Q65YWGozk738r6N pp3FwXpt/QPAJfbP3JimNOxQbki6s2ggbk9x9Jnr7JOYlay422nkzZ/Hk/dtY/d4ry+6RnF+a5ym pNnfaG1BYzn6bE1FoCfqXU6Y0MyLljz3fbtDOv+pUwUTllcWmw0ATIeDZ07Jl06bUYwTSmeL8gly Fs48eeOu086VhG9NYLkA3D3U0YGmfj31CnKh+9MY04SwUb7Pp2OhKMfc6PWj6lBigoIxQf3Nrvey KQ8GI6/dYJYWUa6fHTPeMi7t9l6bvSd84qbx8R6MxmG9Cc9XEYtqpCTowATc800mWbrqaI3L3Y5u f9poWS0Jd8rdaCIKOwhck61B0k0WplkIk6ezDJrdyf6O9vywW0n/7nf/KJYRSZp7WN6dED09/kQH zikQoLZjD8CAPVA3NkBnoJFY4OWBYeBkQP1Hpmzvky3zsrA1eJCNAInik5HGOUta4NeAMGcdX3lh l7VMOw3V8NZ0UBrR/BXZkdCMXCJLAXYTwxnYBA7WOanz6zhtfjhQIE79JJ3KclEeM85ybe0S5cpv +gi/yMWIpiilhYX3VgQeBWVPA7Ty3hhUpbBajUpnCVy/SLT1GvCOM/sEiscNtfTBiIVmPrVMlEiJ Uh/0WuvFYpHq691/K5cz/VAPk75HeqNsilPQjvVs+Kqs9LHmBLuXGZGsCB4o9T9dKOSsMF7kf2b5 xP2yGUhmObQ0cFWf0vFHsS/z9gsksgYFRxj4jjw5d/wS7kR8ij+nB8l+gK0Qsb/1WTqx6tts2YZX RAU4dOIeqQp9T2auUerEy7R50kR25m9AwvQJ2m/LPmjTNrKOSqRZP+D2VqmEGSGHJx6am2hW8IN8 nlaqFT+wMWum4txHqQbHj1zL38MsobYRpmw329304hnu8romkVIfl5tqsu+zzVb+VmTUQQXwtpCZ h8uV+8oZBvqcJrtD3e+0GOBeeBBJXLgW6w5T86H2My+3B5d89/PpsUszxEBV6rr51VN2QWwzFFDD J7lGBn2h+DsqxDxiWK7cj42fkM3VbeCjg80AXuBEXlbNOTsTPA+zT87XGIL+8uxXeqG+9A2NWe/3 9gram3PyAlwEFkal7Xxvk3WwLG9w6HO/MpywzsFL65Yj+fh0zRQ4UPyanccbOcqCJnMCspKPHyhD 8mt+DNdacDJHqZSyrctz1LTXxVjyWDwiXGEAFD78JemgWf4euYvWzbVGjNr07ZsOtwtRC5wqP3+C OnoHqqv2H9M95T4THVxtatAsWzV9pBi7NIbhMFtl4km8woiJU2hvsBCsySwTDsFzKiiBGqGDyTUS lSHYxlSMSlQ/K0lyyDHUrLiscue/P1Kpp13mJFjwKyGnB5N8JzW4MePxXn3JGPGqYALETSyc22h2 n6/aM3TQrwz6za10TvvJhMEDMfOemJR/q648EPrU+2yL5uCxd/IUSnmJvA1+P+jF/1ePflQ3+UX3 gMzmOa+59zYRuf8UknA6kzruK5SWOYpfP78HmA6iEkHPv2LcuL8UMcEDR8nlYIN+Z2zea4bRDWRf YRcB2yIwP01v/aoqnu1yV3p2zJhYXtaecaTDc/QMs8ZudryUd3XF3aCd7rEH4Ao7VfxVZ49Z+2y3 zQ91xL4sRkcVzCA7qUUnbafCgmBnRXsMAzvjhwgI9zxQJdQaGLU7D0RmaIL5mTl8OmP5SR1l/TU9 FHIjDYwuNEbK+OaWumrz+fwaL29AF3vpahwNgkYPcxICB6Xu1W87tl2Ev5Adh0Wq7yc5pl12uvuI wMFjfjh46OvLw97KURzB2A1ATBB4IbfDxsTXgkN7XizhYkBK96cmUGdiV7xKSrkoCZh9N7hEBFBb w30Zyt8YPirviCK8dHZpCfMZUB0= `protect end_protected
gpl-2.0
5ab8f2c3ee70ffe534732d4cb9e40300
0.952549
1.816275
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/dds/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_synth.vhd
4
16,010
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MGexb7f2Y6xAit14TKybigT5C3mrfa4GosTAcFp6qXeZ6Jqr6W3Y5qOJrzRhEQ5SACHg4b8Re5f6 lnMWwfRqDg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block niQjl2Lj2IsJsEQU/YsvvWnOnrxCMdI5RmYz1rO9W6FSoECn/6CZx/B0CK3L1T+UPfn46Fsme6Gq ECANGYo8ZhwIV/v6ZBzKvnpqnnau2uZe+/7cpKhkgThEy0x7JD/M/lkG5VCMai+HUWd94AL1LICt SpNwaUfBlfUru0RXWlY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RI5h9QmrbD8/9ufe4HS6hlkRgFjgKIVIIIx2m6h7ZsxB1EeTyJdpezwqUOQm+veTp9zvJVOSx74N THbKPjmvTPC+H049yt/zNUvjQVxM/7O0PT6amjRXlx2bIj7Z9DVYoregA45QjGWuL6L38nWnJt4K 0ALA1kd/cxHah4adM9nWdEDZk2guubYkwGk0FKI6GaT+RTfYaLqEoGqR+WS7f/TLf751VmUPDxww yh5grWEdrH3/ZFU/NmE5ZfMn8zJ0QSvgqrCP2Y8ueWrh8a4YDecXscdKVwtf1zYnL+9YyiquVzvp V78qLoV8WPU0OBC76pVa6vKOxf5+AiAtrqE9Yw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DrfBWoD463vF5jiJtrPhocYE/UiwMCf86LKkN+6z9mBI8RNxd3z1LgNLYtx0Y7QaqlPYNA8Hmi/y zZm1GAeP23v6FpN8rCAILVS8YOMYSWVZEi/BpqAG4lpcrX3C/1iikDXjaK54lUlG2aTSLXpbvOmV ZiRolR+OibdaRG1XmP8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Cq24hySCQ6jGgOU0OBeC4ybJFWtMVPWiLumBE81x0O0Vbjp8vQwCccIIIdmAeL4IShGTGWG8C2j7 W89Lo3OesdoAqvm9heiMnFQsPUY8eEowvXwK6zUCfl61iSWuD4p33Y4nTedprJ9ltzS6RJ6ImWE1 vnTlROoTDvaZePbQ7oPIfH0nLVO1PxKoA5FYOVoWG12uOX6sZoHMNh+ucV/vDLVUUUGntpAB4Zrb iDCGGdX8TmTL5h/ThPjuL6FDA5OozIcO32hEOynxnHOYeb7rAeTRBQTgHMmtaIPgJLQ4B9buubMu CtlZ1E8xAOyOUi9+NPyfOSsFVRZulIEpvpKMVw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10112) `protect data_block Ok0CVtJg+TQolbLe5Kt9HcGoqlCQuWdGwJM3n3H5gXbYijEoDBE5vbsGEOAmIaF7SPpu5DM56Qhz xUBGUvQ0eSmVIvkJNM5WngRH43kInB+DizitSH6PtD+PBAy8ocIvjBejyjXRdtOZ6KRbGuJHST5x s5DwaIVojNYcCaMjHrUcmelp5Q2T1LkeXurOZduaDLqwDO7lKTc9DHXRXY8Xfb5dTy4+Eb/ocLo4 u/vuCx3C0mdjy3KD/G/X3AGbchUVCwrw2WYmhWt+LrU152PaIMTIBVn/DnHOvK1H01um21eUpMNn Q1Gu1Pii2czCeIsD48YcO5eN1+b1nWpq8jEeBsji5XGDrEVRwBcMDXpH56yW6OEvWgg7vZ3kDOD5 /Xg/EREomJMdjP7Q2kyzJZYOsN6VH95CYYYopcYlzAYDsz54ITtmcspkL38P9gRxFlej/cYGWXLj KzWA4irsB732YYinBFAFxK8K/Wie54QGHo/BDQaacQ9KRERE9K8KM/TvHl/xNnN0aMyVNyMa7lln vSpqqRyHjWhw47PLdLGsgYQMZZEP/1wgGRfJblTkUq/NELqkAiKkLqOfcLAp9uo+w5lPT5PZrhA5 RUMVmzodqmImleQZptqG4OKBOYjFIqv0xR3dudW+AHvsM8YJl/wOv8fK99TQqUuJqFgwxB3SElYS W+Pog/qhRJqSJ5To+zPPgdLV1gTUZ+T379Dr0ArrgzUT8NR7ZeZwzW1tx1W8at0+EDnuCIYjcu2t 6+Z0BQxMKzzRmT5q1EJRCCfPEtpcnCLlTZ2+uobZpDGI1ganh74SaUxHVo9CGquoM1Ag5nVVIdOK KZATStbvPrpPZVtgeYKtQD0bDcp2ip/jwoXh4kRmcQkmScr+NbVik86jVs8kFX9G2ui2UUWssdzE FPeaBrmpqybTn+RyVf+59Zs5c7wL1gHLm5/3Z9N++Rq0lY2ZfFNjwJEyQ5GGU9sk1p32cuRj8MjJ 1GUU4pF2QJgfjZu6WP2wJMQYPRLUjga0HMSPppdL4fDDsJxAq5O0hF0AiaQsxCJ+jxA/LbvkqXpR bp/96KezSL56/jd92bDTqfnfIIkhXxRTvfrX82VDmZDUM/bKKejuyU/LoQtYn+rtj5W6k8vKjlE7 tp8+9793t0nBqYEvXFLMXoDTNRPIrsW+n/8qLvJRoeqwps42RRy8PzojvzB/jGZ++sePaIYiEhJp PprE9hldlP/s5WvkLtoHlPHZlkQ/2DaErd792h7oIkPQlPvh+yulk8cVUbZV48gL5nbVZJxQgjrO cIb8rZH4VCWYChVxvLHPdgdCVyJ5AfjFnEE1dIEtQE/BDunS7eOUzV42SlGPb9mIxw1vXI0D/Lcb nYohE2woi4ZDUhEUGM3+DGeJsCP8eh4xHGLtH+IowQPoVZCDhWz9Z5xbJLy0gP/BcmiP5i1ox/DG 56v0C91ADgCxWbH2gIWYlgIpNS6xrT/NrrGUVysQhL3ZUkNdF+mylHdefxw2IWx2H32IR8LI1r1C 7ImJ1+W2Ll+9YjKWPZKmRTkTP5jNhBTF7jdoSrpYmGYYQmUwKRpnoYh/WFIzAhHqdbpJ+gf2Pu6R inv49k+dUB65wdPpKohiq9VqMQWzYlaM3svySHeiwzf9h+LUbDwTV0R44aVR7qWUokqNn7bd3zHe DdbPHWMbQ/0YIn8j/eZyGoJC4+rzDhi8j3ZSN13gUfTpMplF8/FgamOy57bUy/lJjQz87pJLCYJR eyzDiREtVSb9PDAdIQZsIWsEPL9/bkxRidHq6gKOZvcETVUv2jN6TtjuzVCsDA+mAJr7DzdxOZA6 1tfVO0/dxSXK/DoeV8mC9/kvLCzHYVfu7mjyuZag+ctguYqHCH4wWkULYUMae59fhFC93HqmC1Po JF8JLR/0nCZfa6VCNUa6ev16naOJyeUWtkYolJ/fqiG32Xt9IA36P/fyUjp69ZgqxbHgufqSIZjD LjrHBLwGBRR5ARfZ0fDGjWmtXzgy1ngr4YW+khCfYlut2cEXIHKhNGSF6m86J2OtJ0wLIibSTuV9 6EWlJK2F1ObbMj1wjAclq5YXero0ofhiWYVeiZGE/mtcKVra2OfRcSsslFGsfGffbcuZRBRJzB9+ FH/OJq+GjAv8mSukGEozh/dGP7AlHOy1SteAm5fiSFHpa+5Yjk2BuygmPOLr1WGwPvPvo+KZDl+r DBHPzfmwdlyIgbfnL/uAlTW7uXdOmrfCvdCvy5lIMMEKbbjFidwwnhcoGCNvOS+r0urBiun3GNdm pvV6PnzyNEZlEix8mlCCgPPHE8vMNHTPVeyX4xVGJjwPMR85BFQludtR7GrkdVx+F1JzshOt5A5D SUngZ68yi9ORS658KrXnG8Wy70zTiJaEH40+oYV+DsRWxVeVWjD6Yzii9bEuxRypjm/gKoB/d3vQ qIGNQxSNedPKugXcCXadU/RWZzKIZRStRvNGJowBYTQUU8kUzvTXvFgzYuvTXPbqghKmZbbmx92c uhKLbWjnPOmTXRRHdapm5chue17C19KhN2dK9IBN8mPCbRThXrGH9rWnj5f7+x5f/pRJT57TyamS 8utdUqLpjHKWUTLw8qFkdKXCxkifKC/XvfX62ydN6MT94YBYwx1w1kuwQ4FVvQbbtcsU87/yHy8P UOgkElpcA3lBkeb997kXPYORDe647M3qFbL6iVm2RjN44UHCkkNFLMIsj7E6bdGt7Ra/diY4KGc5 GW47kZfR7pPAdXHn0qLZmnbSwsQzwYViwvvw7pGm0KTqwI15yYL2Y6EFhG/KuMIauX2FKq6EPl0q 9/NSnwxhGrrsglDLaG34i4XzxUHEmD2oTxHklMofLK53QeaWXldGiUiuioGnOOTinapzuIgU86Qd iNLIzlRnXuslDZ6g8Lj8hUP1CbSqmJ2P8ooonW3DwTlf7kXjD6pffYNVa/4s6kVZNvjgKM6XwoLi hXmYsJXPwZpm9blCYVXDaPUPhFzRFUh27j0sN8gCHBgtWIVrBv05OU6RWYQhbycqSiadjbMq+lbo TpoxsAlk1rYHmm13LBN4jrtzgZWc55JXL/g5pthg8rjfwPuMyFGXpo+GROFv2/t4Iab0VEgIyeHo IFaTTWxmSOMfvZRMrbnbrVGPW/2PjXg1aoUTVkM06mos5vVGXIibPf3g9C8pPIIdlFiP4uEfVguP lbJ1Ut+1UNMUXgjiPEHn9PiO2xNuEnllUi7zMRxe/aadrUlVDo3nqVXykWA71RucZEVRE8DKvtrd NipCZLitLDcRWCVUGZs3Q+weNHRg7Bowfu1yVaB0qAtYxxog0ed7yl1qydwyC7VW0dkgA0/8YR2k GssGBpHcKnK+4J3U3T8t/kkozNX/Z99CLmEeF46fxwobeIMpt6M4nk9jfhPfUDlk8TpiPQMpqqBi WoPd+orhMKOl+6/YZTbKyUaR2tGkged1btThiW6tNKk60xOUQZxAEqGFK58sZC82tllqi3opewFZ xc6mTFqidqTU3InYKAubLCbkeJkpqml+QSZOq3zaGmuRZRnf3z7YuFQFQys2uGw7a4lr1JBvPkTv 6QwVlij2wgBD9MGOu6yhCWZ5kK8NwFJyxHanBuzl9xpa5K9rLDGkXX8+OK5Av7jxB6ylmRP1TZZu akLFN6++BmuhBO+eAqODa7Ix+7HcjdjCrv2TgGe7CbyAMErh/36M2XSR+RxaZUjBnOeoz6N5Q1vY WMqkAnAe0SHyYJWCqy3j1gVHOlhYRXI01MLGBZ39qgLybzWVmp8UkQJTfCuGqZO5KeWzOnvuDuz6 EeRu5t/jVvDqft3tgeYCB8nNWCxPKqphBKPZyxOPO5zHaP6JdOUC02cpgQxhOSwmYIj1hnTwoZUM dU33u7s7esUcoDFlmTk7q2k7ICkF+u3t7PY17dWIKENYXEAjxIb9g4ROKvCc8CBxDMoFYsl406A4 J0aGGCvgEqsTANTJqOrSmrnyMMVd7ARA5vM+GyeBl7OsOM1voXlQ+reLOURtJs4RMoP6D+L6kMTd meHA4fLhfJ9ZCuI3OW4KojJXT1B8UieC06xOqwuVKALcXCRCB6d0J5d9gt2iIOVMnvLlURGKnFss 68bihTbp3omyT4ISwfhiUXZ/7jH8mgfQkvzfrM62pwkPWRu09JSN5Ljph1uyv5BgFCzk1+EmF/3H Nzg1XS1hcMTPbuWAlsMBCtxlIA/CpKjxpf30vMV0GEjeB/GVdDjwgPfdpWmJAQunGaBF1vGTKrtD E1dRIqCjfEoSFCHzxUvL0sV8zQJuw+9D2xwpRA7IEvdFAk5LqarQWR+wgHR37ryyrFCMaUVwc9OG ChOBerG7LkB13pvx4IIpJzBT5KFRrYJJTUIi2flEqteU8d2amQzoDqAvRt5Zt5YbLVqkr3PIN2me KCE+93jH9H3mGNpYcZRZQcRGn4IrSHBeqOGPYnrfOnD+mb4cgGd/89z5R6Ko7OfsgX++91wic68p 6IVz6eAwC5XHsUN1ygl1OuPHTHvdEaDZyEMGEkK66CuG24JjrkFHXT5lZSvHK17m/LY3uXMIwOqo XAgmWTIpY3U8te3x+KLavR0bZMCwNTnTSA0Q1Xw6ogHrfCd7Uak3BozlGXxqFBVrW0vfGCoHeJEA jaAa0MXGvVwBNCJaY59te/AD4E805mo6nrxaKKx6nj57GkROGJxyhE/+gJjRkp2SFB0i4DW1KrpF 7Vk/k0NpR3XjQ1HHYdqiWYUiBK4+PDYURWHdLflx6Ksp69x4ZzH/EMyhtS5aNuiNFiBmwkLOSLFW O5AgXQXNg8JWa114Dux7i1+9+U4Oa6ljGjlEop8pKbbzv9JGYtDhD4Byiq+i2yD/vR3RttaeCcX5 x6Ga0Q5eWWUuWbdKfBqFmdVPleM0bEcMO1tTC0Zsk1+T8WsoA2e6ebDZqbWhHXJq0rKUobdUYM/L N3NZT4WdEC53G6nKMdUvltuAaQSEh9up8C02GZtq3N/NXYByG83Z5oYLMs7SLdBtzdvNP3ZDrmz6 yKRenTLNEwgnfs9Gi4hCIN6dmyDpKR/4KCZnEXgyK4/x6roe9pUpmioJz8hHLpE8YD5dHaH23PhP Bwptlwr+lYzOaLzrZ0RGQvaKyqkt+sRDMh7zGYA7GCYunaQX69+mhRjiYO7WGpMt/xURT2WAxNF5 zE05bq4lx7eeB9MB0a3U22Pb8a9s/9NN4d2mRgVHU+DScqG87T2QXDqgmsJxQUsn+gq4M2TOqkBn o5J6m5hWo3/3jPZe4BO0CIgNhF/MlpXfXvpqfNb4QZfywA9O9kJL/MwKi+sY0hwFrTnsYdwWKHxi iWwPIkzicFwFQup+2+Ygto3ZhICGxMVq/G2D2B0NBLdYTiLu9DBmWHuvTh+6RKEKGknBckIISD5o g9SJCPu8GsvXv5MQw6Hf3QCzjrKHRpjAPFeSEnL3R+ZkxjSmZ5Hlnccp+DKMnHtg+dM3CVMZkfPr TxK4Pi3wi/miZJz6wuK/4UfxTusvU9guWzvYlPXvcTPEOm8D6A81i1szD+eMmaSn09Fn9lJN4914 harZM5fDa/qzbufyaVSGlnhtD/jOS8nSDO3UnmcnhYOOOjKp/YawUVwqRNXaFiESIaMHAuRPjzwI B7edLUKE8JOJAPc0Y1ijVdGih2svs7YirzBnkxZJtZfUzorKxkwu4vNc/S8t8JrUO+zvLcH2TAfl U10Y4yxbc6ZZOLbsSK5QOsd03n5mw4z1g3Dj/R39LoDUROsajBIZCxSwyDbfFFIcEz1Y78Qyv9lj a8IAwQXAGWEwn6Z3Nedzo4bBO0Q2b66WpUEVxcBeCFFJhZK9VX4jeGZ2UxZuEHPNzig6FvxtHEoS e749o9ZiKp5tC9yaQ21pIQAfoVM1BsihKy18/W8JYu91R6VHcQM3jFJ5R0IWBO64JxumDXAqyC/I uZK1p8IqE1cSCB3X87K9ZSIcfQJFs/CftYpjeJQV+e322B8V7IMJF+1EFTmc2eM9AArBYJATYnz+ GQfMA+gZiYv3hFzd0mPoTXx49pejkFQUAQ/B0kZvQHUBsqShQJnyFsEFhdeT9t7KBdSnK8Qux0qJ 6ZC6033Z/5t9jjkOH1LQUBJZQCEP3G62ZO8AiDm0ZUyQsX+Wif8Xg6jA7WXrafq6/RUfy6v/nxjK ETX4KRFMawbFFVUxk4SxFbnCXKdUXnT6olFHqFTdq+1/PBfkNKwAWKQlkiZ99T/hN0C7cA5ILfcw Z17mZ91rqcIsowx5TJTu/8LK5UjSRZPsB2C+rWj2/q2BWyqN8REF17+xkVkkYL0xXNrnuQ10VY+S qUgTJFVcx8PIoQufw9lN1HhHQQJOayJCM6+yqmbi+B1bo7Q2hzIwDMe5ZbxAPVMlEvdZShiBVFDN 05ILjlA5Rnhgh7QGhJxLU7J+xjnZvFbBCG87ltld7OthFWlSFV8x9FVtTLrKuqTPsVr6r9n+I0fA lmAFiU8BqaJUf8daSfhiqKXKzZ8i00bsWmDGpfiP0qEwmD/vjFCcYIhfxEuONEAqJjVmqcnqyg1+ lzzxXKxLdvYYH+CgxtsxWcebEfxp4+LPqXrJnUk2sY/tbRXMJoGI7d2KH8moxDkmu1ThFGenFilQ qaNEqv1yfRLsli7AAGYpl1KBCugDe61UJq0IKsgvMfWI55dnrIL9IM6PIP2pgnWCP4LuHkitivkw CAaQyBRh/u9n3YUhHOrtkNxzZirXt8QVcUnFycz0Lvp4TrRx5GOfHYQ1oOZgO3RGKfaS05YEK8LQ K9lZEPkC2CVtQU/bZjf8BeZQHZtYK1mu1gF4bmuO5KKO6ARxK/027W0ZF9epnzE7p62EeKD6bU1g 2NtxaXSTE6iOa+2ZKLWjdJxJgLYs0RjZEveOefSGVxCqdrBU/j5sfZkoa979D1HiDx+FfbXSh+oO CgpM2CgRvs5t+8THe78IFPqM/+YbtfjgyEzxc9qc8tKfHjhlMcdtcq7HhQw3rHsqgTf3G/ic1TnY VMBfWGNM5weYtfsyOjQcFMYaEOUgFsNy+XxtECtdpNBJIX/Zn8QRQDCpJ7O0Tf5J3KIasNPD6tlA hYT5RsTaZbPy2CfL2jquCdJdLWB9I6JTBsemmPermskPA/1vfZrG/ewCQOMA78E6vVnjb9gcxMxL CNbj0aHFBUIE4vaKaOqkjksKnqlWNYbni1X5jzdO5w+pa6WrqaR2wN7CkWRs0zSLROhU7Kc7RS9n kTJ17kKGrpZ41tF8kXvf7NJ9V+vpfMN1kPhYyAOftQURqyxgeQXbstDPpOkuIvMMKbcDhaNUsUO1 XkPKhwbRKi0O923m+UWHfiAQaIijcKfb640GO7mEt3EyHAK7z3avn8AJZVvVyGSym8OnPnspZyE/ uvFN+tQzIVZWWUzoBqKWUOLgvjnYGvDKBnQzIkMnLhkADoXjdmAP4ELjTVWixasjwGbqWWjFR1TH ZIgwE+/C8I6z27GqcFIii3uJRs0L3TwYBYnvLvtqOTm7huiLQ/Za3+rr5u7bIPjJPHwYkOskp1Nj XUj7Cep+Y8kTK0sRM3nZTs1eo97H19jxOy2qA8oLqvNG+O2fojDxAHSQ/wfA2VUtn5OBO6PPGT++ 8cn6e8AH0eMQA+YZLkkK0tQXDGkv5mPXjLQIoPBoKXPyO4cjpGX3xXJg0WzciB70/5EPXb3TeE+E sbsb5VS183lGLOqvpDXbIDOYQth6Hpe1eSby/o43+BpqHfndXbmw+egePJnLQW59TVPXjokWexSb 1l17UJBLlCBuDtw5bAHXB0lW8/o7WULlFgegFKVP5OrP1m8MHky4MwTNtkfcyQ8LbtkdAiPy+0nf dJvO11Jfxu7gMeFX+FiO/C8DAI+zd17rXTgiDRqMyT48SFjuBUl7dgN/Py26K76KSEDT4/4S+lo3 kbXUmAQZVZzsMsl+O5+ZoQwA36CnMWsuiUXGLraiqEjko5ShE2xP15Ry22SbVoOAydfsbU5+blbi PJ8armyI8q4sxGv2XbJZ3hIRZi6yVF+yuC9Dui+xCHXEbeloVtEsQ+MUfDq8F+Lck7tosOajCIWV nK6Yv2tHH24cypi6M9NnE8dY/FdPucYWd8Q9KEZffj5H7+fAZHLm3aq+QjXN++/5bJmNRYKcgrFP HW0lh3rC8rtSV/62DYZHqLrVlkjS/7UkVxHn3yg1o4v5YE2j1WImrpXr4X84biThxYOKrwa+FOqD vahzTp1A30S1mS7lg28nLUDTr4onCEVsLkedRhv1scjW0SXqXChdIKLR3mT104+PpAnaivSRCZqb GJl6CMLdiwWHxXPUq5E6lvtfSX5Y2rw7KEBCCBiVGxr5AnjQ44t1it+ZIBH/BB64dMMLTuaQlzfW QEECIS82E8/rsmJa1/fR7J5i1sD9+atUXttSow1XwnwmfuguqMGttr8LuJebPSuvKo3n5VaKKLUn nD4k2mLEdCDYn4DM3syGg1Z0p5A9JXZjuc5btdFomW/PP6OWPt7OY5YTVxqyr0TLCFPp3oH+uX1e eoqTcBnWYhmXL4KKbLpyi9/Rpv9P8r204US0i1LxqMFLdiN+hrOEkPrBW/H7+2zG7F/2KJVtnMG4 QkjokCXD7xe0jgudt2g9wL6ALBBm67z2+cgwqAVAXpcJdObny/mTlYEknow6c0ckjAfpKoZmGYe4 T0Zukzp60PINYAdfuAq3DBb+tVp9qCPT1xuq5b+d7MiOA5+ZU46gGSbdGioZcOg2PaDO2K/dwO2s EBbt9KOYgH6uWKqZGgD9ud5SamM7wFplXv7bmGy4nH77LiyWuX2P+6OGRB/Ehuonfa6e6G9cBX0H dnv08Sx6P5eE8m69k1dMlJOoIrlsEqKmSUAobm/uvVwFjuN8sydHj2SMpgpdK9ndAj8a9bpgywKD TVo6sFry/JsKZeuXEfsPrC1Uf/Xz2KdJ81paLbcfVnrd/OKm0YddjKhJCtCC48E0JSybPjoEthyL uyPKXqLtWc8MHY8gF3f18s+DWkJuNkOqXOqAsEc5b6OWs1NI57ZVe9dIM2Xgcd70QNFGwCVeUuVb MOI0QBoUzY4M7gghORwwEiCBwtu9hxeyEgBpDz8B5mGsoilkitFH2MqAJNbIi7+jjCgEu+oTq1Dk F/m2+JC/9NOTKL+21wqIWLXKtQDYkA5Qw+16pXFQhZRguhVJzs7PLHjqOXcgr6W6sNcgUIxwlUSP UrwFTCFXr5AMtTBCGG5mbEeKH/ICAozr6ifDKOayYUajeamuAY3QKXv23caJ+y6Yi2RJotz3GhEL FVTsUJAaxhSJ9+f0EFTqvWDP6cSKl98XpYSJdSdy5brrzCUN2g3dXk/TDTIZ4R7GiCq56oQr5t0k 5EKavLel3AcAY8uxqTMj83W588GFESn2ptXWDEuog5Rlc8rkYKsUNBmIrl2L6n9XoQpoLOaQd3eD MmxE5+I4+FTaAAfRemq/HWj+dQAvYueZoREgQ3wWzxe3/p9HRIn4Jw6BQd8a1aDoF/6kL/R9v13S /KESKV1N3Xoid38or9h28GnKEi77X15cH/DF8ZU/SKVvZVXtY1+r6TfVPT0dWfDN2s9xlJSaHLc3 UM4AYXA9smTA4tPkvPM2UKjrdxF2Knc6/TXw5dgeuHI27g4CN6K3CXYbgoHa99iYbhx2rnyVy1ba ULblZ4jzK6L1uGH7J6FCqZA/Ct3Qq9nOr6DNLjjXJ8IjqppefERuE8TFm4XtV9FKMsAPtDjLuLTI xzhAnsTLctxJau73+CVlIT5qzfEb7o1hv7PF4d9joPU6YCJomRhSvFl6EqVCg80drYJk4oUQMZPm uvCQwaHPkAX5lco5NV6f0/qgLtLpLrFFYagbEvsbPPSWMmLcfvP4Ss9bWCTi6gRlFh3zE5npgFO1 icU6N0v2OB0pc0+RdkAn6zUsZ4av8bDF3QMXQ5LRMKJ1MeCvy3d2trQ1nvtMhcvuPAvaV5HubCrG 6iIeXK4idBAWLkV3wjzcjRL9+r+eo2RsTa2Kx6DvoobPeJ/ggVnbtzwOjpE61H2BM72hxw+PeD/y oiANmD30HhKrHDylwlsU3M9BAuA+5EijnUIXbJKMD4X7v+ps3XgKNCANktLJF7wV2puMu8XhZ/0G 0Q1d+BAUeX2Xuh7jF08jM+pi4iEv6hjwsyGT4lnXLBhiVrtHugYmqMcY96SDctfRZ/ZZee/pw1d8 asHfMlfH21UGFH8H713RB6/MWDN9pON5FG5+N9XmD/cg/t8CF9Vg5DuAqJbinpwGlV0fDJP9G3rW 4qjUG3HkqlZAg5R8BTJT951RuKXj7DCpCg7mLoayc+rjEaHJ0iXyCewrzMocc/YCbvy7HaX8rgYn XoSPCFvPprXMZFGJCXLIdciy9GxX72V0ImjRaiQOWAnwBBQLAXWKR9xYibvtLmWx/ctPx1zzUGe0 o3PPiqTYRDZGVgVdqd7iTEr9xu3mMb/B6nDRu2bXYKHvfpBzsmpgg8ff40k5bDUuoSw/ndwOe59+ m7PRvoOdv/cE5KTmuUOd7LRtKV1xRJ/qqc/QGdy/vKQ6qzHJolfrUKplWOrJbdFUKpAVzlIqL1kK OO2vIfQtHN/j7TPMvI2BM4Nc9HkRXp7+amHj1tuarazsrnM03gH9ZY6K7jyEVZjOPJX8iuuWNXrz nCewyd9A03iPo+HUEnAtpYtYdpB9ZapdejheakFMexBtZztynOWVAxgpJjyN12Z4nRdAAlqRidFV y+gr4AtgEuqGjOR2BDXNsdMFJTcK+geeDVYof7QWnU4dgwuJQgWjjtWJVMZq13S2nJyAzhe7+64t XWVPyq2EaH+O1NKhWci0ml7FQhjKRTBujed9TOJj3ekqiwhlVYkC4KMQ6Nl6oeYfe0k3k3OH3VO0 sGvsJeRY3H4NrRm3aKvBo5E1hzZ7p/dxWSeNyXhfzXyPEkfgmTDU8NxLP6uYKaUs5wHs1bnArWWT r6y6zQEchVc31/fdwjJo/XCVIahnpgxayXOizkLf2z+ztxYykDVwAlQblvrJR2sRRJ7dsPJb343O EcvU2iRPRNdKWS05S6+PNhfGqyornHwIxh3P5KVRXqEVgq3GNz0QnstuRSFWVAgXo64Wbb03AsJB bZ1VpHdDtYRUFI6dFFQsAzk+TGDhYYIFFKzZs9e6hXYmpNP02q5b08A0wsRQXv5ng06sQC4ju7B4 cSTiK+6tPxLJKwKHTXp3pLTepcSAHsfRULpD+sEG9jeOB0z4YQHur8z6gW/d8jhwFcjSct4oApr2 QmYxG14ohrrMGqINb8hB6GpRK2/aGa3fL97Bw8JaCCIfrNXWr48oS5UWu3cM5b9vcwuV9D046+9/ e5g7xoWxYj0JwK0c93Gd+nVyCA2uugL6H8+LXJNFzgKWUPBis7tag2t+gjcrb+FQQE7FymhUKDiU 1/Wa6EbfsPEiNidQvoCeFMqdt6nFOPnwdSPutx3deMP978uWgf2Jsb0mKbUamHvz0Zjt5ujW9h8I nZ/Sd3vC1cjBWyOZMMpYcRWFOk26sGyX7CFSVBaKBbsob5W1Et+YGhryh8xR88fn3r6qlJkSuC9F ORpI/pZhwjK9GvOFzu1PTGtTg3HaxWHIGd3QZTg2rodLLijAQYijFUUrVqeIoRRQUHTRqJ/zpt9L dxYzsBhZXI5upwDML4Cp4PlejqTcffjnLDFv/QTCtTQdlx/VhUbL24W8GM9Z1VQT3m1zIH2IVTAA poB0/kSWu/uQmA9Y6NBQnDA8XgZTPWSLPwmp8JMleUIZ7YPUrx5xaiMdmjks+Tuy9vYpGFB5eu9A p3K/yywJAjWMsT0lHIwFJgyn4TkU8c5x1rJ5UizDLqWFL/umenpIgE7Dm76d9e9jwHG1FDcyVmO0 /jwFD7iTBfIeJ7uAkHbCAEqS3HkUuOicnNOEohb/3cz9XtC4d7nzGbsk9geIc+cBbDg0AhwBVD4l yv6OjuovQxtbmAhumkySJxYHYOrycagPI7BC+ubJJ95MYsPQXHctj+ldnDzE+GOi0CtzRRZ89W3E fu9SMnUZaDeIgDWwWMkBDSScez6jh5Jeos4svamz/zQfP/4mAQaGM04dRdsbPotiur+b2kVfuNae 7xxJEFvdqtnLQIu7bK7CBAgsTzHqFLixiv7oqD+QUzezzGZdFVXfh8yDa8lDZ//mijU8vnKssMJK 1wKQY75jb4zHUP8AANRBW5WASThqUoQ9/tq9EFSsatVTbmpC+8HECQpecIcOPhaW74It6BXz2OmQ rvfjeTUijZzH+Arvd2DyYCKE0tmhofceftHY2bLnJrMcFvGcd0vPTaSOcgqW68a8CdNNSGfgoILi Y/bi9HkfDzQNqBTQATmHbVY94S5k30P2uB0o+5+x3h3smauY1Buhc8AzNJ59Y/DCDoTxO0bcPz0E gvHkj38Yvu1jfCDBbrMC9sljz8SPI6U2dfrH77HH7qG01djsaBGz6ssXekCckChL9+aZyIS0KCrt Q8wCdM2u9hvhWMloL97TY1cnB8MPs4hJ3jrL24jya26TdPus34MITY55Nf3MMLilg3flfooORIfO PyXJVsgGk/shVqmgsHm138WaQrVkomtd7+7vSxWx4FbzP/5czCpKTqeXeVKJLLYeNwBPNt+nq5t4 d8AKyXGI+mm+eMzh6aIyB0caOHsgG+d80XA3r0C8Tq9/7cLzXIlep/y1UfS5xgQsugGAO1ooOuw7 evlYXcji2Gpw6tmTuWD2uoWiBk8NXg55s3CHx7kLl43Zj/+5bX1KMWuMA/EqqGRLdzS/F/kwYfuH ta776QhTPoOSEWE2JvlHidw+L7ueVygPojltYN2aHBaFdbtmEg9NmN8dMZ1itjmhChbqxkGaW0bZ CpQDYbyIA3Tl55jD0wXa7N2HOJAyTSL6sV4IB6DhuFrhXYFydnfy6cMBYXUwVzHMXKo8Ix9A3PT3 eL77i8sIrUNGXD3Y4mT4jdrvWl6iwq+0TnCUg10nNyFtovIY+9gKK1IzMyh41pges03hbvsij09P FMeORbeO9FBaXxMaNqeICofDY2t6BUtRt2eK8z21n03BQ5rxUQq5uMFGPED3S/NtIxju3mmcDH+3 SrRW5uxL0EjwYvhWhudW/oEC6C/yoEjfxYwH5P+Fzmvd1bkvpBnHkiSfXtJdfVLsidlZEA13iy/Q EsoIj3KZmZXMwrajBJoMlbYVph1t2YPZ3LOBboL2lsWrJ8SLEOe+VLgGyxPT30Yci1WAYnS4GCAd lTr3nwevQkcphzR8y70/VzXJMzxBPkI4izwRu3MWTzpmlVAx3j02+YwQERWva5xADOkx/mpdK5H0 kmhOUm3dFeodBSEpIdvAYDuuRAbB8yWsXSuhhsSlaE/UYnGtLd3q3XEfPddWZezpQ6+6TT7uufV4 m6FefHgIC3On4d3Tefyig+YlsLCV9Fp/YLaQluUke5p1U8zUm8B80zoCkLx821MJlPnS3+r4Ym6G 90bJuGw7kI5W7itdy1N15X4IohqmrwM= `protect end_protected
gpl-2.0
1b63fc42c7e46b43858cef8f8ae92a4a
0.935166
1.861411
false
false
false
false
mcoughli/root_of_trust
operational_os/hls/contact_discovery_axi_one_db_load/solution1/syn/vhdl/contact_discovery_AXILiteS_s_axi.vhd
3
34,997
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity contact_discovery_AXILiteS_s_axi is generic ( C_S_AXI_ADDR_WIDTH : INTEGER := 15; C_S_AXI_DATA_WIDTH : INTEGER := 32); port ( -- axi4 lite slave signals ACLK :in STD_LOGIC; ARESET :in STD_LOGIC; ACLK_EN :in STD_LOGIC; AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); AWVALID :in STD_LOGIC; AWREADY :out STD_LOGIC; WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0); WVALID :in STD_LOGIC; WREADY :out STD_LOGIC; BRESP :out STD_LOGIC_VECTOR(1 downto 0); BVALID :out STD_LOGIC; BREADY :in STD_LOGIC; ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0); ARVALID :in STD_LOGIC; ARREADY :out STD_LOGIC; RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0); RRESP :out STD_LOGIC_VECTOR(1 downto 0); RVALID :out STD_LOGIC; RREADY :in STD_LOGIC; interrupt :out STD_LOGIC; -- user signals ap_start :out STD_LOGIC; ap_done :in STD_LOGIC; ap_ready :in STD_LOGIC; ap_idle :in STD_LOGIC; operation :out STD_LOGIC_VECTOR(31 downto 0); operation_ap_vld :out STD_LOGIC; contact_in_address0 :in STD_LOGIC_VECTOR(5 downto 0); contact_in_ce0 :in STD_LOGIC; contact_in_q0 :out STD_LOGIC_VECTOR(7 downto 0); database_in_address0 :in STD_LOGIC_VECTOR(5 downto 0); database_in_ce0 :in STD_LOGIC; database_in_q0 :out STD_LOGIC_VECTOR(7 downto 0); matched_out_address0 :in STD_LOGIC_VECTOR(12 downto 0); matched_out_ce0 :in STD_LOGIC; matched_out_we0 :in STD_LOGIC; matched_out_d0 :in STD_LOGIC_VECTOR(0 downto 0); matched_finished :in STD_LOGIC_VECTOR(31 downto 0); error_out :in STD_LOGIC_VECTOR(31 downto 0); database_size_out :in STD_LOGIC_VECTOR(31 downto 0); contacts_size_out :in STD_LOGIC_VECTOR(31 downto 0) ); end entity contact_discovery_AXILiteS_s_axi; -- ------------------------Address Info------------------- -- 0x0000 : Control signals -- bit 0 - ap_start (Read/Write/COH) -- bit 1 - ap_done (Read/COR) -- bit 2 - ap_idle (Read) -- bit 3 - ap_ready (Read) -- bit 7 - auto_restart (Read/Write) -- others - reserved -- 0x0004 : Global Interrupt Enable Register -- bit 0 - Global Interrupt Enable (Read/Write) -- others - reserved -- 0x0008 : IP Interrupt Enable Register (Read/Write) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0x000c : IP Interrupt Status Register (Read/TOW) -- bit 0 - Channel 0 (ap_done) -- bit 1 - Channel 1 (ap_ready) -- others - reserved -- 0x0010 : Data signal of operation -- bit 31~0 - operation[31:0] (Read/Write) -- 0x0014 : Control signal of operation -- bit 0 - operation_ap_vld (Read/Write/SC) -- others - reserved -- 0x4000 : Data signal of matched_finished -- bit 31~0 - matched_finished[31:0] (Read) -- 0x4004 : reserved -- 0x4008 : Data signal of error_out -- bit 31~0 - error_out[31:0] (Read) -- 0x400c : reserved -- 0x4010 : Data signal of database_size_out -- bit 31~0 - database_size_out[31:0] (Read) -- 0x4014 : reserved -- 0x4018 : Data signal of contacts_size_out -- bit 31~0 - contacts_size_out[31:0] (Read) -- 0x401c : reserved -- 0x0040 ~ -- 0x007f : Memory 'contact_in' (64 * 8b) -- Word n : bit [ 7: 0] - contact_in[4n] -- bit [15: 8] - contact_in[4n+1] -- bit [23:16] - contact_in[4n+2] -- bit [31:24] - contact_in[4n+3] -- 0x0080 ~ -- 0x00bf : Memory 'database_in' (64 * 8b) -- Word n : bit [ 7: 0] - database_in[4n] -- bit [15: 8] - database_in[4n+1] -- bit [23:16] - database_in[4n+2] -- bit [31:24] - database_in[4n+3] -- 0x2000 ~ -- 0x3fff : Memory 'matched_out' (7500 * 1b) -- Word n : bit [ 0: 0] - matched_out[4n] -- bit [ 8: 8] - matched_out[4n+1] -- bit [16:16] - matched_out[4n+2] -- bit [24:24] - matched_out[4n+3] -- others - reserved -- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake) architecture behave of contact_discovery_AXILiteS_s_axi is type states is (wridle, wrdata, wrresp, wrreset, rdidle, rddata, rdreset); -- read and write fsm states signal wstate : states := wrreset; signal rstate : states := rdreset; signal wnext, rnext: states; constant ADDR_AP_CTRL : INTEGER := 16#0000#; constant ADDR_GIE : INTEGER := 16#0004#; constant ADDR_IER : INTEGER := 16#0008#; constant ADDR_ISR : INTEGER := 16#000c#; constant ADDR_OPERATION_DATA_0 : INTEGER := 16#0010#; constant ADDR_OPERATION_CTRL : INTEGER := 16#0014#; constant ADDR_MATCHED_FINISHED_DATA_0 : INTEGER := 16#4000#; constant ADDR_MATCHED_FINISHED_CTRL : INTEGER := 16#4004#; constant ADDR_ERROR_OUT_DATA_0 : INTEGER := 16#4008#; constant ADDR_ERROR_OUT_CTRL : INTEGER := 16#400c#; constant ADDR_DATABASE_SIZE_OUT_DATA_0 : INTEGER := 16#4010#; constant ADDR_DATABASE_SIZE_OUT_CTRL : INTEGER := 16#4014#; constant ADDR_CONTACTS_SIZE_OUT_DATA_0 : INTEGER := 16#4018#; constant ADDR_CONTACTS_SIZE_OUT_CTRL : INTEGER := 16#401c#; constant ADDR_CONTACT_IN_BASE : INTEGER := 16#0040#; constant ADDR_CONTACT_IN_HIGH : INTEGER := 16#007f#; constant ADDR_DATABASE_IN_BASE : INTEGER := 16#0080#; constant ADDR_DATABASE_IN_HIGH : INTEGER := 16#00bf#; constant ADDR_MATCHED_OUT_BASE : INTEGER := 16#2000#; constant ADDR_MATCHED_OUT_HIGH : INTEGER := 16#3fff#; constant ADDR_BITS : INTEGER := 15; signal waddr : UNSIGNED(ADDR_BITS-1 downto 0); signal wmask : UNSIGNED(31 downto 0); signal aw_hs : STD_LOGIC; signal w_hs : STD_LOGIC; signal rdata_data : UNSIGNED(31 downto 0); signal ar_hs : STD_LOGIC; signal raddr : UNSIGNED(ADDR_BITS-1 downto 0); signal AWREADY_t : STD_LOGIC; signal WREADY_t : STD_LOGIC; signal ARREADY_t : STD_LOGIC; signal RVALID_t : STD_LOGIC; -- internal registers signal int_ap_idle : STD_LOGIC; signal int_ap_ready : STD_LOGIC; signal int_ap_done : STD_LOGIC := '0'; signal int_ap_start : STD_LOGIC := '0'; signal int_auto_restart : STD_LOGIC := '0'; signal int_gie : STD_LOGIC := '0'; signal int_ier : UNSIGNED(1 downto 0) := (others => '0'); signal int_isr : UNSIGNED(1 downto 0) := (others => '0'); signal int_operation : UNSIGNED(31 downto 0) := (others => '0'); signal int_operation_ap_vld : STD_LOGIC := '0'; signal int_matched_finished : UNSIGNED(31 downto 0) := (others => '0'); signal int_error_out : UNSIGNED(31 downto 0) := (others => '0'); signal int_database_size_out : UNSIGNED(31 downto 0) := (others => '0'); signal int_contacts_size_out : UNSIGNED(31 downto 0) := (others => '0'); -- memory signals signal int_contact_in_address0 : UNSIGNED(3 downto 0); signal int_contact_in_ce0 : STD_LOGIC; signal int_contact_in_we0 : STD_LOGIC; signal int_contact_in_be0 : UNSIGNED(3 downto 0); signal int_contact_in_d0 : UNSIGNED(31 downto 0); signal int_contact_in_q0 : UNSIGNED(31 downto 0); signal int_contact_in_address1 : UNSIGNED(3 downto 0); signal int_contact_in_ce1 : STD_LOGIC; signal int_contact_in_we1 : STD_LOGIC; signal int_contact_in_be1 : UNSIGNED(3 downto 0); signal int_contact_in_d1 : UNSIGNED(31 downto 0); signal int_contact_in_q1 : UNSIGNED(31 downto 0); signal int_contact_in_read : STD_LOGIC; signal int_contact_in_write : STD_LOGIC; signal int_contact_in_shift : UNSIGNED(1 downto 0); signal int_database_in_address0 : UNSIGNED(3 downto 0); signal int_database_in_ce0 : STD_LOGIC; signal int_database_in_we0 : STD_LOGIC; signal int_database_in_be0 : UNSIGNED(3 downto 0); signal int_database_in_d0 : UNSIGNED(31 downto 0); signal int_database_in_q0 : UNSIGNED(31 downto 0); signal int_database_in_address1 : UNSIGNED(3 downto 0); signal int_database_in_ce1 : STD_LOGIC; signal int_database_in_we1 : STD_LOGIC; signal int_database_in_be1 : UNSIGNED(3 downto 0); signal int_database_in_d1 : UNSIGNED(31 downto 0); signal int_database_in_q1 : UNSIGNED(31 downto 0); signal int_database_in_read : STD_LOGIC; signal int_database_in_write : STD_LOGIC; signal int_database_in_shift : UNSIGNED(1 downto 0); signal int_matched_out_address0 : UNSIGNED(10 downto 0); signal int_matched_out_ce0 : STD_LOGIC; signal int_matched_out_we0 : STD_LOGIC; signal int_matched_out_be0 : UNSIGNED(3 downto 0); signal int_matched_out_d0 : UNSIGNED(31 downto 0); signal int_matched_out_q0 : UNSIGNED(31 downto 0); signal int_matched_out_address1 : UNSIGNED(10 downto 0); signal int_matched_out_ce1 : STD_LOGIC; signal int_matched_out_we1 : STD_LOGIC; signal int_matched_out_be1 : UNSIGNED(3 downto 0); signal int_matched_out_d1 : UNSIGNED(31 downto 0); signal int_matched_out_q1 : UNSIGNED(31 downto 0); signal int_matched_out_read : STD_LOGIC; signal int_matched_out_write : STD_LOGIC; signal int_matched_out_shift : UNSIGNED(1 downto 0); component contact_discovery_AXILiteS_s_axi_ram is generic ( BYTES : INTEGER :=4; DEPTH : INTEGER :=256; AWIDTH : INTEGER :=8); port ( clk0 : in STD_LOGIC; address0: in UNSIGNED(AWIDTH-1 downto 0); ce0 : in STD_LOGIC; we0 : in STD_LOGIC; be0 : in UNSIGNED(BYTES-1 downto 0); d0 : in UNSIGNED(BYTES*8-1 downto 0); q0 : out UNSIGNED(BYTES*8-1 downto 0); clk1 : in STD_LOGIC; address1: in UNSIGNED(AWIDTH-1 downto 0); ce1 : in STD_LOGIC; we1 : in STD_LOGIC; be1 : in UNSIGNED(BYTES-1 downto 0); d1 : in UNSIGNED(BYTES*8-1 downto 0); q1 : out UNSIGNED(BYTES*8-1 downto 0)); end component contact_discovery_AXILiteS_s_axi_ram; function log2 (x : INTEGER) return INTEGER is variable n, m : INTEGER; begin n := 1; m := 2; while m < x loop n := n + 1; m := m * 2; end loop; return n; end function log2; begin -- ----------------------- Instantiation------------------ -- int_contact_in int_contact_in : contact_discovery_AXILiteS_s_axi_ram generic map ( BYTES => 4, DEPTH => 16, AWIDTH => log2(16)) port map ( clk0 => ACLK, address0 => int_contact_in_address0, ce0 => int_contact_in_ce0, we0 => int_contact_in_we0, be0 => int_contact_in_be0, d0 => int_contact_in_d0, q0 => int_contact_in_q0, clk1 => ACLK, address1 => int_contact_in_address1, ce1 => int_contact_in_ce1, we1 => int_contact_in_we1, be1 => int_contact_in_be1, d1 => int_contact_in_d1, q1 => int_contact_in_q1); -- int_database_in int_database_in : contact_discovery_AXILiteS_s_axi_ram generic map ( BYTES => 4, DEPTH => 16, AWIDTH => log2(16)) port map ( clk0 => ACLK, address0 => int_database_in_address0, ce0 => int_database_in_ce0, we0 => int_database_in_we0, be0 => int_database_in_be0, d0 => int_database_in_d0, q0 => int_database_in_q0, clk1 => ACLK, address1 => int_database_in_address1, ce1 => int_database_in_ce1, we1 => int_database_in_we1, be1 => int_database_in_be1, d1 => int_database_in_d1, q1 => int_database_in_q1); -- int_matched_out int_matched_out : contact_discovery_AXILiteS_s_axi_ram generic map ( BYTES => 4, DEPTH => 1875, AWIDTH => log2(1875)) port map ( clk0 => ACLK, address0 => int_matched_out_address0, ce0 => int_matched_out_ce0, we0 => int_matched_out_we0, be0 => int_matched_out_be0, d0 => int_matched_out_d0, q0 => int_matched_out_q0, clk1 => ACLK, address1 => int_matched_out_address1, ce1 => int_matched_out_ce1, we1 => int_matched_out_we1, be1 => int_matched_out_be1, d1 => int_matched_out_d1, q1 => int_matched_out_q1); -- ----------------------- AXI WRITE --------------------- AWREADY_t <= '1' when wstate = wridle else '0'; AWREADY <= AWREADY_t; WREADY_t <= '1' when wstate = wrdata else '0'; WREADY <= WREADY_t; BRESP <= "00"; -- OKAY BVALID <= '1' when wstate = wrresp else '0'; wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0)); aw_hs <= AWVALID and AWREADY_t; w_hs <= WVALID and WREADY_t; -- write FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then wstate <= wrreset; elsif (ACLK_EN = '1') then wstate <= wnext; end if; end if; end process; process (wstate, AWVALID, WVALID, BREADY) begin case (wstate) is when wridle => if (AWVALID = '1') then wnext <= wrdata; else wnext <= wridle; end if; when wrdata => if (WVALID = '1') then wnext <= wrresp; else wnext <= wrdata; end if; when wrresp => if (BREADY = '1') then wnext <= wridle; else wnext <= wrresp; end if; when others => wnext <= wridle; end case; end process; waddr_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (aw_hs = '1') then waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)); end if; end if; end if; end process; -- ----------------------- AXI READ ---------------------- ARREADY_t <= '1' when (rstate = rdidle) else '0'; ARREADY <= ARREADY_t; RDATA <= STD_LOGIC_VECTOR(rdata_data); RRESP <= "00"; -- OKAY RVALID_t <= '1' when (rstate = rddata) and (int_contact_in_read = '0') and (int_database_in_read = '0') and (int_matched_out_read = '0') else '0'; RVALID <= RVALID_t; ar_hs <= ARVALID and ARREADY_t; raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0)); -- read FSM process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then rstate <= rdreset; elsif (ACLK_EN = '1') then rstate <= rnext; end if; end if; end process; process (rstate, ARVALID, RREADY, RVALID_t) begin case (rstate) is when rdidle => if (ARVALID = '1') then rnext <= rddata; else rnext <= rdidle; end if; when rddata => if (RREADY = '1' and RVALID_t = '1') then rnext <= rdidle; else rnext <= rddata; end if; when others => rnext <= rdidle; end case; end process; rdata_proc : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (ar_hs = '1') then case (TO_INTEGER(raddr)) is when ADDR_AP_CTRL => rdata_data <= (7 => int_auto_restart, 3 => int_ap_ready, 2 => int_ap_idle, 1 => int_ap_done, 0 => int_ap_start, others => '0'); when ADDR_GIE => rdata_data <= (0 => int_gie, others => '0'); when ADDR_IER => rdata_data <= (1 => int_ier(1), 0 => int_ier(0), others => '0'); when ADDR_ISR => rdata_data <= (1 => int_isr(1), 0 => int_isr(0), others => '0'); when ADDR_OPERATION_DATA_0 => rdata_data <= RESIZE(int_operation(31 downto 0), 32); when ADDR_OPERATION_CTRL => rdata_data <= (0 => int_operation_ap_vld, others => '0'); when ADDR_MATCHED_FINISHED_DATA_0 => rdata_data <= RESIZE(int_matched_finished(31 downto 0), 32); when ADDR_ERROR_OUT_DATA_0 => rdata_data <= RESIZE(int_error_out(31 downto 0), 32); when ADDR_DATABASE_SIZE_OUT_DATA_0 => rdata_data <= RESIZE(int_database_size_out(31 downto 0), 32); when ADDR_CONTACTS_SIZE_OUT_DATA_0 => rdata_data <= RESIZE(int_contacts_size_out(31 downto 0), 32); when others => rdata_data <= (others => '0'); end case; elsif (int_contact_in_read = '1') then rdata_data <= int_contact_in_q1; elsif (int_database_in_read = '1') then rdata_data <= int_database_in_q1; elsif (int_matched_out_read = '1') then rdata_data <= int_matched_out_q1; end if; end if; end if; end process; -- ----------------------- Register logic ---------------- interrupt <= int_gie and (int_isr(0) or int_isr(1)); ap_start <= int_ap_start; int_ap_idle <= ap_idle; int_ap_ready <= ap_ready; operation <= STD_LOGIC_VECTOR(int_operation); operation_ap_vld <= int_operation_ap_vld; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_start <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_ap_start <= '1'; elsif (int_ap_ready = '1') then int_ap_start <= int_auto_restart; -- clear on handshake/auto restart end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ap_done <= '0'; elsif (ACLK_EN = '1') then if (ap_done = '1') then int_ap_done <= '1'; elsif (ar_hs = '1' and raddr = ADDR_AP_CTRL) then int_ap_done <= '0'; -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_auto_restart <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_AP_CTRL and WSTRB(0) = '1') then int_auto_restart <= WDATA(7); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_gie <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_GIE and WSTRB(0) = '1') then int_gie <= WDATA(0); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_ier <= "00"; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_IER and WSTRB(0) = '1') then int_ier <= UNSIGNED(WDATA(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(0) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(0) = '1' and ap_done = '1') then int_isr(0) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(0) <= int_isr(0) xor WDATA(0); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_isr(1) <= '0'; elsif (ACLK_EN = '1') then if (int_ier(1) = '1' and ap_ready = '1') then int_isr(1) <= '1'; elsif (w_hs = '1' and waddr = ADDR_ISR and WSTRB(0) = '1') then int_isr(1) <= int_isr(1) xor WDATA(1); -- toggle on write end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_OPERATION_DATA_0) then int_operation(31 downto 0) <= (UNSIGNED(WDATA(31 downto 0)) and wmask(31 downto 0)) or ((not wmask(31 downto 0)) and int_operation(31 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_operation_ap_vld <= '0'; elsif (ACLK_EN = '1') then if (w_hs = '1' and waddr = ADDR_OPERATION_CTRL and WSTRB(0) = '1' and WDATA(0) = '1') then int_operation_ap_vld <= '1'; else int_operation_ap_vld <= '0'; -- self clear end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_matched_finished <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_matched_finished <= UNSIGNED(matched_finished); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_error_out <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_error_out <= UNSIGNED(error_out); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_database_size_out <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_database_size_out <= UNSIGNED(database_size_out); -- clear on read end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_contacts_size_out <= (others => '0'); elsif (ACLK_EN = '1') then if (true) then int_contacts_size_out <= UNSIGNED(contacts_size_out); -- clear on read end if; end if; end if; end process; -- ----------------------- Memory logic ------------------ -- contact_in int_contact_in_address0 <= SHIFT_RIGHT(UNSIGNED(contact_in_address0), 2)(3 downto 0); int_contact_in_ce0 <= contact_in_ce0; int_contact_in_we0 <= '0'; int_contact_in_be0 <= (others => '0'); int_contact_in_d0 <= (others => '0'); contact_in_q0 <= STD_LOGIC_VECTOR(SHIFT_RIGHT(int_contact_in_q0, TO_INTEGER(int_contact_in_shift) * 8)(7 downto 0)); int_contact_in_address1 <= raddr(5 downto 2) when ar_hs = '1' else waddr(5 downto 2); int_contact_in_ce1 <= '1' when ar_hs = '1' or (int_contact_in_write = '1' and WVALID = '1') else '0'; int_contact_in_we1 <= '1' when int_contact_in_write = '1' and WVALID = '1' else '0'; int_contact_in_be1 <= UNSIGNED(WSTRB); int_contact_in_d1 <= UNSIGNED(WDATA); -- database_in int_database_in_address0 <= SHIFT_RIGHT(UNSIGNED(database_in_address0), 2)(3 downto 0); int_database_in_ce0 <= database_in_ce0; int_database_in_we0 <= '0'; int_database_in_be0 <= (others => '0'); int_database_in_d0 <= (others => '0'); database_in_q0 <= STD_LOGIC_VECTOR(SHIFT_RIGHT(int_database_in_q0, TO_INTEGER(int_database_in_shift) * 8)(7 downto 0)); int_database_in_address1 <= raddr(5 downto 2) when ar_hs = '1' else waddr(5 downto 2); int_database_in_ce1 <= '1' when ar_hs = '1' or (int_database_in_write = '1' and WVALID = '1') else '0'; int_database_in_we1 <= '1' when int_database_in_write = '1' and WVALID = '1' else '0'; int_database_in_be1 <= UNSIGNED(WSTRB); int_database_in_d1 <= UNSIGNED(WDATA); -- matched_out int_matched_out_address0 <= SHIFT_RIGHT(UNSIGNED(matched_out_address0), 2)(10 downto 0); int_matched_out_ce0 <= matched_out_ce0; int_matched_out_we0 <= matched_out_we0; int_matched_out_be0 <= SHIFT_LEFT(TO_UNSIGNED(1, 4), TO_INTEGER(UNSIGNED(matched_out_address0(1 downto 0)))); int_matched_out_d0 <= UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)) & UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)) & UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)) & UNSIGNED(RESIZE(UNSIGNED(matched_out_d0), 8)); int_matched_out_address1 <= raddr(12 downto 2) when ar_hs = '1' else waddr(12 downto 2); int_matched_out_ce1 <= '1' when ar_hs = '1' or (int_matched_out_write = '1' and WVALID = '1') else '0'; int_matched_out_we1 <= '1' when int_matched_out_write = '1' and WVALID = '1' else '0'; int_matched_out_be1 <= UNSIGNED(WSTRB); int_matched_out_d1 <= UNSIGNED(WDATA); process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_contact_in_read <= '0'; elsif (ACLK_EN = '1') then if (ar_hs = '1' and raddr >= ADDR_CONTACT_IN_BASE and raddr <= ADDR_CONTACT_IN_HIGH) then int_contact_in_read <= '1'; else int_contact_in_read <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_contact_in_write <= '0'; elsif (ACLK_EN = '1') then if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_CONTACT_IN_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_CONTACT_IN_HIGH) then int_contact_in_write <= '1'; elsif (WVALID = '1') then int_contact_in_write <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (contact_in_ce0 = '1') then int_contact_in_shift <= UNSIGNED(contact_in_address0(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_database_in_read <= '0'; elsif (ACLK_EN = '1') then if (ar_hs = '1' and raddr >= ADDR_DATABASE_IN_BASE and raddr <= ADDR_DATABASE_IN_HIGH) then int_database_in_read <= '1'; else int_database_in_read <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_database_in_write <= '0'; elsif (ACLK_EN = '1') then if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_DATABASE_IN_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_DATABASE_IN_HIGH) then int_database_in_write <= '1'; elsif (WVALID = '1') then int_database_in_write <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (database_in_ce0 = '1') then int_database_in_shift <= UNSIGNED(database_in_address0(1 downto 0)); end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_matched_out_read <= '0'; elsif (ACLK_EN = '1') then if (ar_hs = '1' and raddr >= ADDR_MATCHED_OUT_BASE and raddr <= ADDR_MATCHED_OUT_HIGH) then int_matched_out_read <= '1'; else int_matched_out_read <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARESET = '1') then int_matched_out_write <= '0'; elsif (ACLK_EN = '1') then if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_MATCHED_OUT_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_MATCHED_OUT_HIGH) then int_matched_out_write <= '1'; elsif (WVALID = '1') then int_matched_out_write <= '0'; end if; end if; end if; end process; process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ACLK_EN = '1') then if (matched_out_ce0 = '1') then int_matched_out_shift <= UNSIGNED(matched_out_address0(1 downto 0)); end if; end if; end if; end process; end architecture behave; library IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity contact_discovery_AXILiteS_s_axi_ram is generic ( BYTES : INTEGER :=4; DEPTH : INTEGER :=256; AWIDTH : INTEGER :=8); port ( clk0 : in STD_LOGIC; address0: in UNSIGNED(AWIDTH-1 downto 0); ce0 : in STD_LOGIC; we0 : in STD_LOGIC; be0 : in UNSIGNED(BYTES-1 downto 0); d0 : in UNSIGNED(BYTES*8-1 downto 0); q0 : out UNSIGNED(BYTES*8-1 downto 0); clk1 : in STD_LOGIC; address1: in UNSIGNED(AWIDTH-1 downto 0); ce1 : in STD_LOGIC; we1 : in STD_LOGIC; be1 : in UNSIGNED(BYTES-1 downto 0); d1 : in UNSIGNED(BYTES*8-1 downto 0); q1 : out UNSIGNED(BYTES*8-1 downto 0)); end entity contact_discovery_AXILiteS_s_axi_ram; architecture behave of contact_discovery_AXILiteS_s_axi_ram is signal address0_tmp : UNSIGNED(AWIDTH-1 downto 0); signal address1_tmp : UNSIGNED(AWIDTH-1 downto 0); type RAM_T is array (0 to DEPTH - 1) of UNSIGNED(BYTES*8 - 1 downto 0); shared variable mem : RAM_T := (others => (others => '0')); begin process (address0) begin address0_tmp <= address0; --synthesis translate_off if (address0 > DEPTH-1) then address0_tmp <= (others => '0'); else address0_tmp <= address0; end if; --synthesis translate_on end process; process (address1) begin address1_tmp <= address1; --synthesis translate_off if (address1 > DEPTH-1) then address1_tmp <= (others => '0'); else address1_tmp <= address1; end if; --synthesis translate_on end process; --read port 0 process (clk0) begin if (clk0'event and clk0 = '1') then if (ce0 = '1') then q0 <= mem(to_integer(address0_tmp)); end if; end if; end process; --read port 1 process (clk1) begin if (clk1'event and clk1 = '1') then if (ce1 = '1') then q1 <= mem(to_integer(address1_tmp)); end if; end if; end process; gen_write : for i in 0 to BYTES - 1 generate begin --write port 0 process (clk0) begin if (clk0'event and clk0 = '1') then if (ce0 = '1' and we0 = '1' and be0(i) = '1') then mem(to_integer(address0_tmp))(8*i+7 downto 8*i) := d0(8*i+7 downto 8*i); end if; end if; end process; --write port 1 process (clk1) begin if (clk1'event and clk1 = '1') then if (ce1 = '1' and we1 = '1' and be1(i) = '1') then mem(to_integer(address1_tmp))(8*i+7 downto 8*i) := d1(8*i+7 downto 8*i); end if; end if; end process; end generate; end architecture behave;
gpl-3.0
dd3600998f465b12af5f1a00561f0e69
0.501529
3.470547
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_pkg.vhd
7
27,921
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NYixJJt4xR5SzA7UdRkVlW63oGfNDiiXLfMXS1sMCdXOOBaS3coIU4Dl4Zl+T8apNfJdL0zI2U98 AHC6224Big== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block VP+pPrbpr4c2zeKpk07hXlDC6IoizNUe5Wefh/ghwUbE4VCfRaI+whez4QMqiWFDiEjVEtxr62mx NmzxajCcwrsHgFy4CDpzZ7jdxyLF6CIP9qwfS63IG2fTyqLKQU9flKWyFChK3EyTP6dcE+YjUytK ESv8IyLGQBeimHREqjE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dHmNs2+aL28PwPA0Vc1ZeJSO9fhAqqhoBLpl0KF4PEjcFyMe9x01QQXzYvzNQH+xmz0JDqLTFqWA +T8qnHDuoMZ7S3MwcstoWzg0ScFAOgC9RqBkPfPE99l08u+Dks9EIcBI+ROICELPi8+/7z8gBHZ6 8YRPP/ihKS+kL0tXDBxooitDm1dv33nHYVyLYxp0Kmtk2b9lxIOpBjNePED3icAzdB4Pasf13mYl prAGSS1BamtYRMRsLh20haJ5mQRuCr2H7uA2nOcb3ODKShIDSbyaeR54+t0JjsiD8PZP2w21UuI1 MClLBwF8hIODwCc5ZRpsrKjjg8xc52iKd4D86Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1Hsmzh4sd2NHNYZsaHwGiwTcd1XiB9gn8511viIbzfbKDONfcyUya4o4FtPnYRM7g12q00sAhkOn 6k2IN3UCzHOCC0/IjeeMr7cgO5YS3e0gMbJfeEDID5pDY/BZUD4BmoECUVJgA7tBhW+dFaYXO9Sr +B+pSYZqhiQ0QgRwgqg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block X+HyfcBXi3fxMuaErsIvoAh0ttzb5kzu/V/HUqQtdGa+Nob3bELemkYPUqi3hJTTlgd1Ow3D6Gjn 8PhwzgAPCEA0PgFOZzhaSwgVlWRr2NvvMc2qopXmq8WiENd3NkAsYJs13lub0apdvM1OoOsoWQEE WZ9J/rkuFK7SQRuMlTviTGPEQWdvb4k18sdJSTp1Y1VvIwGEr3ZitpavN/xmZYmUorLPQLPigbLT V5DAM03M7pf7mRxMTRhzcLC15x4y8+LTJDzIbIGnAAcWtXKsqzo7/Ncg7MJlmxrErtlRQpgef7SR 4bOw41mo5kpKZ86sMHadqnSFyRbjzhGopt4ldA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18928) `protect data_block L75O/yceTmkNMst3J5rHzu7XEuqpdkMCSw9BUdAocF5MUryKc0aNe8bT/2ktq6ChXykYAF9NYy8g OrdbgDZtDfkvRkPqKVwKnCc2pb/M85G9lQeiEPoC+/4h+hiR3y4K0IUtIFvhTuLPbUm8wj/Z21lj Ap2oDrCLYsHTGEiR8WPrzzEENiF7bMnMBsKzRyyLuij7Wb8CaxE75+GlM/SlihCf7reRg6b7oVXm FL7isB71ZOxXx5Pl4UykbV3F62i3Cv/xSEMHQi7/K9uF0+qfGy9cxam2F68MnnEMr5F8RA6g/c0i UrZf5QGQmycaStI0FDkKZeK99TWat22A8Ypw4bOzO8DoPd01ZJkBvWwa382aKEhU7jxXjv6x/I75 F14KAOhmcSKfVeL9+0ANZu4uY+BNFb8tQQ3X4wSr+MCtsPMAqtS0B4l/ZrfmmBwKZL9ClQEJqV1W 3RXHpamCDPPzTpxaWV/CIQ6orFirbkc+CqAXrkHG4O4/c/Wcd0shp/ZduCboXQtM0qspv5eG0rHf zW8GFGnechpMBhOLuTg5mKwmY2AmIKt2IRSuAPm5OIGlAGdb4C0gBhWJf02bTPaTGxnftS7N9nBo LoKyp3M+UjWbVBLol49bwheiCE4nmh37fjMkYKtsGGPs5jsIhu/QbKCbSleKvh4UEkoc4Fb4Hjpf BoV2MsYyT7rgGF4MaK+YxR9d9cc3VeFefGXMbEcsi9rKQ/gXx2R/9nhG7GCsdyVXctI56cSi0XMz bgPPhmaJgV3EZntHGgRQLwlgGQpago+GM6fUUMNeF486a7JrXC9yu8Julc/Oo/HZzka/SuNt93sI dO2InOW5K2/Ld4wblnLUjH6FC5Q0PbhKngoQGkcCYJZfCAD5iosN2Fv7zrsFv/tbzuX5C07O+Uht g/ALZ2vhFz8lApZsL5h7tgu9i/oteohuix9+GU1B6s6nkT84NQXO1pVCsQFmByapq5ATZ7WdKksw zxb6nX666lP8Jn+NxNJv3Bb1KXIpQQsCveciXMLtwsvvZTkubKCOfWJhMseVNspZLDDW/X7ViILy MPMfn+mBtdWp9T4+SRVMMusQFl6Pmlby4RyBbbiA4VIzs+Z/7PbIlkWP2J0T/HGY6IYh9WgWimyR nIMYYMuQAUimFJryuq7qtWwf86MbAbx7pvYOEo3+hCKfvs0X3+bGtP47FJ9Ut+tL1Ty+Clq/gytJ 7u53QASUFVpyzuWdQm3YKsIhhvfzj9z4XNfMc5/lxdHcKt0jP3VHvrIqWcB8yqk4BNexJxQUTwL/ rWDciUcPMgNDojycU7pH+KV9MkG02+rhjhdCtlyJMPomGfhylTV7zayBQVRNy98DRDf3C7KqvG/O iEzgvNsUFHizOHwudezR6pL2QcutV82gro/xyqSiexd6ELxVJj6VoznjNibRfitQ3C8gf+1KDwab Dp8sUhXO5UHMDoQ+fAUrC27YZfElkejXljYgerxktR9MKzErjX3r+Sz9cKU4EXSyT4ACWECdWwYd TIX7Q9ke9RxmY8ztYFppq9PB27GCEmAT1KJVgdTCre2EcalhNoQkHKh/xAlqPT2uSeCaV+9o0hoT elMy/i19dwlRvikg0mICkU0KsXutF6/KfbWPytRI+ZolHHbRzA51QUeoJwke0LcIFIzVRzuh/NCk Fy8YyVHqFlKWFPXpvYg/ZTVc5nUmvK5reaWSmreA4xB17n0MM7XLihovL1VZ+oZPt3ddvmC0VcbM Mwvy/ZHt5qWeHvz9ECjswqEHtkthQQ3ZzRji5qsAq+XIqqaDr8rzMNZWLg8lNndgYsao6J23Xat+ JJCq8CvS5rFiRivZPXzmH0crUYfA+R3HVYZyPtgiRBzd3VGFMSixC9MX5PjhFx0dzUgTM6/KGouy f0WKbTD89JguZxcpk42hzqda6II8SAHfMXV8ms5SrjwhVqUbARj9+FQp5rFyhc9e23UeqPB0J0aL /cZPP5G2vyllZTlZs0hGTP/9n0RkacZmZhXH4DW1s/Wal/GUWSvsqR+1z4CQgnSBJVUOdJ/ngGXo 5VtkdDe+6HhX+J6nNiXhyA1kdTh8R8+k/u+EWFZ1ff21fUXXJMJhYR6a/xNfeWQCNfPm5LY5BjC4 2zoyTDIzBHibh51DsIwPLh5kEV3PWICbDr+9A41Ih+uAEkSTWmm7YAXodzLFMRNv6BH5fWTwxnRE Bxy3ttUyqg2EuPgmt3oCJ3enKEdQZoTOnKK3pdFqLEEGpj75ydr2bw5a95N5KfoqHXgEQPGiidXn eISSxwlPKtNCEdt6z4AUSp3ulq7/18GHQevprdjmYkmV2P5uDFuSzpOEhbCdPqUonZJcY+nYONwM Z8S/x3xNwNWbiqREHXT/fY/BTHjOOxO9PAqtNjyp22i7nT/pn8XpL4tRNeWNx/WIpmBzsKYsIMcU XosaKBCwOf+EYm8dKjKnmyPvyfH/SY+20k5nt0qYR7kM3jpJlNImFtcwIyvWJ7GCN8yFXfhEVclx yxVgekAti24cDUCGSjGMBdAD3+uM3o4uDIebRTEKF5Ux28dZY7dAbqGuQMZhTk/TiSvykFCxoGl5 ZdwTWfCA6OvY85rp1Zabi/NvDU2XAvxG5vLsSt1Z5KnFIvfzBBXAFNBAreuUHICvHOyJldjpa2lB 65hmGw4FUa/m1UtqVrqHJnyGjhYWsQmELbKcp5N9twUYTFOrdrXFn2s4P41XSYRucIggG+1zPhW2 e0986eRQZcDbRrLsUeGKqIltSD3t4V5LSqcxTnjM1un534aCcPu68FjtVLf8doof7Fucgp+BNlwf QEO+yteXudRTh2JwHLTvY544h/1yUSRiFIxpKvqE6Dhd1ufXgLWH2Ep2H8DA8oMN4mKE4pkA5eHt GRacDYKxDBJ9vAkKqmPC160ABsSIai08++FZ7NOQIIWcQzCiUxDXJ+B8apn1z3OAmDfv8ZX4BLU2 gL7X/UzG74bEPANMxOz8XAbnglIGTxQu+Yt0oOCvk/Z/tCvmNVRXLNFw5Ft6jCLTMuTD1Cr2E4qV c5UPTgiljweG3k7KBzZA7A9HZCRxq9urYk3FPCsdfcPoam9b+/3hKWoML+zjWKuYUA9q/IGsr1o0 Tuz0VFWaZeWcTS/yBQutH6G2PCRzssSmLcOXRo/hrZDlshNhJ79X49Yb2DZc2AUZcBeWRA2pkSZ3 SbgETOvPy2gS+a35nhp+wkJPUlxBjQI43sDHFD+5tiSMPq0uUA2khVR/5Boh/DWyrUF7XybiB5BG 7rEKO5u13Q/c/++KtPk7DUW808K7UsheHJHSzUWVORoi5u46G62X7Sx3dwXejO+N1Z+0VAe7YwEK TgBNFT6uwZx9QURNWMBXaXC34ip0Jyu21GzkRNebBgyB5ss2pKqzdRcpnmUaUHEUXisoNvM4bki1 EbYiy5lvV5ISkd8v9kYC7WLlZHjVeNev7dwDIn2OlOe1mluTjeFgNN9Vajvi+VPXt11fwzJ3RQKE IVwRewYhnJB8/5Yp/spE61M/x0k/HcfbUbHODOcQkJWywxyAFjiNmJhWb5egbVaXTvBMkYSgOhRs zIQG55kTlvILci/d9gZ9H1WOSmukUeuw3gMa/MHlLYGcRxzM0Dx1LgKKD+gN/pK3CTldIvn9YI6c lmMSBWAjcEbJ9VoGbunUp/tcs9rzOL3j/+OQhevy3cIL01c9dS/g++3D/+eyEUc9nkjMweO/55vY BHjCn0txuBGsdqTbGQaOmI9bGMJUSg4/EAvs/yNPktvsMLx52plQ+sfUvtUS18oiLqGZ03XNbEjr dMLGrenRrg7g9B3xYcBgyKRrowD7lpidYpArgU1ZCfRS1+Q/kRfZWAddMrQOiHOUI+dOt+EkldzL 7O383sbx0nnCvD2XwkAmOb6IuF6SWJip+/e6V4WDqKztC6J4FyucFdJUvDho9WlsMmIg9mnrL55h HcLofBuo8xKDtjcydm0TsKsEvwNiHrHlSawfgUA5PNguWWpIa/55md17Ik0xdFSBOtSlpK8zToPt Khf5A+T2P+7o7+oFKU7FvTD+qiLm5x2af7b0jifvvtFB9uhJihqwO5phOBiNycvVyOdH6C/N8UiZ vBTyDCJeGkW+k3FW5a96gUPmII4rrQIq8gWRlCFJCE+UzcnZHPlI7N0ZUdreQTSsBr81MWJS4whn RQ4A62hIOcrEW60rewW+qr38KrRiFneFzYBNCDTrv0nfP37zMwS6e6nFN2RnkCAm1xJwvYeW2RMw UzjNi9uNslQVejLTDuOFaIDOZ/SoGKAgf9i4cJ6NOUy4/7rOyaYFDicRLpdY3YAh+mTNXe4WyCH4 Dkst6wL9nVd+ABZgZzlzFUo3cua4uI+kMAwt236n3w8xeoqRWuX4HwSpGJIHUjXC9/HSCngNN8Jo R36OHnh2w9xaijsVXVDWaN7+8/RokxRoufVzsc+sDs5KWIpLEGxqkz+uAZzCiK2s3ByP6Y5H/wR7 czRJgC+KKJkhht4sT3KGzF/aO3DimI46d4DXA4uFDjSna4oEg2f5Pex/74sSxuGPKGUttAh3cbkz vpSZD8RT5lJtCxL/IKUBIGOvXerXnHYnWFiyb1j6vzRUrZqBaJmmM9+jYAsa9d7Yd93tWaZAWcT3 OE4L9tar6wVWdeEAD2Mh9kH6u8/qy2YbX7/uHg3Phlydf1s0OHsAUWWNHMDHmZeAbJqwrfiRIeRe KGSSzuj62o1cgMXlrFgnkZaBDHO3KXpSHmgqQI11uSrP78SIUL6JnnlkrfaioumAJ4brKSJhHW7L oKdv5skmKfBrHXJ8JgEbZF/CeE0My1J31nsLY1gBZoVqOf6EJP4UAKcKbb93qHNtVxq6eF8xj+6G hAbBnSlRnCtxFXdGLWP6qjgBJrTShQKgQXG5vRTL3GCaeb8RVvp4CIEmbwlqePgdFQZxe6WIqU1Y wJ6q6gan4al2SVaf9OYVbMc92qKlOJY1pFeIhMY9f8L/kDxo4Jc1KK0q25Y2s+dD5cfx8Q/kP3fJ ewwM8r5ad9wAL+UVBV28soJluKcAWbjjsdZLDBEr/gcnqfWO8eb3tqZkB9zJUt75TIahqn7B/hM/ LGCO2nX8qiruvcMIC63S8D9XlHPX2bSPLb4R8dfWIbXpmTbTmqPowYHMO64IZ+qf+ofI4TxMMonQ s5hawHP5JBBQpA9Ak7t/4ZYH5u2f/yZ/8zF3Ip2r4463kgjv0L2jzZKzucGdErH/Y6MR2ZOfo7L/ 6K0S8YwJ/xnUfPeZJdrGpDNeHwPs5invOwI+qhax9BVRX/l473u2f1hF79BecTNadwRixIOqdUih 9ETQm8Kn21ywpy9mt1paSuVLu3634Kv/JN77lEJaXcKfjVotCBzdTd9ys89G4/sKy5XAtfwmIcAl +oRneANr1kvqpSJ7HAbd8YGHYVCd3HGwP0CpXLTjkHsz5WSfDQbf6z/kVwhtZTc54vcW4TNAFMn7 EFrE1p6y3/gvidKbQWgbmEprEBKpa3kUM4O/sXGRfyTLb5G5gh5T1XM8a06CbXmTtWltmu939Bq2 h6bpvoqpb+HL6xSFEZUbZkez7sBXwA7cgTD76HvoqSt1e/jFAkwnZuiNFJbVMftlK+F1SRqPPCNj 67E4vwxfRKGz0bpp4PtxYlqvenXzPAF2yqRuq/LvG8qiGzC9F6sCifRGxj8QoUmYeFvc5HuatZgM O8q5SuMJZM5xyeI9hbA+rMAHYxZghmdqkmv4tLBt9LUWXsGL4IZRcwoCqG8LJM3puujqv/RDVPHS +7h34LcsLGhVa1AukKFjg27BL1Ikq8ibvNJeZ+VAH76GPv+iSqqsPjkgHBJ6JbZEPhMtmz/E7pJs TGQqcJ1NSev+ex22xfvhlkxqF7cJ+fpjVHjdHNV2HZg7rGDBBxFKA5o45/Y4HCWJYHdUIGpcpGma HUQai5g4FxhnctSm09F0/27VpOjL82N3K/sExbBs/YOzFnfOiShb0lZ2Wo13aiU0kJUuePNiLW2s S3mFv4y34W8MXGrHestByzjncbt7GC7KTpwluBozOy4TSSM9DPmALL4TPgn/AYYP0MskZ2Y6BffX zJvK4AZhzbyO1SYfZIFhgBHKMGRvWHS4/b/jObV35xeAhD2WcQvr5FRuZvPuAFz7vvJJvMLwxsa2 775vu7toJVh2gCgZeGW9QYLhpZ0cxVBWwCHnnaZQdZ5CJVx6nyq3QuD6Llq6OQxiKP3RAS9zL2Pk egh0UyQFimBH5lSP9Lc7+lidxNg2kItV10wUY55kD4/qWm4H63rg7Xt8kuLyTExofvwbjGXdPn2I +pFQhHuIhIN8X3oPug//qF0+emKzwN+69IEbtvaFbl1/e0w1K/fTTxuRR+XjAOOQ7U+S78Fanq/c ooXrzaKKQLcx+IsF1D3TQtMG9Ghh50w9cZ7nTdNDDgFYKlkURomc1HUB0W/yXMGXHzU+S3RZFizB 4AOeMehcEZfVA908T/t1EaIH2vCfjn0+Wb+o5TLJSrhijSFuRcQ55NVfCMqx2ygPS4MRxDlfGZNM iGFhriT/MRn4fxKQ1ze3VvUECI/R5S07duTxOnzHuY0I0PsPQmu3v4LCVwztWwxGCAoJpOiYU5/h rq5fyrQMZZPIKcBOxNUrznrYTgZCOi45JO4JMM3LNyXV6RklZvIvhVPP+S8xBFQ97vSI+jeYbrsv 5+G5LuMw69YmxiaxB9kV2QhtIak71iwEIBmFE4BWlpEgKqzUo5Mi1bznUCDPwsT1mLLjXyzKaQ2S HxeWmvJ2D4EdRis8XbRKSPj5ir9rsAW17hItyRO+h+AVF632jZGquIab2x5LZqd1C5bvFH6dp0GC Ckn3qHjkid5Q2pX/rP9B8dRzgey8/8/jTPc0EaCMVR2UEefopcaydbboBhkvm0Ht+fiKjLH8nHVt 94jstdZxFFK1DcoFumCkNvH1zDuZFj7ioNcGGVI+M9QIBt7m779wLrzvCpd5IdIw0qCNW9HBfKh6 Eb2Cgga/STYAAv33nIvIW6Fz5N6uM+ksBY0wLMR/5kWFAZqowtzF+EX1xFU+RiTC1y39EjI2X28H CwKVLAV/7PjCiDJpeoLjdoJ0A+BndnrLjpQNG9J4VBDvJ+0KOPM3AcA7OAJJpRpmFpN/2OmtjHUl llwidStN+NB7ah+1yDjF7q4RMU2E54PgZbLTNMx5bmD8VvcP+JwHOGulHImIDehVQ98SFzpWjAPc zaR+b6JSXEbzPSNF9aGIfzmn8O4v3USL7yvs5MhMHzLKDFmXyhQclRh9mSCgA9SGQOebjZrt3DfL WcRc7MBfAo96ZTJ560OXRAv+Q3Q6bhmdJR0luUCg1o0z9QHgzTk+d3dPpE1GPEf9MbChd0fPi6Ju 2Je598qPZN5ncN6C3e9xuahgTVbg3mBmbqcWJ8uf4DcU/KiZ5YuIdIyB51u0vhh/bYqPZUr1idUU wsCCTq/iaiEiPDGWaXGr2Ad1UG+rrxs0X/FmFMlQnaZF12PENN1mbbfu+W0GbNTf53l0wgk86+Z4 s7z/1b/M9rJOK49LqBs6ARAbQdJVteSV1lU0OvZ7xqDyYHCrhTLrwN2V187n1icG9VrzZ5um9BoL 6a7i2ikY0KdedG0ExrBQhWDMKVIzHHHHtF9A3RHkMEryMaJoETR/7jdslukHbG30Nd+Y+82ewCni c7QoU5MdXgtiPoEW4AcqV31/gFVUtYCxu6HNCfQUs8EmtLGlYs0Y2hcQUrvVORlO/9CfxqPpjYWS ki6hrV8rYdlvZlNHBPU6D+D9DrPzKJ4xydIbp2ZRh+aGHWp4flBdCAwR92i116Omw3KGAN84bVwT xdPxP+fgeBU/O5oBtzitYDCpBVKdY+ICFnR+RMjfV1+Lbae7ls40TSHft3IaIdWKi0sOEcR4EHZE B0JZguw3uXPcOSy9oEIJ5cdkzKkqYsl/QpA5iSTAsxlGnLVadm7Z6ZnZ3KvOPRdoJ5NyXp5uem1k RILPM+KyK5+6Ag81CiuLYbVpswocv5dDc8jRmAeeEpkNiLL3WLjgFOT/HtW5eu5gc/95pCPL6RDO c4BDVWO5lmQr+N3CMG4NmIthYFestMbdgUak2sFGohRGVWLmhUTnY1RtD9kZpEbs5Pflyh7MVUG/ JUTHjzt5LAxFmk37hhtt75dy0OfUXgOSquQS0aXi0v00sRILb2KuxzqUmyIg8B+dKPiz6+zR4pjx VNvvOiqO9xaTR+xVvp1iql4sg/AdH9v2hIgrTcl2VqAhBIqncz3Pa/4aiN5t1mo+r2RkpP53ffIS fWEGZZ3f6K9/uGyJo7Lyb5Kx9+p9IGTRruoAw2hocAFAYjcvOXHgGDWNY6Sv/73lwiZG3Z3JJ98e 0BisG+46kA03ncR0iyTp9rtUXqvuEyg5hcfybc8JRn/RePfJ4FIbPhQO6Xqh/8izUVE5+Brc+dTj m2FRf4zkXVPk4rY+2IQ0W1/iBVzfmTepXXWzQ9GDU4Nmjklq2q/LPQrTQPTZzDCMVOijEWaL+hNv PDb3jB/Uhi68seYeN6vgiUWGtI0/3W5kdp3NjhPVJosnWZeZTYRzQeIS7gCf+ludFBktQ0Jsa1xm TFyGYbrGa0D/avwrDyiXqSzgpVgVAdxrHZUG67JLCXpnGP7nhmuqH8lfZNu1xLYLF+6vD/uaoeFE 24TAkmfJ24CMTDIjHK7pHA87FSvxKh226Lv/cPPPcig6377lkCw/eWfsiRI9A1QA61GZnphzaH4b wpVRyvQRFFCQcwHqqR2MxLXudT5Jk/J5e04W8sETgBpru0hPR3KHZm/QX4HwS0d6y8SdN2/PGwGG n3LGnhXc8nOQYhnNpH9sbWiUJ+NLY9QjElbCFrsonPMkLyQUwmX0XLnC0qpCx2T2d1k4WbaAm5yZ iNSQDl1t8oMp1nWzjCjppf4PbCmnJuksoENLHRCU+h9KV+YrQTeSyyKqALSxLNZ81IMi2iEWjQaz 1NjBbvGbL1TjKIxbqUgYvXUcJc9AfDPlB+0RFEM59qlK+4B5vYs4B+cNC/iMP9VZsm59/r2IEGc9 BgjmuYH88UAmYEYCuWH6PnTNV9JKG1Hs092wX2GKmn22nav+hR3QCJxbeRNZZ+/oI6W88nUiOOx3 674MrZjTd/NGtJUN9BGAvnq49VC4YbQ0ZTDx/MfEFKIAkrniiKYiVPn7qWlqgtWZ7yZB8WbxteIm 7k0kYlUsAw1NDzV2tK2j3BcfiY60ZJN9onXNk8ua0SvnRu2eTSmcJaurQB3RWoA1sDRIrZLHDLKY iMBXo5sru6zdbiR/Zrq1wxDPX19KreTPFziGzmcYDhsZtPb25ig8J0kqzbbaN44RUE/IDF0XD3+c JxQ3EfPGknVmKlvER7KdHMMpNCMxnQD1uWHkK5PUpSQGdAJYRvCt6VBfgK8t2/oy6903gynbJqiI jNtLbXP6KSwb+sxfU1d/zsKCiSzegA8uW9CUHtET5b64bYOIvc7bOFRINBvIwJ6Ih/wjfmUhCcnY Qx3KWobrw5CHhatRKUY93eawO87gSz91obe+VVdaXqZ1ClCt9TpkoJdVnNgITdlwT0ZnA9zsigBD aA0PfA8hpI2KagzffGCkkZ0hirFXj2LpmiwC4x33kpk2RWZ5H8mnVfyZ3hmNHamymzWe6bpthMUr KF2rnbHnQxJn87MMZKSP2Qcp3XQvxJDXkwdRw/3NvPLZcenB5M6WecV8SuXuRbYUkvRRWGdnqH0J gRREMFaOO7nEqvi4yWNxemwfjY021ZnaVv8o1IMr2g+4sMwu84B/gZIX0vJLVaxh7C2++7mldcER 8nglVKxb6QgADRL4Zhr9Cee5jmtVwF4JCJusWnlmG8J909bIv+IgFkSkege9+PUftXBrxJ+rBJ6E M4CR10NCjVpaHzYpT3/F62McIfAPxnmHrrlEr5uWM9KODplZraQykeCSbkJ89e8qFXiwllZ3Y8EB ocJ5dfKacKZPX22rigcKcRqI+Ns/bZFNa268vW4UEF7012OKPO8B9GWazeLH7EFdgXnHGbsPykq/ +vTWYF2GE0dntfJ8C2SRaSdEdYx8Fqd5zGTfYCoddlewPgJHRle2zE52IMbu+UZTRniL7THmTE0w xQTC1OK6DR0nEULNT8oqQA2ZbwJgHvtAwvmEOasjt2kRikLnWl6rs/YgF3Vi5QxJ+z2LcE9jjKKO IY8TYoaffLOHglSM/CBVJcKOdBrjl+f4sJmAGMBQs3YHvvNBd1Wr05zTTKGz6tZ26TAGAUeJWwDL I3OcAZHVYIPvfDWrs7bL8ZN677YIFCE2/iJ2MSetqVdHh5XndbHr1B+xOYgaKQS8zGo9JEBDimRc FukfHDgx3KeTxvWpWNBgaMQHDLUpNLXWM0O4np5eGrQxn4ujHMHY4QPjO4oZvLcvJ8qqoyS9lvmw +vb7PuK7kt1MCNrCq4YAxf31BX4lyYrFJ7a9YLrnYaVVuqX0hyIZGqJw8aO/en7DRgWokQNwP9BL DTlj4URXYuHcYeiXy6S8A53JYXT9bcqq0zynama/0htUs2OWIU/0hB3vOsBbVBD+DYDxQQVIWdMz TEqdsVMZbyCcvcGpw1eF+t19Nreg0gro3tv9/yiKB8yc+4GwdysPLAz5z6cNVsKX7pCRvA1TK4UV EF8fmCIxEOsPRYFI9ZjyBz+EMO9XfAgJb+yugav8GseUmHNjHYrH5bL8jrsmmYMILQceduYdO7ih pbXsO3/sQmraTDV8eKwGAnvjhjgsKV4uyWHcVhtGIrPv6i/pi6DV0DlKchrIbq6hCv9G3uf5bm2c 7KL8Td0EvDPf/OLzl1xvYe0Lr+5ldQ4GsJgXuwA8BtyToWbdAKsQGYrtAhYSnNSEGFeFqSbCyw+m RmesEikFLYrhulCPL8w02NRJjQ4XIFy60NFw+NERl35w4sJ/ybVeQxLJJs6OyCKr3O5/il9GQpyt 13S6idG6NPWlzs06QmplGnKI+PHacSjtFZCezBtBL950VtLapBXK6rO/derk1Nqjc4Q2hR+3F+qo EiKvJHatjXpyDvrZHqNyx5Y0DXU/U1WA3MT3LxRi9GKEfVdeMgCMenZ+QDW/4vUc02N436ygpABa KHcbezB8JxDyb8ERGccxIJzThhmvgpJA3vhX0W/soaCuyEdGYS4DxLsS3gT1jVXaEvii7ZOkRSW2 PaAHo+MpVjGllbm5ZjGCd+W63UhtTMwoMDnc1CeSToKksCC1uDKY4QEaOGOmWAWSC5m+EMqqgyXC jBkpIwzuKtXqCrw+QGMFaHJraGte7ff6dXx9ELME+nq8IEMwubb/Ke5ml4CV770GQ/Yw5XFgp1T2 GXjoo+Cvhnc1s5Peg3ay1cDK8+k1AwVntzj9zs2ocwqxCsRGZo4lnAR+l8UJ5dqjQHJxXHKJrUmw 9n4Lb5dU+Q0Sp0J+9t2u72DBbMmWFSEq/LM0IjuWsY96oiuXODZmgAmiU3dT6My80qisAwTWxHwA iF74XIlUl0DKNwtsXCaznRgTukL/JLkseyc+74YUVa8xSwik61BnPUY5UKuZZyzIruxruSzYuafd Pf+44tGUdYaW5qy+B5RW1ySio82HM9YoyrBqTHvaCWgz3E4OJHdASlYKCSaQ142H25oy4oAKKsBo IvsNxGTRkr30eu5Qv5M8BBXSmIDwO5koJGzeuc/CkuwbCddvksv34Ziu7W7ymwNWQjttm2Dyf9Ir wBtNlKrHVEkOxB8yfyMSyPhn0QaI0aUrd6HWJLkvrp5Z7+67bPw3L0DfyK6AVQZQ7tpLYTz4nKj/ SUiPSqu4QLPqMKMzXVqaZpj2yLYnLnGDjQlk9mT8yNDjkFSKTb9yL4e7vuwtviDpLOghNw/KtUXj VIqm7m8vdzZICzQZxLx1Z2rTYat1I0LKeqkQLaTXJfJWzbxsK0dBfBePiXmb2jOnDHuLa6Eq/elN ySuQlnRnHVFc5gg38XTsrkbNKPKO4b+WOcD9uZuDHbl6aaat6Fm2LAHQ6u9QE5TJVox82WglJb90 erY/Tjra4QMEmnXrJyoa4jemMTNm6fuw2nvGpJ0JHYkMR37CRUE/NAoUsK5V7gXmhyva99ECxMDz XLKyXh9iwH8kApITjUMBjriaDhqVt9OFKJv46lKT1V1hAB+oetCEk4PZjc+GBMDJp6Kj6Z0ytjXJ uzZWzF7mlXGec0MPPIsaxyYi8Bj9r634QdtNrIE2o1Xjy/uIZSxyJ24iN7Nv7xlz782/SQtO9/lR IC2ewQAbjXIoj/71M40Xlu9/UiZfImANmQgM9k+Gd/GtABImssDWEESJVFGFtwGJE/pCuuj0ZTI5 nbTaVTjJuszuFuMRvkJbVPHPaebKX/WnJ/WjFkQ084WxW+Q0m7lu+Lr7QZ64RTRlzbaR5DThcOdj wf0oRrt9OTzsZ/gEWjrVK/PPGF9KqjpGQjjwVPDuLboC9BSIlqaeg/4xdCaApXAuBunHbBTbAZKt P7QCls5TrWVSLyvzDWRIvy8+6GyBsv8vQvsNbTrkjq2lTLOcpqLocN9pBlxFJQCHgmREFjNLsfUn iR+lzwYlECOQHD/3lIMeLJEJPdhAldOKcmQmOH7VKxjrrhpQCj/cgSHtdXBlKWmeIK1aW2zVsRT+ Z60pp8DDM+YcDB3hQ9YBAYCV6qnErudqCA9Sonp2YCjA6la4oapLfchycwsCM9Q+n8j9QsMVB31u KH9e0r79ATohQGurMv1bjaRvqKeUw5l9kCyULs7ookaD2DTGuqMg/xP7jJGJb6jyxNsaCzTeBr+f s9YTWM4HpGVuLyU2RHwz8LsMqbnFUwYDLHM9KbKoG12dHtkkUmiW2S+mwWwFMTimCumzVKu5otBW XJiXen9BzjBsiO3TCFYKyheH5OzJCQmybOrulHatzoWrCKwJwiaNZrjeFzbydz6SoUhtI0mxcenH JfQj7FcrxcTyUDABoJNxabM+UrZm/eOogu7rq1LTsWJHjT/fcItPTQ+i9V4QsW3Sv1CWIGTHZzEs 0Eaff3vmJxUQ6DFqWhpr0RxazZ/evhdntU0wpIsx++cyGV0ueXnMB5XlpXBuwVH0WRnyD5X04hcs RkcZ78eZ4kDtnHyaUcueiVz+YK8bPAIZyV1LqmEDVqxlq9jPK8q1TlbR2ZnEVMdQyteJCn70pzHQ szzhspDna9FSNN2/+e11u/tELSa/T8p9KGpibJ1huuAukRWWlCDmD5M5LSHDMyBULULpPWb1Sv7y luY7XOlpLfXol1XE1I/ae2SAB8V0No1Ms8GqrLVgfEl/coWNRxWE5bS6DvIi+X5B0AjKltjmOr2C Xbulq/ds6ffZt+jPmUcIIu91JSyXgD5MEJ7NRzCPmOQalAxALJw+0017dRcnqMEYH48qIM+dE56p DFIgo31Xrzf8nUF6Gdo41dThJEZR8bvXBoAaK8ESxR91MsekWm7ZoJDZDhFAHb3WxtKV1theS9PA TETCF34NxZLoSwTdmrQFCDcCxJ824D0lSAkQqVroH4EiFgs74V5WFgrwW9yo6oWlZFZzb85zJf6C Ok82n3Bp96Yuk/d3tqlaZY9u3cjBNI8jYiXY8dBr+tucl5/zt5et9PI+SuA5nE3tQ8tXdgB0JdaK o2A2c56VZki5/3iBMVgYDpsGBHozZ95chHWkjv4RDkGhbpM5RBczpF1DMSN0bNc9m8Fy5d2KBThk O6T2o1O334OX8rsYrtd5b0Egr/XBfdvOvpDmzB3Nz5NKaTd8jgwzPMcViqNYX/s8YafuRH6bWA5G zryZd4OatC3jaeudj7NNnMCWZjCa5IysM9shvFws4K2UHPsDQY8O5kD7oazZv9ZR6jnAiIeoV57m mYTISUgDRtEvULFDXrF7dMTwAAiPGYtbC9lyGO3vcYPPOgiVM2R+JSGSI2ftIVomMqdnHvHdIi82 ztB6RF+x8eHeu7jG38eltBKKl+5j34V6IhUPvIkTREyjh+d/DvWeGbwkPlzWoYIfM3Q8NTXVnjrJ o+UIyIMwmUEq466ql0W7yUlGKl7LIMObyQAINLrGG4Gke7zZIEPuwcAZ/msd8d3I33+R+7RilXEW UXczVj6pXHm2F8sXnmJ98seobbxAdOip8Cs50iYMNq/tOpY9JrGlAoTftcJ+oyPVAZ+Dxsi8qe5n c8w6lQqvFeWp6kPqFjfTg8Y5vjEr9qOcr2G1pmhPt9SuCSpTXZXgbpk3LtqDr5L9/06OS+FEzZfW bJhmJAiKVkUw3VgaadGszaOlUfDw4zMtaDJHjDJNWVrmXUcabgcYRi0itjAZAMeD7gNnORrIWkIC 3ppOw/iJ9MS39JZoCnxSIA5t+Ii16L8/vOy8pYMB3TcHxQGH0qEYzP8Qv+b3PyWOTYHmbRrEHAlm 7b0MHfWQU+LJpn7qZHyElHGl9WHhe6Tc7CpPblfvZfele/ZvkZqWRxib86ivMExdIkdWKtHjXRnM JCY6vD6tbjl/Nh3l2qdCtjbK9fMkUADyCJa2vGwAzoK97DwnOkjIsSJqruuECRAdETOHLfkmNnKn +nmF1k64F8wynikfgIbXDgXqbbDKbFD3M4vsC2ybNAnTeAs0Gg/kJ7z49JB61uJskAPoSe7ohPxW yg+t3nJZInKzlLzbhqdK+lMNTdIvW6jS/WQxAwfFT63EmylNg7hkirOUN03GuNomNApNXv5qgGYO jJoKKZJS/X3WltrWZNG+GUy06qHyQRnaY9DIiFRTU+mXMIlp/HjJxT5ShTRuNqnXjDrCKm8UkWgI wxoYAzKc/euB5jdJrIHcl/Vqoz5jnHxb112g2bkR0PPQy85BCM6EiQv+YrEOlgvKa/BT55s75hYr WniP7R6yWBU+4nNZbwf0TRynsgsaLYWofPH6ms1bp3CgcNVbEz4faWYcdM/xhsc0ZGemFZeWSfLq HIsXTh2CiiZ3Q905hbGgTdibogcfNNmq1VfeRpm0/f1s8/k+1bsUxW6kwolXvbkUtWmqwxMf4H+6 JJXWcpjBDHc67JvAzyWYGA1779qJAqVZWWXHBY1b3QjB/sKSupmFmiG0JcsMq+ZxPnUcnISHdmkM uiiRjbeFaHKHuR866PJbZ4CfNr2bh01Ul8s04UqFB/+WG+p6FA004po8KnVG7M80BA9qdh39N7ak T8BMPVKFFumiKTTLrDppK7fX0UQ2Rht1QT6Yba1b02U+/B/BGbfodEbdUrwiJB8ZXcfVrbW3p1z0 FVp2cMdBBecmT/AThH3JRfoRlaT73EvY+FYsUlWm6dG6Xl9VCIs3fYGT64ajSh8kEqeKhOaCKTuc kdP85pLc21dyiHAXY6MoiRjBdWczc7Ztz5NyaSxVQwURFmNxoZcDbaVg2M+d4mZ/jDKdSeLtX5oZ KzrdPrX8vM2N9rZ3wGlZ+JPC82peOJWvrNFmUVPT7P/QF8R3JZMFNu1qPsjmSMPpDhyZ1RTg1nUx TzS7VHtKBSHds/QjfjjB4VTa+yiQ16tg8sUT0BvmPDCV1CToQZ9k4d3+XCyWlGGlPRGVGkmOuKJe 9mxKYgWsU9hkVu757luzfntPWxjh6MJ4W0cgQlcPrYfaHBOCO4sOCdDZkZzwC4L0JN/IgNg7wYXu uAxSbqdlsq2jQa9O+6Xluv8JNh45u0qwY2dg+uMeqGX7hdoTG6VrH7irfdh8+lrqJFhDPEBl8X4G DhIyilTPuxPmOcC+fE56dRce+GAIipgeSh201yfNqzv+7WJ657iTzyVs/4kkNFJQB89YQaL26X64 oRhz5WkPckitaE+QbG02nOoe/7VEduQF0K9T+hxQ2Yw0FLjlxwXrDleJgjRX4gIPJsupXEhWvOM8 09DUVjR9WamNb7WG053Pf6QDyd9Shwy/drOHaB7HN6vehpMMbai4PU/yZ2r3uwdoLinmbbsMH7MT jJPghVzecx+W51z4gKZApfRhU4n9EPnvpVzsBk/QEEqjVF1N6ZAgMGjBEN/GUPUEPtTPImu2LzA8 M61b+cw/GAh9birDZrc6mfmtKTJY6yoRZshi2v5fYYV2ymDcINWrvuyncimFLvrwmS8b/hGg7zeq 2P0JWcEGKHTlN+d6akb+bmJalWmxPlJGLOMCHOEOSx5I1z8QvS8sMvaxCuTZQxIGcw3eZI53ETrb R5EHje9XNOfgPwyrBC7w+tnDqGhChiqtOcD1L7pStSaXqnOVVRMmE6/JFbTgv+0l9N7TGdguBfev 6QsIcrTnDZEVeZgkr+iMUWz7HSlkP6FiZP6UFWayhGLWur4DJp3bpQf9qB3u+urzblIqIJ2o3PxN AAX+aoMOK6jAqRd03kGiAVZjQSaQFmiJX1kMlVZT5jH3xIaBUjeYI5hbD4b+LwFvCchMJ26rfpvx FnDdlPUnaCfCDbaeSCUxH3S3UxgJNtPAgqRiYKk2lN+Yx9anV8uNscIbs0+Qz5ETw+9O9EfVBkSa sGPzGxC2kqdfF0QiFw5z6zuYm2dYAkmj9YYEpIkrUgBvwdxcc7P1+f+/V4B4UGM91k5oxC3f3tYM xYpXnsRkKwtLeifrtZxA78ITV7wrCZP+LENy3Bw1SvLeKhJG8Eft+o6G+VbHpMmBdLsgBZI5c2r3 S++C5RL4nagdWda2wt/+kb5szU8eSCVEhIO3yyMkt0q2qoLtiUUkHbAO0BoUBff1OPAuL0CL6aAO UcSlSPdKLf9yeehaAxDULumBWDYjSyUTyYIYH+BgYprGllOnQqxVM4d0kY5GMpCXEv6E5tF0eJoS ZJxrTnizg/70bfbvAkBC/6WuWtNNAdjVYOAdwCWPtbjpEtuxScLV2lMM1jcgGClrG6zlY99y4pO1 QCbrYHrnFSv0agKDIwFyHG2x0DaF6s9yETL8S51almF6aXmhDOUXlyGK/tRdRnJN5wjGqBt+pvWo GiN46sn/iZLwzPe22p/EipdC1BFMlWCvae72R7bC3DnH51v0/WVXT5yFBEC2DWxJOvqMihVmk9zL An/hLa9rIUFiz4vmYKuUugkxxJmnnYMIBgqcHKZ6JzaTKRzzJu/4D9Ul6RsNs4FCzsTDkhazhunG O87J3WvAxup5aIjy5YdqbjUwg5Nb3tsFLjwu7pmQFqDU/C9ty47Su+3hwTPjsEO6R0aBmlMzsraf L1c9xQs9X+y9aSgXOGNvWIKbBNWgcwTHG6T1e4Q472YW1vTpKqNpcoZl5Mza9QsXrp8owMNBP2gF fXKDe6ICUngUp37oaewI/9HH1Ug0Xml9TDNH49S7vpLpP52+V1DxoY8Ch49ipDNcMQfQI55DZXz8 JT166C5Q7qyKf/q/T2tXD7/uKfQGB5mfFi5OjEuyvgKVyihSeghS8MaxEWZVxHU6/qTUY/LuyVpU e4AD2x685+BIwyPez932KvCOf1IRMdK4JCDPpiSd7AUTYAyZyAGa6dt7dTgiXIQ+dQKbuKcvrUg1 YBnEJDpcjT3Pka6vHMT1VCx5LhHHp17BBSnDcwPuppG59dTaffJMSUbdN59iO+QbdyqLQc2tI8VB 5EpUGtsDfgC5EqIcNfic2/R2jXRfSNujERFJqF9+5TA/vrZVV9z0kSy3718nASDM7uummCUJmFPs xn4ccz1013WBJaBPEHJa2kamHc0SNCl2YpZVNxwchbVI3PUUv79c5wFzphV1sDJ1hTClO/pri3Vi h9ieR+nexx1qZDQW3rpKI0f48oNvwJSQBCYdZLvrfQhP1ALfK2NeAd8eFQLzj0UyQ6KSZ1s03aA2 AT1FUyhHNKyScUsdNaWqHoSq4bmeQ+j+QftgVauxIjAthvLH96Yuu73bFr3xxtAOoYE0kDx1lQgA lMu/ikuqPJlf1rTk1TTX+yqM3B8KXcrHgTTpaV0uL+8oXDXZMvsIGQcyr9VFtMUqnVjm9mD0ayux VgdDtPDBk79qn3d+fJ4RJDwHaZBxXoSA78GvIRoaBUH5Fv/q6c7gHWXlzzwe6ZDShHRCgNSYx8J8 ioiKrbqlBKWYwJ+lcyiub8S7H3gV5cyYiqVGiF5AaPGGtkMUFacINGTLAFLXlLs5ErN8R3An8Q7n 4PtrLPPiocqnrnnmR3mcarFT8u1ozy4bLuku3C8h5mFCABq4js3usWpasSu3GQC4LTk4IK3qOjIj dzjyGCCOLXuVB37pynAo23lgCO7kH52jpgcZqmu8AuWz5CmlVZswJGrpLpPNUglzaV5sgxkRQ+RS QgcGsHo2kYSvONgZMY2g4ktjD1LBVaq9vhZ04QzsjQyug0msjNvvJ+0pAYbuQFg3Ug68OZXVRltN qQmMuZkjjDJ+YPQDmF91expS0mCceOabtkUyGJ8Vaq/srUPYQMmCmN1ePZePsvuGWY+ufK26n19w S17e3U1xAf3Q2PagyZnZlILMzI5yKfOSaVXnI0ISMWpNOGTS9UNpIrDTJ4BiZ+MPxakWNn0+q9ly lrHEUJE7TdSEa4r+VUHM6xKSQZ8Evm3+Af7f7kzmxd/ofpm77elwZhzjcve8jPXP1VdfLSrumcmW FAh9gEMk1rDSfhBW5dWANWjk1bI/MIY6ESJW0WtLuAIZFb0HKZ0JaMIJLpsVfS74OShK1nsJs5Sy 4+iGkfyFxu4tXeZOzs56aujX2zcnvrzamxH1IJsUCnqtzGnB8ZvX7NY/O20n0R66FZNMUmod8Cw9 WrOzkoQC35m6AFkASscI/ZsAD1sqQZy2cdwBP2xPJ+vKb16t5AwoKSbtYrQtwlvHPdOLV/zR3Sul Yo7Zrp4Tgr8YBH0FilcV98vldZm+Q3kwhVGTH42iZ5xRVpO0liuW2t9+UsyKXaQvONrkf6RYJt3F PqQ//MCfH7aXGhQFUdDU0vQ3CcTiJiJFQj9x4YaE2VxA8jvrvUh2pmFqyzW0BPyyJwKE/x2/OaSE UmN2soFeC8Z+QIqyphF2oQ1Zf68k41mVU5hwCVV2mZhBDwODdj9QBC4X/NiswgWsQWQiFm3QWR+J LWRvpuVg7k1xdjFSKRJua5p74iiHbBAEDM02CpMdOqe5uZC3ZyK2RX4kaL3RBCRuZbm9PicMiyIB kOTPa7eVO2atkvPt4J3/57ee54BsbjFWmRY7fMZW3EFdjmfKAYE1QIJqTF/Q62z9eWCeFzNsRPI+ jPUBnNzVhU/e0DC3ZGN44xAdNC0Y3TW4wgU3ilXMBQf+KbgsfVLSCxmwzhZqqU8wh1QFuupOOLVl 3grLKVpSmAj/f1Wpdy53h6cxYb3LDiTTW+5Iu+gTBAT/WNnx9oYdwfQAUvStViiWhZo0y9TD7qeI EvugtjZDfzOWiDu8HAcrvOme496ZrZtL5b49O86ZV2HzL9n37RKcSMUEDCsN9lsvjRr2RHvZAYlM QgC2gqUxVRkwOWf4/zaqLzFMT9B1NpiEeaqUtfTM16gvioQJFu7I8mTBGUQFKH9Nq8tYjT3/eZ4E jKB/KEUoD2epsvcVeywvpiaTTSYwV9JKDGv9Jr2rbFFC9amTCRpVs9v6WLqtQJ8UdFXFP/9h1UD+ kmtuUkUTf9fK+bkC+sCDqQzzlndfuoRtbda36UNblT+D3xs97rKgwlmiDigbBEnePX5c09vE25Eq jZod3Q3LyLZkewJWcWjaeZtjPFPauNpUUJGNDgkD4dMwzIENakWBTJhuDf5UOwpWcOjn89SA5LLN exIcQP1oBUtw12BRPjv75MPCS5ykHRy6LF9r0UHNCyjhYWJafeh8iK3+QzOG8W0gXLPEyR6t0VLU c+4i37FOBfugCbTXuLSHp23JlemyInJh4dfaM6fCoICJn8THvoBY3bteiKBz7BrDkQgwg/6uxOM7 xMY7khzYB47pCQ1Qocz8FkZ4+Y1nLCspe8NoDdrneTHJ4RtLouf15I9JLjwekRsfjTOJu3+SwKmd /d/0YruNkVaSLCUsOtZYQcjfv2kGInf82VKBX1Rv0HI4t3/MesBaIgAdfopUfek1I8lOt90QQbF0 6SFJDsPArNuq8n/FrE28CuO63K7WzqnMy2x/ttq1o7fSs9K0owtYeVVRV4PVjDBftKdg3N2Tqf/b x18SrTma/eAilN5h1LpvBO4xP7DaS/c8GviTwvhObbdAMVwo6hqCBEMAMCalzeKySRtNGsq8+zWs fRVot6R1YIG+9SzE/86NfeKQbxCPrReFVP0I+jGHs4vZSAe9/qNZrqgGjCcdj+Ws4K4Pb8xygbsV 6ORjeFhPgRDmeZDgHhoTeD+fxdt/syTWs5wUBavZinS4ipBJ1H3ZBF/gb4TFmj/IfCUnBgv4ANIP CWKhHlkN7i/TXfr9xp6ggJXgL/dPEIQ8NMUmm0qk+iltGFlERqSEU9dfcHHT0d/tbbpy0+R4Ux+D b7LS4Z3m/e8LDOJGAamMojMycFoIDz8OndkLEtDg0zrVK5ni6rCs1I/K4m2pKJIogpOD54rPuhj1 YRd0Mss3sJ0fg5qGMTZ7C6veoXLseC/v1BHlx4gne7CrhbvBhFOh8ukOq3tKWvwHihaixQhzgaNE tf8e+gTq/5LI1z9nuA7cj11ijEuRVfECARDJgFsb8Mp7QorMGO7yWlOZFVOcZByiWYntkGDlrRsP o4FOoc284lpLvDZ27yWPiOaROZoaIcZO3AZ1CblAwjdc+a/3XM0bKbw7PZB05K7Q3JZXgV/MMVgZ MG0+R8YviZa2onXs665QsZd1v4KW37pm3sicKmMbus8trOY8SQCimIj811WjJ9fWsfXpXelF9Zit ELPaHyFxSjmXaohJTdqw/BZQGQfQ/rvkUu8VraFQVUzxpRrSBiwMNmFev4To1xbUinZb/tTDghhV 39xls09pWbKCXLh8j3ofxJhwOdZUHei3gRWoAvP8dDYJvonfnkT50iynTEB/3spcJIEu1J0kIvXa GZKDrk+jBPQPwWZPXnuduDbqmxS33KHEhQhA8rLZWYlpq4c/m8VTAar1FKnlyvj4RKkG+/p8q0UV XGxUJoUdRo5BcXDXh4TsWQXT//WwWBU8bMYDH9XbUHmO4P94uXqPx3VhEyQwUHctHqwV3LAs0Md6 uREpgiSH3lpJ10nTjBXHSWofxrjem/TRykpLqyGJQS4akMHXvBGZLg5RmoB+ATjpdvQJFJaI3VK2 TXSAU1p0vKShfxqui0bZC2RplPCi7gvGSTExYhNqRXz+ZZB+jw1Q9LIAL9+GhK3heFdm2htaBHq1 gFQOKpcb5S+sVbqdwS2+tzIfmyfKWvyN5lJTSYGei+jLGIgaB0+Tfhp62RC9geU94Bc/mLzMWdsG NJjn9eg90UrJYz2umtakoAOgL9Zp7eRkSQ+Zs21IGurJmk9gbHoAoHwibvM8szbUy537mnKiWYJz xh1INfQ1XZsLzdTFqwNwTSmsDPGfm3TGzrKUfz3MBmzVtNfoMkpKCMsvEdoQ9vsSVWWWCPCxBHxA HOr0as+fJKAIKoYH3foC7HoY+WP0Tg6qM1ED00Fq0R0cu3A/HzfoEQF2bKLf4BLEE5wR3lUYt/Gj 6cPmfZP1SApyksub1uCs+dFfBTGN2wSscRRFlbh6v/kCvuJhy9d9WS+aydjgJVECVzulcnu9tm0W OlR/MO0vkZMeARmdPRjUV2f8wPdaBoxShnDkglnEJfuYiuRucSsnY8qh84RbVH3Ybp6Dcqen7iim Ur+3vP6W+zVvfoHyICwaNFdj7rm5ifywy9w1a4lpM1M1HPQ1MBp7+gS2mOXeT0Wds0twl4L44VG5 9raDmkyE0Ca2cuQ4fwAamfqrgm6x30mBn+anJaZ7o5fsL8lnJdKKzpPEswMOjfArk/otcjqRntzs Lli6+3Q3kISKUBsdGOb2djTTVL7cEyHTOch0DDJ8Qd1wX8Cy73KdSs88MvUg4a3F/Uf70XiqLjVq LtuW0km4iJf9zkSEX0QW5ELwtOBtZKUUrZpVT5XG/nZE8pNaWGnCtZzIknEDHlGQ8oD+z+r7UFbB LZ0XBtF0+gEuWPS0D1gCW1bMLcmGcLVuybR2J7q37mv4+2apYsBJbLP8EMABjIvIxVA21jSdd4Lr butJqskdXdsqWLdw6/EyXVuly1Vz+BLMXyyIO0CE4Zsj65L28vzvzEtIisVZz7yVo/zwvZGMVvMy AnI/TOVs3QtM66QR92SY9b6/bgxJxBE9nTlz3to4dKAGLnVBdd6hpe3hAgPHMUmcKQ+mCxMqyq8b Aht2g2i0OBl/5rGqAbCTXlhgiMeBmk16Uh4/hiZM0CcYyUoxco3wGlpWQufh8ioxd+NSuIhiSNaU Uysu3VVSKfp9xMfOjvqIf5HpfeeGzcuZr4TwtVlIsuxX27M5FVIuRMpe6V6Ht5TsvqH8Me50WtLu i1UxzIIxNix5/9o6YB5V/MqgF5qvuFZuLApp+8f4UIE/bdFVGiNdKoF+Q3+LJa50ySCIZkuef5RS T2uf83yP9Idyir+AZTFWYtOM2lwuLz3nzUDQ7wQfwd/8id80DZXkTKTjjrq/3Vpf3fz/JcKsWcqZ pV+9k93X7FvskB58gVfaPpnNgTe/6Fw9AdyJl/8dqUbGz+E9llJBU5blviDde0WTNWdi73tsoCnH A82iXvEXES5S57VWUOkPHt1BGyap/p0fMcohuzVFlx13V4madpHUzL8B4/JvDh4NhWJSCR5j0vfq NS5Mko5IMGFBUqeZCNRkSlJEeTUU5wdDkeudT1WKkwZEI81QQ2J42J8QzFHR9AWr4LfO6fcCOfrp DltNxMMJM8qKxBlGExxT0nXLOhtrZFA2bL1tALRhBDFGrhn1Sb6WarXclqRaSm81EtfbphTwfhuS LPTv77tU9xwMU1vXKPjVFam0lznlbHSOIFjL4DKLKNaiD1iwAplzipY4L9Mvkdf3XGOvSxCCQXMX r3hmmibpTrfJzNKe7tE/QvLNZZ+GUdt9qYpJhp4zd02F9k6EAF+sFwER/DEHiYjqIYR+cF03CciT xElfp6ft0Y1Fr3rlzfy1cXgoq/BNT/Myl4LJiyu2gEF51KcU3scSUkFRhubnFWhQp3Qjs9THxfj+ g7V6rrlKiS6QfOIAS33RXhAQBEw7/nv0FKPsVyyvNuItzdWyrdQViVRZH7mEbCh1jItCb2M9MK1d /+L0sWCmF47mk4xgkqABKkN15FRI11GWRDq9K9ebD2SxhXToZk0LohYvlSxAQyiOl3M04zY4oJ1k F4hWvwTv4GPFSUAbNvZ9jmPfAsQw4oKVMRqQOlRWUmZyCL3W4PNBSazwi+UcepNLvLh/t34rq9BO sWQ4j5uBxKgDXWo5WAVi4ry6EUgVDpRor99kiLozrGYZ8VWW+QNYcAWGwDUndMokp3F6zCOaK0EB v7p2+R9lz1oddf1J0eJ5vZ05wyrW+mOEVJhPWJdSuhBVenVeYUsNrFG9cpVzRUM439R0IoYx0MV+ eTJJBxVBZ3QPt5oqyAcCbGVN1oDB49gwn7Ula4AeCx1+RTEordyvQ+dRJcAEiDMx42CX+eWgtzOb zZ6nTvmvkPl2xspPjZY/N6RxW/KkZmrQDTe+2Qsia4aJno8e5OwMGlBPzIY9tw12pCz124jraKdu GQhCDDK7weHAkNFMo+LFEfRSGxKtNUSA72skiel6G2Eg/QzEeubF56nRNaSfhwOsMjX6Qnt+Yl4G DS/2UiAUFdspwBqni7sjn5YgO4Cn97z6BVpfuQJtENZIyw2yo+cRbxLj1Vwujd9nxdlnHrEBXjbq QWR9/0v3xWy5HtM5SeLQYAmsmLrppW6P0r3fuCw49ti98s1yoK2uLmEiVui1r721VTGMipraKWls rfIiA8G44HITRa/q6YXP32qZAlv8bjim8XxItHiX02JqAVjqycczG5lK50YnxV7bt7oESYA6OhB0 fxfthRMNcLnx5E7Sbed5h32YTVD66aoN947qyHz7asEFltkd1rRv8e/fsK464nBCynJooo7BYA8z 9nCVGPPVNRLDYMv6ZL9NNIgkh06BBB4BxKBEy2DLENU/Vf9sEF3tq90LNcYxb13e3ILXyrgCKcz3 bnOU0v009GPuG+XgltL8sUeki4EJoc7R5LRIutvAsS7h+9XQHo1T4z6aVZIkKoy1q9gBtyIZ6EQB eXox8qxyO6uVl77bZRjiB5/EOrMhtXciH2QEPu/iSen6fkQhBjVzThHTw/geS275yxCrCAsMbttC JwjO1Ebd7LZahdaFp9ScEPd6E2PrHnqd/Y4mw6zCRFC1SXCiC9w5FM1xPt0szYipAZQyx/xdhCBd pPsviDgch/jySnBUFNTwBrkZBpp7CHOD8Jy3YoSh86L/+QuZTop/qkVfaGEaNXj9k3JCuYw32ZCE hMc8QJCysiN9Th12MErQ7TjAXechg1hR1vczqtPD5cKskqb7ilaZI3hoik4uqVbTjRXfbkxBMzJq 9r5INwl40E5z78b/L8auDlu0585sGVcLllFkcB1G9xF9uwq7U2rXFYOLhKSBYw7HcJMzEp6TS0jM OpelLpaUWGX0wSN6h0aokZOc425ymO0F4ix8c+pbbnk9Ls+FdoNv2k3FSXXegpBYCW+FIPLbzf7n RGjucoqYSrR5yR7Jx44ktXDBxhUBr9wFELjAnpXyW08kTEupaU2U0blvQ9SPSCukrDuNVKS+8k5r t0xwu/ysX5JcANShy30uO6yLkeTYHfADWcEMl7BNq3KEVnJJt5ruB/Da7hleGFXqZC+1/Eb+4Z/n zpJ0zwgCEzLkLraoh2zvEUh3/CHC1uldBV/e5al7RZOl2Z+ffbP6gh+4n7WMjorWcUz548totWQ6 n4+jqZPngU64hvCdasXURR+DINI0uFdL6JGtqCOWdRzY/5279spvDZxl8yeud+rxHU9uMRmvpVvb gDMqvlCtd/b0n4iJBAXKkWR2Ppl3THtWDVfj3QAlmo3OL0mrCsQw4IuCTKs0ok/ulIweOGxFk2K3 Objyn3nlM+xpRvHpM6gwXnaJhjdKp1MXaqcJYGdNcHl9XCOKazXe1qTvz7FeybBnrqYMiMmS4ZKw 2FfEp6q1u5VUsPYvt/qAVo3oLzJV907q14ePdLticFdWzWOBZodnH2EuGXhg50MMXDFWBGSAfocW jviLbRWlgUr+gL+6wlmtiWbOAk+VDEOUn/qDGoKRhThD7H5CuXiIm9QKbekJ1nEV6XZ9klI4EHWL cLuNtwacEsYBHdcpi6ZsUPSoX1MkoxrDSYn1HEyr/ngxwVsM0hQ0351CqqgpIxDc4p5k9+griEtY AQgPp6iGmgunQ+/qHOzaMK6/uYKP1tUB6X4ri9FLmv7zd3a5G33N2WUHsvQQr1nMsGH00Y3foHwk RDww7w== `protect end_protected
gpl-2.0
aee6562c4b2b6c6142fd48ca3a792864
0.943591
1.834253
false
false
false
false
notti/dis_se
vhdl/procedures.vhd
1
15,742
library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.ALL; package procedures is subtype t_data is std_logic_vector(7 downto 0); subtype t_data2 is std_logic_vector(15 downto 0); type t_data_array is array(natural range <>) of t_data; type t_data2_array is array(natural range <>) of t_data2; type t_2array is array(natural range <>) of std_logic_vector(1 downto 0); type t_3array is array(natural range <>) of std_logic_vector(2 downto 0); type t_4array is array(natural range <>) of std_logic_vector(3 downto 0); constant ARG_NONE : std_logic_vector(1 downto 0) := "00"; constant ARG_REG : std_logic_vector(1 downto 0) := "01"; constant ARG_MEM : std_logic_vector(1 downto 0) := "10"; constant ARG_IMM : std_logic_vector(1 downto 0) := "11"; constant CALU_NOOP : std_logic_vector(2 downto 0) := "000"; constant CALU_ADD : std_logic_vector(2 downto 0) := "001"; constant CALU_SUB : std_logic_vector(2 downto 0) := "010"; constant CALU_UMUL : std_logic_vector(2 downto 0) := "011"; constant CALU_SMUL : std_logic_vector(2 downto 0) := "100"; constant CALU_AND : std_logic_vector(2 downto 0) := "101"; constant CALU_OR : std_logic_vector(2 downto 0) := "110"; constant CALU_XOR : std_logic_vector(2 downto 0) := "111"; constant SALU_NOOP : std_logic_vector(2 downto 0) := "000"; constant SALU_ADD : std_logic_vector(2 downto 0) := "001"; constant SALU_SUB : std_logic_vector(2 downto 0) := "010"; constant SALU_SAR : std_logic_vector(2 downto 0) := "011"; constant SALU_SLR : std_logic_vector(2 downto 0) := "100"; constant SALU_AND : std_logic_vector(2 downto 0) := "101"; constant SALU_OR : std_logic_vector(2 downto 0) := "110"; constant SALU_XOR : std_logic_vector(2 downto 0) := "111"; constant ALUIN_0 : std_logic_vector(2 downto 0) := "110"; constant ALUIN_1 : std_logic_vector(2 downto 0) := "111"; type t_vliw is record -- fetch_decode arg_type : t_2array(5 downto 0); arg_memchunk : t_2array(5 downto 0); -- indirect_fetch arg_val : std_logic_vector(5 downto 0); arg_assign : t_3array(5 downto 0); mem_fetch : std_logic_vector(5 downto 0); mem_memchunk : t_2array(5 downto 0); -- stage 1 s1_in1a : std_logic_vector(2 downto 0); s1_in1b : std_logic_vector(2 downto 0); s1_op1 : std_logic_vector(2 downto 0); s1_point1 : std_logic_vector(2 downto 0); s1_out1 : std_logic_vector(2 downto 0); s1_in2a : std_logic_vector(2 downto 0); s1_in2b : std_logic_vector(2 downto 0); s1_op2 : std_logic_vector(2 downto 0); s1_point2 : std_logic_vector(2 downto 0); s1_out2 : std_logic_vector(2 downto 0); -- stage 2 s2_in1a : std_logic_vector(2 downto 0); s2_in1b : std_logic_vector(2 downto 0); s2_op1 : std_logic_vector(2 downto 0); s2_out1 : std_logic_vector(2 downto 0); s2_in2a : std_logic_vector(2 downto 0); s2_in2b : std_logic_vector(2 downto 0); s2_op2 : std_logic_vector(2 downto 0); s2_out2 : std_logic_vector(2 downto 0); -- stage 3 s3_in1a : std_logic_vector(2 downto 0); s3_in1b : std_logic_vector(2 downto 0); s3_op1 : std_logic_vector(2 downto 0); s3_out1 : std_logic_vector(2 downto 0); s3_in2a : std_logic_vector(2 downto 0); s3_in2b : std_logic_vector(2 downto 0); s3_op2 : std_logic_vector(2 downto 0); s3_out2 : std_logic_vector(2 downto 0); -- writeback wb : std_logic_vector(5 downto 0); wb_memchunk : t_2array(5 downto 0); wb_bitrev : t_3array(5 downto 0); wb_assign : t_4array(5 downto 0); wb_val : t_3array(5 downto 0); -- ctrl noop : std_logic; end record; constant empty_vliw : t_vliw := ( arg_type => (others => (others => '0')), arg_memchunk => (others => (others => '0')), arg_assign => (others => (others => '0')), arg_val => (others => '0'), mem_fetch => (others => '0'), mem_memchunk => (others => (others => '0')), s1_in1a => (others => '0'), s1_in1b => (others => '0'), s1_op1 => (others => '0'), s1_point1 => (others => '0'), s1_out1 => (others => '0'), s1_in2a => (others => '0'), s1_in2b => (others => '0'), s1_op2 => (others => '0'), s1_point2 => (others => '0'), s1_out2 => (others => '0'), s2_in1a => (others => '0'), s2_in1b => (others => '0'), s2_op1 => (others => '0'), s2_out1 => (others => '0'), s2_in2a => (others => '0'), s2_in2b => (others => '0'), s2_op2 => (others => '0'), s2_out2 => (others => '0'), s3_in1a => (others => '0'), s3_in1b => (others => '0'), s3_op1 => (others => '0'), s3_out1 => (others => '0'), s3_in2a => (others => '0'), s3_in2b => (others => '0'), s3_op2 => (others => '0'), s3_out2 => (others => '0'), wb => (others => '0'), wb_assign => (others => (others => '0')), wb_bitrev => (others => (others => '0')), wb_memchunk => (others => (others => '0')), wb_val => (others => (others => '0')), noop => '1' ); constant VLIW_HIGH : natural := 221; function index2val(signal val: in t_data_array(5 downto 0); signal index: in std_logic_vector(2 downto 0)) return t_data; function slv2vliw(slv: in std_logic_vector(VLIW_HIGH downto 0)) return t_vliw; function vliw2slv(vliw: in t_vliw) return std_logic_vector; function bitrev(a: in t_data; b: in std_logic_vector(2 downto 0)) return t_data; end package; package body procedures is function index2val(signal val: in t_data_array(5 downto 0); signal index: in std_logic_vector(2 downto 0)) return t_data is variable res : t_data; begin case to_integer(unsigned(index)) is when 0 to 5 => res := val(to_integer(unsigned(index))); when 6 => res := (0 => '1', others => '0'); when others => res := (others => '0'); end case; return res; end function; function slv2vliw(slv: in std_logic_vector(VLIW_HIGH downto 0)) return t_vliw is variable ret : t_vliw; begin ret.arg_type(0) := slv(1 downto 0); ret.arg_type(1) := slv(3 downto 2); ret.arg_type(2) := slv(5 downto 4); ret.arg_type(3) := slv(7 downto 6); ret.arg_type(4) := slv(9 downto 8); ret.arg_type(5) := slv(11 downto 10); ret.arg_memchunk(0) := slv(13 downto 12); ret.arg_memchunk(1) := slv(15 downto 14); ret.arg_memchunk(2) := slv(17 downto 16); ret.arg_memchunk(3) := slv(19 downto 18); ret.arg_memchunk(4) := slv(21 downto 20); ret.arg_memchunk(5) := slv(23 downto 22); ret.arg_val := slv(29 downto 24); ret.arg_assign(0) := slv(32 downto 30); ret.arg_assign(1) := slv(35 downto 33); ret.arg_assign(2) := slv(38 downto 36); ret.arg_assign(3) := slv(41 downto 39); ret.arg_assign(4) := slv(44 downto 42); ret.arg_assign(5) := slv(47 downto 45); ret.mem_fetch := slv(53 downto 48); ret.mem_memchunk(0) := slv(55 downto 54); ret.mem_memchunk(1) := slv(57 downto 56); ret.mem_memchunk(2) := slv(59 downto 58); ret.mem_memchunk(3) := slv(61 downto 60); ret.mem_memchunk(4) := slv(63 downto 62); ret.mem_memchunk(5) := slv(65 downto 64); ret.s1_in1a := slv(68 downto 66); ret.s1_in1b := slv(71 downto 69); ret.s1_op1 := slv(74 downto 72); ret.s1_point1 := slv(77 downto 75); ret.s1_out1 := slv(80 downto 78); ret.s1_in2a := slv(83 downto 81); ret.s1_in2b := slv(86 downto 84); ret.s1_op2 := slv(89 downto 87); ret.s1_point2 := slv(92 downto 90); ret.s1_out2 := slv(95 downto 93); ret.s2_in1a := slv(98 downto 96); ret.s2_in1b := slv(101 downto 99); ret.s2_op1 := slv(104 downto 102); ret.s2_out1 := slv(107 downto 105); ret.s2_in2a := slv(110 downto 108); ret.s2_in2b := slv(113 downto 111); ret.s2_op2 := slv(116 downto 114); ret.s2_out2 := slv(119 downto 117); ret.s3_in1a := slv(122 downto 120); ret.s3_in1b := slv(125 downto 123); ret.s3_op1 := slv(128 downto 126); ret.s3_out1 := slv(131 downto 129); ret.s3_in2a := slv(134 downto 132); ret.s3_in2b := slv(137 downto 135); ret.s3_op2 := slv(140 downto 138); ret.s3_out2 := slv(143 downto 141); ret.wb := slv(149 downto 144); ret.wb_memchunk(0) := slv(151 downto 150); ret.wb_memchunk(1) := slv(153 downto 152); ret.wb_memchunk(2) := slv(155 downto 154); ret.wb_memchunk(3) := slv(157 downto 156); ret.wb_memchunk(4) := slv(159 downto 158); ret.wb_memchunk(5) := slv(161 downto 160); ret.wb_bitrev(0) := slv(164 downto 162); ret.wb_bitrev(1) := slv(167 downto 165); ret.wb_bitrev(2) := slv(170 downto 168); ret.wb_bitrev(3) := slv(173 downto 171); ret.wb_bitrev(4) := slv(176 downto 174); ret.wb_bitrev(5) := slv(179 downto 177); ret.wb_assign(0) := slv(183 downto 180); ret.wb_assign(1) := slv(187 downto 184); ret.wb_assign(2) := slv(191 downto 188); ret.wb_assign(3) := slv(195 downto 192); ret.wb_assign(4) := slv(199 downto 196); ret.wb_assign(5) := slv(203 downto 200); ret.wb_val(0) := slv(206 downto 204); ret.wb_val(1) := slv(209 downto 207); ret.wb_val(2) := slv(212 downto 210); ret.wb_val(3) := slv(215 downto 213); ret.wb_val(4) := slv(218 downto 216); ret.wb_val(5) := slv(221 downto 219); ret.noop := '0'; return ret; end function; function vliw2slv(vliw: in t_vliw) return std_logic_vector is variable ret : std_logic_vector(VLIW_HIGH downto 0); begin ret(1 downto 0) := vliw.arg_type(0); ret(3 downto 2) := vliw.arg_type(1); ret(5 downto 4) := vliw.arg_type(2); ret(7 downto 6) := vliw.arg_type(3); ret(9 downto 8) := vliw.arg_type(4); ret(11 downto 10) := vliw.arg_type(5); ret(13 downto 12) := vliw.arg_memchunk(0); ret(15 downto 14) := vliw.arg_memchunk(1); ret(17 downto 16) := vliw.arg_memchunk(2); ret(19 downto 18) := vliw.arg_memchunk(3); ret(21 downto 20) := vliw.arg_memchunk(4); ret(23 downto 22) := vliw.arg_memchunk(5); ret(29 downto 24) := vliw.arg_val; ret(32 downto 30) := vliw.arg_assign(0); ret(35 downto 33) := vliw.arg_assign(1); ret(38 downto 36) := vliw.arg_assign(2); ret(41 downto 39) := vliw.arg_assign(3); ret(44 downto 42) := vliw.arg_assign(4); ret(47 downto 45) := vliw.arg_assign(5); ret(53 downto 48) := vliw.mem_fetch; ret(55 downto 54) := vliw.mem_memchunk(0); ret(57 downto 56) := vliw.mem_memchunk(1); ret(59 downto 58) := vliw.mem_memchunk(2); ret(61 downto 60) := vliw.mem_memchunk(3); ret(63 downto 62) := vliw.mem_memchunk(4); ret(65 downto 64) := vliw.mem_memchunk(5); ret(68 downto 66) := vliw.s1_in1a; ret(71 downto 69) := vliw.s1_in1b; ret(74 downto 72) := vliw.s1_op1; ret(77 downto 75) := vliw.s1_point1; ret(80 downto 78) := vliw.s1_out1; ret(83 downto 81) := vliw.s1_in2a; ret(86 downto 84) := vliw.s1_in2b; ret(89 downto 87) := vliw.s1_op2; ret(92 downto 90) := vliw.s1_point2; ret(95 downto 93) := vliw.s1_out2; ret(98 downto 96) := vliw.s2_in1a; ret(101 downto 99) := vliw.s2_in1b; ret(104 downto 102) := vliw.s2_op1; ret(107 downto 105) := vliw.s2_out1; ret(110 downto 108) := vliw.s2_in2a; ret(113 downto 111) := vliw.s2_in2b; ret(116 downto 114) := vliw.s2_op2; ret(119 downto 117) := vliw.s2_out2; ret(122 downto 120) := vliw.s3_in1a; ret(125 downto 123) := vliw.s3_in1b; ret(128 downto 126) := vliw.s3_op1; ret(131 downto 129) := vliw.s3_out1; ret(134 downto 132) := vliw.s3_in2a; ret(137 downto 135) := vliw.s3_in2b; ret(140 downto 138) := vliw.s3_op2; ret(143 downto 141) := vliw.s3_out2; ret(149 downto 144) := vliw.wb; ret(151 downto 150) := vliw.wb_memchunk(0); ret(153 downto 152) := vliw.wb_memchunk(1); ret(155 downto 154) := vliw.wb_memchunk(2); ret(157 downto 156) := vliw.wb_memchunk(3); ret(159 downto 158) := vliw.wb_memchunk(4); ret(161 downto 160) := vliw.wb_memchunk(5); ret(164 downto 162) := vliw.wb_bitrev(0); ret(167 downto 165) := vliw.wb_bitrev(1); ret(170 downto 168) := vliw.wb_bitrev(2); ret(173 downto 171) := vliw.wb_bitrev(3); ret(176 downto 174) := vliw.wb_bitrev(4); ret(179 downto 177) := vliw.wb_bitrev(5); ret(183 downto 180) := vliw.wb_assign(0); ret(187 downto 184) := vliw.wb_assign(1); ret(191 downto 188) := vliw.wb_assign(2); ret(195 downto 192) := vliw.wb_assign(3); ret(199 downto 196) := vliw.wb_assign(4); ret(203 downto 200) := vliw.wb_assign(5); ret(206 downto 204) := vliw.wb_val(0); ret(209 downto 207) := vliw.wb_val(1); ret(212 downto 210) := vliw.wb_val(2); ret(215 downto 213) := vliw.wb_val(3); ret(218 downto 216) := vliw.wb_val(4); ret(221 downto 219) := vliw.wb_val(5); return ret; end function; function bitrev(a: in t_data; b: in std_logic_vector(2 downto 0)) return t_data is variable ret : t_data; begin case b is when "000" => ret := a; when "001" => ret := (0 => a(1), 1 => a(0), others => '0'); when "010" => ret := (0 => a(2), 1 => a(1), 2 => a(0), others => '0'); when "011" => ret := (0 => a(3), 1 => a(2), 2 => a(1), 3 => a(0), others => '0'); when "100" => ret := (0 => a(4), 1 => a(3), 2 => a(2), 3 => a(1), 4 => a(0), others => '0'); when "101" => ret := (0 => a(5), 1 => a(4), 2 => a(3), 3 => a(2), 4 => a(1), 5 => a(0), others => '0'); when "110" => ret := (0 => a(6), 1 => a(5), 2 => a(4), 3 => a(3), 4 => a(2), 5 => a(1), 6 => a(0), others => '0'); when others => ret := (0 => a(7), 1 => a(6), 2 => a(5), 3 => a(4), 4 => a(3), 5 => a(2), 6 => a(1), 7 => a(0)); end case; return ret; end function; end procedures;
bsd-2-clause
17fb8a96b2c3d72df6d5082f56acb53f
0.509084
2.992776
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_3_mult18_lut.vhd
2
47,633
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IgCoAVW5vq4zl2EeyKXpo+XstiguCml1Bkz+/sbQhz/m/HyqjOHuKimyq/IE+AgeE5AwJVY+lpKU itifw6NnlQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BEXw8AmvOJvza3rj2kDsm6v49o38dWU/stPHQgGBYY0H23gf8B4eqdIntU0SKjpVDFRwHwXOpbNB JE3FmUutI4x8WtsCReu62AgpNw5r3Egvzk7gctkTUsIG27DAL0/+0Y1W+lNLj8jQD1Y9r/C0igaN 4s25cua93YG/NJlYmEc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ftmbUpg3V/g8PwJR2B7oAbt4Z2qtJGGVo7nubE2ijwhEX6qqM4w/RN0RbaLD2oRF79JcDx3oQjQ9 bmh3//wsOZfG8pGqdqGDCVgFdY3xyCLK5Qt99FURKQxLvG519zsfrC/oLdbgOU7DETUmjeOHni+s NMHaqltE+Ms4fNxcoWB5/4+ahfEdN9XtKCk0BQUIbTpuQr5RyhcORjUh9FmnOKWkApKb+B/lbMGC m8JuzDFge/JzgSTqadrin+TDDKu6bmJTDLLYZDnejrqSdBSsxl8uC+dURWjUARB/Q1G5P//S1SF0 POyJTphy24sJHPdYQ/KrjwZbEcj6SLg0WPbR7w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block as9l/tUyJ6SSt6g/dVAzSVPdf06R7slwxY8UJy6LULInHfgLPs/+JrIA2gsuOSg+ytSxDVnu75ZG sVg122gxs+k/Gv/+Oh6/bX/QNoUqHcijegSBoXT8RtgsC81gbKwC+HKyZGyMVD+JcWdMc8wFznpu RWZgvKypG8pPZS8uIhU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XbGqbYYNjAshJbI6IZ7AYccIkFMMwfYSVZ+AyueaIJVMzsv1OeV2pWoDgfTHyzz6kaIGgFBXpjPP j4E2Qvazzmiq94j8is8NNN41+C2uBlpG/1jmV19wSqhl+Hl17gyabaEpJHLfgK7jTiMS9XYuVOfh Xe4MSasyyz/fi6u1QH360E8JNhmumyZ8MKgxQkFUm6ZrIvEUaiXJml0FlcLqilr/mqb8o6VjMhgr SPv9ToOcmjJ41wb7E7DQ36KS2jECRbaTUgXmHB7tX7SBqpXz+tmBwytmzQgINxmKzoSzvpTYI9AA PZIXjyp8fBsIraXY01oxTvKmR+iR2eluG8DXng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33520) `protect data_block M/n1ogrA1EdvFTvfxJC6GiXbcSsVnJ5isxH8B3vs4zilQv7teP/CNngiOM+gh2CGe91ejV8671sU vNAagF2rm3A3hdM7Ze40e1aixe71LOeBIpw+SRG//nYUfCmbL1q71CsbaSAa8Z3BOuDaN8oauEAK iWliLEEANYqjPkEnYjY81Ao16/1L4uuKnHzXptzhiBqDO6H3CwFa+ijkQe5GWTwZ05BvWSibHjnR bmKWbQUwrdjapPoMCA1jVzyvYPOxA780uFMZVFSYAfeB7hpDqsWyP8BB2aFw2B98TKCsrDLu7DRH yk7C+7DnbdPPFMZFR7cqCt4rN41pX3RsEA7Lh2YK9wszdRstDCSpqKnaNcP6V9v0bF7hn8USaRYT +bXAWMtSxdKxJXNPOJ5ZXGdaaLRxVYxfT6v71THAiebjukG+M2zv57l0f0DwiZcylUIGbDYiivQ9 QE71zo5Hf3MBm0wwSnQM+Y3f6A6xGNJapliykbpXfmZPEYDMEJmbFrEtBfJ4kkwR33lD3ZuxlyyM miQAFgNkr93WC5oJudhc1mFL/hvdaVeas3QlfoZAFRw3c+jgOvVsWa+8jfeaz78qITF8GmXaKqdw 9SGsiHOX2XIyRNr5QBF7R6cqAWLVsvfomGZcqcaqJDhwjJRMraQPP/B/z+MoSAsHz+N4kVN4diNh 49XcxcToIsJanGR30o4A7P70bKYBEiz5zyzp8YH1AEo9LcmD2qkEFtr10quez4NupHSW12Yi+pK0 eTqOaino4Wyg6b18zPURMJgZs2ZbxzWDybmrJ7GTaI3MMmosTZXtk9pjfmmv006rckIiIysHR1pu 9b49Oiqsj14AqqkRfhFy8+inlEddhVAYzSVwYb8vop9tDznF+m//JZjGGSEpFdnOLx5Ng3j+jWcD ZUCKw1M+XFZHVAvQDYoqceYXPzZXcGpkiVcqVub71ufifDRZGZNwHGGpBvWQk/p14MCcm1SjhHwQ VRujHQHsDxbD2WjjhIwfHYH7mTl6+f9Ghc6J4r++Oey7LtwFk78gKhlaRnC/U4M4olhYGIgf3lDl BX5btqEOuYRIG3mZeuGpvAb1+Hmsrbg5RGACWuRpIwjxvnOBOEKO+LgA9t6qKk6HX1m3MzC2pD2Y 6IrqXi4qivueB6Vd9qocapB91N0sIIWUJa00OZhPBS5B/HSdPn99OLCOdsd++5qv6ZkwcGTBzf+7 bFKIlNAtMEYMKShRsBbmGx7da2k47r93quSxspSH3DNV8gxypqgZ3QSddRAXghYE/+o9TCf5X6ko 5J8gMjmf+G4HrGRHhxQoqeVjcFUbUy8rmsKf4p0u9xlIGhcMI8Um1F0jmJvWSGJItDY74EtAW+gM /YgkQ1R8Z5En0Ndmhx3NyXFd0b/S1h/laY7cdnlsnGeIlmFqKbP7KQuxzij3ii+l0DdCFlFZ+gRx ogLKwEUV3P0IckeHd/hpYLKV28Mpem62sc+NF/MWVVl0YnX3yJhCnCmWa/Jci+elfHUcXWKtF8kx hKLL8md0Rj18O9ddiiJJKyhnyjklM+rw2gwczSEUOkzk1R+Am4/r+J3tNDSJonDGm76U5s20P2n9 Bw2h9tiHlEiP5AqCKk3WKXqWsyYg3R1H+gwCaJTcN/+Pbhra/HOuepmE1YIG/+cSbKpQYvSg01Cr jKn3ehVZCZDC8DZgc9Zac7UZC2AHfMv+HMetHDV9z4y88jElYfSmnRNOvqD3+kZe92ZtRpSp3fEy EubmB9dPlOlbsl/glS2YlkdB8eMc/Fs0QBsneaXy46Q084NiU6N/9dRZ/Cnu5pPgHGb0iOmrJgFu KBMTPGQbwdHDEni71zMhtXH7oV46g2L9VunfohwUWF1vdg4KizFA/XlEmuy7ye3Cm4+NEUhvy7ls weekJn+ZqAtw+6InM1Y2jC1PGq1l3gNzu1hdh7nWYhmtWXcVnzQsKyMM27VxM5iMagc3cvmRmjiU mm5m7hA4ldAcCBBJ3YhQV+EsLYpdD1cBiGaVZNJzYcdRmPAab9f7Ht7Py1iRx4JgQItnqn6ZOjUf WEogYO43Mdvyg3AFT99Wjz3URlZqH9egOCeznZukVVno/6juI9MSZ7zSH7uV+Ux8oE0DgomRYOuD 2wOWQDHcxOuT050onEDpEtmloWZXwbRhtbv7M27E/8Mn3a9ydPsv5WpovprA/APoeAMTkWHFnX4J 5q99Fyc3DEdvnhjuX3NEJgBcxbqliFQUA3jIwTfx2Vvtp4scdlfma3jGN6iB40MloMC5D6fmsoL1 GKoT79BLW6dqjVQb+QBvswbIbzOQ7kUgxDa89xEgK7uOLdIvvPZZSW7WaVurikrNgvPWRi3Ewc/N Mx+mZVeD4YInuf5GEUlyjgtT9bXIPrF0Lb+sYtIUnTv7ACULP4oDRf+CTIgDf3ljFh3VFNXnjc7X fULayQzGqrXour2od4tPf0TSnmyhecrxvJ0tThFPmkQuBvAOCc9bBnze5nTZ5IyV47te4A0x/xeN fAZ85tyVRV00ZZc17k5MPuupi85hO+PcUEiqBtr4smNDwRCv2epBGSqnr+/8K8k12NjgHliQWkx9 4m6UDUtp5sSjTa8toXJGws3xt/5lLUjjIRzuw+/X5UmZd2cFsA0hlopve9TgBH9F+Lm9TfwBjZj+ MiBOj0S6tkKIdyutb5LERn8XyzwZvedP7Hth5eWJWI/RJDNrQLQGss4mcf2owuHihGWkOhMUJV3f fMzvELV8PDsMhgQhAcMnWJjvWGlU7XfzGKkDhfnOL8fErgzKa8ODed5J1YlJNLyjG26Sas36QFWm MbqNPE/VZOYNhXsxazF4G3pH9FU8bTICL+uihm1XI9hyehhrxXMExyPLe/74t52IB+21Vyzqa244 RNLZlc+uvqdzI5kXJJFCXBzVbIXIVTHcn/vqz1bpitOVe0LkH+0ts7Slm2IupqvJz9WdIUnx9mMi dst4jMofCB3S1BVUA3qkpqif4rUxjgOrsCCPi5u6MbzmXj6gwYJjWY9ePkngRdPkEsG/f+TAjFmd +ZA9zvynr2vcPIAnxPXH0xEZ1MlPcp7qWz4itziJGDDFOF+VjiXFviTR+naO6Yeu91SZmuPE34bx wuUpQikY8PSQrxpv087sfnfoAAhQkweATtDL3NnwMBE+jeze1BEig6a//RxIE2mR05ldCtL9GAo5 d6fdTUVCfULJnX6kl9bmqg2wDZa6Gv7yzdp4EP/SXabZHonNy/0CwKDi6EGsE9it2F4j1mdlU5/L uqZmfLFtX/EWJwlbT/1jxyfwM3lZXcZYy4ZsL2GYFmE/I7trcwIevSZQwuYBwxqeKqd+7SgX5RPZ 3Kce1VFGHHs8W4qK8NlsRMyGHIfUjnbszhUR/RItJo46cm2bhOITF5KhjZj9xIy05z58pQPwM1U2 RZmMOkYY073Jl6Ul37Esq+ws7Ru8w4Hb/7bC1F8leXUpxXmoNV1yTymU4Dd6ywF5VDUfiW6g8zui eEjsfVoZ+O/OxhVDCDcsWVBCQfsVNfppr6qsUwvI+IkiaV1VHYUhcFP4hawPzo5XwA66jo9yIuL5 YdxtG2BgaI8pLSbHEVmwVg63vpcLe3QpUvc1fCpgfygSqJHrVLBzwO18Dz55GvzX2KjFkiUP4SOx 9zk9mTICMpVZpTy3GA1mKAnQ8hVI7zSr9E+PuGprYe6PYOrjyPbjKxCU4L790qqgYuYP+cE+Kcu/ dZvobB789+J8sZeLKdj0Jx922n7YcTPlTUxgfFvRJLXFKEebXNJlHw8LmMuXMPGD8sjBkNrLfVxV oIg6xzRV9OrbEi7IP93AED/NnNY/7nR9I1ePpDsnicbZgtCyebSLl3vdiwKdb6RV4xZMEUfpUJ/+ sOkkPqdztLF+EOf3I4C5r0Wc3lgRTqdYT6UmpWCGoHsj7DQhu+2Zu93pxy3SMAU+nHdxpBAZV3ex J12VyPtkG8tZNvrr+LHTVcaAHN/9vOkZCZ73np01/O+zxFtazLbA+eSM7IT8FyE8joycnT0PKjsv bMH0CIW90j/txh6VfIdo6vAcnFm3HlcjE+XoQ8Gdls+PJYAuePgRcez2gjjrXcHi9yHj3zJQaRK1 fQ527n6OUihysaV5USB9ots/0eCCGYJWi0SgJnDApRuvm7IWBOISK8Fvro63RU0i1WHX81LqAvyX +anwVMCQC95SR1T+tUvfkl8SEfGpwrMorGoInn0PhXQyLLGK7t4VonCITpp8LV7FP+TgKSy4//IE dUgSeh+t44aQAqsjsFcRdKgF0PAq6ybuFvJ9iHDpmxXu1vxk4g0H0AxiJlW47NpNrRy2QcPhWSN2 8FbxoEaj8JpT82APXg1eiOdtryQrMhR4sjq8rGM5t2c7DPD8QC+iDDTAAiY5df9Zzlf9ABgjQXLj ytsdNl5CrVuGCNXpdium0CIE1g3b8zD3nSf+mUAQd7hwWqZqLAK2EJr7LKPkFJ3wUZpi/HNcRgpV KJbRSw+IVrW5+PxJ7TY0kgRuyLSOwZ5dyWQvL6WO7HszK3p4rIdDRah8zzCG4Pg1QsN6hhztDniC tolJ2ryXBUS0WWz9/B4MB+GFOQ/G/a3K+9YuerY+f+pW+BGP6Ua00O2CzjDZ8VKFuOIJ0PiUkyQW c6FlYOClY6XRKVppu9ii4hArT2KWzeBpVzC+K711TqO24qj1lmWTJp5ycK3sHu272BcPDhcmIW8e krJ/1NrrfktvKzfzjuxeV1cp8zzlMazpJWde26TCkY1ff+ybZithQcPNDXUJqDtBzLZrzHAUCgym JpfnmuJHyb4Cu3mIWLuQlAVT2DHpmJV7uh1ExvuDIx2P2h12EHSF2yQmYH1oPKRIwZF+f9PA9FMI kuWAjSEJOaj3n52u4WHIRrJlx9nm09za+Fn1PRewgCBI0o8cRJfKCBSPpPBlu+vdH/iz2nBe9UVP 6pPnF82PXyYj5Uo02dkM76pspKXsflC6AHUo5rxUwRnKyx/Y+WgVpz/CR8mKxjVXHWILiM/Vdo5X o7SXKSfWK+aneaLSRcX/d+y6+XUw0IkmG5N7Cewdx2ViTPvoUJoIqRzyySVpGltbZmhixIpndXj2 tz5i78iGROwJWN4I8NCjXO4mflAeeoupRKr4AniZ04nHUhw9lXYfExVsjTd5PFUsacvqQJoPMmML bWxSjG0KDxYLgfDXbVdwj0V/dul6R7zwvQz5vp7S2thSqNw2I5VMPPj95teDh4xBMEVi7+aEAX4S bf/Bajfj17DDtju1wj950e6zYx7qS3IOIgkTPE4h38lQjZ/aD5mRBkwlWHa4d7WBW8RNPXlljSXQ Ra1FgtX/EDcFF5PE1pHcA1iR+vhzjPMSMMAd9Q1GKLw2rtT303aFeDVl2XFnd111RD/Fl9lhjmQx Mg7uiKC79G6qJTl94x/pddjjX5JJk0ka+MXQeUlLZZDq2zDYPIOzCyqg7lguLPJ++7IQkRnFdpjy yoxRuSeIMtJokoy+N1KBDueKVu8Ok9XdK8ojdmkO3OxDQr4K2ti2F0rnSj5IUtxzmEjBy2pCqJ1s 8+ZFcKtQ0Ix7tILZm1IFBx1xXhkztNDlofCTuCJa52RZknxcECOMPSW4/57niBwkZyfDOPX7JaM/ USfGb2jL687lu4H2wSRuqFPp0xmjxyViacZFMr88DgRnRYOsCEhpgOPd69UDmTardPoB4vlHfkiZ C51XDawPptECv/+HgXG0HqePLDJQqaY8KuPq1xwpHS10q6CurypSgR5ihQtT84m3030pNaA+L3dH RrKcKRBTorIDwC10zlyvZWWgY+2qziRMEUY8wGtkRQDvF0SWL5fbchc6Vpg3UEGqrHzcN2Jv0xAl ZPWv+Fz40fsQx09Zy5QRcjn2oteC8xLavd1Cswo3aJjwU9fk5zgmcA7jmGFIbp931NLcKmhXFFPO +HYAh/K+THrXvSZ8C0CnSEPmJSbNkvKZerQFhJZMFpaUJSheTSJh52RuPCLnfkzyQG1sawLCCmjS TkMjo69EIMdqWZbkWFFNmjfUbD7INhxLIoBe1nYeEhkdPsKY1HVZRKqB5HQGKzdWGJuRsG1eP0d6 zSNA7xAlVebcyhImH0nLYN7RACNkhIL5UgBTqjN6oRRjBpXJEIM6qAJvPXZuGlmdfre1YazV9SLW 4Xp0lE9yhnxAka6VK5M77zSdPgoXS472zELTPIfbFEFmwAx0rwsxxqCjoGYNaRblST6ZhGq0PoYz ZXC1qzVUNdlwypk2W/MnVkRCOdfLu82C9nspx3PVUZLkNSi+tr/2/cpb6vMnyrpCccsDdmKlKhYM d6CRbgTvVZu2wUE+BqEbEXGQznghMq5IwGT4xC1Fb806eY5MSS3/udhmTolyRr5QJl/NIsICHfQZ szF1ToytoMZx9fDdNKEOeSZsw8RNlJvPF4X1oNBtLnddJ3r3/KHMiLJvhIXMpeMP2bQLE9VkhVlD GPGpooM5qJU05YbCZXIC03MyYLWpRuBSvwUfymW/0Z4k1zfKiH9tT1xV7fKLg2Kw73b0ifB/bhh+ g8SrUq8z2ksSSwnhPLSBN77RpnqwdfK2pF/3JVqikJ2MQc8WxKYc3Dl9kxaygIK+bBJvkbxzK3FX lFslSs0E7idfKoMAgWOqlWjgEUyLrcH7MZDFI2Cb0waNpIsRUrxFGpLriUIB3IOw/1O+O0j+dJyZ wGVCeoo4tefLOnmiUDI7BljQuFN6PdjNlipPDk7dvUpbKRxxYTNKBJWRZYZhwtRGeXA6QhOMhyZu WiX4E7yEYjJ3fEJpDc10itv9VV7h2wNi7823o7gw0HlnHzyB96a83NpZS82UQzQD8yoGpidA1ANf 60vrjQG/CyA2KOR+V244V5CpkBJ71XhjvlurF+HIx1yoPv9FRnyeyUzeiwkFmuMmDsm3QDuK4y5P lRMyCkY3NFca8CZXg9P+GRUGu7rruZhnXEvoEMFDJuud4Xi1aI2uregJ7yfxu8flC3M66ctWeAfT B22LRVOf69ycJ/BBY6Do2fcNLf2Q68FcuiaVCQNrVn5V1qxC5Cvu/15TFTB0lMXkaRH9AfV00hDR u9qxeJCm/MVR/wWpX3TzHNpGhVDoVGpKce+scd7unzYhGojfV6h6q4g6ZRchXA8cisBDmpyAS2Rn 6rcBDHi4jVClAvzSeQpwj7uM4Tsav47fKgVUTI9OyMclDqd+AvgDJRk4JJMVTq8PDlGLHtPrAi1r Shhbh2o41DLXmXeCU2Y+gbe6R4out083gnRd29OkEu6+i153PsxQTYBTj7zCoy5iY2rWDBkjMr/4 jaFgkjyUZrV7kJqnkXTH5U3w4f+HoP54bLHdKVUuVLkwpl1X71fW0aCFL0hps589y740/hBOXrlE 6Q4LxZ0aZ4wMKwfoho11HVn4xrYqcRBmA4SNk4Qpio34m0ypu2irPSRCC1ZfXWQZ2ex9sRZC8Xrt 2Eijj2IOeeOccQFAwvvA19gwM7+4N373+yqkv5H+2DIP6s8WQNKQGdoUm0SJtszwCZ+i8bZZWR1c fIfmHjKBWiCXIqVZZtugLbljQcZO5syRQuFZwZFYKtIMi4u2T53V7pnX9AoI+5/maFwn6eAJSsbO Z8ZkEqplPuJsF2L83EP0XgeI8Q2mTnrBWeLAFoABcbmS2kQjs1nVRCM2D0sw4lItkwJhV67z74bS hS68WowwwSxA1XQxpaVtBMWdQlf/U7O/ncQPgEk3fQEgK//L5zUijRUzC7ToRPQ4OHXM4ciHlt2W Lm5HMKuefPHAtLYq002Z9FAgFHhWWhgO3Gn4Dzzmlgncua0iML1POfgR7vrVLF7pp8MlPWll6TIO fFbEDUMRs3sz9BbGTUC0dp86WRzzpeekzulcgjnpSxhiltfa3xqb2DdLvEntJ2jT22zL0fjpICfR sZfFQYw3YdwwJV6qi0RUm76mEQrt9SY6Lx9pULSClj0NxfyAslgZkA/422jFXXI3AOTc1RPr1f8y 0xZEvHQF4uyFRjfcRjWaYWtqLcOWrrLybMeEvW00eYynSRRVcBgO8E2hT2DsTYwkHZBLNJerneOf Pmrmbs0xap9JC/A3MMkkHqBtIcKHMaTwczNPWD4sPgwRBz5ljQbH2yZW2Ze5/zcv6Xk/cGx1muDi yK6OpfUv0O2Vld7m21DC6ScJjTNzY2qiTpHVkmZhzbiXo1LBTyF21gH8ifx1UZAg8yuCtz3SJshg mgE40Z8DR7+MX5Lsaq1feXi5Ug0lDHodohqAQTjMd+ARPFkK7jMFUpBCda1mya3tdREf3EHiPqbp xZixZDSnmW5crE9S7kjw0lRB3ZhUMsnNRUA12DdedQlwxwR9TQGUcPQpT/o8EdL0xkZKKrb76whB wPON151gdtlbqk1WpjBlBhvy4x1tw/t9Czf8w15CvlxzdwspFsypna7gBchamaARZkdpuR+r6I22 TGS7JNm798Y/ntT/2Fl8cgnPmRB5q73wmekomtzCEzPWzdI/IUmvpicUZrGIjPWz9xjKKkw35SML X2aC4yNAqxRskphYbaeC1E9eLCGcDwAbL/T7TCnJ9SVCqLjGmgef2JXiT86yQTIPd910mHQ0tV3J WHPoVIkO6GPg4yRiWg6CYE+jtl10V71kxNvfL2G9j/psM+rQy0gd3PTJ2vcP/DWsARWP2jhQBc9I nZgiihOg9zY3xPEYQ4Ttl9ajwYbgzzO79ZbwwvZu89HHuq7WfuU7Xswge8aTWHx48GEpz/YH6I2B B6A54DBU+C0L9GAH+HwwtC4NI7rlfE9bzNj1xTaU3Oo0uxlVMg70SACClsQK8r7j4e+K/1qLskTm ITNA5Ai1WHtNecb+TOFNKQ8ZBu9jKBG1XIE+X9OB+YSHDVxMwfYEcwmsVhsarLr2d0do72KsM/ZL GtMgn31lFoc/IKVUD+djBO01reaIw8kqNxj6+dtc8J2gnwNB+mnktgyoTZzi+RAT0l4RiQ5R2BnV FyooHfkleYOTxz+psFhXJTCbKs2YXzaIF4LJ3hTeuPZHCyLuB31ITKsjJZdxAzLNUyZwp1YOOp9H kNzHLugkCXZGjazwL/90bdVS7WPDdrFNQJTsYi2k0OF1NsUsGGG50UvoFzp+xH3Q8LswHMPlbcwd /6qPQvP+RSlr9BB+txRpbomGFXYOFlCtnySh/G57y3CLXeJB1rcrZ2G1qAgXNBu/kqB3bcPBBtnZ QJUX0YZDCVi0wnfnkhFZ7AgKGbXeCIK9f7FDuem4t4GjAzgdZQ87Ahp3qBwe24fRqrAV/+X6rPy+ CItP5cxUlrpwqSqUvJqH4LQVet/Rg5DfIVdKagZIcMFoBCWLPA1z4cNWCbGZuw0ZgYZgqc/q/ARu ahzGovJWRj07iYwd4hpqOz9Kl10KEUoT27t/B8andc1mrUeEuhBKJ3iH5htODk40+Eh+pNhEU3fF A097F2PZoRVSGNtGTfALQm1R3xuhLVtCH3HM7oHc7lxCfy9MX57Pri1WagUVCKWqE5Ra8OhFf2N0 oUtU7PTOVxS8GyQiNvXqm74VWglG8JFNJJhH0+Qb4/twsA8FO4zOnqjvvYnVfK4vTh7fzNatKOIq DvQ8aTP54rCPzV+MA4+KQvnpFghTjwzRt3uxFXeTevrlExq5VK6Wr6QPZIq2yjac9W7x3LQeTxdU 5cqsInNUpgQzlh7BgpoEVSr+BjmmQdCjXsbIx/m7CjiHiqrwjobifRtTW1asZh3UARXB+mx+Fp0A 6yxUaVLy031IcC5xaDN91FP9hL6bFOjOdJuVtXr+3G/g5+XOVg7bxeg0H3gI8ENJQ1gd8NsBJPcn DX9Iecg0miouYpYE6DtEb19ZjbYXdNj201DXuitSojGZQMLRBjHMIQIVJgeC3xIJYK+yyxHv71fq S9kssE0w6xDj71SuaBjlLc163LmW2kpTie48zrDtcF54geprLdZrfaeF/RNNEjShe1qff3yeKeYx OBnzI6nDxs9mo6m42m9LfK8WD03In4hbEjFK+Z6a6XG4WECb+iRRXwPQiBhtzCp1zsS5VIFjD0KF I9h5+LsJeOvHAGRE38eK5g4bewwLBB1z/tAOdon0ibNq1TWnS4iz3ZeQ2fVZhk8cZUdcbkLtP/89 y0Trw2v0vmuJPJuyxD2QD9MkW4PwaWHAJwwwC6TE0+diqQ6GIv6YqqCtLWRCNJ5LFmICRp9qF1ft ZIU4ijwzg8v3HI4fuomUayySh38ZFLuSlhmLItuvcJLEVwZ68WAOUB00HpbBzsl2EYY/9HCWpmLJ fPudJUJIwqfi55Ef58UbqwtLx3+ykEsQVP3oNj6QSgyMV0ElVH+/qST1BkON+dkjjxtav5fzbZYw OAAMIFMKTdFGI0EL5T8PBvlYSQICfJmXw+oclOhEDHsV/uN5cOMcAZuEylAoE9CMo12Z+0UvPxnU 89pKoin5gdx2M6zjxyPO3E4W7YxRGxn+vOzrlcG0JzVn7K53QrhxGCqaAinGVFBo0nMsZGmBoiQ/ sXFV3DVZ64ym7eKMAyq7eY2jAgdEdWFDLDRzXmM2yOMVkbeGIJc/fFUO5R0lzi0BafqRL1gcB76l b3S6KzReB999jSfTGX+tuoJgpPCVrz2EGnKahCr8IGCiahJyn+PS/OAFZxHw3pNfscMkwBEsl9+D SB313GJJPbVrH7fRS9SVLD2KpnEU73B0IUlEqpeEME1VAn3I80whfgPIuo4P7d4fUK/DMcjSOWml x1P7GHy6I7ZDTFcsMo27yBLScAEZuQGdcQjnInhFLbm0SbBlDUOPBCeduqZoF/0l/GTnf9AISLPX U1iO71+I+K7+XkU+zL8J6525nepeThb54HDIKBz/wqDn0l2fqcP9JmklyhKwJLizZFUxupjc5Mq+ yPnGlCW4Ywn/XKWSf0ZnAxL00dP2mQHnQQjNf1am1lLWAMjZOzytw7gY/day+vv/fE3GaZkCEtJ3 MmR8o6jFWjqusJCI4LCjo7E+O3Wwio1gLw4ZzYooazrkZQTC96ehy5HrYXmj5440YEtlzVJo7YZK Pjs5kDdRUJ1BrxFWmxEiB55m7KLVuoB0xmJkV2OxQwNMKDkCURr5FaVlzo3qCJyyBsSdWSyR4gDH yTj97bO/EcOcotAqDSsfjcngsTjrly8yH3ukzlAbuFdxqQA3pKlw/MmVK2UJ1NIo6q7zyQd1GeYT EbDig1GnERgPtBy7LbPsOeEmnhxkaeNFGIAcmyjWcwc2kRNAa+w2BPZohRpAzeCEypU53xkYEe3+ h2jHMY9wMyKvkvBUIGBMf5pc4qBkOUNIwZN7R1a30/0keYyvHP/RGICKt4cyUfQaWW39df7VMDup VcN3v5aE4hAMMnJfNU+wdikixtybWYJodYrA0FM4x/Ewzz7yVxctD18AEGvgNeBfGi4s2YlNs5BB AiIiUX5x5yZavf71BLCQAbOLAir0mB+p+e2LD65kJhXwFxyJ1QcK2/ctNMHZEnKZ4c7q9mCCe85Q tjOqpzVTcg7iTx2mpUbV43KYZgduEgECGwzNY6Q+qxISco6HwCfQLzZUn2mwUHy/Ygq0oCNCGAMf KVKbBJL9ag/nM9YaosIs20g+lrHWY/f28rlS4KYCbvsJ6I7xYmi2D6yK0Zo0MddCZak+ySVJuZWA CUu/Nxw9L3fUGhusYsEfOovG+PttG/ISaDRGuryMp09vINuVyhw5qXirV4v0lXUiK6MpKZZwicDM yqEHn7GaWaosCor2WkBWmnoBrwVbY2XlxMXt/W2D04O1nWm+t8y2Qfbukht0gV8vaMEhPGCQRC1a 7q4Kh7tpx9rN0LTLsCl4yv6Asfyu8BqZJCGMm9JZEbqFU7RhiifvmhdzmoHg3nfDAH6putgSMwaF 4/Sz/8TJBL1I/wz4zo+PNLuJF+rwwFOX7/9S/InvA8I/5GGdkXLH18pMf/g8v8ZNrc9RvtzBCWaG QPmOvHzBNcWbOP9RCCNNN3Lr/OAhtsStvWM7LncHMwJVVlxTzwvm7TZnWo2wrBbRu9IwCtm8yQaq gJXJk33Ywzv9Ig2dlEXlRRAlzBynMlIWpppovQHgQnsSeuSx+HcuQMXYSjUy+Q71n2F0QILxngHP /fYur8rnIL6Rm4ySDEZxLtvNcpqUc30iGj7XRqHNUbiC/84FGati9ZN5mOzh15sIcr8v7pu+zrJX HwYxhY80MQQgQib6W1U9d4iqE0iEsFOGmoRyfbVEwwai5ZMQ360psnfBpMmo9u9WAbgSa0QpahOt osnwnUrQLlXd8U9SHM+7LNrbqcqm7chWjGMg6bYBnPzBTUImYFrFQmNVHlIVskPSBbXE7T2wLG/4 YNj53BaMOoODP7E63fwi21zAkkHr/QkL+1L0wWWdzKHr0NRH4XWyf++7uIfbyZvI9bfbks8UnonL B84reOwZP26+sQ4CPwuokiSnWjNwtCgu91Qy/yo/J0lbzzMBErZkf33FqzqH286I060wOHajsi4B c3MANhWtg24wkXAg1tzKWgsN1HWx+ND4AvL7QKqVqx0RhvWQ7hN1Rf9yum+vQ4a6oKvv+gZNWLzV 2QF3qB0IpZhdg+i2kPsxbXG9jp+b239xQ2CZq3/QcIG7gQxMDauwm6g8BkDvJKeGHaBEnmLfeUDR /HnvVkiqRjbg6NACv2+G6YalxyLVB3L2o/sYuT1fvKQUxQVBKY5hBGF2qxxwNNK6DfKwafFZ3W92 tkbzsm8Kqxs1H8IITYgJ/Ix+pJ8TZ0AssG8fGLeLrowRA/ViqKgFjNeQyl4V/+zbBmn2ENPhj+TK 1Jhjx3hs47frkpZXZrtnSuoYD66R3qzvyvnPDLM15sAsk8pQfCIGgTkkepcRpnXOMp0Ah3HMhPdQ hhIbrCKEDDWCoo8ctbu0Nd6/8q7LrbutRaGUQTlzMH2CNAqh1uhXI9saArMnTFs7zq/JBi29xnVW giVoHKN4jOUkp5AqYe8pMCURlkl3PTJwkZFI/LoDDhnGt3FGmXJFjVdU2x/HkZrVG12ORm5C4Jxt eQ1luCwfj9wsvIBt7cqBNpBxTpQIEa+AlCyz/ey/mpUjcmKtzAweEY9dlwWCiXN+1ihf6KnCQ1l4 buwpBBhOwj/ZATwa+rtKjgA3MPvdosDhOrZpVi5o/1MmhrXqF3cuJ/VWPkM5pQT0iSQeDnVb1IUD cHSZ25yTquWz2qc1BF9BPXyNAaHC1aMk63GV6S/Zm5OgqhiTxeeaZwKnZi/Kun+INQjbF/3X0kBI aF6XprY6S+aWS6ySE5Sl6hjcimSAQfWI812mbObvuQ5qmXGEtdLpmhWI5YZTONYhUZ6HfS2JnHIQ rRH2Mv44czK9bYFVFZhyPXsTzFXt/MXGqm/5cgG3HAWG9WpV5ygjfWZjGDPjDqjRuUfud4OiLD3Y YuKEWSmb4Io6HOYSwbZ0fZRtnlNiKW2fbbMkpjyoSnVL0wxPfWU7DpZ9+tjD31ccXNNgBxyC2GbD k+jSWUwATAqQJooFq+VhT8xqafySOQ7fTenMxFeYuxV//ov/OyydntoEgaSpsSgqd8wNan9aEsi6 x1E0Lu5UutPiVjEkF+YxhR47GNKPC4g4hZIehxT3qvx0mSGp7+PW05D9d25L/g8Fk7ol6+Eoci6A Ngvxe4UvOL463L4EPKoWpa997r9PXpmkE1OgVJ5cd8VNi3pZSWrcTgFzNDw6lNKsgf03hTiaobwG g7/fp+Z8p+guptYYPTK0KdZh6iBqkGIQDugzChUtrfxvWt+cRQ68mZWP8OtX+cQDpmYofv///bcz hL+2mXpelgBoLNTTJ/ZD+5+QId0gsy/53vsSl2hwu9QXJ23gfwe2xksWDOV5xRlxia1MbFnG/ZgB N6dGXAz9snNi5FenwFOVOwFYhFVBsVlGoc4HrXKIE8nhDbyi+dJaknfLCBleRPxBEdTnUaxTlHPs qzd+tMaOed167tDTGRjp56u2qD/lT5znyJv3iCncU1ivr3V0dV82gB1BJ3tHwzHxfDsxiM4CzrS1 vO76lS5HbiuE7XydbdJStWD6C8n0cNxHayISF5sRcqF0Kao0JFS66FTwDV2bQWlq49S7JTCG1VkP zH8kUPq36PJQTyp6jW3kHvAvTt4gV/cyAbDf1n98RHNG9ho0uHc9jskCKZXOZW9i3/sW4gpRB4Nz 7xaq51O4DUXNoWDXvEEX2FKTXze4h1r+AsGISVU/+iVnn2RnUX0jLF+7qtsO9tKLAKLaRSC3BWsu Ehbo/sKVjhMWPnb7MY0heS+xDDbVTWss4SsZUSeJSUtapmJNPg8E8/+0u8SJtWaJRCFvgoZGueW9 ynJ6pZSOGsYhLIk1OHcWQDybKoIYlJ1Mj3cENoXfEbQOuqbV36MRJQUm4mZvFEV6Tzf5N4jL9M5W 2Ewo39zVl9joT1Yq1zfkiQnC/kCcF8j4ivFqTp/luD584YgdTZcd1f+D6zsbxeQKMPnIukXVtwBr e+qZyTpWgXRK9SdlRf6vK4RMFQTQyI7YxEesaVYaK1jiOZouK/QQCkU3n0U++FeDhr3CpPJgiu1W evNzExxCga4t9fK5A+yA2+TQPS2qGZjvU0jqCS9FssFd13LnFH7GtwxC/f0qXSVFwtJgzfpze2xo KGttESCZZJHHOX30RuyDwcCB1rJ3vlota3lEbQ1pV8jlNK0v3EBuMG8syBipbjsXXNZ6Lc+aviDz 9D37puZ5VY5c1RVIxO3KhCUBkTziSFmzd2rxg0tDONe7t9F35dDtHzmVd7sJ6v9zcD7a2MjMah5F IId/VNV3acz3okfBCyGvOFaGt0uefhKoa3ds3+fCMkBFUOWp67ZESpe9QOpw7ghc5Iv/skIzMKIx Ph/xTbnRkAprBKnkGH3y1yvVj8uvysUEbcs8+6Ywo4QfKZfbWoGlBnkEQ9htqGpcasiGuMzi5JRl lBpoGrkgzWfkSHaY0yZB0fLzgm8bHOEtD38GkmbboRU3i9qwdnWO2ahc05/m3M6/SuQMWvZHUqvw /MMsMmHsA7FBtyXXCNcYytHOZ1lPyl69gftUpOx+s6dzWdmfViP4d5iCbC7wfnzrKqkwkndBBa9w 4Y6s/GqQQ+Nm2a8V5rq4pYpvPEuL2tw6kPi8QrI5thaHYSu0Nc8YLRndpVU+oH/NuWpmP3j5p/2H u4IxSbT5JzuRRyJugN2oQc/UlikHTn4E1RuknNO/0fhDbrloUQia1/ABQNrkNF42lUPf8RqYT4iw 3Tlg1dHgRptL7wGnh4AgvEz1+m1DwOm6vrNGGWHSH9V39wtWgJE+USdsqTznCt2Bt7BxLinviB3d aX8Q/Hi3Li1MccmON6yXPr8PdygwA1qyK3awFa2dBnHDrfI3iMFP2g97tnqmVX3SI8Uvy1f1wN3A mIvt7EtiECVhGFUWfA6U7uGRqDfoofwcG7+4orr4Icg5QR2GbexvuMPYs320DnRCtY3NYdUubw+N 0omBpBDISfc5XVz4EwUaemRCxFgwjGAJaT4yqN0X29WdjjewvmXN3xYRqcGNgMYSgcNAU29yZeB9 IJad+cEIrDRk/BDq4igIG/9E+3RFUZEfsHpiUZ9NC1hc5HnnSnM/xaHUtyBijPdeOro88Xku9xkt kG599yZHH4yBHEs1WN5B/VBPREV6VpRVxC0CVm+pk6JKM3VD4Z1s29thfSy33ZCkfeCAgFdHcIm1 vtdg9rvHrziuT7cS4IqCKSLzZC/o7ijd8b11rnnvGK1ZnK0BbYddWweatNl0UzfyUA43tdBmBW9M yVm68V2DL+g7KabjNao/9+4CmpV9oc5N/c8zHPMxaiRXmFv+XcYysVPHBWNNcrpmXHHB5zJPaoC7 fHTkIjkbzTPNXO1llgy01IFefgX5ozWUbM5QEpXI3Dn2rZ2ID30NAgFwbZjCvO9nDHgoJO+vFsUV SzSARz9DEteZDg4OWteVyhPNGeToHvyjH8du+JvprpwUPh4IWyIvM+Q9rJFp8EilHMmFS7So/Z3O tk7O54HwGroZgbqHLzBMLyhCoD4JBFEJasxXEFyFGhS/kari1cECO2s2OvmyM4CJjr/NSMYfulBT SiJc47e+XNlIA1uXeFD9jUCewDY0zgJVy+tgMakBwJVmcA9oNCEUQxtIUa9WoAxL2WABTQQ8WEyB zWTj8wBdGhpoDeBAjGkazG4J47mNTelGKjDoTWlxp/VQtnIl0H2XN1w48u2YATU1jKIXVqvOy7IC KC3Pe4GWYZp0YQ1C+J1LOVlXjtomXpVztF8Ns0yyTo8sBpqhzK2+xwbucDNyrb0lAlb/CjYv58w/ 1YHpua+byEIWydcz9Ms7exCQLAGpCJfTOtpP0wNkVfd1ditANpfSuy5JO0m4t7BDuflMhKkzt9lJ WcSSDAZbVrg7lFkXevf/ubC0f088fFEsneQ2U6RsFg7o1mATqMkugmqiSnv/CHU7vau3ycHcx5mQ M6ZJH1c5sdVJRV1QS0yzYJTROWFf1bkI3zGlFu8fPIRXIkptZdjkGXSJM6VQ7vu87fLE28zJgcOc mYzTN5A7hkAxxI6fkwRAZUmdWx+FNnf00sp28+e9RKwj2YO8An+S4M4Tbv0wa0DhWe6gXTf2yCov We/7zdws/hAcGNSsoNYmH6PspKGgmJk43A5OmNkN4iS9h5GH2vLzP1aADhSBSt9GivucOWVNT+KE I9hJiTCdp8X3/PPl68wiRHcm0guegi2fR9zeZkTe3TC5L5XLyHywCoWbUUx3OPh7PBIvMbj0taUR kkXZZmQ19A8E5l09bsrxbjL+JHIhIQte7p397/b1LldtmaFdxI3KFMqUJjxKIFf3C9XUnVrEAKH9 7ZBOhr1SAVbWx1M8l9HwUJJtsULuuixucAwCLPuniMrEgt2mz5Wx/lIXojZWYD5AbO8xFTo7h3Tp +U14UvuPgYkbTtPVgvzZcHDLBpBrzAKYzU18lNdk+WN9S4TCZPA1sATbN2viqG0t4n5d2QSjzYXB 1tvVdTiCYPwcYWGC5MQfZEskO3NahGlnWvodpfp4vgjN6t8FNWpA2useFmtI5/bqfZm2V/7Vb0Lw GvvY+eET+i2HCoRfh9+vT3L3N0wemX+h0Cfj/bLCugK5FXkL7L7XxYjhLCJFLlZERXRKBlbBzCq+ f7XYbrOa9BiPn4n3s7nCKw+L/53cojVX3ZxAtpUKvMf4UqYCr2yHYq3W3vjmyuWgFMDfR0kCu/hs ojMjWYeQaCuA7PGVXeEw99cMMEd3RruoYXtRa/RMc92MaK4q1qi/+R9LeZPwQsB1V6vUUr7K0ub4 AXoQXXEvZk9xk+z7n15IddRdKZiXyd4OCqZMYRtsar3ujZH42piLQxIbp7FP8sAuLMNHH6nIGjnR bZf5imdNhec8ZlGZVentOKBIp/K/ADctfKOIN6a5phA9IKfAdOZNVO0az85NNQUUUu+plcogs9LW Cmk43gbP2uej4RvcnXM2AMUUolw1R+rLT7Ya7fDTvmSDPWrEx/6XtOFcD7TC2Lv71TCdaoob+tFV 5fVSW5Lvt/FTIMxyLpnRk/3u2H0WoSnGic8tmtRh/i8lLklqRWKXJQWefldCDMUjliBc0gpyXo/4 qnjXNhPiTWZC8XBhZXPS+Nhd5Bqo+s9cTfTuL2rvOaRUwzrwDjKqVMtfIvfqn8T+5q14axLcQWB7 P1aT/FPCafXYbgt1wVgX9utYgG54nS64uj82oGSVBht5x4E3m/UAvN2tUaZ3SLAJ/ouBgXYtF0td 80TA0h2duNu5lolAMAavGEA6Nalkc9t5tYD4PISxTZdsk4mBSwWu2zuHXDC4qt7YfyC7KC9u+ZZE xLpRRNWZYfDUOSp4Jfk89SFuwCeIPYqRzevkmjTOsCA3SZmbOmgY1Z9E6DMayVAxX31N8PtpXBrS klWWNhlzW7NWM/bUfVYEd7R4w51hF5scSLpmTfqhp1RMJGARdq7w6Bg1QumcL6ZdvcOXNkJi1LNb GU753nNcbeVX2Rge+BTOtVkJ2legpQEd/JBBSMsgx92BsWF693LSSAlRf3vH780Wv+Xq2C27H5Fi hEHYsAZtfGzWfYFHI/wl664mqN1RWMm0+rqTXPiRFf8WPwDKtup77P+dsMBV2jrOiECcWmc68CEM zS0P9M7SX0EyXBuH9WdQqNJLlNb+LM4eNkq1m5eXpV90mHkSd/hWzB+qMdTRlXxk33gi9oKcMuw9 yqndKdllbFlwPOose3h0wa365vTw8rH27ddQSXvKhj1GVLd7M397lBLEQZzDeSDBmYMG9LY+mWKR yRj+6mEs8uqBFfdC+5kgt5UbhF10KD5iWbLOWNLcUZMpqrRuu8v0VoOz4FR0SfeiD7YiEuO7PEGN 5fElkM+tpMQpsIgxM+WIebB7cUfsqIrBBzbTfKFshjtTJ+Nqzrqiocfx/22czlW6r0hs4JVNEWVC LGzWMndMdBZ8dMC+mInMVRwBbZc4vA2D5XxWNmnMVk23vdqASSsIkm8F3I9MBmRh/icc/yXUhvyP ES4yuad+EvSEtanSXRS0E1S4TTc//w+PFcyxgqoL7riEDE41j4VKK4AGJiRHfgkRZjg1TrmqzK18 9Qg0S3vaob7CWwyFJlnmdJqs1IfpT1lYiYM5mQjJ6phSk/3i/MTzQHSQsAjlvMVbN4Ot/wpFRDgZ ACbEkNNVyS5GkL/t+Oo+3urMTqhBCDuuGRVHZFEtn9YqVirVswo6y2r8JFjQQDEzgLosj4NotcuY 2tM383RqW6LOnk4Ny37IDogpQANT1v8mB4KeUaOo64aVvoXASZIaO3isMG6V3sDJf5V0Lxn2fbe0 8l457sb5eq5fOc/cFm/dt2la6dT80Awz2d6QyQ5Vwlqtthn1jMSGDJGp8EukrDBelV0Ht6E+EgY7 0mbWBZO+ighJl/p41DhoPRMqwN93NcpS2L62WJbXVPv/mD0RfgUmzcjEcVPOUjVIowdI1qLvhLmG +7xnIKYTEZUY3gyT5W4iPPXnVzXnvf+oj0BH1lk1/nqJYccezYg66gxt7rcpH+Z5KonIcXEu2r+y XMuglKucbZ6DQ9aMt3PDxqMSo54saiKP1m16kIf53Xzes5i/HsG/nJ0OhzcqR2oIgjf/+Tw9p1JH yeylRqfRB7PCi6YSkyFIiCukcOAtTnor+LGiel9M0wXfKf6I7I3BweMADkkLNx22xu1xbtC+N8RS ZwOtJLf6TRNR8jTB8DwpGWjFwBfi+vHI6OLGLNmeahUjyvDx+Rt46vmfoTy4zIlPv2EZU5oiZbhs MZChsi7ukpWZX+4KmINNaseaz1OUd0wMkgxwUahNWwVqwNzkmm8vnQ7YULcLYGqWoqDZsxgfOwZd L5iPukIUDfCLPX/vv44JqTOft9JewdfDF5KVutnsRbcNEd70yQzvUbY5pHFnTP9S0//e5BZ0blYP 5tIN+9k5WVq0puPOgAz45PELuYFW/2CC2eQZuO3ReJk4jT8MfvAweUJgbERn474L9ukXeEuh4cQF JytEICF7Va5f1r2cobV/9BPoNhcbxxyF58+N08YPs20cPtal3AOlsbkkl2vuqzjwTCSWkJR4RYBA VyZN/jLkD4xV6FWx7QM5Q+HPiase9rIjUdJcFu/sCpW41xcgMNlCijJqNPSk+bjhYNtuVKzBZ42s z3nRS6t2S5CVfsSdtHJH35L/Z6mTegeZ7s9EwvMIRybdLa8Kok16r6+2g6nPQniXqr+x3MOEEWpq i4gkP0PfZhfhM7RClLOREFbwpGcoCG+xdwCrqGbwWjdzIhzOOjqSetJLfzPasiMs4kfHUgDhrSRF kWKlG/M8pG9NYpPcm53r2UAoquIbBToekvTry9a/b7seJxLm9JesMp4PZTn1uPrv90flIlalHP17 Nmzb/1clSVqEHlERdUhiXzZkm6KEYDZyrN1QoBaumHw4dFhSMpKEAKIdtpQob86yWqT1fG1Aa2DC fiM986joL2r1iQOqYZftlAGiq5DgouI+hXISM8RHuA6wbKAzRCYI18oXLUrlV909U8R6E56cnr8c ijK5jvKhdOf9L+vuZuX20E6F17jNv8OejDYxap7h2ylaF9VbHpu1I3beCFlFLuvOv0b53sJqR7OA Zk9NkpOdlclZDg8OG9vo3/GX1RRIA3TGDvynr9ZPZ206wS9FIwMz4mffLjd1BtM0NbWMgH37XkMl S5FKs7LmWlK6HWvVXHXjP1TjmNFjSKAiFBqtHKm5pVZHQ4w9ar7OuElEwyE08/W6w8f7Jo6kvUF3 e0iy20gXEviDB9kOJJot1iFtpBdRU2wPSzVkb3QcSJpeZ/kkUOWFZMxe+9Xkgm6BZyuQrLxjPkey ezMOCUn0pu3Wv8CcKxoJiVLFUaWMymskbvF9i4VwyD8Hnc60pjADrhAXQj87KZPs0WXcKdoIEk9O S/0TtTj9+vK6KvF6IHGhQkkHyozrLUY+h0MOLWGyOTFQSNb3fu3DLdsXREIXNDFReLqlVglhPf7a 2XSo9/YHDKZWE2XuGtYdAePW+ZHgddmC1mMIHN2VVwkhbS+vDgDOiOCv2PIHmFog7Frn+x1WITbu mR9BhOwYRGpuMsYfVC0jyle6XhzqDHM8EwQTp1EYtHePpv4ioVjlVVMG124g1kGSYVzVk1S/flU5 nnPTVsXq3q8bgJlRkj/wHuP9n+bH9s9QOkrjKsapoxqGgzE8ukgErKoiGsYg4nLNoY4hk0RiQp69 RSDS81ELJMcl1dBKTvT9fEvyTVWYX7BVdkrX8s8Dfs2xRd+JtITDmciXem7avPvRJQF8NjNOX4wO xORNVL6iAz6ufDRKkuoM7lIG6SldD0qX2TGYeSOIzvvbDe7bUyRzTqBG6ye6Z1sK0627z8gwe+On PjZpLwwP4edl8Bb747pSp6RP2RiYw0TmMqdPRvcFc3M5iG0dibmDdZ39+W3EozT0t5mmMjipNKZZ rhWN6MI5NliHH2lli+8QP2CbfjWNGYnXcL3FFGumP3pXc7LtLZVzR3C9H8zyM4yL6v1yy89DGAxV nqLoua/XffY7vl6xnA85gWFjWf0n8GvfzIyiKOVkXY/o8QTw+206eoYby0Xf34EyO9HhszjEF/CQ KgnG0HZdxeQuyoo2XnbfL63V61zVJr9XV0VrTUGwHXfBFdfDJo3MKmn6I7fvg9GXUnykFtPMIwsr sOpL6qJ2ariZNe/TX9oFOM81iafAwTOcQAQ3LH3q6QBuIKT/J4WtQHjN9fEo8++UPNe9ySsw6hCS xkXis29H3PssQ1RH8+Yqgw8/BZa51ZtU2ac+6RYCNeONX5AuXk4D6uu99OxWkWV4ynDjowk7Txp2 lxeMQxYda+VHdsMRo0/ZN3ipw/rLG5MgXpqUq7aNOEssAlZ/bWwIjTQ/PUqkop9iHI7uuPnQ0GPJ FhVuQzZqB5xnFMf8YJZ61egwZmzLIAu38XM8AitsPGtE3dbsVy4+GBeTZvu3MwKtZXwfEydH45ng tXhr+fITJ4M1/GNIiMEJQ2+mgNg5DKITzySjX2ixoL7C2AxOwe2pxZrAYavX2LnTy3ZYshclbeOA MkTpPvVo8Le/m5QOPMuSYBWa2kvrZByTkngZcSPfDydFoYRp+DjYMGb2xFGEsp7sxok2FbmrbxgV fKzoYKth4IJlHsAiH8HGzdbmXTqVG0t6oLRN92FmO1xiBAoHbq+wSxm/jy4EygKfiYrI2I8gC2mE cGX4Zj4/u371PN+n1bn3mckf3t58EWLzu4IO5bFLpAv71cBdmoyyhcpkf32bCwXrNliC5e9b8P3I MK3KCh7NZHu0sGRKDb/d7mVW6iHHEVtLoID31nL86VwKsvavKC++0LaTG0lr7apnzB0mN+AMlljp VWK0si79OFSi5XmgCO2B6Ad/9ljeMbRmYU1I0Fw9vBmfNjg/2RKlDbMgew+o+ApX7yO9g9Ap8Ki2 JrYjluAp4FGv2e69mE9RI0uD+sgSoIczGDjjTHHrplwX2LPsFkEbf4OFWe2SzT4ANGJK4peOw230 OHdHVH6DThK72j+FyFHbLJT6B/sFwLnd7CWGSQvEFvNIirKynpND4fYiDqA/sC3hyTQ7j57LcfDl 34CDOb5bFzwms/spbyN/x+nBojUvD3qTBNXCCrF1DXxIZLdTvjuzpXfigiSyHmJdQ9GH056L7OI5 90uCGJEbo4YcadzQ2MvCh6rWpyuW0irXkdJc5x93OqUhpZjI1Drk3NHTPWnrdX++S6t5EVBbSja2 /GcLhhX94hSlBsD/RDeksKywzx5j77EMPsOfIQS36q4HAvjdauMZxGYejTbZ1rHD+FrofnZto9G0 v9E4lJmHMwXzErxLgaOSXPzEaPepYJ6OF+3zHQP/YZbQpEBZqyEeJg32H++HzuUZimPEueB08+oR llpDhqxaXJYBysXqiR23HSQlZw6T77pUw461uaJMwQ6zKtzQIiD4a1zkJvo9hEahOkwgAFPIu4ix n/z2tNpN6pI+e6lgXcCDv8eR1aSa4r+x/3wkaONNrEtoH6I4eJR9E+hss1nEzBEtui/yxsFFw1kc 1z3+0F2b2AH7LMHt3eIv5NcTwMrBiSNyyUqHzY9X1pwsUmVih5hn7Pm0siwllcpAP2jFHTJJNoez 3xksNmM/jygleYTlxBobmMCbFSgMvTLl/tk6comascId+a1fp31aXcZ3YBG3ji8ezDNqQ3tvoAYK gl/z3qBpwQDeUk05pQmw6I61rrszU6Bg+JVm1VMmI8jWs0JilHQSGtM6KkOQo5tpFVRROMr7Wq+4 Hs/YeFz2Twx86nxtNdweZy98pwz81bg1VtZrrrv6HTDiFiqwLW8DcLyUlriozeNnbxqLoFMq7mjV 21UfYxxExyrehP+m7vc6Z4YItUqkjSJj1ZrcUQeP+M0vR/N72TAgqicxPLY/c1teQYioYkMAWkJP zYZds2KwXqOMRUh/v3bCJoaEyXYrMZjNhc22WJ7oLvS4wDxOx5oPYFwS9Cg/CEkfb2NA/T/ZLqSF Lz8lwo7ke+m7T6dhD2/rOAyGeltsv89Vj37kqg1KuhhPAdukDcrSMFxpX30tD3ZA1+amWPMEA7rz abG4Om5kNdnD/R7IOTNLb2/M3Csycv30GXEiDnP/254QHwBIK56ymOVjQGqGNwUHhLKOMQQVGSUM Bn5dSRuEMj9SUjFNuti0OTb1exap1tzCjVEgqFYUlP4bAc5enJlea9SLfQ5I7QB0so7MSCJgOylT 3ZR/oUtKP9OnDBYwa0uoWdK2jol9aWG2rBdxtalPkfsGLG1p1yJzOkl7LD5O0UWsEdtXqSBsYuV7 hInoGCmHBiu8z0WzEHzdXRRqA+PfNY/pI+Zx7aEYlsCw4s1uaNmj8tNWyCDp1uCxA4kLOW5yU3x1 Cga/hugeCnt8onJHpI+PuZzoDUJOAY237X+Ve7kpv0EcaAtCUtnVWVHnB9IBBdlzZxsjm15BYyoV h+o4h0Q1hwfZbETDGZwLNfKxqWbqiOeLjDlh/poDtCHpZqEnCru0r0gdmN/OVqvEH7QgoIdFU9pn d3/4miYuRviNkG6E9YXIzHSQ1GJNld6mUlEwdAua9kjuPomVkJHg/lJ6Cf85Zmggd44LdUltLJRp 4gpwLKchTe+zPUpnjmUMlq6LIeLkJuTyIYxbb7/KygmxadDaBbTF6Xejy5m/ZtokXU1x/7P4EQZ6 RIUdph7wYQDaxnJ6bosFrQdd/XJo/5xDLITtnkG4R+XHDrKfUo4KxOVloRHiWBBE0McwJRKZqJmZ Nhjp6nyF+0e+PeC9Sn0PSZWBK97oWS5t4oZMQEoj2+ZqGMLG2UUvgZdzc1cQu7AyTMzABg3mKrJ8 S7Kya4+oalrxQI7R4JI1IH6C7XBguVA4q1tYziBcTsrkmgg0IZfh3NSycj1RSlfoNVfGqTNElexK 9IR36UPJhMs/8EgTQQ3h+96CT/tn3+M9KQgXQKX8ATdFI1LHPecVbL2HcGo1ncKPkxykxl6fQ8QP oDSuCOKixZUUG4BOP6NhVoNQl3hGfKJp8GThKPEQ17Wmuj6tTI00pQmpKr2GYaFHb1gi93ml9Cs2 Ma/Amw0/6W7WrllVkMTMFhU31XxjkGqptO2gOnJFGDavGOwHoDYtfTtQqdAOkWZrfQgAXpsWKEth s4bITWTLSi6BXPfZEZMmNgtF70hTwHWBgfCmG2M2iYzF9OmkSEGOV5h55Gspv4b4300CiOybSqxv PNJx5NO/cQgrv0fADDgLWV+t7p+aJfrtS0GcLpI9ua8y2TTaqiTFd2nyCl1EZxzWS7VvMNCxQA2V E96a22jz5ALoBDljLKPjxAoeLYnaZCmucT0KDEvW899AwrXRzqzoE3Nifgh3BII82vH298ssvZfo tQC2TihI3I1llBhrHakEumnKagNTj/Evr1I/Ft8znQ8f/LvhJKGsC3uSRraC+5wX3eiXVLbdcdFJ lJXyeNrOOWW+UAJRDoI+R9DcFmdWfppagVOC4/qvofN5APvQ7Q8ZPb/5WH7QoS97X8jZrmIXwbD9 H3fN7rdrVc0ZCFrtm0VzngUAn4hh/0v95KpBSnNdrbpM/gvzLeHY6VHFTlIEQtOnN6BaWkfFSzNv igfT+puwbfgVwnamoLczUMmphlOtQZtv6cO5LhX6TKFPidnPS6wqEVbUKFI3AL7xehljUQMKE/E/ iS5YO63PXa4dUAEb55XQ/34QTsfnL9C/I6QESCm9kP+ZmvhSh9r70EiB4iZ1Bt8+/nSbzwj1S/wr SLa7dRE416MSWr9KTIewCo4L3hpxvBtS59hhJVluMCzDLmgHYdbZN456q9jD0815TKTz3Pyvomzp mnrqsrusKJ/VEMZKUwmbkPL+Y9Dz+97AAUYFRv9wHoPQ4g4p64v9B/rhjylPHzlSQGA67ZPR1cOs 3Co/NyTSKoJ+MDTkPOFJoLhGM0nDNmVekmgYUzWMV0zuvUFLqCTiGfIxfN7Ny4M09QSs7lOzMvaD SURiz7umxvsrQcbgzhB/B3VOGyArl7lM5uBqZOJpsmaTiAtdDWFwsm9TO9Eol/UP5bQSt3tW4v81 tfg8Cohf9dvSMoeHYYharlG23bwBVWtrc9Uatu7d6DBunRbSRn1xiu0zbJ/tLda10E5z/KnqR6j/ MqsWD80xZWI1uTHmV0Zt4CYlmBqgQHOQ7ieyIxRW6JicVl5mx17yNGtEAEPKdCdSbEzUlqaiEedm Ql4KXYAibm+86dz7OxhuL/NxG/ile7UZtx41+S5274mPXXo6U6jWUP1pGNWVCsjS781QS8JwS+2e H9xeKx/T9J3RziVcouj/BY1E2qovtarpC2enL3gVUxlQjJ+HbKq4PYMUcs4btj2UU6aFI/ghwSNn qRXQXV9hrtb/93eeNHObhfFU88l/MqAUXpgjHgSdxe9b47AjP7OtDGSP6Ij6Jo1vO+LST+Do+H/p yONSXz8Bb/rVKds8Rlw2LIVYHoAEpWfjN3lcQ3J0FbGElraT8dSnXmR0wChCiPchw2L+pWTd7DuP JsZe+Q63/KIYxf9RXG/ozL4lwsPvdCaquB1czGA2QJtB1/97wNiGbnuUN5jch7iQZQwkg9rpbq+m ccuol4zZ6YBn1H6yNAaDamaL172BhWSmSlIN/+TfkooDpumzGfaSZ/FXZ3b8jMG7SGZaBTxB6gBg Z2W3C/ShtfS6W2TtBJm//fXhlZvj3bsza+hIzBuUOHfjNfg7UCkR7Pt+35QXiHayw9oL6pvmzrUq sv3WbE5hpr7QAbC6xAr7fzcow5fkocQN9Rxu+8+ps9l+p2f7NdpmYtifus3/jNwwoF6IxT7zj+dM BIZor5jmldyieS0Fl+wcYFYBJSHBbZ03Ws+06almQGU+yap9gSi+RKpBPkrYgedAA92S/sScF4ul vSFLwOWZgEaEYneszTii3Bwl+rtgVChTD08tXQK2LiiL3BrJufQJNC2OuVcjPAI+Bu6ZsAYjiEU5 Wkzz+/r69/LiGITxPwqI3EmNOgmtl6z/qIVEJlz372EB+XjI9RcOjuHUyUXEOTNyG7nxPetSGtNF b72Zrs7DVfsGBGm7F0nPumFV+IEhlpOH2HMiMKX6F/vpUKLFgS4cAps+82NVxkgLhH1Zek88x/y+ U35/FMSNJG8dacnAUNQK0gV/PaYy7ZGFec4W/C+IA32m18W4++s8bPlq4hLY1vgE42XyIlabEwFM 9rUcmbYAtgW0bN81HVBsKOKsaSFVZMYB/kd6F1/QL/doaWnD4u2BoJniayCAZxxrVfbVGyWHYWyD kp70j4kNzXhjm4hW+uaghtmMF7tS3YWjkCdb5iaPG17dOXP9iuBxs6sa60ovEHtsYeajHOt6QXJj ZA65YMlo77ratIOTyIGIx87T81mWUSlHCsxg3iSuHhKd8UUoA8TQfTo1lTdmX8Yey06L5I1feh6Z 3yr4ekWOxLezNs55cf9RvPdBG1Izu/7NwuWOUIkFBwNSOLvv+fifx1j6pCBEfH5E/y0IUmkMD9Ym B24yBXeVGJ80AkgkcJp0Xf+jpiaHxt8BMSN4jR/Qw2k8fjWMqnsWLGpIUtI4pxISMV32rf2ZTFro 7glsh40uU6V2bpe2+4MsKag51wQuYHpOTQ5V9Cx5e/Plu0xXrJzzENggbRhbnY+AWeacOpyKTUpe YEfHut8brMJ1xWT5jscaLV+eY+67wttvJ+46LTxy4ifxkS8qPqE2g06IDG0zlyQigJXjLbO/szRl dEtRSV9FNgql5HyZQaV4v7FT8+1o/jDAiBA3S5/VsxNTDC1jrLmeC9N0V3wBzaJ3UFqsyehCP41N 3kDwYqHW4o8I4daZEfyoAUsmDRM9fa8XWolja575H8orSqGfpvSK1m/G7qwErDu6e2Wi1Mxp6fLK orrrVSAYsMGislutQpezG2kZloH8IPFfQLVMZ0rAVAV+K9BL/kcAl4P9TIM2MZxYiqjio1GAKH5+ Or0dxKO2fBHZLES5J0EIGdTELhMaCNUFn3f+4zKoe6MY5MDFARY0lpCB0YU1PHZppWEZfVLqSVAx qfS+RnS8tQH3VaMD5HY3uaLx7WrOCgtErTqFnAYYaz1Y7osMpquUXR8b4CiTpb2eKIOZEnPVYl3e 8y6NVfl4lhZM3Gr5lbZlhxfNgJHJ9MIw5gNESoErjYkGWbR/GLDuHpUB5o49f5wWvd8B4wPxEmxt uTA22Z2FmuwQbBISNEMo2wI2os9OBaiexyQsBZDDyigRcPeFlN8VrPxYzfgwHW4cgmZjAcE5ucYw Cm6SkfHtS59VcO6+JhSqbY7zqyj7nXF2zTSwbCwgnw8Mvm4/QsGWt1JB+OOg4Nw862/F9zgwQg5E EsJ/epEQjVLmkeFhf28SzQocO7gCfn4wQvlwABwOXhJWaeZXUzmEG7of4dTGHgMB7RzIAeIK9bum td8X89al137vpyUdKBd09eRy8saVYlLC1PFqoE0APqPRGCTLsrvUozps8Mj9ZAC1NjH3T5xLKRK0 aV2hZthjX9Yobk4g/Yb5mJP/CSkD5GRwIXp9MIjnwIwdDi4Ud2OGWVKElzQPzeT4XC5VKq5OwHM3 ewCWe+JTYJTX7vdhTV5TfMUQS5rIXfbK0SrYfKyeTn0RKRIR6pb3UvZn2KhTUFkt2WBjhtmeYFN6 4SVdH8DG9OQQ3INY/DbhfRFyFNrR3w5ytwH4b3phPxZZEL+NPF6Edcx8ZTGv2EzqnyMsgUny//Ty Q3HS3LTGncxCHFPJN582woHo5uku1+lpDe4vtTf6oypGoN9RemYnEkGbhLxs/Sfj71Ffh2EkwvSv ru4TiNftWvXcC9hwYOdNK5LJHWkZaWKsFpiMpJUqZkK+Xt2vOxNSIa6ZmcIdGhpH9+m/bsjpUfeI tuXBK127Tvn2Po0uk8aawNUH2290qzV4kKq9jb9kSHvEvZGtiTqV1BxsXBghbpIIr+YUTqRJ6pjq +M04IlG4zpIUiQEUKhbDWxZUlPbLQaYMgX6/iF0eOH4FzkG2ewWvWoMctqzB8/5RCiGj/EsSdzxf UcKLZCOGJrgbJw+1nijDho13lM/WEvkWG3w4HWX9OsXbr5SzbYEsval3EVZ3oBzZ3Xhn13AP3Pgq eHUhlIi8VvcZnKUvneQ7d7Yq+reidlAtd3GfUWOWTCxa6HnsD/a05RieZasJ7s1jNd02+GetRVzR oavt4e6Jc3utqlrt2xJS6A6/+JKaBYib3uIt35x0d3UfkUogEj12RkQ8gDg8PcWV0Yq2TQecGlwl IOGmGc7rKW1I5J6XXiY2jtJbmxN82j3+mLB2/Icn+qggBrnBApwt/t29jpzI0bZ2Nni/N46fEhx2 e0rsuhd+HXCT1T/QSeUTuspJ1EN1QIIEZszmbFOYFpzw5b/WHacjin5FKf1dYE8V5LeelT0UlVi3 0Cjz/qgl/W9+VIoNSXuwnZiKHd7qP5Xr3B54DQRWu0TTtcDjEOWicrkv3B5XBX+3CCSLW2lufHRV jTA0xwqzsQKvd+1IXIJqfXYN1bhA6B2jUkNRUvSOyEVo5IsHSbTWgEJGJyNh5aINmctlDW0oNJ1w 373poHo0RyiqFWslJkUtH2+2/rdBYlR3tHKkY1v63mXecQfEWqFItY5qXVED71749RxrtnW8PxOL ueQZ3QyqKh/pL8MRvEACXeKaOC0PiUrGDXLauqKn2qI2efFRT6/FnjTSGxzDzbcs/cGOOvBFWwka WNfV2uxt9PC9chQYQqpSsS5dSUzqYfow9V5M3LCMAD5qwfU7W2UIsyjgUYZqULNOfKrseJHzubz5 Vwu21tgPYh6zazYx7H1gUiyI1EA3KtUN38uVXSnrLtPr713Bmspp4y0bftZPr/48YyOwIs8Eti5j ve+vwetfCEdEXsndfDjmoMLCRkJ+ITgYtiSi4sV3SDWYL7Fy82b/dNsmj4O/3F53g/BD0O3/29/t l3KMOt0muJqJejr3F+xY2eO37wEA2Uud6AK4d7uqWVmYX1VZish/f0gO1yW4fdbZga/hwnymkuNV iHZqnN1ohjcnDNf625o0DxSCiPmglel3WFzcBeU2wOBH/Ezkt5Yoarj57tjQz26bohJTLhJQkd8k XJh0IJ0StwZWe+4h9TX0v7D2+xBR6m+rlzkZ4LXuNdfnpptO3cKdRw8kKLZqthFwkktL1QKKuVLW 1b8Vywk9BbPAlAf8fza61H41XTje07APfWaCsVpqpodkRLFDP3LQ/7B7S+lLuDcu5F6gWc82M/gz EXhDgHVM6Q4WRokKFwfsZ8jSAO6WbBCaJECflRuph+DDzLjZZusZfmO7DMcZ6FQOOuWoNBEJRuLS B10aVQAhRMaN7Pijpauu6z9/cJzm2+f3UPhwJSzAfUj3cYvoTq362xZtdxj3z81koqyAqaA+InvD w4nhVUqMOUDaLGIUb9Y0SxSpKDKObYZ2nD3N6r2wwYK+gzoEQuq2ULCyKD7OJ3NQtqz6Vvb8h6hX W1gtioXZB2Z3nLEJIBF/79SKeLDT7UNbnEL6cLDZc0ZqiRO5aJoMGhB0zL43Naz7i+NfO4wEac0o QbifJPqEZmvU425B5E/76qo1TRvy+r5eV87CGrAwyibtL79FLhZzEn2WFP/Rr6fYkf88DE/MCKGB 62dWq3gbmF8B4JJBkva23oAYMCYWYReyiVhaA5dGx1vaVYK35htf3cq4zroCbQsALTEixFJx+94N pDsnNXAELg/0LNRJbXXX191mQz1RWYh3r5tUt+IQ8N+GktCzjjY48QR31xp+1O50UlHEKKz489Qb 20wCZ1UZhAqN7T5AODzbe8Z9LeXfIPWMCeWfHC23cZKKcyB/YPH+0U94BV05edgBmSWQler62Wdw mSGOS+JyNKmlQwUGcfBj6CF1wVf3Yq+Go7zAFRjULstutrW1Y0FHe7UV8PoqJc8CosuJSnyAeP4U Ad9TGADY4fF2mK/0QehQd5XCoeTwNfVUfYxdtIeZAuzRtD8JkdgRWJFQrxfZLe+OC7EN1QpNxjfI dQcYv/mk1kjv0YDydrQd+kSEa0RPRnyjAArfMSIgMvQLE8BnxwpxmxtKL4yyjgHP+NfawE5WrBzq GOooITgBLbyKw2wuUZXOWzsuHCDjwzbfUCDhwqnCXgDMTvmLPSxfwbRedNS/qWlTmaaBtPBsFSKc g0z0j6lWcXVNp6ji/TGwyQkaXPDBj6zA9WRmcGNSKiXjR2Ko8K4zmECfjSmBwm6wuCucj/+QAlK1 EP5u8et/pwweDUcyRnPo6gmVeKxmrrI6VBhrZAtgYQc/fuax9ynz4kh2yIha3CU9F2CgI8fTVD9y cDWLhFdkJgx7bkqSc+gm8Q6t7sUVtjLgA8BPgYouAXwV+x0pZhFDDM03SME/xcnDXSCmw4Z2SMLI 6YfOg0iP8s9qmGuhUIFxNhKPs7j4mS7cnra8X1Dr6aTRgb98Ed2JHm+KItPvXnpWpf9zrw6LbTmo efDDBuhpfujMAgBoGRWh+wq7kIlXPe48ewTHxm3YVgE/DpZ34XSLvDvtas2YO5+rajYhCbqjr1XR Pexp47AYT3BI0gRtM2iNf5CptP04XWVRkfLumU7YuA3gYqU8T/tIfITOsfqZaSiaXL4EmsoAB+8U mU/ea7mDJxbB9RdVKOwX13ydgsRflY+EkI/DE9blcMdjjK1JePFc+OlpRD6OzF5J3h/N9kUXjSXb 7CM2IbofH8x7C0Dz67KYCXWTvm99E40xYO+N3zgvB/K+s0YOTauxf9PefJoioMAV4LfJWcvTKIUG aPWmo/xs3K3sQfqyjNNUBi86dP6opNjjMcJrOlEi9Tyb4ingsdL46Tw5A0EGOaArloeGojORnD3n WcZh+ICyVRhuFRoFgbHFsbX2xtRzpywEVoPG/cZ3fi9IuG+GmwLiXuxgvn60voRNtln6FsPG1fJR zsVJGzJF7QpqpYk6EqxK9/JBzor6745UCTeUiLdrddzkGZpdKUAn9z+2ikfCM/Nu6du/NVjiCAXc 0Fwj+z0sGBjvm7fwXm5qmPSNAPc74bFKpQUi8f+XzLvem3Pre9kfRpHAY2K844hxSCUqf/xl2zgs kc+KeeKVGKwr+nBG2tiJEHedmKEsJA8J03wQAXTlhfu4XytqFJVsb6pdNKVPAxc1Zg4Yl+JH4NcL zGFUW9cla7G83GEwiNA3H3s3398ONymeSfRSy/jySosp7SAyKoEHv733vMbeODXDxibu/LultdOy 1ypibjJ61JzITmR31hZjscDe8PG7YgrTBmU2lxzfZs0z6mG2OSN7JmZBxSIob5edzjD9dNzR84TR 2GdbE22KUPkyLTG+7KbFAmm2qkXpmriLP0VQC45eVlodxFa2mkJZuMg9KT+Np6NWacfBqJ0D1Orl XMjyEznkcr/f65jvB+FLbWHkFUvZL2JHLgNKhE9y9PyExGVmVoz9ybH7OT40H7j0EjnFUVc8GkvA k6hNE52bGc+uljLPEtFYzWw5lPEjK4/DFOX32HllMtQQ0EacQZagMMW39mA+zQOaF+KkmUkFP1xM zMR8FCkCEFwNo4dCo5cDuh/6ueVaCgnQFPy6A62YiilJdccIFuFkYiNz7gGfZnQLS7zTaqd+ySb8 QKN0TtPRweMVlgCiLVcpvLMvRyMcc48+L11mTWbVbQScFn7c5M2MHdL8RI5MkXTM0pgDP1RlLU/N swyIJ2JY9Md9euS3IOUyOcaswLjPvQAFtDlbsyo30RShKFuMMJM9Hv6uQ6zrugitVVp6tZey96o5 iKRdAxz7P2ELd1n3sx0++YbquH5MsUQM46iPgOPd2+EEpjc1MfpfIP224hFeX6UQP4fY98gl+oJY 8LqBDsolKCvtZFsakXkssG/nm13Vk7LraHo0udTycZwD3SDazQNrk2IRRITbKjL+ryxahUJQo3n9 mnFLPSkeoIrcBwagM3pPFmm47sZ3oE/qhMEVh4piScuH83G7DhNrrgIuOS+gLNw2qLzRwlruRQYp 0Lj+S7IRI/EjcsqlpAWuVrIRShgQDMNkKRUFYqZyq42Ooey8TECEZ26gc0LZ/Wpg+AjrriQsmemC 4hojH8R3P4pjo5A2bSlKz7ydl1oxI9Fb7wm7SHZAx/Mgj+00mv7eJOx1EyHRdrgdUckISVgUfvqE bWH6j8n6Lhhzxm8QQ664Ml2x3U6RjDsOwNwkgtsRJF39Qw0PYCvikiZZcAXLKhYBvRzlu95YJYCC Z33BgfbRz31gRd7W3AV+8F9LjzZT9sJILa8N2wFvb3M3FrlOebPyyELAnU5+hOMRFxfawbpJymgS OBmMVjc9SoXR+lOFnZ07Rc4bPP0nm/Uj4Ppmk6F4hPyVDH0x+bVsoYYEJQefRzEDqMknwH54JriU B9eRFIAdGOMMKrfNjE/SU5HW5jKZQ5v0lw2ZJcDNGCKJ/RC0K+JVdM808EnMp4/QQDfG95vjubfn ZFz09s+RXXSd2zeoh7wKVZaTeiKqx6I+ic1KNnutZuR5xv/NaYyH4ROtpeb3Q3JYKmLQBk+2Oy2s l4uGe3EU6xmfOGnoWS81BtHZKiVs4yrp4P+VRW2exqm1wBbdSWHrdra0CU2eN9JtNQTHGEmqwcXF bvRvw+SH0shw8S1DUmJBE0bkAjdsOAu6s+sKcQafqjTcSq0ubKIQDvdTnFB1SeqeeX5hCLnkjuvM XBoJvopLUz2gi8cm5Pcnb8Een3xj6gUedLsBLSFIz0P0/LAbPYfzXHIj0WIHZFJ2r3XtAYVLgYej wGJZShNZBkn73JQJND2Rjyu78cMLLWXLqEjdL6ePfrvxrhPH+Jiz2q81sMWZf31J7QuJiYSLr82s fR2+1xf3epzc2uyohGxZjt5Vfhg7tgRdBAp3YCsIo/35Cdnlyi3/OQ3xUmpWmem0BUz1Ud3u4O6g 8wSIKuQdeawZbVh0CMGqqDrSA1qsBPrMGAGCwDIbWDYDwR6cxfoEroT+RWl7ZukF/FZlh43wpxUv pC2qnnyjFbVYrki0obE+JjN3KPDWEUBlkm9TlV4i9qg/651LPsU0kJ/2Fq5J1PnThpdjpMXQQeiX ZVzlRwcQOy53FRUU+se1BCuP5W7J/IlIoTTwdu130nQCYP1SR5HgLvWtYK7xv5pnfT3Ix0KpCH2m 0tOudoDI9kyflqI+msfVlOC/cFSSO81K8bBU1qDJsfcEjEk5rX53CDUNN6LXYIPGbGB7rUMyjBQv SHtWV/9sxgK1V+e1+x3wYnv8iRknqEEkZsaxAwPbCqb7NIJOyHGTk+GgmSsBdxeLmSKVRaw1CLVK fyM5yLbpIWLhWu0jvLDV3Qei5mwdde5R/7+M9zqEQiLdcjzbEB5TbDWHGOW1wjVLarmZL5HypPAF TWC5FxoZcjK2hWDU6TvkuuSTuANV4vp4YgVN61wx0WSHmNy5IYCr8sCZE9cADlc07sqHjy+CIoJ8 xx8FRt713N5XxtFC2OajdUUZ5j9ZrxNLc8l4stK6MwlB+HJMBsKhf0Tg1iQi73S2neoBfXH9cPIb h4dPpBOhXQAPhvySSpllloA75pkQKfVJG2MX5CTouBWU2JO3SKy1Nm2pvSN9BwaKBYTwg8CWkYV1 qGqVJwW6CXr2MGlFp33Or5KxTqu9W+OGn420aQXBAjGNcdArYsXoakxlkvs1s3S+41x1x0Li2qd+ hRlC4Oz1dcmFXZarKsxCEN0k401R9O9KphLx3XhAIDi3Sa7NOjgv/elzRkSau/wzivO5isCrdJvH 16678WmXKJP0KAQvHlUApG+cQ95PzenqwfRF7ec/FmNnQq2g7GwXpne0nF6gKwkD5hnViXWHxLuV ngSkHWEJeShkjtHm/NgaFp7nPg13ce+LNQaUvQuYjsetO/0eGVkUHHJzTz78o5csAme81PC0u1uC PZPznsRV0EQcE1pyIRj6qELe6Lylwdl8J2uq3U8QfY4UPx7YGBaAOUAsKMMWq4NBeY/W3+bM0x1N SfSl1Rmzdtgr88P4fHaWUjjRGfuoX4Rzd4QoLh73LPIGacbe8XRiZFC6z8WYU+l8EgZAD1cAX8+6 1M0L1DQaOnjBAYP0Va0lCrxxJXwK0f95U3fPR2Wmp4iYLmdzi47u06+Lms7zEIVGfjGaZ2FlfHYI xQPVARAwREjV1btTkrP8AipnpD4o4T1FvFjP2SsnoipHg0K6VoJnW5GOH7z8fC5JWS73HLMh9xsM zJnQbe4VVvY8qGYzQ7O0jy9oYXf5Z4kbbn6KvsGHmRFJmVr4gRJzh3uFRfbDRBew8LaK+4NE7Fsm vU1JzOpt2iDPg4ld+jSOTRb3tQeXqBL2JI6oKKQiQQCVUGhsO8Gby28/QEWTZyZo/6h6wjALjFf0 gycHvuiIpcFm/jrzBsSx98jTVIaoogPh+ed8QmkZSIb6RcCslzbc5ErIZNi/yWrNCOPFw0DfOG4Z vV2w/59P8ar+8dvzcJ7Jy+ebdgGmvlXlEXxci8KhUHKq+QMufjuPLgVzDGf9mUmd12iSIdtm3OCD mMXMy+Sve/kUdqKuzn9hLdHQhpXP3s2zeXHrnWQP8AGa5R68eLfwcbVwHiSsWuS/8is6NxcHZGV/ f/keSrkbmebm5jFCMygR2O+4wQt3TjlPtNLUdUFDWj3IHXnhDVu099qwnt6IRiyBnho7iqXYFoht gcOhGdazns1STCHWe+TaxOw5vkXuu5J1GVuo6QCLFCJu3LankVWeJWTCwLAN8anHfh4HqAq097X0 qCwhJnidgKjvhzS0e+Af1xtOQWPKGxv7CVWTG8VrpOqWQV+qd3QVeflIagayGleAIj/W1pn9a/S6 Kl+srQOrVyI6H5+9vYYnk1DnOF0dCE5xYRYvZhbsYB3RUA8Axv10X/XNPMoBXQo7liqR9GpPpexB YX4iTgLe/UhPTqwwXy9OM02EqKF+YWBL5BAGPwgbh84l512wJFdcg0zxNW55SBCXMFGiZCoV1jbk ulrTI95QwucLVm5i+XIWf5CKLOrx8SoNIL3EBgxhdJ50MbZmjNFVAqHb9nuU8VEo9Jt1S7wRVqM1 d5PuZ9A7GpfLE+fH6vcsWmZmsBu5tGiIyKG6saEcz6cdL/y1zxNrLd51hlQiogioLoT/JGje6Dal eACS9cZAbBLBejStjRidHluRAynmKkzIaDtmX8IF3rC09BAsDLCR66KGzEmBywt/JUDHsfbXKOvv Mayb6mkt2QBf+5rvQh5ziWfo13c+XfFHzZ+gox+sJiRd8he4B1qmZXgtPat74JCGpRnl6JhhFjEZ qnTyUxnuGPyG/JhrFbhkiymQC6AaVx0RzleXn6XYeW7P6vL7rxb8wqbYiEdiOm95C6n8W6qUVxqo Tw5gnd2KQ9lWSk05ytKGf5+Oulvah8t6Q8oKluIP88agR8gdoHtzsdoP9pwJ9S5Nq0lRfDaL2tL2 6F+U2tSlph5dzMtt9fFy677RZnaVE90KyLyd8soZPGlZ2vBjA8HMENGUu5GTvxaYTPyVy2aEe5B9 38LsEH13L/lf19KI/dnt8/uXy1RwEYfP6BvG1gN7pefouQiygJYxAbcygACP8FLsLxkDnlhPB8EL Q5i74V7JyZE0On7OXATkXIguf0O1PRF3Aw/KRPhsHtN1Yq4GbyIO3HFYbrDMz0lVECd1swmOJdaf LAniPvxvV6Zp4ily/dvNQAib3zkOv8jfkRr20hLgbqEVi1c6FsSBqi+CFmjJwkLWmEraworA5S2U e0jbXGY0jC9tplxEqM/M+PwIjz4+BCoefGK4p6bppQAjEnyM5FAPhxHLq3uFipoz2M+1WLaYQJnF 0ejoj91o4lsjtezilFLte0C6issmki6C8NZiHfsAt56DlQegxpQvaZN1W2GL9L0B3VZKQlkOTMH7 5EZd+lwh0/U5V12ZZ24iLMO6qQVRpjRpotsYjwvEbW3iFokFjwbBGrJHAxf1yGRXWVgsdtKdjkt7 YYPZ2jBT26tILlvZm7LtxEnkjSRIQ169YAVRBFRO1FEy3T63gr1PFmcTRLesr8WNqZ6v/ro9WEfS 8n7t6jfaqx6uoPdijsST3gdQIyl7y48YtHg0wU9bS0mjjZEhl+ImSOKNliiBpF24YZwg6fzRJUw3 4yGKfr4muDqa1RnyLoEjcj443HxyntPkAZHUDhlyl7mKoxGYSnzfoUm6wBqllldMV8uqI0iV2PeH hihteWjNAHKeHbA2mtSnBAMWkER53F4hwRCsHbZ5m6CyBJg6VZIZg14KzSJI4nM8sLCm6/I4v2RS aMZ8tyKRGXNw8DBXx5ahvGDpXcfwcxwFFrl4NV5RlVEddSgdpuG5wPqU47m3R++S2WU0kL/39tLc UYM+HOCi9Ujzx+7KfBYM8egeqOkyR3CGTidmTcAvSWerDGQuzEeXFWyojjt1hzJMX5/kvPizCvSE PqKcNgqlxHMKX77lI7uP0Rs6GDpK25iZfk/TJoznYMsmoLqBUxKlzVUfpM8Sjf04BwvFykEzdOA5 MIK0SSSARpiPRSRhPawqQPcg4aHypD1YokdtGPS1K7RJlJnFzd67OPf4n+RLJplNmzz/Wt9P/6aH KFyoiiA7na9zbsZoEgnV1EwxHmciSXbYkY9L4JMzJrh3Sx6zR/1/CC7ldRlkIdrfxDxdk969WAMF A8dCszfGxL9VnN4BTUMu7bLt+VIy+CShQYzhmQhDBJJqTD98GuULGLOgx5X00ufDoS6xqUSdgDMl aCF4loi1hfjup5ElyyZTq3F4PZ0kN69ZNlCcYNsoSmnm895fGQCeYSANCUw/CJThjTDcebo3TMRs fc3rfv0okwiCDeK1sXNFTqI9LYTtoqIXBbZz0dLU/0cZuknXubcOwjvYjA4G8vzLuLAAz7Zi0Aau tACAc8tHeBXjKvDoTKFgscH7FH+ZnGsZ1EgbCp009wH+Heg4MBoH6cdmPIYkVTx8IfWFPtufvKdF 6d6EIi9b7Ouw39lcARihzeny3HpPwiX3vHq5OmCj+VgczN4dolM1fnqdvTe/SIZFg7gIYJzkjwx6 SYa/Mw1xIvoZ4/uiOxahrgUETrGhKPfOcjB6K0W77f48lMy/3kR3cnZmPSxCe176xl/aoTgKIjXo RA+U1JBHKJRe73I2fGIsvHOsRqtrbs8gg4ss0NEdHw/FdIa8r3aUCCdOU+HCEKMFzE39Vb8zn206 EICVtpm+do4jsuVby3LtFwEx7+RqNVQOzQCiOlN0+7h0eHgf6OWGxAgJJbk8c4KHm3qtlh84CQbk UwVuS+KkMThYnCV2Z61FfbR21YXrKSuOtxCIb+NegD2ruOiUMwx9Pc9Xzhn2wWTGcG3+omhJrfb7 dwjehBQlW30YpcEatwhD/OrXV3rNt76HPL3eNgoyrUuJnptcHU/7fsrMDLLXiTjRnwZOwKUCKkv5 jKL3wP4UWlUnLcxHeGMRQg6unr88tvxhT4Xo1grXY9OpsFGQwYmNbCcSzPmGHSTonXFJa5xYnKJP QhQNhWXF7mxUVbfe72xP3lWJiilPYEZZgZVHAtGBIloTPKA4Anjkq6AA0Q8mK/NoEM+kEtrPufQ3 m/2odWV00sETBViTE7/+da8+N145nj1NqQE99RLgyKRkGB4a6s/iPIlFVZ+/EvrfukkQG/D/042s nPuyHkyaI7Q9Jd3ATu4jv7WBpCDa55tt9hbbEBEzHRkVS90Vep8ORx+32ABQNzQ04mSTxM9zIX0C G7gvldHuCAIWy3+JdTwpDLx2CE09aL8EqZQdxn7yrRaJicv+oNFfM3/0QchRyCkb2npj0DvKBUXZ FOF1H7ARhpPdIpHNncLafUPgO39+j/EhIyMpjcEmHbm17G6NVTtMbFlF65JgeBqLyJuDBN97VKhe g6W+jCOcjCartt6Sej3SJpPOhJI2hhkr+JPVxy/S2GZziZZl4F7zxaPm5e/WxfMJv7aBOgZaTbN6 1XTAGunkX3+bIa3xIQwGcsk8BO+lgZguIgQeBfW+DFSN/BhFtam3iySjr87huEW7cVeW9UhesSWv F00sqAkSNju1OzN7f7FnTjY2PHTM/UftdF7Lob0NjJtuX9IoHsMp8zcihEhT2DcMIqKzqGVNPuHq On0rkrp8W2igPesxWUSfboyNjUoUL7PB81eNa4sMBioB4/fJzUrdNgIwkNUna4+OtqfsLmIZpnNJ WeadRqmXoM2UomUAgEM/zGJETMoa9UYJpSgjMgRYJCbgz8Wqzs3Ty2skWCBfw8vOZUh880HF0nvt 9qBpxlOLUONAOA87TB2If9xQWXX6BTtyOniExuAb6428JEF2XsQYyk1JXfunzm5bjcjYMzyhi88u XbwdDRtyLgtWRi+sUQ/OJBVy3CvLnS0u8capGcqJmersjSjdUd3Ho12qLKEVqeoQWVWB5EIy/FnY Jvm+LtiiyXmqz+TopJYaAHSI2A4Uc5posRW2n8XzDNY/n7ECLE1ttsuGNJ2bmYQ1GZ4T6gHr6Ued d900km0cEQy7KQ6EzF+EV7txqG/lkEZn3KYF3/CFP8HufiqQgT9JgROffZHO0NCKpDnZL4AvCuLz vNS2n6Lb6DLiQ+6eHqNSJtTKOIs1OphewUW0+Y3Rzpp9arOxYDDdxiktIoZv5fFqncs6UDjhE/kW 9Ve8k3uo4Pf2ezE+TdlfLgxGFiB/7qbKR9BvyP57a4pm94AsEtaNVEN+lGo16fQUPKtKqOF5d6iN ljHhf8hRgHJMVQzAIW6U3euKmcFHRAOVfFi9ewD321XUhIRr9Et/bfmBQu73EzUVLwev2ZC2Jjhv Sg3TjBW+ha5hC2nujb9IzUy/nqrW99nSTnXYLSivB8lJHNSkgeVzwV1FgTVb3L8PM7ur/l2jxE3I gif2oQATUxmBJON9yeB0Wnhcl7OreZqa9bUxSm1WLCQPoDnNjMG1Kwn/8O9yV8QWYPmt2j0y/F1b FugWdpXmZSX9Lnes7pIxTuilL1vI01hnqmYN4/KXquwLcaBktH3zLHomzsy+nYldPxcSpDc4Cvms eKkzanB1Yo3gC/ZCq3FwcncRHvSrMFgyybl35/EBQ6Xu2PExuLkenQ3ULXXReE3R9aOM04lfSmEI QNlm+51wqkL3AXtSgwws7HNtyU9A7Bjno3AHnzvosaDvMYMoI3BVcP7g7mWnnSW+qPeKKGlsTC2S hpvfgp4fKbfOGbHstkTvIo7tQ4ZJWPCsP0dFOvDtACq65cWQkf+2j27yOehzvJtuUJqazy3nIce9 EXXWBi/KDjt35dEu4Zkz6L5sWTONHrTBsBiFVvMDlxXXOIMbxvK8XNuLJDruc20Ybdl7uFIpN/HP gYJBcmTKipM/LE7gm4Cf3xoL0SOQEqw6bQ5GIp76Lh8j+iOslFrHzX5fOAa3d3IENwTabF6uGg4B BD/vW7OBo0A+QDgsACxUTeB4I842anGw2GKEY1i2xkUy821F23yER83CfwJtLVxg2MZHGUNx83IH l9WqVb/9aB9Kb/DLxAboAgiP9uuKRAcy4G+pypnjb51yrESPOJHXMF2b/4ZHjrWW+RbHJEy3iPga 6GGU7/kPA822cJ54xjMMM3PsI5LEzc5obge81SzQeOmJ53yxxN0O8p1DCPbK9FU+T//h1jynH1rf p8CdjsIWKxaBz9XBnt1iZhxY/RJ8N6qb/R3lxGd9WqetTL9QVl932sMKZArK9g0hgKeYr6b6Riio Q3AdDKaqymrevAplGSs6o1/gAYqZDVgzbROhsZo06MXnR2j4YO5zb+inCLBVs6uPGkz8bEeszZGi eJEpPJ8CL7RVKHPaJFHDCZlCPLyS9I156XhxMTFgVMEht6ZAhX+6BACiZuxeUkiqlZ7DVXa9ng8r zibSudCYFCPD/9ILRlrMmutauUaMuZdmn/J+YMxXZxGJRIeSo5pDE8izLzFpCxqW3LiOnaJQLB5g Eqj/uYpJWSI3HrfHT6mmocFrh6uGwmxpdn1Ffy1IZQyJiizIeUHa8lGiBw2s0r3k0zA6PVq2g1Ue LzKQ0BhsB6WxsdsJVPI3zC+s4NN4rjzjOmcOkV8CTMLNXhkbolWlsLv8mkuI8MYSLdcIjiZ7yAgr s15vt6be8eKsDAUGBZdhUoUalmUtoF9N/ZXF3/vIzQqzjS81T5DddRByTabzqcE1P/Q+76YzSHqd 5V2JMD/G6LIK0aLDP8xTmfEbL7oJByvCYek79aIJ7gTsS8rxOS4F1B3GwXXqKePmqTbV/jE+339I ArEhOZTQh6Dsn9nrg53vWpg59dxzqtXp7DPPKEIGk6mxHkPnYZq1SdpLVAdU9E5EI+IG0DRS28e1 Kj9ugYU//CDb5UGH/NAVIcFwz/zDZ88piR6tSxVNTRqc2KpsSqE+tjGt9Y5zNuFwpt6uPI+SxWxa /joc8tX5D+wnf2MixO7/i8vmgJBFW/84Dg56mXJ5vI0SaMURstSUdi0OveGaFagIRABjWpXAnO/4 Hywd6v4kgreUUZyClm/tQJL+BRi0rr9JcuhOHgmE6e7ytSWjy5bZJr3BlCfkg9llD1lBXAsI33qY Kw9MXzmqPJEIhFHXT4IqyHBGAmFvtb++kX50vXAYDhHSeo9azmKVMmdjXYgd1rkDKQQPe8dZj3pj efdCQra8MoPlH8wovVg40Tg40APcG1MrYuq6NBbZLcTfHxs4SbTWQnOI5t00N7Cyr9bmKPiqo/dg gLYnhUoYLcDLBAC/W8Fwz+PTPEnwW77S7K3rlZUpEAM3Si/CqOVlkOiUsvbY/uDUJ5Ozr+R6OJ2j ms5eEe1pS4NJkAzimefgi6xYMxeC9QhLL+ygCQ0UsC6eTgrSEKou34z1zp+02f5vSYIj/c/umuiw QzeU92JvggqLnWCvR1JOHZpjbnqoBfriwitx3Yvri/B1cEQO7zjVmQHTWsnVBXs71LvgRPl5sgFX Q2A7A2JtR1vIN+Zx8VZgf7PbfAh6QW3mAe1k4/CkKXv7TRqmx5l+a7CkOivMzJV6Yrik0WMe30JX b6Soykdb2tbxwDp0aidwfHz/ryUfoDoZetro2k6kb9uClyQT7sDpsqpXH/O2ukvVOSdW8bNzm7KK gIovxoeAG7Xyk++ss18MmwQOaYI3xmekhfhL3hWuzxBJcMOnFuwkM9lPT0DPO84emo61iQGVobPr pXOi2nBgbmuXVEmOQoNq9GQd2OiV91+i9wBz2b+qp4LTyE3lJ7cjd/+GUYFmthJskhIZ3a4YG55l T2lXg2DEwd9Gv4E0msEAWzebPJh9wuLSPUUY0wEVEFUrDTJbaV5QU/NqF3MZZmIG8N5IZj7t0RfH LAnWn/xvwDRsXTR2AdJISunD2fbphpral/9WjXeblqOtR4u4RdbcLPNlIWcozx9MQRqvNCISkHTG fvdCoSAxNyUbeqsgw3D8OUQW8Pu0gfFKR84TclDI9wU8eyxUxY0tDlNi4XCMSkQJ+EMCtQNCfN+4 JRVmTurNmsRRZ1n1tsNrU9FldxFhCXWPZ0E6ULUUEPON1GSrU1TjurHBfyM34EjYmdThy8iG3NKh QNvWTmu4TpuJImxApYCdFPWe2AIljB1BPi1ao3Ax3DzHYtDCUGthl8xkoMe6QeZCzI6Xs3KPApHw vVVn9hrFQQl27kAS+yqv2zqjgGgmbAcwsDkcVFxVHGU0mwMdHL7Gv3frgzPGeqVaGNGKhAf2u3Cx cv5iq/SSoCc2dOnRLJox7nXzcHo6HrlLlk1FbXfXhzklg+2FOfrcEgmXeG7ChUHk/3C20Z1dYQhC 5ZXmASecTh1dN9nfVdMNVytGZj6iiZV4h294qxEkyNJx+rQ21967QqWdej9GSKNhQYxbuUbEBeUD M9blLAL/zaejuRFC7MJYGPxYJJqyoQpWGBhBueh8z5IvFKHaEBIUqw0q3LMNX2tObfIIgYdEqohq T6d3ywZ16Qp8K6RZrWTHfc2LGxAGFDBHi9LOd0WaBM7qo9Ihe+GQGbAnmYeSYKq+at2gNoySbrLr XuU/7urt8qSWLK9IQ4FkEPNtASuz8wIwQCTF4BU7y+oaJFPt0kR4EigsqVNaXAzBMxfcBXQPx69O 7Yzy2IzpsQmBHymtK+5Oagb5pcG0xOA7Zm38hg0mhrn+z5U6K9xpmjYSr10PM5quzAnVfbBr0RZR EdTxsa/vvBDimpO97alhX0l9+BcJ64PaAViuq37mID5NSvxUyhseQQG9FSU/7madEZmaEtMyIbxz +NzPEAc3CqVl3/Wx5Eq0HuCx+PVof7xPGoDy7Z9ZEkzubRfznwch+AEji/831rB6a4wkRKUMAYrn sIK64UsjuuRaT4cON5eSEwXu4qTvcaI/HpfC1mqYFTp9T7bFFs3Xw5ZdPmKehutzRv9SKEYHWb1D djMmGPgdMI22y8jwn9AC9rxhuWyn2rtGClFtD0NwVTMKzoUp1giHJlgB6Y58zfFM2l8l/4LKpELH d3BL0hJWBUTVo+oylAcGlN25/S8ZKwmNdYQrSM+40rr4JzhYzICGUpbf++Y1DAVQM8NWtSKBUtok g1RWUcnx6qxQMuqrxV6snuf2ToochIgN0Lt5Gajb8Ln5gtcVrli/SaUkOGxq/CJm++TNsXxQYk9T mFp5ouKuLEkK6UgcwrD4/2HTZbTm9RJSYsmfxtJC94+cWSjxuKLpfwsokaj45Z/Rm+lcpkquc8vm OAUNVKOLNXMwm0yLyAraf3WXNYaK2ZwuJL5EKEe4TLWi9kC+vUzOxFzEG8FIejzV1s3PXesafPLq JHFEddQGo+yl4P0kC9y5zfRJL61XYI/ofmnUL0sG09fy4I78aUsrPjqV4QLxOpJgKiaI9DIApfaM QZ1kLl2wLsxZHZ6gIsnfxV96w+FaIXKCPbgXz+E8zUOMCQ4vaIQsLsf76h0ZPj7L8QGgoT4t0juq ddhDvVGtGrALg/Y2zrGF1i7vGemLe8MkyQxqhE29PB+zH7xb1RIh5g1MLXF5BDzQ/TgUiTdJ8lu2 KToezLjdaTlaQ5LUh8FwOTf9T8hIeEcCYzPqpckikhF98iLMxIZiH4ecweqA+eaq5AGLnzlGfw2f 6XwT4napABbLA/0pQSyeeSySea+s6hxKlKRvQpDMDYTY3OGinSM9VAcq9B1n8dncsfOEUnBQFw9i mLUE2hFeMyd8tHgb5DUgsuTDVkDeMGyQ3DkIBM7Go2WHdTTi1IqjNOF7uhWuvARJo77BbIe16qMl E8hMIAQA3lAPYsShoULIWv2NUfNPd4Cg18jaEW9mHN4wE+1C1DtM42OUU7Wt88moDnwIKvMWdcPn x5KKb+2MXrzaEgOWDgPsKtr7ZntBeYiQzUX58LfCK2lWSSR3ZOK+rJynHZb1pq3XMlKIkzsCRHuB 01N8W/imKLc89c8cbZ0AEQ7JQVBv0Zf3z5hM7d2PWu1yQ1c0jDD/Pl2/Yblmi0KSmd6aaB7wEEnL VBUlNGoggIVJqTGuoCO+IttE9WQ8/LXxovEnoy5XmmzUIESMLpvf0fiIDQ2uqNxs0OMw4kCTQ9rP snprUpUtyTVqduH/OtQPrrDp+DP3AtnTFfpdGmUfpGx3tx0Of/OW8dr1HwPzKmtse052ThG63zyu ocni7F1uuuIVGnKvfoCRrKTE0yD9xtbunfqcbRRRbvrXEAPGMBJjIBbNfWsCyE+rPzSJFbgA20si 8MhDd9oMDc71bCju4DKyPDPxgolz8EjB2FOdV8Nbk5mM7opdWtgHKWBgE4tFVUUzpDWgfpA1x+aR oz9rYKnMY0Fbw0kii9PRNvwkrMfhI9rWqHhO8tDiMcbcWPxtMTA3dezIJmbprJrtHayYQ0cMHWea xXp740hMUksM4FaDSfwmF6qrCXePC7DZYhIeDa2eaXnrBg290kX1JiL59fI/zJeBBN/BgP+Nm2LW WiqNCor1+JnSGKwa3uZLUVdHqMBgMiPkDZ+7DQh1P9f4WImDxHyuBJd5SOzJR0UORs22HWARKXED 5EOPM+aqtm851kWMe+WKau2MOdQTVqqt0f6dwBV39Y8KCfqGSmkGHcrvIfAfIw2FWmsnvAx6cYDT z1RdTJgwf/+KvcfQUndTUPvOJYS/wGnYpP3K1sFf4Y/5emyA6pg3aEEZ8n8cORty+xk+u7jOEH92 xeyx8u8aKG6M758ocNzQH5noNLVSE7syxjzdacexMCFUD3z9W1KFm4j14fyxEPISGrhhn8BYxmS8 gfzcVm8e987wMhaa8IUY7zj8DwrPSGHig0xMe4Ht80q128ohl6tOggrrO3c1XiWsQ/I7sTKJ0svH wI3Kk0FzWG7r34Avr5JCpfT3leUzYkX6MDjXQ2RpfV3y2EDOobpmjK7ZATa/tozpSho6JIQLgyiL 9ahK1Ev1EqdvlgVz6DwcUoWwmkg8yvPG4Wh+2oOyu7C1TJjf1pYyPY/q2y9MU2VbYRpGr2oTSqZQ LmPYQgyc4gY0qxbqNmw6lTbCPyvJKDHI1YHTjZM/Ruu2vqfsZAwv9uxiJLijl2T+F+Lz4yfZqjsp GL4HBikIf/RYyz8WRjQPQ4S3DAteHGNA0DaXu2OB0qmygwP3DEFx2+iITeWMKkfL3doEsxTmfq5s 89JWQgwkOxb5+09r7S73ubrYOZ80LsLP0A/It5ZvHvvpLn0avxEeU5I9tvoDXXQOZqeQbXrM2IGf 01kUIaRGiFSgTBKLdYmyU7WokuveUJjt+qdlu0HBDfurfFnYZTSW/DSyo6iKUUeib2Nt7OUMwP0I i+rqJL705Falcb5ZVOkd588blohm/ZZVvScL2e2gFPEknuzEOqlWyl/Kia51LPq6BTa01Z+kEJVs 27qdNg== `protect end_protected
gpl-2.0
421d04828a2c23024ba7b82d5f386340
0.949321
1.825509
false
false
false
false
UVVM/UVVM_All
bitvis_vip_rgmii/src/vvc_cmd_pkg.vhd
1
6,923
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.transaction_pkg.all; --========================================================================================== --========================================================================================== package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --========================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --========================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); data_array_length : natural; -- Common VVC fields operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data_array => (others => (others => '0')), data_array_length => 0, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --========================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --========================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --========================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in uvvm_vvc_framework/Common_VVC_Methods QuickRef. -- - t_vvc_result includes the return value of the procedure in the BFM. It can also -- be defined as a record if multiple values shall be transported from the BFM --========================================================================================== type t_vvc_result is record data_array : t_byte_array(0 to C_VVC_CMD_DATA_MAX_BYTES-1); data_array_length : natural; end record; type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --========================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in VVC interpreter. --========================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --========================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from VVC to sequencer --========================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); --========================================================================================== -- Procedures --========================================================================================== function to_string( result : t_vvc_result ) return string; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a record type function to_string( result : t_vvc_result ) return string is begin return to_string(result.data_array'length) & " Bytes"; end; end package body vvc_cmd_pkg;
mit
ffe44a53c7ea3cd5c1267aaa02a4c1e8
0.450238
5.13195
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/ipcore_dir/Instr_Mem.vhd
1
5,745
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instr_Mem.vhd when simulating -- the core, Instr_Mem. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instr_Mem IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instr_Mem; ARCHITECTURE Instr_Mem_a OF Instr_Mem IS -- synthesis translate_off COMPONENT wrapped_Instr_Mem PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instr_Mem USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instr_Mem.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instr_Mem PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END Instr_Mem_a;
gpl-3.0
3ca0a3cf41691158c9a517369d768567
0.51819
3.913488
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/RegisterBank2/RegisterBank_tb.vhd
1
3,993
-------------------------------------------------------------------------------- -- Company: UMASS DARTMOUTH -- Engineer: Christopher Parks -- -- Create Date: 13:20:29 03/25/2016 -- Design Name: -- Module Name: Z:/Xilinx/RegisterBank2/RegisterBank_tb.vhd -- Project Name: RegisterBank -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: RegisterBank -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY RegisterBank_tb IS END RegisterBank_tb; ARCHITECTURE behavior OF RegisterBank_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT RegisterBank PORT( RAddr : IN std_logic_vector(3 downto 0); RBddr : IN std_logic_vector(3 downto 0); RWddr : IN std_logic_vector(3 downto 0); DATAIN : IN std_logic_vector(15 downto 0); clk : IN std_logic; R : IN std_logic; W : IN std_logic; RAout : OUT std_logic_vector(15 downto 0); RBout : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal RAddr : std_logic_vector(3 downto 0) := (others => '0'); signal RBddr : std_logic_vector(3 downto 0) := (others => '0'); signal RWddr : std_logic_vector(3 downto 0) := (others => '0'); signal DATAIN : std_logic_vector(15 downto 0) := (others => '0'); signal clk : std_logic := '0'; signal R : std_logic := '0'; signal W : std_logic := '0'; --Outputs signal RAout : std_logic_vector(15 downto 0); signal RBout : std_logic_vector(15 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: RegisterBank PORT MAP ( RAddr => RAddr, RBddr => RBddr, RWddr => RWddr, DATAIN => DATAIN, clk => clk, R => R, W => W, RAout => RAout, RBout => RBout ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for clk_period*10; -- insert stimulus here W <= '1'; -- Enable write wait for clk_period; -- Write data in for i in 0 to 15 loop RWddr <= std_logic_vector(to_unsigned(i, RWddr'length)); wait for clk_period; DATAIN <= std_logic_vector(to_unsigned(i,DATAIN'length)); wait for clk_period; end loop; W <= '0'; R <= '1'; -- Read in all written data wait for clk_period; for i in 0 to 14 loop RAddr <= std_logic_vector(to_unsigned(i,RAddr'length)); RBddr <= std_logic_vector(to_unsigned(i+1,RBddr'length)); wait for clk_period; end loop; -- W <= '1'; -- Read AND Write -- wait for clk_period; -- -- for i in 0 to 15 loop -- RAddr <= std_logic_vector(to_unsigned(i,RAddr'length)); -- RBddr <= std_logic_vector(to_unsigned(i-1,RBddr'length)); -- RWddr <= std_logic_vector(to_unsigned(i+1)); -- wait for clk_period; -- end loop; wait; end process; END;
gpl-3.0
b8a463901bb32580a591dd1b90120767
0.57125
3.521164
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_log/flt_log_single_one_detect.vhd
3
9,764
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L1Wq/U/4Q70BPLc4FliSYXUP8ed4BtWz3GvmgWB8UWIi1XL03VHoQ0onY2nStMBKN3oi+wfJOiil MjHCSqbAug== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block MzdIDN3x4Bw1oo4Ss3mQa5SMaFmAjCw5RoZq98kMkAyEiVKgpPFbJb4+AOLa9m5Y59a1lVHujkAZ VvnwZOHD2YN6si05NZJaH8cE/m9DwaR3DM8zNULuczEl6ZKfFhMQ14sofrgfiARaJEHEUwa7du2X E4LT9GH5WfduXyFc8Rs= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YGKqMofE48l94472qRBFIeUGw7tlfkQDcScTeHruqu7X1wO8iAUPoXoD7l5O4Ai8g2sFza0R2Ssg vQI+1YbfZjtF8ep+3xrkC/Cip5vKiczPsLgKG7h6ougF7loutaukUxxzYBvtisPT3epBZRgvdLD0 ZR2MGrnXVywdI/nkkn1NLG7iPGdq9mKWzuObnCbPm7r58VTj0eq3Jp/AN/o1yeFoga1MkpGuegJ9 Qg3s1FEmvXxM6x9CMcdf357r9jayfyEG/jzIB94i3T9u3rYwtSLwkZW2fHFRCZbGd6i5UeG+OmKM C1ekW4u0ALf7b3Ji1ne4Igsjbhs/pJ9pV2H4aw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uyw/DOQynJJgspxp1adIPmqeCtlJpmwwPxky55WpZiKMOqIAc0SHyPsDc5DddouGvUqpajruWlZY y4aLivco0zT240shdXoBrBlOdZ6DR2jb+navgiLw5LbkVyGUdNnjQsqlhktdL2G8SxofFpz5+d6B nn1KsP+d6mOICOlOOfU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dnEllojgoasTqyaN81Omqc9E5/KyrQFjPt5x++4+3OmtPe/B0XHAy8oeUzF5IpHM/dS56PGx4bvc zJy+87s6gi1Lx33e5GTHzS1loDTIQNoyNWYXhMGFJswTmW/DcLj0LDuCWNTXa/UN5onMrfVqRuSl NXtFH0z1K474QdkvTeGW1Rs94CnOJ72grn/AXCzYkt6pGQZyqtfQhmjv6oRcEVkNxptSu3OO/lJK e+NBu4mK08YAro4RYIJGi8jPZra5KI/3XleMpJx3taFcs1iSZHtv9FhfhZdZbRoVnqcU+r/sxo5c F6g0QE25z0Ao7XFPKBOkQ0/lrlzOiffiJ0RA7A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5488) `protect data_block N+7x2u+MR6CQ6bjowMYHk8sSK9S2Ks7g2vVsBQj5Yi7+zhMoJwX4dvoDLT8lYXzHfMGVAYd/ADKz 6PA8/1W0EZ+DmbHPsdi2Dh1KlaWxYqBErw0glMdy4/4+ccIbVvBD/JgNoTSudwJrcCa3F/7z9/5Z e+42O0ooRxLDlk+VdYBXJnkhG2zt0xaJH79MKcxeYjnjiYoYC34eyCl8q2sEbbQoBQ3PET8S2gX4 pTU9xqL8iH3hBveDikrG+gam5svrd3oRFNtd5YtwGXIRpnez8/UJzJB36RK5CaK+ahBdOqAaCD3U iF3aG0uCM/MBnliTBitUFdQQNR1WoUmMOFr5N6zoYi++ieGkCJ3ssHOozMIz94dPRpQ+8R3t0ZMb t2oBCBrbEEGP0ionS8el3J8fXwM4C+ZG63z2dNsIL86WIVcL3dVTsxO2zCI1WkwRopOD2V7cx44Q S0TcKm2k3l2m5TzOk5aMvdjQg54zP6WDpClD/YfLBYz2vTUhHA1lNOceRcKAD/gdPmaxR8FRr+rz 9P7aCJB2uaMnMtSdKn3KuhMGK747KXcc5BSzCbnRov+61GwKvgZfZ9LLIYPpr+lRlB1Axc1Sj2T4 HjjazGe+aYg9Z+vwhAAY/IWusZ8VQvvbGiy5hckkbNgQTiVe1W7rxyREKKG/JaTIx2zAEEMEv+Q8 wf90z1vAgZ+iryV1uUniC0HbggEKYwL5iKRhQo5UUbNhHDZeUI5yB+dPFUSRbhV72qX3RQKsIv/P KZEd5219oQ7KztfnGnPPXNgQ3JxeZgBhMkdk6Wl7tStMhdstgAaq6SaSRB4/lJ7UrBy/JqBvE1le ugbpqTlBQHstiQeDDP2bulDUu1ia+sPZMCFUOu2N3n5bsO88k29h/NNc1la7NWtofhQKtE0Kp4P0 ElYCoWVjcvQSD8XtjqZoyYR4fbzg7oKCTYmkGsPMHW2mVB1Uwe8khCA8VIXRyu1QbrBajAdGFzqI +ZFqlhOFacDKQrJ/uAfpWR/dyijH1BHNmZs1kewolIQugPTyjpSqffd1gT5kBPVuq1LInhBUjTkV K001GOebO7bMds0+OAALNKgNELZ6gRuSOhdt4vqbkm3W2hqZWgQV39sWeaarH2tiXvVi4E4zR0mJ MXj+MXpBd/Apn58VZaXJ6TGQJDKjO6US9RDPIL7pjLR69SKoamHzCOszRmFQJj6XrVFGaWxvp964 909wolaJWL1CzLWGKoWGIfTlJ3zpfR/bqr656DTej/K7CW3yesmGmMoQkHkqOxF3ZBY1qGFc6Sp9 Py0Qo8+8eLeLYrafLm6IL5nzUMmQHWmRA5KEiOjOv/V7BIrbTXXnJjXapTsp/wCDElTblycTSiBj +zPfpS24g6vWci0CGERGFNBtuH9TkICsTTRRGj/QJJgy4Iyl99mOzfEUeIl5JcmLgjHlLptC1ehu fKtRQaNdJUPRQUjQGdXNkuywFTscwTbJAdRdkG2Jonkv/pBbIlRz1cg/qVF4hz0ISz/Ls4dstQtI tcInH5zg5Yvu22NVwN/gTNZ0LUMtFOwmQvAIJusL8DnZ6Qv5JNl8akiEZhHwqIT4Kls1ps0wlW0B 4LCx4GTG112U9+oOQcKkRbTF3Lfh4pSPp9/WJYafDBrqvcvuir3fuDKB1WsjP11KqutxW+2JE7TB eim/yYVOYTRkC0SioBs7DZaPlXVi42K4ptzMoiEH0sQQ3cZaRISrEYwuvCi1rT0l59OkR/SHk8gk qs7rx17d5D1MybasT4Sr+OodLdEmKwjpTUk+WA8e+FOEjPqSey7z96Pdy/9T3A+VXOIxUD0eFXbG ufU4b9b8d7IHnExlcTGBH4UWUS8zxVxKO3WCaLktIo/tsHaNDR1+0OIRyXXIs+BeRJYc0Vz8RNr7 7vzyqYQSDU/IF5D/DRi5mJGwN90llQfPUzhd++8n7c32XeIEeHn/FVyfrxOdRHuPbwK/jqYApTFP 0h0TyfC/taI6LSgmp3pEz3+2Vn7pSDsDO/t2qghnU7g+BH3cA3DaDngjUzYUG7auoLRZOMHwKbup xuGyVI2VWJDxwpal8n399CxiuAQfAiHI/qKdf0rJCJuxzm0f+k7iE5t96ZqVcTVo6mMPg+IhgfUE 8v9llaBMC7q4TBVdJn0K9zzVxtW3Dm9hchDQdyky8wKlMIA5hfWKM2hhBaSjj6U2cIiChU+UPuw8 u182ZvA1qjXLNOA8j1mxLER4pO+yfwgj+dhZCZsQr1opIqieKTyogzPEIsrpJ2uK+ASaBWRddIVp Qbo2yhDWtvokrXtFmEEKF/zvFaE8bdquE1JRgDYDes3VdeFXutDuNk6lT9wiOci85VfwSHtJx2ux Xow1yYCEc9jAMTIwzpqlCj9MSK+EE8hJ6vB/Mca3HKxNbAnYQb3/RB8DEZ/0EKUN/MQia9iLbMyg piEpcQIg3iYPfzlm7mhn0L6Q2CoUCWOXnHdbc1KNr5ukTKj0dPFyvRGprQFc6R95fauDsXgDjLot 6PD2JKWl54yoeByupdzm4biycLoSMFoLtf2emY8PnosNlYMHNzmimma0UTr8aA8uQ/+Pg6owJjB+ HWalxpvZpDGNjObD/uIyxaH2IitIvR/tBBniwcP7/A/k2AbYhdbuu6kjobBkNmza4EOjew2D0dbJ Gigd9PDlIiu+n5u5KXVbXSvk4OOo1qrzyuP06kz4qsNpoblahjX4h+NjnVTBkHS/9hnqro8lxk1e b3S8vuwVt71X3F4omlj28XdLZNxFk+zIa7AUhAllpY54VqPFi6s7iDBGuUxlwg50ZFhZfh2l4sdz TCkCI8CPF35vaSkh4aZeuEROc+vurZ16T9/e1BExif/C+h3aOvljbM/Ij0gV4bfyvyyThnlknPDT P1dOqPW9c2LV4hfNM2DunGuII6khek/nMJ/V/KfvgwQSRsBDFp1wLB3ilZE+EEpxt4MSgITOlNtQ BmuO5kpXniVJ8nSYGjZ/1u5/MebGdDW0VZt2x0YboV2CTmKwybfY0gSC17CkzOlZ+ezJyB4ddjcl K7OH1JhLnH2JfEefEU4ngeijSBtrR8tDxF8ttuogsOPABK5AEGPmrbvXDP/YA09yFntoEMz/6z1I 8xfLZA6b2NtCEs+wp3FZLyWn8iuFT5ptxJb/BEWKyP2NRYZWlhcFXPaIJmn3xnkErQ6ArYqsfRuy WtbXVG1LSxL9QWaJi9CtB1GWj8DhP+/JlDPDRYuqmgLz4to9Z0NKm+pY0w7Q6OxVru4FqI64kkRT p421wNfC+56M/5Z/0cWA4i6mix/qQVYDcgb7Se4HNvBUeeqzMooafTDMgSG9kwMek60nesA2xZF5 1eEJkVo+4vU/GpSq51yOAZhAgbhfp7uosa1Qb57Jiiz4oEMOtl8ntlYP4r/WjgvkEZZjGEbbQ04X 7gnaqvDYGWV3zP/QXXSyj1oNdwl9Sh5AaZQsTLGnGfpNs3iYFky55xBH4HVY151RmGzaLEexboRr 0RqFtZVbhSrfabkSsQOGF+lYujHPMpVKokA7Vx+Gi9fDNG5ZrPf+EqJcwYWNUOmGfsaBWz46pdNB oumcLzyPQfhYiiW6hzNmjLTcx2b9Gqj1YpW8JxBttSQEeV3Gbh+1DVXJh/KbjsEbJ8RYB3wXuclN FJvoLZcFV4i/azePUX4ujNYUFay048e7xmDxvIkd2WpZabwLUgAL400IWeWi+kUxKoveMzlSpt9g N64bt/Z872BXguJuvsk5rY7MHsDmBI9iJWuuPxJCIVlpS747e/yhVmF8qOPGCr2Qq9oKSOnnpnW+ qxZXhocB82HkZ4L2zqHH/KyjXzZ5n8qKlXsWWUCpU1mZ19UOexf8PWaTIopb75+eADd8/M8/B8YI xKrfocC9L1kUHAbag37IzhwkUkL7h728rTtUBrb6/VtGQtmEivN8c6T5ic+5k39utk/ifL1SEOsq HmtwTKTmC8SRl2hbmp9kDyRt3kNBpd7OCQoaZS4JbhDb80U7wXohm9o8fGVqM4y7mRruZUfkKbja 4tZaIY/D4hYCzOT+YCHFd+YUOwnme1pyjsrGTuXHdjW+dzqmjwex6cO6M8MvwfchGl7SOfkeeLUj GVVg4//tM1x6a9Dl7Z+umYg3dC6TuQhjxju2Cdn6vplM0WEhCw/ektcq/HCODbLMcvAnqgOYcDSe FjfiKjIvU/mmZk0yf/iHB6ZowEYCLQkGsl56JPYF0lPfctKtysy1ptapbm54m8Ixwcgg1+WsorHS IOmpxQiJV8wweFq0LdgNWK/wie2lwSsmsGELdyFhzdZWXWpenAKMGj0ppRaZKSYvm8ImEurKKGx+ O3JSUC4VCYR70ZxefqwDh9cW2EDw47l0/+pa0FExyATF6w3zqwbcUknzvMObTsbaFz0EHb2B6bKL XvxdyBFOU4S1wQG7MWbUtKHgevWWxh76awshFk54mTs7oIw+WzQ3ZwXmsKW0zOc7pt1S7nfFIm7E iCbmmssl2BZjWyDg37Q76fnW4Ewm+cJfCHJqYwHF4xsAzdV9wikBltmddiMSMO6ZVhXidcVmVh9s MuTDMpIDaeQzsYSOZtMT09+9rBz/o8Ay/Y6oQ9bZpXVMOBLaA5maOOqxbLmzErvagbtiqUlW0VLp tFyEBLd0enzZVZOw3Gc9Y5hyaqdm6GXNt1sLS+1ZTfB3adXvgzL99xMD3Ng7iFatmq2r3skT4Agd KMAb/6RKKQzAeRr1x4Dy6Z9FJbtFk7M9aSYLwNFSxvo/VbQoM7duWDz6L1fmAUvK5KkxvBcST+8R jKUY/y58v0RMr7vfF0yqvWqpzuRkZKbXmj7iphbu+yew1A/RCN2kl83ReQ0tyYIxG+IELGeQy8Qv 6Mg82wXnQhAaEW+aCFz28IQkLt+tdQ4fInyZk6tx5nBgvNQsb8Kzv/LpUf5bp9eYLVVg5qe5+s6h nspNsFWC/+cq242wsNUMGzicFYBnLUiuvRBbhu5gWMdYmis5+hDNTScsFZ2NtI+bIqjORSeE3Qiq XVfx4dI5VoMuYAP6C0Tu+b86xvqkpqEziV+Qox6OBJ5GN7cnJMYGKWFhNobTxTuqbsqbEQ/lRW+H zr3gMR7xILrBEFtKbI1+i9XqgunCKZaHs0ytWxkuwf+7N3aPQy2X0nhUSdJ2slYtTwxdx8jp9HK/ 9YeBVsS+7RChNSZKfkV2ECLnWGAa6EZN0tg8JuG1aCT52GjPqLpX8VJ/lCoc5XADSyUT7McOehxx +UBX1epQ4RkQPf7YL7o0UUG2dNjNj5v+pabmuK5kT3e/MQUSBHSbFpQAE0aXWPBkOG6c0KEUPl93 Z1HNuj2D1pCSP7ck2FPdcjz9/9QWuqoepAVd5tOw+Hop7y6u6gvJF/0cmnpZgG8+ph+uiDg2RjtN LjRZcZMlOA2Ige0qrrqaczrlM2zSyIiz6q/Umvt9y1JOkC+smv0pghUGV4FRxIUDHcOWKunwCBRG 8pwQ0EBjnBen8Xe3w5qfcjwXqgY12xAfA7ywkfyJWgu3U5UVoXrUxrHDLBq4YztxIIUiaT610jiD HSTgODlYitAFQbMEg3rnUtLPLzonav5JKegtmIQ/HDacnf0BKxSdXKAvBuKbjY1z6soBMwtzcn0y tIuobqPnAHaobfNIstAopGwATU/cEgPRB7hZY5NI1qvXmY+TLEzk4tGBTja0j+g/sJicF8ZQCQjV unGhi+yf1W6qO8FXqtFd3+VhuDg6VpSGD0o38cMaNJ5UoNG+6XSBbTqxYV/6BmK+HMxZINnexL1q MLQtlKc2TqnVPiroDYFmgPYKfzj67knOC+ZL6dilumcefg6jSn1/bfGXqmuzG2mFLw9gGpgDDx6l dfKKsyR3Amezf+DbeBNNtoV4/c0NyoTG2IcSG6O+Qe2s6w/BtYgp+Axx/z7CNZCCKqIoh/g7iJ+d zJ9Pe4FgE5GylDgY57XOxx9qHrqf4Eihx/OylLkFGz0tkrh30x/ldCtXw1APiSpKob13W7CDUdpG hnZDoOm14q986JjXrq0MYD9n/Syq5MtddBTbZ/8WcC0iRdwDPzPenGD6493Ri5QQccEKZnPbYYxb srows3V7uNXjs2uYkIX63BNnX9U0oMUXkx/hJRLys41T0iQ+7jzgx7515l3LDWv5/0Ecv+jC9Xsj jzrGgRqpQ8oxW0YyqyE66NO6bSgYMrljWp9oyoJDDPUFlGY4GyAwyB4cGiVhMMtspIoV1U9/W2yS rYfI3h8xEnJ1UTVpTUZ9IpmvCoUYcVCrkym4aFBB/DR6qMc9KhfGiid1qzmyGIYRUb8fgMk60769 c5ObXEUn+pDRVo6DGP434IV19sfJaM8JBSrJVp5s7ZJGf6GhMXj9TD1O+/ZgERJdiR6IVb3sKEUc QN3FWztofOxojuuf9Mou+Qo3MMrtiKIh71G3n9m/NPIId0Iv+4dgtJYmc9dV1LuILSin6bFEQvfh 1G6jxCCOeyXRMiL3yev+0rmxVntNHDTxGhsnHyY1zjv0SKeLSwf34h4HaD3Xdvh7XJy9/DaCHeCI Qp2YU7n9OupGu1YN9cdB/699EZqu8qdmrrIGl3gnAXxfjp+KeoJzyOXduzHZ0RWoNVydeuzXAcjC 5C0M52BZLGgcpx/D2GVMF9xafD2xB59nU7U5IwjX6n4wGyDSdXAfo7lkfXLYUwjL3DSmbg/zrvDU ZoRRRM3AMXvXnEnikGAoaXqrCN/Ingodu8xJzkj8Rbu3BxL1xVuJvhDE4zDtmQbGIiiGh+tDbJ27 U7r/sWryaFU7YwkEVL6WzsuxrZFTXhLe3ois8CRlJsHs2MaK5kekIcLDhM//ChaoOHnE0/YP+34u TjoefDY6rFKSv5BMXAfR5qpPplBcyEj8rp2ajd9YpkPQbcMW/WDRtmUnMktJRNgBVb6PeJ9ubhGe ioz87jF5VVp9+7xyF2mfdeRXUkwiWLuDrlzB5VbGx+k3bQNiefN3DS8X+rshSojwTyqHjK8A2eZ/ wRpxthghzHS6tIxGZasF9BZpqAm8y9gBcexbhrTE3D4D+S7N9lKlf/HTfkUO+Ch80wdH5DFFeQGj e+98/IwBB0i62VtyDtNSMJDEth19OhoXxYwO3ytUkq78d0X+FYunZeEnCBl3WzG9ygrAJ2atWpmD tpGxaktghabrlAa6vqSxOiIZaUooErJhwYp0oy1txNxILKTiZKT2PtZiKMDsNx3GF7Gz1w679Wuj x0+SIAB0bYHdBV7RPgOaZ3yAGTtzvpE4Kjcgbi1juwwbSPI3B2GfCLHargpYAKovGs/f21/pvm3X cqbvgYicypnBEnP4HzbnZA== `protect end_protected
gpl-2.0
3cfc3dcdfcbd3efbbd772a8c78ea0f8e
0.920115
1.892615
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/sin_cos.vhd
6
98,404
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NtlIsBe5F9YzubYpAO/fSHeixgvLpg9p8gQFt/OQ15TQB26mSjNJ0nmRIQnTCB/s2nsvfRmBFgmE V/a8QL/UhQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block C5w101+m7inas2AWe/NPg4QE+RBDCesyQduQAvz/L5wqqLNFs6DOfYs3u04Rf6J8bnrfQVfLGv50 aAo9/0fzG7ocNM66/XcGmQX/W2wGLGg/rBHUGneHRV0nY4IzS7tQjJ06mtET53iAA/TKih/UThdt GXwkGKi043FBaqrG3UA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IrdoVQhIm0l0p2BFrzVlIqs5lZTffcI0vENlEyyhHSmb3Ki5dc2KC5Yzwuiit0EhMoKvrwVsCT1e JG3s3gV2r2/l5zOQMII+ixUWp1c/Uq5V9qTYpU+ufpE3a4r6df50e6pI/cAkjcrSs837plaBPVlk /tcSgs23L4TUhDW4eK7v/52TjfcFp/WkKKL0xU8akAjcp0N84gn0ChRLBAKFyfD0tzO7ozU/KC3L 68q/O4IKveoL94MPKmIFXifXqfQ7ZS/ZbtDCT8DGZJmqPZ9KTa6KuxM7pS7msaAkWbLpMn58bUs8 YVnOV0e/4LQmnCvcIvSC0ZprxJIvoPff5JQv3A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vOFSsmedqKgCVrikRzVIvd39H04bL+XSenLDYl/O7O35bROY1V3U6ifAfqn3uYB3oG0Tia1KkSPu GG379cevPbfzRmQ9q9v5EX60Rok/MkIS4zcjVJ2h2p/dPOAElJBN5omznhkPYV2hHS2MnsQ9+lPM SWxdCQNqGC8gOFl0c2I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dQFarBlt3CeoQ3dbYVIOw+0PGMJ1QXimw9a57ecsfSTOk8mKg6vGygDi/cYDy7ysZRLpn1jlzIp3 wBhK2IYMJ1etMkKrUuuDuJUZOt7YNXA5J0U+8XErFPszzuN86ugNSWudAxliJOqjo8QbTuE6o724 JZmrnGXN46xEKsuGdEhx4o0X5ZCFnRHna/fq6r4GGCP96fT1MqE0RPf/w6Wv3cTCGlT9pYvQfq16 TaGvjQO2HCB7ltdvI13J25Ngrmbrh9h8GWXafeSpbpEkAg7ZnGEcE9TsIKNy+IkenJgsoSE2PCgy XBwyMCUA3OadTEC0Op8FWzR8ElrWecxpER7DZQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 71104) `protect data_block e8K6d76gaNxync54wiEjlhBPVhybFHTwE8p5Q8mErFwZNul5RrRCKxEPr9uJc20A5k+c+XaN5hKg PZvSV6ba+nwGwEIqqdKGDfJO9ZAxLdl6bjFP/vHgGXeO5j0HnkThV0E4bq7wvYnkoME/RQ5aRGe2 jKO7+btCvvnzphm9rei9WRISibwoPMK+Ef59TXVpE0/Z640aApAVZgZuNTTkw/nRqRFlu5otGdF5 PVWSUZV45AwEuNtB0033tOs7rA1os1L+xZHM/Spdj2jph4dtkNkVeKNwPdfkMik2Wnlxcrvf2odu 9EhLq9mQtNSq5Wj33PnrsV6MxEORxv79jyPknIUaabBTZ9LdXgA7hSbriM41F48NVcOwmL07vVit /b+OmBnFTu8ptmgxC6H92ndnw09TrV0En8dkWUtwiXtFz86QOpHw21z5gzIcqvoqj3YA9syR1Evq VoBxye+GKFFqHCI2ZqwuEMnq6FR+fTNtL7k4Yw/pvkClTcHgD4nAqqAAJfbYe2QTgGIqgaNliNkr sO2jlz0fm4dUwX0mvyXCN2GuZ1U6t4p6VEpaTGdsXwkOq7Q+7j4TXRjoqDW6Mg0lr/Gy0244x2qc DqsAxpj4Ai4pkYIWMi8Tdr2jc7qeUfenbCXOwmL9aWu3QAk9UX3NrLVm/D+pmPbD+9m4dIRMXg5u ArU43JokZhKkg+bEuP5yX1giJJ/rWmNMgB4LZ+HQWJxhOiTW7Fi8z3cDPqYUmnXFdO1J1Ik/Ft0z GSOQd9mcMnzNC8BcjyfqomsnBtCa6ZSd+ijFZ3x6DaOarIltLtUBdIi5DK+RgyzQTUNBdBTbAqxz TCpx3/W3IXtw9ESbtMV/i0VRuNoZbb1GWCF663X9hS3P5dhYIDEMqy46vuwkObnbZGr4iOG/XTDc wsGQmlV/xinjNbDTDJ0C6uM5hVJT83fDuqrjiQCttxx96zHD7r6ajxeAkvWgj737+iXIOHfiYy02 FYZ0rgzAQxxOEbyVhblHX39difSrJz+L3wGcNhhw5a60Ec8rYBzJvmzlJSnPq5mjt2ODSxXICLQ0 sQ1H0NEypIqKQkMtHZcgg027XfPR1k71+Bz+M3giHhSuLAp7S8oqxEPsqsOM/PocZZrWPmDRPtCW 0+yN/PG1p86nXMvZan2yGUa2k5vQLKmeLJ6gOJ6aP3ehpsVIHMaduV/lk9tfP1uLgCPwBHImKdfC 8guvmfCozE/ztPuM2gYTaRXJPZt8Sc1z3ltG7JsA6+hsCXIHIWEIpFA2yUtOERLUaRmQhwyUmRhL ICQaSwAHIaf6mPj+EvO7oOg0YxkMWv+TdGheG9+pEv2lrNPthYsiPstUsBGIxEAbven+fCvIf9cQ OpNvCK6lv9+hNBTrd1evWQ7al8sWJD+Yx3KL4RZTQJi0nTu4b+n0CFpvhcB1/p9kODArftLvs+T1 WROLZO9UAe5u4thUlVgoQdXQaGETPGgOKl9w0/J+AfebmNezRQf8K/h5OZUA+tepRhxiQiuZAO6E C93RKjxf9VYmoGaKnUuf+hsSBgNoAiyZtL3d6yWF8Bozx6tey38tYsYK8y1bP2WCNTlGcPMeEWNH MJp6qOn6E39MNckkXQKx64aZrpFHrXVAAOAqP+gMAxqU8VwFrkNsO3AYcrkVUVDEfi6pA8L9t71Y ttVvo+tlnLPdSwBAeUDToPj8T2obxmFCg3ldQRiSxm59EI+BNtn8VphufKecEw7iYXucoSSlQuAN sabVMUMmxemKCHAlibMdwyh9bgGHQ/0nEYnv+tmgbAu8bFof9ZP6fZbW/yk6GqJcJTcAD5Jkx7VY joD6q/SzRKFO5ROORvf2vtRQy8ht3BMnbELKSgx2tYNFBIyqcE5q5DoEBSdMZI5uKregs6clhHWr 6i2EwR3CeyZS27+nParazTNU9P3JatcPNSfp+4aM1Tc13+d6wQbxr7fuHVscY8k8tfd43zPAil2Y S/GGxCAexkoDSdK4+76cnRR94VTV2MNWvIP2PycScu4E8CEjPPoV5NeIx/yDcNseYkcTwjhs+oOS 8y8e+EVODRW4TSKo/8mMBIfKInzYp+rzQBv/S2PYM+L4AzE0LYZr+zLXJ2kMKlR6pookWHK42T1n eDS5qOjHockvUWx/nKo7rTqRv4jhZRGTWq3/g90cFBoGdM8oaKJPkhvb1SMFb8iSMvtO02GhRcZX Pv3u+GJONneNdWYd+6bGpcmlNNW3RNKXl+KT+RnAQNp8AMY4miOODcSo437ukO5IeWK4OAUKfvXi n4thJmaVkOUPc2zmfsFafvT7fy7r5G8oeEEWgn++kmy5e56rKAZ2tuhe45r9Y8IA8Bfcs0CiLFjm wgxO0xXn2vzzQs+K2vqSJ2HispbaTFwjP1uPJ4kLEOUQrDXe+pNZDH34W1tjlA9xdbuFRxSKjGPG kswjmGiKGKMOJo6JZW8yUqnT+IvKBGGP1CBrQPwFuQR9eGzmx+QbNhbHts9MLfnGfyLLxFAhItvE LBHERqihBhXBWeozUdAU7BmIB69FYt8ezEkKL/sim/8mE6bwI8uEHjJgaPB/UbBwa9tmuosTm16P kS/L8KSpJ1sXsANir6AbR/g2Mp4tWM406EleKoKY6IqypbLncKiW3T5MdxC0YJdit7Dwx1CNND8h wCWkNexv61ZJAzP/bQ145v4zoHs2lsT/O/Decd1fqsLuAwNf+ikQpZWxSz6tyIam4bMTb7mbasPg DWiXX3CGEVNHxf+Pp3/b39tM/kjdIZTYFkOjWe9Mbc2vpRlExAAsYP9Z4EI3+XGX6YHga3aQ511b PIz/6t0XCyii7Dvv4C9MVeqtMmEFZCjVtiIy8yZX/uloLWumIVYyrclGVRpKvjI//zZBzKJxS2do hqfxMwNTC4jzIUfnxWP3GVUaSW63rdx0MkH5FiZZbCU8YKsDhbMp1N0B7tJL2NdvuS6eYvm8sD0J Q8ctnyqtDVJYRahxG81HOXRC5jiIqTtFlPJ+STu/ZXQEPO1A/jGoGtpcQbs/8/ur6L0L7quvHf06 XO4pJh/AkJeyOPStpmb9nFNztLwUezEqmMK1HdBO3BPkvU8PKDxWCna1os9eNJAF95o/FfikxnT/ ZvJMmSdMqd4WM4/WRs17gjvpnaRYrT/UrtH702GNd/pivTLnxSI1+SdyDJP5kvYFSVEYQaS/kwRV Nwb+RQswY2bY8jPYTKQhLPLKYX3mBbd7PXCjaXmarJry0zx6MsSHnbECtOIgIDRWhHkDREBSiKkH 4YCtw06ftZx7sEZE+G5kGopg50Ng0qMYJ4CU35JBwJBNDYed/84YZ8wsZbM/Slubqo22URcsj+nL ALJcaXVNQ/yw8K59tf80yponIXRbIz0sv/tHWSbw5fK8p63Wtwov8zt6MBG3m2q6F5VNkTBTu9Tr IeY/NWMO9j51gtcMSXu6CxguL3RuxeqpRA0o9rolLzhgRM1U7HPjPc8WTP6kOVWeuEJMbXuhw18u TGSCRWmttkGgdLY/AkQxExGTmg++nUJeECKXE7zfsi0Zxn1JVrln9TrtoOrK9IyRvsDntk3f5YAM Crd/LuKIwo33eTJ4uBoWbj7KJGgXoqn0d+8ntN9oBwXF8G3uKZHVnFhowQlaZZ7OM6udPM8274xs 2MfDCV/e7FWl+54vfEiOI+gxH+DEKDGCYfTG5RX1PFlCkivrBc6kd+DOFGKLFP1VwjMFr+9XuTRC HjCuSP9malPLjYy1yj2c5+Bd1ZQPTf/hDHV9xd44lh6AXHqgO8DcJcyjm+OzGOdlU25Wm0tB9Tlf 13B9eUZH+fqh3qH/wg0v6Pi9ob4U4kYYACn1Wo63MljUDb1Out05ZzUEJWsWY+8wuZ9XRHm51b2p 9NwEjtSNkZ0wSlIdkoOdtCZ8HAyo6gBuh5FochFtr4RGxQAgAX+Gy3nyXENBUiYANggpBjj2+lMT sMUvUmV8PdsI1YZ50u0EEzTZHQycLAGm+2qn7oOUHXAbCEnDC2H9HwnN1iwTRxSUZcAntqTpNGGS AdWl3ykNGrtYCpdJ4K/CaNq+VwjocB3F72DsDITAqpeItSSJvpq09Ug7u2RH38BpQq7vfcn83NPs /k0Th/tGD3JnaEWo5oTu5mk5TjFp/PG+kDDV1WsHGUq081WF9BqwPTTPEb6Joobskis/bOse4fsw i7dm1d/ZvCwrm6mRqGD8GB7vVjwj5FSGxa5kMi5Rn+Br+jpwD/LF5fTwiJGs3feFmT27xAPzHM8+ SBxnGnCiZUsNyp1J4Djil9mHwt2AqTaLUbNT4+56TRCqxPwNNYQQfnV2/gmdeE3xK26/vt/rPT7+ MC4BNXHTjV5lzzlIxiMQMR4qqPHdXMy0YiN/DGoySc6ikls4SL1DfeyX3TKWkQUOrrL78Lo5ENwR HqV59zdhhF4n8ASsGU9LukusKWMdSE0PlOPP7Gyc0izKQ2kONG6BuB9GuKoEnenYeA4ocTcl506a YYDhiXQqrCBrGqRQoF6ZWsEG6yJ7nxeTySyspAPNArj/Po/497uGhf1OiZdI35VTAkH0+50ly66g rw6bx2DnRsNeVLsNvVguDGMQTxFNQ7OEzmx4gSlczyRUiuIIfHsoJFxuAqTrLYJpFDo7+0prf0qR 2S+kayb8uAicRoBoGAAZVSkveJaJYKNpDQ6pFrfaBSzArFK+8RGk72pqN5pRd4+IwUMMzetVXUBz nIbY48SJlHbmXL9gd/Fbb6taTCMIWrQzm38B4Wtl0Bm3iqlLCN2phJTjWgtMn/CW9PYcPxGhwRl+ 6NXzavbtNczdt/FVlLQ/jGrNj+aU4F4qbloToMPaNDFr18wUgEqYzQEejAFIQQ0Vw7SW6O3IG6TQ t3NqLyEmZ8sjGoqIramLZptWEn3YoW7J5DNGjs6IAL20qrishFIJ7rpEj3mPLKMSBUVFymOFRXw1 6yD4MGKbiQO7VS3zodaYUD+j8bQMXpuOmBo136vV4v9Bak343+tr9ExuDJVgkhOKp/MNb/kvj8uL oGE4iB3GmPqCoNklcRBM3rkYewltKtiVINtTYY3Ytp7mAiW7CtmCqC3fkCM5Z9slM0AIDo4R8oN2 iDCFByMo6aNUXhPOyyEpM4UycDQskamyXMIsA9F6Vv5+zG2O5Ojq9008oxmLmu03Aqu86vaLAI9e h6Y+azPHaqMDQEJDUFlBVZ0rBDskdKXdhpRes3B7H52LnFxFknkjsWfhPOxLqDWsdWVeZibEdK3L SH+ReZve8GnkGwuZL6Xe06oJdChkVz4yx6H7j88banXD326R2A6mjq089UHiA3oulp9ThpZuKJoq 7N5VGw4vdPwUWaMHLV/PMkH+JEi8W138/vLHwngfk4TCXFZ3BrhZiLFN2CW+LsbGv7P7W9+ditD1 jrRLqSrztX5mVLq8ajolk/zSeVix4Hq7DUgCRuVPlxMeN7ZidLNpdiyIM2pOXj95a/JpZh1lBEvO eoEO73SzVG2oyYAT7APGZRZo1X4IoH/YRRLGOF8jVP+5ZPpIOEP+gknVziyH03T0a/x4Lf1DCu5T +2BczP/uYsqJXmOgvNOcL3gCFJpi6H/3N6M/1qvYR22+sPbOerjO40dyYHYaovHmTu+KwJ6c2Okv BdxT0KW+A7ymXWF9dUpocw8ABy58uWRdvPGWUUZP7O11UmTqbNH0UPAonad/lkqKZbm3wBacMbDl X0gcGow0OvRq5oT160UqY2fijZZ/rH1q85/A8lXHKMOgOWFsgmf+uI+ALsVJbHxJewqoTnTDD7PV cuDJkn5lAcktLB7BQzNMWBKvlBT6Wunct/h56DjXbDthO3A4NklfFcGFIOLvN7FVQbkJ1Iy11CAX kNlzID8dhcKijnEeFB0Pjn7yrQRvZDQkFGpVdmMwF9JDWjPWUW/l5YhvyaUd4QRSpAtB/Zlm1ilu js+9MWebHvyLR2W1PreTD0VJl20IEQN6F87/68Q+17AyOssfIb+EExcdHHcPlBceGyqHDrv3Kok7 EUw7M1a2U4SnUKxAMEpsbZl1n1b37mXVIlp3o/7s3PfCdSVx31ygPX2RHzPIihEpHBMIuhL/rJFw I5VvBDUrr9lfw/tiX2CcqLu9GiOSgpXN1pFKhstIwQ2vLvAqP/hq1s5pyaX//2SV6vtkBv5ImpBC 25RBMZc+32djzE0KYwHJpkDx5iCnYZxquh9/RjXytrcPa0l++ISavWlzJAFsOQOhCF2TDAZYeLnB 4RQBqV8f4g8oSmHAT/CeNL2K8W0C01olDITYL1FP4LMy8WNT8KhQtEv4Z8621WX9rsqMHWNlIere nwM74boWp4wKyDyzt8iB7KDojECqLvmyPAuUVg4Pgbed7NsU7FOVxxmQ+r8Ffi+L77LwVd6d2nF2 8fokwG1ZSUAlpGYoz/AhAbtYumIR/zRBgvCh20Vad1cfcSvKBvQcURcDuinptnTfLcHxWSc8U6KP A7R2JB6hubxajDHovn/VDBQiqPCcPWCp+MKV3J3b15wDs1PtxUWdMrYARebonVDUfKEph60xzG1V aKSm6lA/6IpOrWI64ItGMIq5zyT3AIvIqpCyp8i1b67S3/swC3rODS6bmoeT3MY4d5TiW5wyku0v fHlVITUIe4rtu4NTM6wZHxDik/ftBbV/HaxqzHhfZ0YkoFQGdjIEKTghv49gvD/JNnst6WiehDbJ ZLLj4LNLw1CGgY4B7K8fnbUo0ARg7346nztAwqnL74No50yfqXAa+yDazhYQRcTBIWqfvpxCzYkj C9rRj01h3Nq/Z0eeumVsMZtVbcLIfeMl2stGDQkxoKA4L8qBNWsTPGlDd1vEw+JCLwtjvaabOb+7 PlaaKbMgVmM55AEVACtsd27afEgySL70nQbwgL8YOHxCpbFSOAH1dK1cOB+qt+C7IbDBMC585gqS Z93kvxr2kWm/btx9o9tdsJq+XDt02mTzjZdHFRlapLTahznT/JMLqOMv2MwtTGsBmTjUb/OHReJ7 j+HZv8x+PVddWXh0AtD5aGnSjjXE8BtcC/BCLR5eMXF4piZfXmJtFVjqSi/FSpP337PDdstjJsyP ZRJHSxLeG0Q1pmDV3tbtFozaKIgV31AAkKObn1UzttJWLZYY8QdQb7VRvkRSAxkORPOGzy5qr2+6 DS7JdpJo8B1J4R5UEnwaDxu/F9dH4eUVgYup/CyBzqj5+RBW3lUgUdBGtq016+j3+CapXZpjghes 66X6sjkKdsvCqBUqogCUJdu2cYzcwU8HD45v0HKcwUatgxtV7r1VzX7En11/pBLjqLA/BRM4gweZ IW/gddPKHV6Sky+YikaqCbOLWP+QG6fbD+bYxoPA+0g8b2hp9xjV7XgB2BC7XoKf0gDhDomlnK/F rUwzPLuEw6YITZ8G8TDqaSg7e2V5T2rLxVp4v4THCUHTM6egGM8Vjfi3i6n+SszjVCL55cfaRgJT Sk2PSZz2IykRGcKr/6stnkOOiSwZFwXZWcMYODblLlIXu82s7MqpqC5H1jyqKs8vq06I/FfvDqsz 7TLgv5P11Kn3qrlnNPulgqpzoGT4Waa16JEQ/Uc2+b9gCGp5DQQ63shHNE2PYZ7+nY6M1V0RyRgy Pwy7C85py/eIsOnjCIjmPPwnA3lFvzafxbHZOTIFf2yWNSYBVG13E9cAp+sfz2bud4JkkLPo0Eaw 8RFB7khf5EhLdP7/mTf8mf06ci2T4zI9dj88YatftaLRuBm9M4CMZbGUGx2qiCP34MarIsMAyH3V WZyE5muwlQ3E8PiBBbMzLw0t38axob069Oc3jow5RuuTEtHIEvOf42dSGZxQzw7RBPLJCj6V6ztQ tY5AkPNjUutj6TYYmmm+IL3j+24YvnbEsmKyDsTK7ZMWHIbev71qWohcToDnjRpEIjTbZq6jq1Fi IakQ2ImFIu88MsmhiEDhfXxKZKifeKPZaYcED1Z+wvDj5gzoi7lUaGKC4PMHvDwBrGVxjHYW6W0g SmWWgWaaGQbN2aQMsdfn81oSWrUn9Cegjkn/605PCcEjVMGS35X6yFL1/dXveMLeKYq2EBu3PcBL I1rTZrQ3oP/FIiKJFvFtthzf/uX55etoh9mWcTDHFQeQh6uMHjAoikpc6jTxEA46q/YQm1FTOaez 6OnUx7LudtA21M4C3HNX0fCsi1ppl7pXkDu0HxfkCNetNZ39wJ1AaMmjj+O/8Je6vzUnXrMBTeFa 66ZDxuACIS3eh6Sc6A9v/cuPbSz+8wgcK8fzKLshheqhHhJza5bkGofK7c/Qvy59VSeoyU9XitFy t5czDYPFEiOqxu3gkoKR9lRo2SJvYTJbAM1tM07nhqmKrQwhWnst3sW+KqaimxlCLQdD09fPEY/C 31pFYDB7W3VkGLHYDaMLtHZvIOmfHrT2EbIcCo5YVZMNhKEL5E6KAEuEcDd39u5pB3Wjj8/3/4E4 8ILk7sklU2oUBhsZFG1B87uO7PSOF5ajwIzNkuHPekVlSCm3GEhzuTunnJT/l1nxy1KoAqvs4jzp RnPYYTNvnswLPm2/JveQTtJzq2ifRPNPbqG7iL2dA3H8gwVwCh+5AJ6JTe8EL2mHMfJwM3MoVKTI TGx822eMcpcFWFQv2dmMcOjEMlbda5MQEB0zAnPxx1Ny1qb5K+/lZHFEycG0iopTGTVYufY0Hf9O oLzZ/5ApL10ab+IbWh2rAPHCDqUo3UstJF93IRGAP4qXtnYGMTUz0YfZdx/cnpq+ZLJfsjyPV1eT uu1EXOsFaaCNEUrzGI5UkBDQxdwEb9tElVHE7MuyG9CgnscxLDESiXHUsYqna/UYem3/aAJQLzku Qi0t82PlinnahVfrTMIwrIATOaaxqGFLKDVH4xnFPnXmhhrIxwXKK3a+1nZx4Zw2QGF2O8t6lNM5 BknwCVxrubZGXM79vC1pAuPiRLb/gqofm6Q8Fhr+ilGkGKqUXsPDSTqxqhqeoroZqMOQC1C9Z5UG 6p9XYiXweVNz9QNnU1V+X7hrKEviFnaO0Zvtbug1EQqbHa8o0KDGdjz1Q8CV+PwTOoN+PUUu28uU 1rvZ4a4wZFf1PIISXfUUPUkJxrFSCqT/jZp48t9v9Mjs+/Bq3XHQ172bv4sgQIXLsT3i5hsHIfMw xj2wKVG8EVglvKfs+5Umy3vSuli/lxCztPoJwOxQJuxp82FqBvnWmLWI7T+j4EbeDLhIwFZEjUWS 0CKUSkwuZOTQ4Gnqn2W2OsK20nn6Sdi9ZVftF1xMihC87KgcpVRNoc4qkpbOVVc98f9OM8iIz7GA 5zpUCeZ6lmvI5MThNgZQFOu7McEivwqDy8Nif3Wn74TWIdIks3NE2AKG1RWdCQ7zWkrmGUm/4P+f aXYwa20dp+Guz2p5HFbF1M809wGEMjo6h1ecIic+WLxBVdYYvr9hIWVAXvIDjqVp2KE79OwCt/7m 4Y1M8g1a7XrlGzOc52UUx1Um0Pq1uk6YneWBYdCrgCIyQHVG8vwMrq7Dsyx+1X2fcm2qZZmizqSU FZXm2SSgnFxqnZTzJzwkmp0yOgxQv/1DF4PTDGHwpp0KcmOPszWrI0NVJKcz3giuB49sL8iduBpw pjlDw5sY7CtS4priCZbXRmWOg4Nz4prP1bGXhTin07rEesm15vw9gW7r5wZ3fbXsorDXUfH279eg UVwjUCxLqAX4wVcG1QT+bAksQTWJlwiZKLtmr0nTxi42kKRGeTnquNb2TkWD2hNTbbtJSmHVmWlM 4Q2ebFngYLgEiMuvOvEGOssurk1azsZsAhLw7cnt0NiasGqY8mDHyMzzUriWDbr0kSkbRx3lP/9X HN70tDbHsZ7Q6Z3eo3LV4W+4ofsS+NVmr0TJbSWUZOPkOxXEZWg1zF3LtYeeKjAlvFFwiY4OXgop XJIMytwbXhsb6fHSNeWLIhRSUcQUguTe0eBgsTecz4KTHjAGPoT/WLs6sZXMxISdO3Ird7bT9omf UYuh6pJ+M8vgzR8sKKzfN76ejY9rGmyM4IcAVif+AKOxN0VpVOGdN4gysdFcoRTpHS6JKaM1KUas utB4k24iAxGhP33YqVXUGc/Fk0vgvOtWuTd7X+SQAYw7iRAuIZOeo7s3JtZh6EYRqK6yJf8ilpR8 qHbT4tMWxPCDSh4FPH9/7W/yzzOiro/mlIwoIaCjAIPagpU6qJJzQ2Qby59tBOPb3Gsp7H/Hfau9 NDPv6rz7dEBvWwvZhdYXl0nQGj3T1kTbk2nf1OTy5BwOJbKbRC2tH+8iy9qyq0y0ljJvZ/pnavQo Nvqd76uB+BDrV8upBeo7VlOkcYaDycca3pXlXaW8TwcrJdzCaKhGDiRQkGMFsQHj4nrUA/0wu8Fl M41jcsGB3uViH+yPq0YnwrhWmMpD0I+7EjOTVKlsPh1IaLOl5dHhBTGhOurAgsgdY5Pak2kM9W3J o8ahK+J7gjJgkZ5JKJyCSoF+hfeSHijUnF/EfFle8n/rSMePfsnUdZmCO7+tV2RcEukXc8zaNgk6 ewfRaX462I6aFFduXRl2adjeGvYWjQg6pNmlNvDtdilCJP8PKpRozXR+/TSa62T9ZJ2ubLuXwdHe 2gICqIwNcU78+xapmuQTWF4uwPCZOZxLpklr1sL9HPE1fmV90LcBJRl+GyfbQCIuCieEWLnbwzog XdyzlBqlxDSE1NeLOf9Sk/NVvcOF/nFFOyTpomVP5UAYhpzW+sRUIP5OVV5YDkW023GEJoqps7Xu HKZRLDvzZ2tyI+CZWPauuyGzNJy7hB2XVns+4az1qPLH26aNtz8js6gg5TOEil6zE1PBAD/zCJAf r22XR36Md/H04uGAosKoTVXZwNQ6+dET+LDOBupD5wL73GwcKdNBSCABT7br3i/z2tfyqOoMmayP dnNhoYUEd5Jn5Jvz+bMHH2iwcHH0/s7gJSif18TVEshd9TePho0jXnvl1rsoSDpjwtGBUSWxAlY7 CZswcSpOeXvXgK28blSvNd7BoR7zeCiZyF3psQ3mL7f0U6kEPqjTCl6I+cv7cCQj17GYrdnZOSIW 2a5Vqct9H6eXXVu0WAwaoHQYujnLeIGLEeddj2EdEVpBdjNC+9zmEN1Gx5wQB+0WbKIdzF8XhRsx konDY0gYoeRRZkBozE+zCnx+l7jNQbRrU8VnxEqO3YzKC+B4OvG/hYHNv6vRO6QI75VFN3Z9IPNI LMGNpeq7MA7UtvjTWtpS0lBvjRUItx1DoR0R/Py8dYETrZnosK8ii419KdxX87CU3X6eYkiKof4J PKsJa+IoTGogJaASeKZJO/9LiIBv3CHD5qffzZs2d7IP2vadmwo7kPFvizLFLdmLj2aLXPewLFWB oNM+vt1+9mhBhIbksYdH7EA9U/hiACFrc97BM4JqnIVZwk1Jwzqm0To5c9koB47ObZ+yfFo5GZ8B 4B95+eG7QDfLanpU7wMKmLZ/rlX1fK3SXmfPOjnwBqqJ2MZqnjarJTBQ3d6fm0NNlzzeZ2DM6avC eI+A17K2DLs///g+qHK6InhlNZCIEr+W8x2OsRPxSweO83YA0zSNwLK8SFHk1rd7vo0gcCyC/0N6 uGme+6zOFDvH0xSrHF8D+HDSM+41i9Bd7+1EWvwGMs5tnMJPYVVfpo7uPIXHO6AOlw0vH4zY/lMk DZ3etMm3SH7laIJqpIq7KoSNTfClQ2NG3wiNxpuhRVuCKw50jhh84XeeAuBeKZLNFKsbJPdMMRJA K0iYggkitkdM8JxNa1bRlJuik5lL1Hldi/F3aAlxtnRlKBnQtav/lzcLV6xYqhx9D6zzXeMbt7Uk lpyrm0f/40TsL4R+3F2XbxrGjrU+HughjFnRTeqYjInhhxYXOY/GC6ckpFq5UqwzBjHXbnHmfsDq vK4bjXyoGdKw8WDYI7rmsf/GOoEXrNA5Rev3MLUc4K8hHZT74B8GSxW1wlX/O0RuoJMOIWWw2+Wc 8U16l2Joq5tYyLyyChOzPbCxAwaHcOnIkqRKIHPu1IKxp9VN9PxJnPsgLJYyz33W/TIXPQJ6X27z mxRACZkibLs9FyZog00Phm3ZWCDh8EXbhml9AaxdkOgmmw8rr4XR3iIJUK9JMYDNi6Qwg3vwwxZ9 rnG8elnzM32U2Ibc753/5NbOzgXtWuR4QwjKTKcbzka343PHwa+L0sfEiqJehlG4xBWPZATezApM TNeCAhOpvOfOHbrWtcV445hdv5gyhCOIJEb6KVB6xR/gjuvJBr/1KgxEFnxHD1Uu3AsEthCrVKA2 gWVXzvKIVTkGK57thNKTH1YUZg3LitKHSM34qoRzFTAktyy4azSUB99zG66tTXrr/LwNr0guM8qI s0s3D+VP5lvnAd9vSoEZPvov1H70lSxADn8BDpLYpD4q7kJrnaIlF0XSlk+XSdUy50RHiXtB3GvI Z1bUQ00fJ+mvRAQz/twSPwVLMDlLt+89oo6gRdqwGoB04fiLKibo79OpQcv8hlN77jK5DcT3cRY9 AtuexznVCU5QTGwQmPBH9KtCqe9g3QpXydlo3tkKU85QgOudd/wJ6absxeTY1taX3g9Ix8RjTYCO BleqU0AmTIaZFgXQWiEOl4ry+UdeX9BmhVnDwz61g3K+VzWtFR5h/2vdNIyFYLbX8VWw6wV5IGuq FD6e6prXT3Hl4ngXBdPPQr6tXoNLLY9kF9nZ5dYxWTt4bIQaqsnXvZ2WQRqG6HvURV/6CHkAIjtN PweRlyyJKdRkxW4HhoIBlfXJSeftrknEFLWoUN33ed6O+YqyjiPA91WdeILoojuXWhydGqoVYUFm WR/YI/ot2y75snR42NinXxi2THjkB7EiCkBIFCpZ450qHrNSAbU58t+anV5PGG82iIZfmwN240l0 4TZKhCbRfVDNHG3usgF8/xaxknHPC8O6f6mWS7qcC9mzmqRXGLKBRvXLZj/mHxjYxkhnKHQFQHs1 2jdqFUMcYBKuYcq96hl3+MS2GPgOGauhImSlpgIlKQnad0/zisj7Nfd1vzAXMS4unxda1OL59Qcy sSrxklVFfJikpb0hMuP/vB4sq3GdYeH8nN5kGwWwj35Sc0fO7sISNWDSrbQim45bGqY9mR6btyWQ g0WdhvKPcymiWE2kOovIuJgfLWYW/OOl58XTbcqIbaq8F3k4d87RzoSo8f5QhbYOEoGfN5WOy/z5 TxZtd6dEIgEx3oOVUNz62zMWsIaxjuf9P95+s8OXQmaP9LOFPBkN/7Necrq+DV5sEtYQ3ZVQoIcz DOu6hr44yqi34RmJxdV6O222bDwzkKjgj5wSFegAknph3cKtg3TJgNXW5ASBM3xqRIqdtVkbEBE2 FtMYaHb1HWUd84NnrK6kOsiTXM/vrlSCg1ll5qNi1NzLrQwDKpfDwd6oYZ5g59tBjG6onfsE5M4q M4ZuDOZHWVCSigR2S83J2OmQUg7jP9gSvKJgnxSlycZ0L1saSF/bF9cGrAg4dgmrrcYG7Cv2NIGU jUt31cnpKDQam9Vb12x2k5t1yNMCuF8VvA8xwu4YsS8zaIW5xvWxcStORMe/ePxZXRXnhd5/uFJl kFOvuBzxfzvrWZtt5N1QgV2jO30JOEo4BcQ6Vw99CRaPT7L4FMbhQE3viOf+6CwFelVANCHt4eM0 bb6xNtLvdJNUc+K366A59ZZU/kEHk+Rk5MW7IohR84eJSpP1ENtF/UGDyFHBgRh6F6qzdvGKpkE9 qzzB45rFxcIOM4r7A4AzVqJPEglvzg6kB2ujSJIyMHoGv3ZDbAotT9yInD9s3e3ZHVhEQnq+Vvuy W5nEUTJLeT/aSG0yyxArFuS+Nn6mN9AvnFUuGZsqCL3E99f1K/epWBhDiujrV4zwF+ttyWRsPCQo 2PopPa09rOpRoRV9GnbHtQBEcgCkOVW8/zA4GI3Y5IObtMDr3WkRBqeY5z/sUysZf2A24szBSNE5 zu+adynOSzoM0UtAhEZ8FbeUlMBRSeMF1gq00roq1eKf2McxACiwH10uaVwuYzMQ856hm4sWdFPp wMXhrYbW141AxLZ7ETQBEOdxwBcqOowjwSK74xZcaFazGgHBuxQ5ATFsKwN/kn0fQbecc6zGiih2 uryKNWY6tOxy8Z7Rxru4XJFCn7Govfjy/qGqVSY+6EtWIqyhOmv/tVV3XiOFTS3HgOKsndO4ZExr +s6AwLjN1p9MC2obnkyF3oSfICqw7C4ZcXyWgOyAPm+doLM24FmF6hixAP/AieYM8lxoNdohXoaI 70jDvOXRVXEEh/eLKynvq5E0n2el3CdONH3pqIpR/dA2X3TiqzdfLSIVh+MjXrXX9/ruG0WOz1po JRF4XWs8XLZXmlOcUTLr8MmAmXKONR6ZIp8BlOfhqHWLqHcrYrB635GZ6n4Q6Aszkj6AZLd41E5/ NeIig6MKHkjqMqdnn4AtOwkXy8kPp6/cJXoLz8rpYHa8NqT5uUwZ4Rk6keI4x5wk+WBJRH5Uv2Ev A5JS06GVTgLeDW9uYSIRt9Im3eQ8VoWh8tfwp5HJBupsYQbBD15rtiy2mYXObxxZX2evI7/N6q+E JPmZGfGzzZem6QuORlZ6Pi3IY1Y4gBXOE5ftdvUC/gCt+sgz1uZcRqluh3UAJP/NXCcgxZUZOSuG YdTujXaPggeplA2IBe8hOfoQEwNYO6IUtTlWpJtQ7dJpuYEc6ygq4gzFp91lcouzhiyu+IAfofN2 j30vGI9qGC8sYlT0SP68JG9ObnhKp3ZrwIwdKd5Xucic2WiHaA8vIsI9RnrjfZ+cXYHzrhr9Qlnw 15a+bAJuXzVnZuy6f71QgqWqv6T8n/s02jGxegYYbYDqSjShxDxtGTq93ii2g+17oui3FLLNODZ8 jO4Jd/0w+wlgMjBdkdh9wQogfMoA9LieV0hOWKjrxTgDimN0RPwKtEIdSg8tlpPRU2WlwqDEDGTZ ebUzHMyGMn8e3jKU3iW/dXuwENraAHTcOoAMbrh5LyRCC5aWQBntpUDYYQ06UllWig2FRaI/BGVp 67J6YjxyVFtQkKWGSXz9sOIrBLF3Y/eLLLYbJ2oiPYASJ3jKIHarJdPIUHlGMz/W3HB6ea06/ffs CAsuzIMPfoOMYOcuWbul5R5GhyVgSXcn6Cijlf+fmCtQJW/NawPJ2jkNigpHYNbx7OvKJpsl/PIa eB5tMQ9hVFa7xmCtZb8mVnlxeSQpbaDdFdysgzueFn89ycFr9jHKUJ6jZinuk8yV0AES2JphJBBq AEAVSd41TVTyv//Kq9A+xA4dhisvKGe/sHZkkF50s+SVG1bmCoNdEpgPWn494aEU3G+soiIA1asL VjP/wkUD+H9GctDDSNjPGBSj4e4jjuxoPqO4UEo8TIn1DD6wCovFAY5ONXPhdxhrtSP4oak9OqUe FMU6Zrtafmbne2eJn/+T61f97E5mWV8PRr3UAQ0pZPKQsNRAQNPXrhf/3qd5LyaWZHEE0h2o/ucj HXbuO8C2+U/1vdSmhhC0d2/VqpHp4WvLQtnFBHA6SlQZ1W5qaZlRFv8MBhL7a93rGCvpoFKr5Uiv jMb30ZxJnZDlRSjkId6luZLEt+pXmT5ydgcfhmxGNJS5fiVpBpPQLd6MQuBzyAQw0U8l8TAFvCm8 WtA4uVwipklME1fz39PXvUduMAYTeZcvmY8P9SA8x6H9WVfq6XjC7M4zaoxhDhUk0ypgHRkU99QQ PwSf66MFOIbLmkDCGw5ZuH5w16i31zoAmQ4wb0x5TVE9JeFiEqQ0p/acTcHdOIuNfr4De4A+/Mde KZxNF3wPrYGVHDGcnKHdtdrCe9M5Tb9V+pZ7q7MEQ+wIZHe29prjpxrBjejmbjHb2RKaNWknJ520 Rxwfj1WqwLeZ1Fu2DkZimgfhgUWFbRcCFLrLmvGfbwPyHAFsSobD4pInynG8a1M60QhopDz0V7qr KxW4XNF1YAjLuZyCZ2bLxJO8lZl26rEZSqQcp5yYKSt0BXbQf0+mIokaYMfiKTKJoTPzEz2Beg/N CprmZ0Tg5LFUvMzxX2Ck9un2sWnPeuMM50+SwjYoyvyHdlV0128nEojSPPlm5oCeARTjfsnVv2zo OPUpD9y+anGPriZnlQB6TXC9eCZ1i9m4o/UL4CApjwIyABCXGeFvb/iYvh3QrFI1PbkXqNlMvO+r amsbSM7/6WaPAJIIz4qocLz2YKCr0kjSZ+U4EFqiD5DlaJ3msKT1k58vowUwibnuJTdWBuBcW2Vq xu4939VkkyHGPZ/OSxM592k+2T2MDYcdW2kGfbzrRWDUPjQuG1USovVnHBZkj/4oVrTc4SLlrCax j/LoU2GslKoqZ6aHVK2iOTC1iAQyoCG+8n2xpV/BAtS3OJtD8FBtOSDghrtzfA3u7aABEynX/9vq 4nMmoLPvxjjHo6N6qOc6GKmZz++9kVQEgC95QGnxq2kz67wUVgFnQNuxjfKs5+nCOua8xdUFBuW7 L3xLyinyGD+b5Ukx+ELHCSvZS3U3ZM/f0dDUJq1c0p8pQyQEoHZSS4pgh/L0tGuig9jB+GqNPlYH u3LyfHj1/BR9Jop6Z/EpgBVLGmWX/fK+LUP8BhrByG5U8bUY/rjVeDgt68vCaS8PEwTFYakqk8fW aI9nZJrrBW/BXc++yjvFOY9D/BEgKurNEArltdzibCRs8mivRIsMa1f2WR1Fvt9ZhRr8FDyr8Hcf ImPLKhdSaE+jrw7gY33+Gyy0pb9z9tKplXJbvPemWiSxlh3cqhFdDdJ7JWeg7Oqi8LXTDQ1XSHwd EK9OQXJtIOqqZP4Vagoe1+WMCa3iClAt1809B+Qqz/FK601XrTPvK3i1vMCl+3ZFSH5nCnN+AXot SiSB6SJRehOLJ08M0Ugg7e1TSHJRr63ItkidbqKEeiE4aLwouescMxoOsBAKLwqi6AoE70+vT3Ip MuUSyTvUQsAFBungqYg/Z4oGhSIHpllPBUyvBiNZvIrf1w74thXY6xboYB9RBlmQ1j9UAJS5Wq2K rqYLr5hkTvw8UgYx5HrUnbM8Bb2UNUOOwPL7TicQqXLAHTnWPu9cCy1yiIweFKkosHmqVGK4SVXP 40CPcP2R3JVkzkXmoylNIKgJ5N7VU1SFTgXaQad7eA8cbcc0WigcLIl+35sIumOa+zG8vyykKmDZ cJ/9cJHDcFRQUH6e35769Lj4qYM1v5oig5K5xJ/O+VUMGnhjskVUo2Pngd5AijtvQrPVzIwFnuaX fV3+L5v+Cpv/d5YbLSmAKwXAJBBhMy2t/BD3aazqQmwmH/kAbdtCUnh9fGlTtEVMOvRk460cSc2U RbZg6f3j61Gyac0fxXvl72tk1GQD5hZqShzunXyFPyrkz7XaLyAaCRQlIDGbpGqWaueloXYwiFes 2zIyPFOe6p0pdx2A6NUuZy4qwmntpL0ZyEvy4d9A4dzuCCPZrry2CJtKMwciTturJIKmp/b5+L7d alsBYoGop0W/PfF8+IlMrgsLiOfz3Kfs6Z96LJU33hB8HOUrRnbZBzkZNouAI61/P6S6uEnhNw7u e9hWjsENW+2tCkjMPBn3P7kJ3C6fMDE/j8IMDmCl0BwpJAnA4NB+Q85VDWbgiO89EmO7MSOPDsL6 A9b/cNg0UO2ERXQJFyN4X0Qti6XJLjcJXpncXsvoOCLL4FGkuqC+SvkK2XSpFI6KwdZdRzqp0y+Y 3DCHg+FtE43Lmba099JwJBDPJsHnKGAbE/DMu2tVug2a/6RvZU/2KgBItNTeJVHCgRIaCMZVwlVw x+xk5/SE77KRLUMTpb/a6/6sh4md9LQ9w2orkxUIrgiNR4XtodDfOA21VMnI9xugesUrIOWZtqDD JznKE3u4JE+KIP1Jf8OCfLlVGDRmemprJn8COptTkY+cgwoD8mK/V914rbNbjeLvXyqevfX1dUju 3VpLcmeb910avDVOCHoMmn/U77/7D853ij3TUZdIPCv7qdmL8tEA6ZVNhizCjKUcglZRfp3MDfFI jnH5XzhsQFxlkOEMsKgIaNoKvpwx+uTtR7pzBgWJLgfDuwWIeBAF7ZaoEw2m1LHsHZufTtZfFr7C +J2HWTS/ZwuQEG+VpkLWGzpdPK9SlwAPpcnEOc0nPfN5BsV7fAPZ1Ol2H4ri0p9zBakp8yZFh5En sNBsPdcrgcd3omj3JgQ6Al1ik25ieh/OhYqu2qmAolkBkZNVVlhdLqWvGniwvIPpzIzVdKKjTR2F Wi+FtRmXYB/dd7OiXXZDdsyW0qDG9NiR2IPIaWz9bTjJy4DCB2bJjMTB8bLsBH7nB+JOZy9mLPKm KKzCECl/dOlh/3XUHTL+ySciLOFTrdcVSvEfap27QTTfDYONIDq9WxUGEdVurJ/9qqn9mh9VM/Bq gO4bWaNaCBIz2QP0qZ17+STkIypdgv3mPR4yxm5u/LYYlQUmj2zAVMMahN5uTePln1fYg+4VfHiN BojSxNKHA9H2js5lxF5R15BHiQ46OJlfGEf288f20ixo9H+IIGOWO6IjImFlPzC1LO/Qk+1WurSI 9anEfcRPxu64PwKP51tL/sib2awfeeaQ464ohh2QL+5LVrLkqi07u3LeMHtauQWjJC0FHFBQlJfn Gdb0aRcoJUubdzfEDeSQLsfc0Du4XieEwFBXNgnZHYhgBraofIeslCUjtlf+yVfBLVLEGqQSj/+/ U9bk4f/BA7TdvqkZRdoQkHQk9sHbyuSjVuBt/rsTgjZqFIoXYe8iCZMpJulc7wHZnCUzniFfN6gT fe3p18J6opQArYF4OBDK8w5HqoLjnbwmQ+3rM21HatjADW1IZleyheziSIDDgv1RHxWcy6ZzqOSc V6bf+HpH124Yq0lEwtVoP2tGmWoHxkak7jYhxB4fGJPfQ4CEAUFCrOouR0SayRM3oPyjHb1/2b4U kjZTD/7d7sudJa9JPylLQwFCZBI2JDee6oGTLI2inNhW2dqFsUqXfsNuTW9N1/Ikv7JclHRjsX4s 0PV+90SaYwx3QK9IkU2FJJytpsTsd5C/Fe7SK5xyySsTbN4hiwU3XD+twa67O7iwKsn0xVN/HaSq gbk0JBDgw2DcT8/WzNnvyuYsSBgQdvfj6kfQylZh8YBjPm4ihqKyfzB6l8Dt72vatu18PXEj+IHi ey4bLJboyDirgIHXARGmPAErcTLvc9Jap7BY1/N+Kmw6fm558CoPWgceE1DmmHYfwFiWWjIyTgga P2lLwz1+GJAjt2sNXYTINMnrhAoX+nswMqBPY+trOkxzHXlucI0j5pJWj5u1jYFRpLV6OBkXkjNp KjiM+1upzOs5+AmhMaA+q9b31dL9db8DwugZDdVPsxAo558WkUcflFCKaa/me7aLRCfzz3RZ3mV6 Fmnh9bdq/5nH3DWcI9aLkwsuEHB9t9WE/Et7wDSlZyti4t8UNbMGVmMyKii4F9rZ56I1PNqoCq8E uLCc0OEFl+rH9ciJxvzSumGSVWbGxgIoPANPz6L3VXAh55EZ3WOuZ22X6EOwODAHa6qN/IKXibza q+bcE1L3XFTiGrGw7PFS28XVgT6nuP5Sx+2VzhJeJbkWvwFoJemZX4Pej2Ubxz9lOv7BuEnVSi7I 8VrX8CMbC+M92I5YeTxrLxEDNZDJFCbEHlRuJ4/cIHR35TqsSYWkXuEobl3nqEtgoQ18YzFezgTT ix0Lh0NHPMV18nBHB2WkCW1mXhxrKPayma1GV1l1dStvEUgUm9T5ow4OzxmO6xAx2NlF+nMSQJpX JTDd/Ber7srp99AU+LofAeUrcR/fIcnHAglSSwupRPSGZDXH9w75hkBv1x8VanQD4PX+hEtjZUA9 xz4YjCRbtIAfW+e0yWjI/pdpvVv81FQ5eQ67Bp+NQdDNxAxYGo1Jw0zoxqpJ++Bi237T2o/IXndA Bm9aCwPCnsSgCuoqB37U7Lt7SZeK05wcaYhdGrpnSHEXc549PwebxTlrfZ+osX22HF8W9cbNosJG +yGZVQeidlukUS2ruV+++XFDgUXVkZCYqwC9S1UvavLoSVsrVP0ROmYUEPfep/zTdfxtUkWmj0Xt iT9arIzBNU/t0zy8EYkpZoJtFrkVpDKnNUXxMnXpk61JnKmEJcsLLx7gMBSsFMXtFjt8TpxTgm4w rm+u7k+1Q4tjbjnxvzHPUJt57gRfUQsh3Ps/N/yCNYUTejGeOutUDOKgkl6eUYswO4YrC9Y3JKiJ R1IDdpei1J2n5LFlMR0SsBbfiA+0KjIqATzmm0aLJJVhD5O9+SO2FB1TMb6GnNlNrg8zfs+ltj26 8iNbS7E6AmCKKYDfm3/4xpbIOvU3U/ACvndw7VWGvofgSx5ksjyW5Njrb7gCGCpZivjF72wURINE pIHLzTvXPiGccXPfAkDR3XJaH1eery/VKsbzhA5uYPtn3u+h86qsMuZYltK8o8Yo8UvU+RiENOLK ZxyVP2kdmlio3kOMuGnuyY61mfM/fYqY4CuTdzdF56VOmkTdS/OIFT5u5S32RZHC5e8Nnd9Tfc3O xfKlX9iI70/LCajkIckiWlJaD672KRKrRKgHcOHFJuPocKcD3ipemwnnOOCuf+ND3NlwgYykLVmc FJ3Iv/u0/PyHF9Hk6A4D0gTuiBzzMnELivGjNHwpOowueAaHmaUPQic7QGpHT43ZiUMs6zP6a518 2/i4m0aErqseMeuOgQ4Bvtmd/LfJzcwKf3JLvp1lUMBEYb7RVV0LI9CFK+M552jml8K9P0eEFNpw f9wsYaj/X+y+yZYtRYh2UNYylLK7lqCdkMpGYeiZqXdlVN4mqCwAwDuci/9lWy7+0Skgs2FFplWN 13hVioi4EaQdNTUTb+YcvZBrcBoM060bAZgdPGCJlMHtUUi1uUyTrGYU37LOX9ZrHV5CEC5fb1H6 3FGDyYzxl7GKJNiDGT+p8ZHkztNPLYkVVjHBZFmSKieRAN7wmWhwc9UhrD/jdTOdb9X081BOo6wn 7yk/EFRLkqjkQwou6t5ZSRXc6QCucfrkQagl997DHkysgUI7LU32XloHerMhONie1NIP0IvPN1DY 9zHMIYdroUwqJMtNV68dPrOOY6LMdtK06K1uY9C9e3xMemJHNJanvYtnTkJUsEaHVASscGVUCFe2 1SqazKEzSF454hAANkSoaw16hAP7nqrZCIYgzbfMOG1Aum49d4GectYESZ4ZVbsQ7TMn+NqZKWyv 7ozNi/D74t79dlLvEXe/MOs7UxENFnnSTIzBzkAYTozx8kjemoYVeONSxVn7B3l/xqPdvG8PNlVB jXCRVKrwwsw96odGKdoEPUt2Idz85nGMm2BzdMW5BqjAy/GdQQAEFO5u061DBRUJGg1v6FYnbZre AUFsXKHPwMRBDfmxI+pu11P2ClyvnonxzyiYyiS+4jPK62sGcOhCjOBbjM5+SOt8V+pNPFftPUHl htjxJYIWUnUoh861ddhlew/XaMnVtk81upQRNBJVaZN8ZKgcM6opP1BicIAf28k2rLrktYtxz2hG b+mRVooqo0RtQLr7dOkb00NAxXDxeQyltIeRK+mSR4ZtPphJnRHy9ipBq2gNxoc+TbFdJ8VAXiQI rpwIwKzCBvmqDVtGwj0YOc8mf9pMSq9tF0CHdtXGQBNHY8lYW3uOEE7ZPGHPGzSN7A/kcvxIkWXG IGzcZahwmS3zmUfksrrWPJU27xNuNWfT9knff7s/KrOikQtKTIQGm3yCVJpHE4dK14HiqBgZlYHY XgQDTK6vOFlbsaqD6U6D7zhVl0ZNG1hozOBncdOs/ns94I0dNjqcmRwmYNNASSzhZgS6ogRF2elm 3D4TXyJZbaMji/7WOAEk1Q/erioiUOnB6kXi3VAs/oJO8EwLQRXuDysJKG0m7vvzji7j+dRjQFoa ezbDxKpY7xPLqEg458Mppw3k2a9mA70vnOj0LKm2bXbLlsFSElRhyu1FL8bOqeDk4/SLRbKNx1gL lW16vyFKtNKpEmQTMsj6DDkUAymrWeQO8rKAOed48ewJbatmxrS6X4+aQEmjPbOS4ULrKga/JKqm mvuvx5yA/NrZva+BmjURBmjvFx9xrVLK3gsPEBiRL8hnI4X3aGeI64EusfW6EydGtsJpa/ji3QPS +Hgxs+ZU4DVN6VLkn9MqL9us33mtcM5WDYlWzZn11ESiNdTnV1anCVd4kyJlhUSqthH7R5o3ozKy IPn5k7Fr1Du6i7PSyWrCgBn6qdT3ELBCu2+rhPEfvw+cHp7dl7s6ARBGhzs+eWugvk6TXAB1bpNG gUdh+HKeQXarikVnf7VDG3KOlUjRUcXzSQNJRjm/9w3xK+wHjKTkKYh0HDBncdugwRYIfzk5mAwO W/j09q6OcqvatJFv4A5Nmez4QNORUmbQTyHeOPTTe610gyhjn5uzVecarufPX6I6OfhrnVw03Rlm wYZSGW0H37MxXgSYBwXu3CxlqHjhTqBPHsX6BY7K76x78ncxzDWsg+35l+2MOGZbCO3FNqtmKJJb 1M4sQnWHNLAC8bLIgnBxqHphXGUf8Dlu9qBfoJYKnYPLUiEPKWxxWXA+QmeLvSoFpwfTSEi7qm5X Diia95qrzrjblKRBLn/1fd5jobXpEItcofzjni7AVzmUuOBNqni7nHx7n9v8ODoRvTRB7rLGUbTY 5hXZn909hKSrH7q9I6yB8+UNuZj8/l7n9IfCGGEcLkihIEC/4BewCx5yBX9EDFG3g0C/VoxM5GfK L5FVE2NGEaqHBMzyD7GAA3nfpNi4cB6rba/foGz4FrLERI+g5kn1rPnkqBhREG1qmUBJnyCNsz2b OVDVT2OC4UYoAv7b81j4ZvJgHYKLDVF8aSN6YvfIFMEtuDQafezQuFeYC1kkA2EP8VB4GD8C9A6a MHhMxffjXeSShbVNzns1rZcACwOvyRYEcT/1P6tXUljAE0AEIacoXAAytbqvh3PBg7rtLh+z/0lt zA4WhxNth6uYDu11n6K+HpEFl1ym1TG4DMo5X/JZyhpxqQKlyn/L9EMIr08oznIhTZoF3XvvJ+9M mb7Z+WU+VH85wlS/UkoNKyMhFB/0mGaCfJGQRq6JuPWsPMfXF4WduS7ugTXvl0yMegGH4Dr14Vv2 EOdbVzq9GM3nVHn77Y7KqmCdNCrxhSB8Lf9RJ+Se1GPOeptfSyG4vX5zrcjVkxnictaYCRhbzNwu ErvY1B+svyhS5aK9gfk5HjHpN2/tCpEa5IKYoPbpjeFGfV5/8xAzylq9Off3kRtZ9PQoawe/SkQh iVlwAU0rZ0nZr+7zC497bsTsC+rl8rYhBtC0dSYFHXt0n9EsRr0LEzoRbABVMHpBAE1SQ2xQ+7kr 7fIpU1A+Mlt7jjxav6lTNKDvOriKHWaLlkZKaE1/AKTakgeRUAVF94FqLVWZsTZTPbXHWIF5fuHm sJ7j9WnYoxg7z/A4yoVJhnz3U/aPbt8RYlDi7hFme+t1IwoBNIqKZMC/1F6fsB4a16RPoKUlQnE3 ss8QQxW28eHbRCJ4tfgWnSM7xSS/US7m9HiQ2nAWqmI+qBSRKQndxxhFWd8xO1kjjDEP2RXSrzBy kjoLXL8eM8V7g9t8c3DCH4+1TFWzt5xqK5umucrLrECkHKjNHwoSxhsaxSV2vkdZp7KeL3GNUfV6 +iS0G0cY2L7Vtri7aELJkXYzKxzhyrPD4WgmFaf5Vkyjlli8UqTYtMK8Ta0wNCFhZFe101Nsz864 e8An0sV1TSVoUPGLcFfvuBBGNkBLG3ivtilVidBwiHZW1log9794V285tbZxGjJH73BfnZKJxXDU DFf4ReSNMig0WM5+JR2gZJO6zFVK6ike/CsXklNuu7XsV6+HgJ0TjluQgZi0GftGhzrNNrEXTgYx 1a10Kc3wK2rTbIM0PyblSvn7KxRCUEfsDehCbWJUJnKa3vd6A1+EOCC56MyIbndckA/nssyi7R2t eMMnRYBt07Tucnxa/jLD98OXlmmj/+NVZqTAX7r6oYWaqbOlHiJFOkm/HJb/cv2Zz9Jnhdsdrjx/ BraG3MlGWC2qCb9f0pJQBeZeJbCq6Bs4FPVsBkDEbWe8DDhT8cHDat0BsfnAmW9df2ulKS7uTMiB PDRePPh+rw5+Pes4HxmrtApM8iP9zSg8ksm2xc5zx5bd7/pPv28EuCI8DCOqFtscWQBkLxZoacbG oCgYVLEz+WNDnQ6VroJ8d1hFCn2kadtr98it10APmLtBErovgnDDvCSg2DJg4Ar2JUizv78ZkJww YxJO2NjQzfK2oO4i4p50SAngnzy1G5ZokCiSMGpgWpzjVSNXTrnoPhi3XOT8cYzrYd0d8W32LsHK X+DQwFwDK/6h1rcK/N25W1WGSnuAAnr5iG9M1odHzUsb+tOGrcS36sjKJaok4W0LgzbcLCi1XRam a/bY2cN5ddDxCJzUAWHCLGVfDgnZO1f2NlRB6texDQINQ2rx6uXzoiJJE3OZAJjr/yzLYQAkthjS E9XQdXKZsgDNN2rrfQzQVu+TdkYumdwvK6l5qldM31pc/JSwolvNB/AXsbO6OiwtV9+UJApGrlSE fuhEbRyYM5AQcg0zmzoWWxjtF36BFeTjXD3OjXADyqiOe5HHHcv/2i5hU/IEJF5CapWX2KmvOeXN T+dFkSiY70UQI66IRAurGb7pzXpNM5j4pl4FfiXKE4ovl4CsrZUj1DTeQcAnKmnR7XaI3EArPj0+ YSYWjsB+pfH3A7oUH5MuW8Isul7enL+GWhcGHr1q1wC7bz89g5wT5ugDCcpZaxSxEUrigBc/eWJW 53Ogs0qmi1ZFW6VIGqRWlf0YBg+dusoW918uXOQmRcnfDKF6svGufDWYWhDkk5fhACZJ5pe9gD23 Gmnss77RQY87dbdlFOZrlIoFQRY8ZqiGsnBIiq9wsPdHK3t2UI+aNukSg94uF6uvpBX0HOzEj1rp bl4bC1qtvpAu3vEbonteDtRrdzsXF0s+iDDpMiPbWrvpvu8mlS6SmRvN4yOIR6x+tPeSFC/j15Sa AQW/oSTaVGIbHXnG1sj+PzI7MElfCcrR1yZwED52tz5ny90uF8uWu+G1FJRTiC5YhSXcvH66fkTJ DhuBN1J578/FQBUgLTWBOY0tW8q4iZ8Bh6pa77AS3PaIcPx0uEue4BeHhoUgC3hx5f9gbITTMpKz iItwHLPulI0Icr0CxnZp0miia5w/q6HMg7QZ7GIBn1ITFFBF1+5+BgmXdUNn4ZySkb0pI71s1qKg 9EOe9ijertWPOzFA6XAKBAwFBe1yrbcmzQ32WtgsNI3jkPFotyY3n2sS1RVqzh2NWiClbjvtlq39 beTD1Ews7XvsrNih0Bh0ri8+RZ0KIFgtHfVbn36PaitJGasKc3p/GQaPgAnwYJ0alsrwiNhBJ2xj 72b4Kk4EOSyUPu224ni6jtaa6ov6vGibdsbPMgqrAMVLejbqmAHD4Z2UylAeMIDRW6JVjR2n6PFZ fMLOOyT/Hu8QafcP85pbW6euqb9MvXGjAgXadNy3a/qLDNM//z0ORGsHz6bFxl6oMQTuKVxrc+Ie 8sc191apYvBxQSNBTfjv2Zwn0ga7vxM7wRs0h72FNHNXdxTiu+cLZtHQNfOcCZY1Lcrp13TJeIPq FbtUoiHsXcAOT17a+I2jRtnf6C35R7bmh04B1LpjmappTqn4QkV/wSN7sI0sYUw/arWL46GXA0hB S+fz+VwSjINspL9AfQquJAP/AqvuGFfN+Q/zpht86o+2MgrCvKdQSGbfPWrKP2+2IqOQjnX4jk9o Q1lk1YM1N5sMeLdoni1U/BAxLdNMRcZBQeTM721svRhELaBsg8nIDNHn3xgsKNMOhatyOkbdnweC z08sz7Yj/9P9BEI3zYn8okYaQaxNa4GFKLbVKiFX19vpWJoqxWIo6YlyiCoJsB42bsaLTnq6lzxo N5y/gRmtizkExKojX6OLjL5slB7uTqd2pgLkk28JSx+06+P3Xw8ZdsBYEPM5aUtTnF2AC6iqNRlK NDWqj+Y4W22VOtFlikCk4jXG6Vg1DFlWx6l9zSpNdTHAPc5lotovdZUPpZAKYAIEEcXcDFpbydrP Ko3UIUOEuAPrZQMRvmiEnVFhMKbxG/0eh29fn+CohbSsPgidyDZHnuUGnQQHzIR6DPOuAWkCuy9H T+AXfXXkI07+Qk47BOwDUol7oMku2uN7CxOx78VXoCUrELMILg9rUCsk4FF58ctTCHh8qDgC1hL9 fUJTBlVrzeG6P2f3ipFjh3Hi2VGYggA34DeArwYuWJNJ8ezPA1OLb4kYJUELpE89eUQBEEWGIq9u wgt8faOIV8GNUztSPSadslxhLgMqLXzUAGHbVp610tDQCWX/8uGHKRfw9fWM6Jrx3FcgJvkqE/8v 8MhgP4enI3FCKIhb4Bp6UUpnEvPZXQ8YHO6Wdo5uMdhB+P2od3IcthVNbuhJgeLAsuvqjo3UYRCc qM1S2neUo7HwTFCTkHbsGKDUWDZZbSTz4l9ropB95JYGQotocoBJcUhL0RFndWZlh/UcAtWHeOQt qHU8CuUK/LjKG83xTBWiMpgpciAqFbf0wuFBmSdI3H/hmyxo+gAR2qFyfF1yn5dpYY50vwMW456I MzBjpGl1dkTP8bVHTY3Lb9vKVLtaTr4aBdI6Tj3YKdxF5CeliJr3jJVSEnNCANxVUYuMjkAZ0xG7 WSe1+OrSifluGmsE+fyVbOkmZZHEIlWJxocNMuLnQ/6cND4pTtIKtsRg7NLx8mPgvsM6vt0UnusT ivtJda3QDjI1Dp5Aa4E4x4xk5zyqWdGFDXnOKrZCVcrUzDzrxw63SEHFrgqw/aHRD2r+BAftimyb k2SKyDO4QOO++xzpGVs070XGq7YuHLisV9i1hMaKDsgLEbwwWGIGgqRkPvjAdl12oomJ5NPiy5ZL x2nem1bVJRmdMYoXY6Avuks7iCL/wnQgDFxK8O7/NDJjd3ECH1488vhag741Dc32IUffCxrGFwHH Fi68/xZ/WlyU/CayNHO2/Of0Kf41nGGErEquvt6BZRW3zXbg3K8jDV4+T/W5sY3wRvstYTfrlpkb hU89KFpprnmYYbOv/K7b6494oGbHjAwz/TT0twTJxlwm1GEcVUt/XcP/XX0zBV22md9xIU52JLkt 4c49Zx9DK8BTqh6/MhxcqrmyhIQhMLk8evofCtRjiBM4IcftsuBCGk8GBCE6BH0FiPotAEdrXZU7 dgdBGGW27OMZKZadfyJ3qkbApwyhrJ4HO5Q2lopgLQeoPN5IbV8OwhPwAGX6gUjAn5vRSPUCt8Md /lRLxFzBQpUlNTPJt8Uvfsry8ViYwNzHMhF40dz5ggYp1L+nJnCeQyo+jt3OAbWMo2rdZQ8Uwziq jLa6ZibTS+v7/YJAlaZ/S668FeQ60OIx7Go+Hhfy0V8XfDmU5P9Dy63tH60oPXU6jvXAQlA3uxN9 juh9aDXY8DeES/c+5l97ZmXhfQcDInwiS7enLazwts4Royt/j8qC6MKI9Tvoi/4fqNcpDkRBVhKI 7WONx3GCB4abGrW+ysmUrHUj+Kk3n18ghyi2USENBQ375KiGWdpdu/99gZKDLVijJkUHYZ3RB97Y VM/eylr6cCTMdiy1mH+EcSCKqEcBpBz6Vaefon98g1cWv78SdzuGVqaBDxhJxOh8mCD/Jawl6mGM ShfQg4J7gyNHern2+wP/FIBQgpKETW5D1WXXvviRBeiznw53KA+qjD3sS87lr0Va9MEDxkjEjlRl 4Dr1kzkQbq0cWqNgsf2WoujPWWeXJumXP53GIZD0Im2ThIUT34DG32GDgHM9BbaOvUOCjTzBQcam p43R0ktnl+37sZvhXoi/TgNPikysg3Dv43ObwhT7fk8CgiFtE4dkIPlb0oVl04arA+noULHenLFT gpkqZ0yzVZiBcuOoWOvOxj+QySaBtu4KvGWftOdQ/dVGjDRuuHVrUM2ITCX4drqha07nOOiu+ooK 6hPiDpT0fJaJNYe77nm6AvFvPeDA+np5WGrOkkv8rTZqiKnLbILiIDE6aHFVTcEIOAA1HW+VCce/ 5KqUlwwGt/CBGD1nhNsrjyRfNBsTZF5sE4TF4/JtAzd7nXh/uDGpjjKA7/ES9FviAooCJn+pU83b ld/4I7PKq+Kk7nopkzTEeUbKFronWOYaiEOF3ROQNKQJdGInRq0YxBqboXAM0UunyXJ1lrxeyh8L aSMrgbjwkPTmBrfLsbG5ssDzXeF1wWt3sxL5kbOlgDkX7hrqr/lRcunS5M0iB2NWGRgN377MdsSv LcIzQ+sLcNNGV5DPgutrWSePda2YcUoBr149cl1p8ZGnlgB6H77MIbphHtVajksFeDsjpqE/f/eu 4jzOjmQiOUEicMXPIgdrSfLKM5ZNgNCMpGBKWAVwZv0yEc5FRDVtMddMhUN8+ggvTLy1B1cEcEdE K1WDaaBrTTjvCorQkrpw6DjAvYs3EgXoOGeadjCeZ/sJONSWvb3GfvDcJw/0zpifvjq6e6NmvdBf /HpSMbNJ5DD+v10LgbzN9K0TDYRCb1IG41OTL1tdNwwT8LMIgmeX1553mlgmVJxoDEEb1zEukNeA FdMxj+J+VYy0HuWHgmVLkzkP4sdfCXD4I3lDU5vCk9xKVH2rM8U8uTPX/R5zEYB61gHM9NvFn3nd st+AVGuGtxlODsH5ipFYDrfznuWjrmXURGUGwPbIIr8Z/oWtGCuS0OJvwJ3U05Rm9AuAuJ5Mzme/ dWSvoS4RVjO9MRBRokO8MCJIYwGUBE5IpFk15Wh2CdnImWg3VBIQQEv2KBzxhkqayf2rq5m8YRlC 69sI/rR4osvcaWjKeyKJCwQTd/ul1wiuUAikZWFjivNTBmrgEmxmQwcwjnm344WP/TcXLsADepRT 0Yxaeql29hxKsN16p4NTVYwA6Ds0E6wra9GArHiqVNpPCVNlYVdq8HkWXUzpAV+ywUW8A08xulc4 KQuVLM7oLADdbBqSNR40dWyhMUldHizhMmw5nKGLt3Y8sF8SV7OVKkWCt+KG6hqhTica8yHzGuj+ rqFxltoQoPxmwY+IGZekGqDTBbvF07+eYEyBfS/PjXsOk5jeGwPaFsv5BazDsddYoqZjP7l998VQ +uBFN9JgLzsVbAGYIXuKeDJ7SEk5320+/8+GDADxtV5tM7o98YF0lcLFA22vDj9rpxm77JV7Bi8C w9LCNApQnXgfYhloUkdlCEVOwYHddfxdJjJliSgKwiku1Oq9UK42b4YWTQFdZmlQh3p24hH7ikbl zYokN22yI28PWcd/AeHrI/pwR8wdb9EY6rFyZCNDuSvtQ2lUa0+mopbCAsaPjwrpZ0qKVZFmFmk9 Cqe0mPoSY6FQKdmiMDSvUh6hPuTLKOqCgwsGIaIL5yENFfgysXfw8vuBMN9inYCQzM9KFxjnNBpZ PfGmgn8OU3tJfhVceELkXaOCaluPRsw7DRtXskbICTc4kG10kMS+QbKrNV4bftmBKESqMeIvLd2O ifmge8rmkESpGR5Q39IH9aI9Uwduq9KHtjn28IZwFs7KXLLMR0yuK0KwGT2tWP2T6h3FyZC6LpgP wY6pNZ1L3ylXl3Jll8G/Mej5VpQVfgcTm5KDZv3BcEZRDF6/0JnIYVsznOnvILTSGFE0r18V03Rd vAhlUGuRbVl9AsiNUU1gsJ5yphhtIj6M9XupTVGHpmX5LkOctYCeclg25GpIcxjqDvqavClIuG+j zolbrbxb9Zg7FipMSvlBij5rWizcAzQrt3zALRKKMUUhjg0Ltk7Be1FpQ6Us8oVw2VyaRYzjl0jr fHec9IU7uELzZNMm/fVnTxFylFdi/mmtqmoTiA8vkDD+FV6JEDiH8NC8GDhTqj2+75NkShzC8zJS vmKua/gGLWt5TI2xMg7UX3LNjzI42qwzcG7/Sk4BrlY+pfcN914f7CG2BzigzRjWUAciUztCaILg VCQD5BGa0nyCgWNKBoOpy8AINcjlPh1l0fJsiIA8NVpbEWUQ3KIM3bOdVSvGG6Bgzo92QrPn3SKZ iJeNdWMg1XWnpBG8Jh8N1Pnkgg2Cg7qgGSjwIFmIBSx25XKfIp0CSG4eneCNmiJTPh6p1AwtinGD xNY38hEuud5X7i9xL0AVWonviwmEMI6mdJWBsRJNbgQsXOarnZD7LwgIQJoi1pM7kh3EXKJVZfJ9 k6SAMOkXEXsmPW4MNALQbP3gopTIGwYLbYG7UWFjlm7+KmoMzcBaPpZPuxLJI2vnExmm1V429CSC MydEmQcgMVillHqZdQ4yaQiDRhJwnzDu16z3YYTdkuSdBFBIY+ExcEyw4VXrGnd8CZbc/p+AkkZy xOdTmp7MqV28dXtNvUaLjbkPpLQCzj6KWIsnUi/zAfT9WAh+wSKy0L7RsDhnc6RLp4vm3/2HmCX/ OM3J1ats4pWGB7k5R47ufXtHV3of+rlwplIcH5E7uE/Nm8PWSkyvb/hvVzY1xgAz/8Rw3rN89ubO QpdCl4U2yxDFNCuwPd2gQz0R587mw7SHza0dtEolDJ7bb4nYnuTLhrpW2bJw89ExopbbOlbbIOCo 7aAPV6+TMKqUA7PVXaTnaMXdVNEURQJw/R8hXQ87OrApX7G2P/fa3me9yMmOQ4KgvTPv3si5UHuo T/U/2lqozr5RLuC691s4Mc5PSQOT4mqQJNHCpY8W5ab8T2lJ/80u/u7mBul4KcbVCRK4b7WZczuc 36Ev/74YKb+zidvRmQp7tnGefjPGbWaZwHWkvHD+KaOmJiKyQvc45cwd1/OO9iKXSPXz1mZB5d8L U/2+mYKAzMWgi0eW7XhRwDooI6RGbKhXk9htckNR8gMtG5GMgOTLEbgglid5p4vwBZNIcp5ibcg/ 5SmRaFwAJy0pe7dnUBpjWiUXkJ3KpgkuEIcqXxxYp2k6tpySWwZ0yXQO3aKv5D0hz9NyWj7H/gg2 tsnmXzu2Wj55xTocJe+66Kox7ILuanwQgx3XpmN4pOdMuurja99X7fSCa04o04Wq2EO8XUz5PfqI tIthiJOWDezkfNnVOLzALK7mf8Jecy6jqmcsyCx5jTQQyfuVl7/l4iZBhMnV5GvONUmRiwvzQS3D AyKvmZ6Y4r2rB3IHra/H2FjdDbXqgMuSVmT2/CrQ/Qc1jJQrski3wmFG3iRPYZi8yJ/fHy6NgcEa MnaGGiRFWrxdTDf+ncfqRG4e7XQAVZOP9xz7yufCTxJK/0bekjVYUIFZlKiK+BTCzR0wmeAFt1gc 4MTyC206PmT+wxsoYOi/oI588OY4amiLbMOg+reDnfkYN2Xqbc106Amfbavcv22QW009uJKieBYy 709ThqCFeVkZmmfNZPItfTiIPJdjXZShqPt3cMwN0XQ2QTIo+SgsLM0b0RalJl0h9ZlmI02BKygh lcA5dbbmmLCxgZ7ldVGtUPK/elso70zmqvUpvyhFcTSrmy9f/gWoAfTH/0xR5v6+1TXRW09sQX45 A4kyqQTF9GrgMMJACIX4qKD4BeimRJhPH78XmCQui/Ee1zm/QLqLkK3kDm9gITP+jzGy4MqOr3Tt /4AHezko4hZzlDhmRUUWJdbLBaF+N+QUZe+z3RgPViQ+0HU2ygzlboBH5J02c0eaDv22X0aXf4oC 81Q8K/k2HkquSLaOr7zsHXD0whTIAcE1bvRGQA+GJnR3okjrjUa+csbYRvBGJD+tnjW+YG2apex8 PAcnC44ktwzfqf2NW7n9pHkF/d69Mn4WosJnigc+U4pMdaoxcVpPQc+VXkqerHzFrB8L5Rbc5rn3 XwlV7N64UD01UBJeMz++gd9XjRF0RNX/YiUgcJ0Nu8z4pT7OOFGdhqfZFj/vdwlskhJ71AyoUsTT F2s+dCajf5tcdVjy34JW4Tfdd3nSBzpzBo2bXOqSqbFuagFpnkz/IEyImNTtl+JOEsB8TUPOjKDZ 7NV3ND4yQ2v5Mr8oXn27b5ny5kQuYG0mA+G3zd8L5buECutXN/q5Mky1n/GIxwgMV03dYfgtPiD9 Y3hXhnWupED2drgweZL67bf/HDzQwPW17bMUKB1fxAr7lLhK2LwMdMP11BBv74Lv0DkKwDaLclYF 7/preEGehZ5LoGEcV/zJhXRLVLpkQbgx916MrLEEb//V4Pw7qqreuNluZZlip60v9buTMqg7vFqL JDV8qr/RX6nRXAJgF6XVjO8logpU0bAdi+Qz5d1I4v8QIXF1+P3xd3iZz9yXZJO+XCObFN8rnRx4 3lHRJ94yJDw0jOptgYnY/D9/IOJW3tmwaPeRjijOUy80ZENn5ysPR77X9eGvHtHEt6lpCEWhUp4V PeS5v0tUZJLyZ8hu2FXYr/Sof/EFJ+HYvPHhEFP31zrOuXomMMoXpHGNEUgYQICjjjlJ55/gCRf7 N9ACRg5lz3RG3FEF5f2rj7T/bEkcziYEebXXTm2RQVB6PHEl3+sPMWLuHT8eCH6IcLIq9+GqOLex ZDPqlQ7dLKQcsrUff8Y3gHj6gEd+Tjw0hrP4Wzr8x9CMwXmsHQAyJ9rlX6G4FgaaLYKgCFHSPP9s aTyzmD9Ugo9qaOo2kLh/z1yLrmD7IirooUwl3XiceU7t0h7zOqRdA25Phz2wtbLAtMqK18aMhSYD G9pctPO7vwzcBF16NbsOybkoXfhSPsYJmOnVkD1gnysyM/SGUzPC8oE6ih5cAHrJ4uBZcwDzUJgX N8rdIQoBn6Kp0XbhZGcnqrYtiVAUfFcuc4rZJcp4k+Cg1ab4emrtnjdjatPsNIZ1RBe4t5UrXTC6 F7kW46iNY+DzRy6W7YnXBMuQVaQdqRevmxDYCaP1CaDKHBhXidJGTYbKe4BpCx8kT0pT6TSYGw8/ rISLf6VmHX0UW0foLaiwW578lnziP02OSm5Tk0uqVFB/1vtSeixzMusFAQeE/zM7os365Jnb79jX AjumUH8KUGuZtEY9BzMSUBTs+M4otboio2GwSEvFrmToSfpVvlDTIMUk0YIRwf8qKUZ3yt58eIm2 7X/3bZcYYJF8u0waR/kv94eDIwj5nMRUvfxwbL0Xdps40iya/p9Q3zLpSMnvxxHl2iE6w+DidnYb QuwdC8HzHPUIZncu8VyqJ9Z3rlC0ac2JbnpOef51/08OREBXmCMiPIxkyOiZYGRD1tzgXgQfszPW 4Zo7k9yVUhi/LJXDOOESWzS0uv+BDk8SrZWdib+rIf3M+C7CbJv5dx6j5MRDheaTrzEHIoJMcuR+ LSQo/mrIFcUO5G+ZQToJk+PEIAkx4l92k7YO64+0qfwHfHrH6/c7yenUwx90+v19bjqonTsiBwvA LGp1ASSaLOuN/FmoyHO+LIZYYyOC8sTMv4Tc7CtWhowlSo4NkNqmFpSkWwNQM/QhRi1ZW5rJ4h1S /6PT+lq1G05CuSmT7RdAhLXvZHNB6Pyx6jJ7PqBie5odK6Q1H4PUbpYYsVA6RKfywNgb4L7hqC05 V7UviUwLNXLtfogidOP3JKUeyNN2tzGa48dv8TJ44ZJ8PQ9YAF+m9vhhsVNYDIybqKzBik16Xlpg yopXhPcb47TkttkMWlwvRUZRHyPk++sCKPPhY5+agc1Yp7JLLLQDnKenuF8rzWp6jWYIus6fSuw/ b2VinmUMf4vNVxlngKlPa2mD+ly3YRmI9yEnjT2UUKXeZaGl8DGHbC7A/qRXA5p1ab3wMtPyvfET mdylyYiZFJO3QyIKbcsbrOGqajXOvjmnaqvaJQv97VEeRzgMHw/R3hsjIOQqOQwX8uvDjjZTHtoT ITxcreapru3PK0yHgvZYzbCPFW1xi2aczbUG9TqnS9A2as5v0X3d9NSxOxvrvvk+kOUXm0Rn+RPO 8BoVilBIzb3O6n0UUPY/oD79tWo95ZTH6Q47qK+l1YOJDj2gJGQ04reVYIiKYeQzprT4LL2nHr7Z 7LZq6KhZaU2SeHrHNKTQp6rvBk9EYX5Y/hLk3CLi8TQQip49qXxcdRgD7pnKAgj9TPNVcUoPEjOi HFE5WGCWUqi5fsADSZ2z88WRQaIDiru+rIop3WcSglUd0ipHmFWztWqUnHLCRx9pNZimg5kvSmFG ZNHUOGyIGepADNSvUMvRMuJl6Ye68ux7GtEIfOEGwPwiBxCTKPiygmsiZQB+glZICEkAs++Su7c1 sWbiBvyX7VxYYMBM6gVaH8eEJbGtfMbyvOtQ6eM6e4fhw6XIFaI7mu9b6skGYA04sWXVUUsfFWy8 w0KVXKtnMiggTrHFkwvpmWVsy5Du4nOykQPiVqdkdq1BGseLIJiIqnnnGWeTZmi0Gw0Bl6jsKmOJ Bd4oJVKzvRMcXWOJaZ7WBSwA0WOg72snAPRd1cdj8ik6bxEIYB1dA5GljbPbTen5/nQDTIOFcjrA 4BrAY/qn/5zNeorMOnaw+5uDqKlkRRKHPcaLSfVboJRN02qANhAKm6+CreuNxBHOKsQBpZIP2b3O H6tLFiIkopgeQWahuuKyaVEkYOCeZGADVl/2ah9AEo6N+mFygkH13cpBH4KQTpJnnUCU7x6GXmde as8HzzBRApNphmdVasfpjb43WWx/zfAlG1xnzLZJevPJy0jMAE8xltE8ltZmDb3WgGOS/eaXIo/d oW+uykoWLMrISEc2MJIeOcQTKDu5P7Q/W6Dxh5Q4O5ih87rEvNHrKXnWnrZYzvDezsR8u0JiXBx0 c2tcBfl42LggRCl3hgRm/WBaR7sS2Ud0dNI3fzskxgKM1bM5VLMp3VQqmH3gyOib1KBeH2+ZamyN aIulOd3/7fDclb86rXr4B3HP+gLPj0CMvEpQv8I/NN0w2pV5OspepKnFWw0Ynr/u7kqvPvXnPYxb yhV6BuEr7LScS3Bb3U5gbDTI3WCVcfYJ0tyv339muXcNmhcUYiqgGXcDOqmLDXci+lGMaNm8mv8i jANXw2R1/hJg44rb250s64B7SvF4v1sEUIy/CLJ7VohyEta27UbcOnK1utu+ovMiLadnB80l7fFc ygCjvdjg4CM0r1NXfGyiJlW+UF4fReB8N+QmrAEDkHe56d/EZCVBNMXDsXiVfRnFGipxrHyhdN9k 6Z5CEXy5JOlRNFq3zKvIW60HF67k6Q91pEBnIN8brJefaScl5/Fz+j43aceQaHr8MwH8Db9nV6Ab Tj1UkFCjo7qAdK5DG+/W2zPWYMXB7qZ6s7XOMGYQjXYBLNSPX0dsXBKFiRqEGoZnkQ1PGd74H13Y 7pYgzVQy5niuOC3t8nhkLpxRVE3xoW5/hQ9nXp0blCXLxBmmVgyAlcNu3rcJECBADaiSsDZTX3UY 5xpzh6wopgHRm0m/xLVwjYnm8yDFeUV5Dr0TpJq1HQfdPtrdqUq7Nzj5OLSshiKgsE9WFNStjm1w wUs7quHXw4B9uZ/S3UXESpeqTdIJQ/dvMqU8amKawynH1YL+R1hCbGC8V9U1TkShvhyVSmaMckNC xd0DmNv3cOHPLWPWM949qDHwUalyyWb0pBaZKsFKR9wLHpUjueaDeeFRgsxLT2wJjv8cpTWiS5JI 9/392bnE6oHXoCTw89gzqflhyWG0Baeihlnc15lx6HZTg3EKxhiodZoFdC2t2sVBckmQjmMp7qYi ZHyrldAmQO2hpshKBK1V5+aEMpD9deIZKLtY3OfSsYW7aTm8xRS5+Xq/rK1hg1ymhRsb23P2N/Xs 5nBXovAx+PgQdfr1RHiomAS+GvBuUlGTdMDnZdfeMl6pcB4Plcp+npLHAeEHMoODSpTfLCbnAjmY j2cCROBkNIxg5LsZAhpXIDid/hBdlAi8sUeAzmTWlipTM1iFjzumlm2Id7A/4J1flLYbkU7sZJ+W YsDnde68aGJ18XmzVAzv4fFrnHOdExiazEEnbx25QI2qoNXTrHOKnYU8wkfl8SoRAt1uJvVyG4PA YtaVrq1k3KSFvO6yRbGMx2SFolgLMQG14iFMD3IOWY3eUP6Zu0KQjQAjtT63HKxt6E0DPNAtmO/b wQalyqPI5XMfSm5NVbIvXbAs224CIbJcj7rpXDEMUvWYR6+RqE0wjSW6yecQs8X1N8gDKmEPvOnb ddA8UcxjbjxmAYTpKShP8y5ZQSqvsFiRk9ToS944cdbGAxicVOlWmFclXUx8iT8UeJz4ymPg0qtW OqdgvhYGISw235ltWOp7SOdOsDi3Zcv9HIWkjvsvtXrcubD7NK+NB/Kshh0G0Ejb6mlFYs58lDDd L1eOghGtVsu6igRrvp06KgMJX8ATZo8LyJON+U7r9DyVaVb1za5dMhQVUqnAG5pW99JI2rlyxvMn YixE/pjT+wQ83+053QRu+nOt2rWOLWkiz9lW7iwHdG82F6L5wdha9nGl0P9GJQ6vVlIFLLxMwu9f 6McHGSa9A1G45eLraZ3GNjnq3XYDD2cD2f5CLqKDJD2dE4yHL4pm5OYvZjf2b+oYZgQrMYHbYd+x R/f/xeNSSKCvAomn7Begdm/Igru+EtPNeHvLI+UqFlogAIC4Dgv45VYqyP2NaFV9E9FNGtAsqKzj MQaUgz+IoJvJEKDduqXeE5c5JyvYNJEYTHPedrrTS1X+4yvEnZGtnUztpGAB/cLyhNXqsMBAtatN mtkTNMSG2kA2Faymgf/7s7KH9gcYSZYNXoBALWg6cxh1U3jJCWV16dCgCnProqxWPmE21zarJXru ElKKVR3RPMayKyF5QrCCzGBgIoVMkRTIBw+vfopLd9E+OXguGEvNsB6sUq0KmJQA2qrnAYUyQre0 YKZn0XvETyH8Z4Kbd3vxML/Q7I7flVtKJGx/6vg097twPs28kQNJQuotvcTvPAiEpgoxQKXY5C19 bioZPtBQoBhXqGXXV24OCHCWu03j2RGA7RqQVAYXtc40SXG3pDsyjfOvjmkk+nuvl4yzaW9Zu0yP 82JHWixmzNr22jERgI36kPYw1P0jhrdLXVblsP4JWIn7auWXv+mJ8oaYQOLJP1OyEnN3mTZ6irFV Tq9w0jQqVxSSckz9n97V/FrnL+RW5t7/wZ5gcf4lfynYXTOnDZ8E0sTn9RHMvYsgU3mtf79oGb6O XkqX5IyUwzuB9kYprJU9pPlCFV0fQ4azGcNEeY5pnA9+7Ke/SynQjFuo1eru3jCzdYA0qgKCNok8 ptqMSvi/3Yj1BjMx4v9C8jUorkAA3Zxdl3VnKBoG7bcqzRUEo8ZU6MsoPKBwpiyKu5KMhd5fouy0 MGqjPCObk0GzGLHyyhUO2fToiN8465J2WVqSgdcE7AFSTMAqd9fjlYOkV684CP5KY9uVSbHUC0FV rc3rXlm6wXEmQvqQt+DYjm+gxdYHMUTH4j7mXk4GJ6VdEAXO5nb0MMOMj/AZaJOoxhjpgbdNPKgI GENMMVdSQ9QYhBR6bYBYqlPsKPBFKfpwqI4O/Awu7eioabfZ7haC6CYYePBkZNG5EVW0XKcCDd1U nIj62Rg+lTfY3sSeIvzoQ0MvwG42HDbG+tBknLkm/AfRlaPPZtHyssKAMhOQQZtN9WogJNISm1na bxiwyt/N+82P2jLq0kqrIQXt81brngC6736ywzLdiSXn5l85ljyJTusG32N+9Uq31IHfRehtOTUB c6XQVO+t81n2Dg1xibqUBfIEWejjAgFns+xNahmoWpWcBLAE+irN2wvh7A5DH0C7BRSsXtY1RchB ipH7WgaER1kZqtj6dNJUXeOS8OEfPBQvLLA4bWf8sTtnwMZ6IvFKAuuCvzXbZ2IQtk/Am8/8rCCt X3b05Om37k7j4f3oa46y3lh5rArRV9EQ3mUNFj/2r5vGGRIMc1TKQqSTWuQ4H1SjLukhMjEmpgfD mzwTnkOZQAysR/Gh00SYLeXJHZuGS56Aol25A58MmQdCwlktMg2XBID/yT+iJ1K/LH2dxyxAJM4Z kOgf+7yxdOu9Ek+pO+9hSTRXi63qPSTf4WNEi/B/lrKJhMU1CooNRQmfhKCWHMe2OQYYRp92H66h tj1HNEwSJHVjd5fJ5qZ5+lY01EeOncRlVKwwF/RWpGrrV00o7i22SShjAO7oMOwVE/UzyrE4EG7M ZTF7REK1paO/Kx6sPTM9/PhkbJJd+UwGrzN2hSK+C4aZmahURT4jImVU+1aSpKWuMPcODmKGsbBW v/3vOiAgkf7OV/gfjmKWf43ERtxgOmENIi9cC2D13ZBQ8Mj26Vr/FI1tcfk/hPa4hwvDi92mTT+p 26rL7WyeEq9iWOGJ7s+Q9m7U8CZPId2TY0mYkuSiL7NxJFxWahgkA/SAI5o8g9zRwD82WYvBk4qH w34U+K7iDuH678OQVD22h6ShaQhQ7U1XYwvmypxEBDQxRAn2h3tDLOuDASdNC/sedpubyQC11iY6 5+xWyBSoEIa8bk3eqCf7QmK2xI8VZrlUU8MSXHgpigrxMM83twwae6vkFGQhacH8GoB0//wTkrP4 PWwj8bEyxydqSBAAgScAa5qPBoe8E5kjTLAQVT0uL5pr8j6PMNsAVY9Fxn0NLy63Rj1GpVSBAcyV oJlJ0pfIImyw3JFnH2/xK9TRfxQULuwhPBB92CIkJcQ5NLbzDlmAr5ib7uSM4P9jWwve0B7heELP 4FmgDVg+wQTESt4fdJ2SF+H1TLOvIJs4YWiMMnsGpIPkp2Em+e+lS8vs+hNVW6W3XH/lbmcDQKAx qGlyoyzLSw8nEqY/Jleq2aempdRgQ4TA0uRqOwIfLyLlqEQY5NO/L41yt9PP3rPBS2h5FifjR4kR 3SNoSEhAMoYpvWuFAEizz5N5S35KoFsYaa7fM/SnAbZ1MBRHn4gNX6z4LmUu5I2x3j2Yxsef4Hly 4PTTNOEo9Tb7yDjDmII1lkzJU7OhGaSJoB7SQlvVOG1tOT1LmREJXfQdbhfpl/43ZWrsvP4sK5Ei 2iEngPApG+W3C7jgXBn87ZzkQgoqAwnGuAdIlwSlr9kJwgs1LDD9XMrv5CQtnwt9T209Y7hQ4ubz bWYo8w7dOI8vr1VC5d9fAfddDK7SU0ybtAOoY5YGzf3JEwcqz+DYWKoUadD79Jt6yDIwSyfMN0KF QgaWyFOZVqD/lrIM0O666Dje5l7dQtuqIXYT3a1JsWI4625Mx0HQb+PKU5DyoyJAJPd5t0xGA/dd +mp2jofHfXiOCmTUx/2s0F2lTs0i4vXeiPRPX2/dszG+80VdJU/V8dRqhOSPOCWxBlqSD7+Zo3lG xA5M+J5pxCdfL/oRV+EAkppvLjS3eW8z05dlo3luY/YNnsW5h8ZaUCF+P8nLubXQOb6LJzu4L9d3 UKw20JrXbXvRt9BTKu6NFqYQY2i6X6bE42ZTNf00cyLD7P/kMFEA8vEuAQSAH37e0yn38vaW7Rt+ cA/6Yh52Y8DZlTic2V1xPnX5vuMUvTaPLE14EoCwv+dmxXpPnByr0SUw2lqOVUDchd541xx6zwkj pnDPY/3MKGejSkyZigqSDhiriY13pVWmaV5qTnYlVv4IEBUvBq8pW5Qiif+aXMZstM69OzCjkalM 7B3seUPMSWN75vbmULhKiKtfFCF13eaeG35QTrH0KbIYm8MEQV7PYpaHJCu85uRROikHtmOnTiaQ ZMimBz6GB0OBVbw0qPUKSEvvyobfbhi93/5zbI7qW0FaXyleSL40k7+U2kdKHR8t2l5LUj7k+CBM JcGzV5xypecK3DfhZcGaak+mY6iZQ2pH2k55mXtOoZ0nmmiIXPvtojFFtC27fUgZsuV2DdMd4Rll pKuQ6T+zatam0MBei36bvpTPmdIl6GVDzEy1RlYn5/lpuQYlbuY/7umcVwS09zYkywcHynxAJvKo topRb0GdouLmOxB8Tdo1oUOWrkaBfI1JpiubCnCoKWZP0jJP5bfIotG5ut75N21NjoVvij1KrUEd BZX2c9gX9LH78gTpVvpytip+bxKOF8523cVe6b61OVxPMiYoWUwMsRB/IxenJyqAeGXUlk8r0Jx5 F/Jh4W0kgoQtINmQoE05XXnVLO657CCxr2MBLP6FkS1IMXBZwsR42xJ4YzQhMEf1DPEhdT07LR/g Yo9xx5/WB5lWbkMFA3+5WwZFvzHosSS77e5t1V7bb4lyy08xi+hoPUVzOHqjDSuS84Ec7UUXqOhV nNvXeR0oywO9kkx/+dslpPgEzNFFoN7JUEXFoK9JSVR/+Zg6+M5lKF+qKsomBR0SXgQWDIN6ddTM PKiKm65bOkzOftfijUzwR/zDf9ay1F81crNlu9LFD6IFuATHTXJvG5A+SR8nEdzQ2ko0o6VUHMyG pqkebEcWI2ywaSdd9LCcIEjYS+ED+GRShi4oQ2sfLk4Dxr8m1ntqUGp8jY9VxBXSKKialotS57DS GUbx67D7Btn2kcdogWRDoOJL+PIEAUdWDdBPQbg4rWy7b3dt5ShxZvYoTUG2zApaf+xZOmv7aKb9 0bGFYn9SOyJtS5tGrFptnI4Gf08YhtGfPzxMLbgiFijFydMUQrxU0jUqzh4M+si7QaAtqdVMeQv2 +lWPn024/fKb1dsrkjfyzIWZW201PKxFZlazJd7cBNITPNUn6rW9h+htZQg9aedNA2q9b94fUpIq dILGW3rvZzGZtKXkDX1UkQYxMcvQonnOPNVYwtgG2nRuKmNBle05+IEficqGLObJC8hqZqWdMFI0 YCGeOa3F2778+RoMfsRiZPkvdoYGH9njrj8kokGEckpqdZjgs5Iw+rrbmnN4JIjxV4FYj7+/v7lD k1GLVuoWWat0KeLCBP9ZRA6SyuzWZuMuPweKiUAebRnlNgMQyIpm41boZ5BegMNvTyx0dsKuyX/P H9e2vheTLnLDPCyqVDCtkYEeHef/6ggmUGvEJkGKV0zUr2xDTQ4xv8vlrA5ot4YCtlwbpgxmEyA2 oe1bMrnRQT04BSg9/ZW3DmAegjURFl4rpQ7WfsK8iLXMYFK2YgQbIASWV9XHxgKqDM1oHuMMBUfV Blp4LyTCdllYZhTWhrzuGh9cqHeO8qUkfsYfGmEE39KMsw1w12EHcICMege8GD8PtDr3KIoe2P2O gBGMGIXAFaFN6VwrUfQO4/ZHVMjBsh0j3+LFDapdtWDAe0SXzJPbkOCggk/41/mW7dKmQOFXvYg5 yM0842IPFxNkfoOtmPXcYzmDW6ncDbNCX4mq7UZ030/W9ieQAgcXAsCR3wQdj5cbY4ZzObpi2Axe eMIohdbf8JrJBlA8vLDeeKIHziDR/81mxmMEO5rTaUCHTt1eH65WRL8twcqBpOAQoCwi3BW/ugvr f7fPeVDEAJ24R+MHSO3723zQFddvFzTSoWiyprXZNUzOizJNfWncOIGRf/QmJrp9Pld1dHo/2XqZ gxMSNaGNAtJQqLAUo/iHz1j8ak71MVoMzmspX7RWoxsH3Gwv8Uh2x28Lqxd+M/wEVj9NcGFIjRP+ oliTO2IF2OvONTwWdptu+Glwwg07/iYMn7BuTqweaL90OmGVkIuZ2ZORv6ME/WM+I+oRVVTXs25Z Clu+N5WOyppfKdL6tPbkZ5etQlhcC2DSgd9x3/tGstshpJ88Vt7ryfPnFROfIgO21qxsI/Nwi/kl F208L7lNhMmECSSpXrKVBmBTFrkGZt8gNEIFqCcOCDVh+DhEt8z3oRmJNfYZgD8Ut8D2BY3vUllC D4s1xVCfTc5PZT3Q6TyR3mYuQt79eEj2XUuqevZt+NGmEGJ3FSTbZHQXYFJdNhHb1aqkci1PIj/V GhBSjHqpA0j1PNNwbLTVV22q5WIrmirh+tAU2I8hkWDuohLsv/GtVbsurJEn3Vc+FXrxuocSJkGR PNh+FJwso9jhoqlNF07zlhFnefDah+l4RmcXh/e/NKnG0bf4afLp93FumTATuxIPC+DpQwrSv9dN 2mqZvJdLNt5txHbkNLiyilxq1D7rHUfgpBl9Um2rY2hrTmUTMWE4CB1FKULSauphXU9vM9D1SseP 8ATi6vxWE2A8D2ldeRgOuwqKgyRSN58lCv2e4SRtKkbRB2p6ww0Cp4q0D980+mljDXt086azfDQ5 6gVb7uzygRWYjOYDCNIO9yzfofnB3aGBhPsYqm8p/w/uSJ++5aWqHAr07CoP/WC7EDJcoqKd/rz9 9LrwUqxxSwSEvytFb4VjxZYMdmO3AMV827pxVyw1GjlT4tufxOSgecakyzSzr3Si/thEKwH9V4QS gu1R1E88LaI1X2dSMcceTPJmfTAPJjNyLKK538PYQB3MeWp97lhoKmrxPBpbQ9tjJ3j3ZpVi6tVW 4lvG9VsitkgTFb2TfWJS6E9gfevDFn/XmCVVi11SQqncTD32kWsEdYxHjWtF0OREZ0eicCXb3oLE ncrwRO7nyLyjH/ldVQmSE+tlPns3LX3zfcho6c/TKuaRBjM7uSOHw3eh3g/2knw5917r2eYOQLJe UoUBiX+0MnYnIB3VDBo9SMHnGqRYy+7Q3PKfKHcIDDT/I3RyumKGcwhGKD7YzOXiqro23WKkss44 3PxEtruh6jDJEDHAArBDSSgIdspjmooFqtLzGm3J4Ll+jCF+0NIQ3hJ/l7iTbKpXg/06TeVlrurE uYIK70zccmvy3tPKziTg6q3T1U1c83gOcYbrzELl8UkZtKK2PBZXq42T8m9NWv/IL73t7fYAomAh rtnMtky7U5/mE+JprqRsLV0RJifO80DsU6G9iMCcBSlHPbDTQ727IAnnzDQPuCKDbHbgHvy62kNP bgHOcHNnYFEPKVS+0c4J89zHPTrjd+Vy4MLLs09sCDZgT+EbNITF7LflW51uVLRJ/guPH4Qp7pN1 JfyholIMYncHbTCxnRDfQ4lW0lB49ZJ8rhmZwBRhcf5Ko8jWm3jrt27gDdEq44gVL31z1AL6sgkZ cPCp9oWbWRzm+F+GcrPOovnsd9YVKVq3VcRw1vJ97bAvaKlZMM87mFuliHmN+acCB9M1hgbHH88b pG2x/rtnSzrRqW/UZdk+6np6ELQyPpMncTakp/TQMS0F57Fzkrx/SZQHwgVRSHfKqOxsC+fA29RP 3nZrUh8stPVLi/ykPOnWCmkNQMf2TOGGxM21iqICzThuNsB6rO+wvm9mTbnMzrE0/HaxkWbvCXuo xaL8x6fTs9wRqAXtPuLK37gJwRgHDcgBajGNjgPmNEDA/MxYyCyW/p7Kd68wArNH45MHi7DDF63o 2c2KY9VAAo/t66ZnDFvvgCBFwnjC6KtqvE2ujAF9eOtZhJz0LQ1NYECIFRa7gfELI2g76ajXXfux inhXyRNTI6xkG7iOD6CaNnpoD6e79qgJR/JEm+HkvyZ5WjiG/PbxMcr6u5rwmDd1qatSaC1XramR sbaIvQGLBGIOtmbv4VsMGf6N9V5nYU2gZilVfl3RzZTbtCZ1H8M7/7Wnhqs06/cBEIxoGuyDLnnY IR4hIep+nvBl6FouTdxzSYT0pCmx7f3RVcdsle2MMB2F7EvkUWE4NIny8CMjrjty1dEt3WijnKfS 7xg68lwkOmcuEZnXU8vkZU7e4y3cs20MXEzepQXPEBV/KZWTCYK84yn0EIKSTCIjLPr2znC/0d+9 l6cRz6hkyU2wb82/3EdaJG9MecoJEfWnY4XKWSwQKpN5TatBlqZJStEdtPTquki2Ap06PTEztu7+ 54dgqraZQX/McP6x7LduCB1lrOQgZ/BkWS3exyuAB4nmjQELpSNLgmF8yus54aBnkq9TJItWyNoF H/GD96gdC/cl15c3IHh5+sNQ/ogrb2cECrdNfi/Q9WjbNY5lfiTB3IMyxxTg643LalHo2QKN8kP0 q95/nSI8BXDsRjhccNnU4ORUEjLQyjUe72W42IAq/Zc2ANFz9vCkN68hQp3IcYHKG662pT585eMZ fJ3qHT58cCpONceshwMCdgDWb3+DvT9wveHNKnprkTcPDQyvJ0MO81AC6eP+E+fSqFmoPXGILs3C p1kOOk6bpEvAB81Ac6awY9UHaP+k+HFV9+0CeJfbIODsyx7yyplhU66I2v2tmAIh/w3BKyWUa8fJ ZSRfSREHfFcc6Ddnp0YfZ7JWIPCNJhe9BDlc0p8CS/f+973HdUAwwP7nmVEvklx/xzmo0nGefFBk P9V9u/qxuxqtLelpCxEN8asBSrSFFuQmcGIRTld1sKosVuSo7We/pLPBd1dQBV3dWbrnntv/s5cX wrUVcyQrLH+eo/dthwJxMSiEo3tPb+RFmUl+viFIQlqzffGlUKNMaPQBRXoeqJuly6eygXfw0Llw VX7rc4WTW8+QJwyMyg5zBKCR9HbuUXmXWyiHAH4v35CiiMiLNLAlxZAUharu1SEs9TQWorCaGrbm NAiKtDSgYfOHumIKawIueancchqDP5Sx/P8C7o3LbDofzCXiJavNfJ1FJbUVvMAAOy2BsT2PVZo2 NV+2YpM6oYTg7x40D7rQ1zV0kYF95zQoQEi/AayjMvLlk7QOBDSf0nhiDHIkwgKc0riwb4ZPrgqk BGoL/FPxPI762hAqj1qg0fXua8tH+SSSHWOJ5K1IcBxtg+fxU1jXXiZfpw5PuGlqGJ0rkxYjkZXv Tm0Hl5YDbfTVpFkSPQchvoeemCrLsCWRJWxS0/gDl+aC/DV/mh8J4pJ0N/Mvsx7Ks3BCENpl+taU 62/08jwcvRunZHvszCpuibcKN/jyQUpfcuJfCdDzATDvGe9BGoaoxC0qbrRzd9CUhwZzNzMgFv3U QIxdIQmK47D41+rte7Xpz9qibHnRFBFA09KDpcQxCrK6XrrDAriCwMjxHPIpn5RZOc5bloyzBaSs 4PSNzbneexLh9DbfjCa1T13XleElBLJBVIGHz9Z2ofTt/rzIE+CSB6H98B97I49esRlN/7fDRYPz efLRPdYRZsAbM1NcJE/IJb9nfEBH7zb35unM9pmM847ZQAVsEuLQ2tcaHWLoNEVPFH6+kU8NSQlU dVBYSGL0AK8shoNly1aBhsY86xOeZdwZZV9sRxM0lGt7AUBZ6iSCS+fpMeuf6w04ob88v7tY/jNf aSuY5xnynGj1+EY+a8AEycqXiIAKtnkPIpxfstcfKMUmgZo5lhd+CjfusM9yxzTh5hDL1itWrWEj 3R0hsxTLM85PPr/1hgirUhxgdI3Zmw97sBagC5TnzW0RE2gTt6Icd/dji00QsZVRmNyaTqVMQCsV d3Oh6a+tdKq0RgDJLLECulFV1qWRLdqfUB8kFtDt2Hg21fI+qZ2nlwbu2oBkBTFEny2IBDcMlEzT ts5rPU37Yy6we20pa/Wokni3eVjslEt4Pvo20OBhG/5WVUe20LFv9WFNAPrcgoObYzf8+XmuTu4r z1DX0ujRCZu57U01e/QQ/ylKvgjkZZAPfpiUax+RvVLNK12rdZH7G5IVhn03Bxns6mKHISBYLk34 JYqkchabJls64OObpUyEVkh7Oi56V8rZnu9ZbDxCFS/Nou4JQAjLvMFCCNDCObbpTmZMGerz42uJ 3AOMnlprcqfPCV7kfDJFXbFJboFyzjcVBg+N1L1oSJdmGmPsHD1Qba2oMlV3H0n6MV3NjAweN3ai ARgiNk4bIM4WGmc6qMYXKPkSItJxOkjLNYSQH/pSS9zvcEkxtdrfeQ0jPOTRapx62nd9J06L1F6E TztY/96SQScAveKzVIdAcuq2aJv657rl30OKLLytomKIPf68mDDzosal4vupsgkKyVlFhtWkIYNf O1N8CiBGsEn1vIktgajS+Xqm1GOI+QRDuxndDtDxHHIOHlDQTXtKlX8VsWmPTItGeQi28OcqLJpp v/aoCRbQ5prl1noSYSFExU0D4qpbHvdk23pFtp98VSBbEb9IHvufj7H6ZEeeulgTGKdWU8zXWqeO r9/k6ck89Ex8fncStTot+HGOCUj9RyQEjN3H8HvSflV8o1CE25z2QBul5ndeOw5jy5R2k/CLtfqI xuiK3vNO1VK1km5Q9N2BCBCtZAtVgGp55MN4GjMJ4ZMTvEUU50JagMiKLh4HrgoMuB2Me08zzQVH 17W7rytUnt8CkD44afsD+WxVfSzYHYzjTHKfjp20n/ZlUJRn+tU1L2j4Wugds7s3GN9EOWMmNsOs 6n/qMdUn3ph73KJ16wOZa4h1BEDxWQbaoOy+p8iU7w7PU727yhUwOXpSNUe00iFex2Pf3Y+k51ko pb9TYwOsWc6zKzRmSZRTNjXC3NvSM0xZs+OPeZ/HLYo9NLg01ZriKHujP1h0irPHwhFt66eRv5Ne 8S1LdaA8ZVDV2+HkHzL8v9Cy2uK+2FCPLsf8wPyr1zI0ddxS+LDl1YZx1WjTajyk3kKs+D6+poc4 eeLEzi55/4j1WV0H5W8Q/kpKjK19AeEIWX/OLYFZ0e+iq0lIjsNmThQSwIykg3HUINFkYOivwm7u ikV0x3sINDhquAH0iKOT/R3Ql9IbTCy21heqCqk9utDPu2ad8Upysp5mx7zEdDGamyGitsCbwujq +ZY7FHKwvZY+gAa8UxpBCoAboXpW/m0cPFyZk55GSqWMuWfYiR2oeVH4SJeidXPvU5WRI7MSkJAo DT/6AI415NAfmwdF9CmxWM3wmzd+yIooCRzQUIrxlUL/4FoA+W20ekaZvbwq5LH6YJ3Uy9i14ipb 7sIh3kfrXkvmQIJPwqZrboINF/4M6xNu1Wh8K1YhWZvuVh21I/OqQfJeWMWm1hUsHEc9rggz4+U1 i9qkgAy1/o9xXSD/k6q17CaBeIaTPBOKyuuvl/b/WQ7hhWMxkDKsyD58FEpK9C6XoukuXo/2dY7y m7oJWlzXqv2qcyl8VAnrHsXpBPKb7k5n8M4MdjJIblAY/jGmqNcclw7+ahT3PKpFswIVOIvHIZh/ BtibgoSrCOaxgszTwX8OOVmDyohq2NtE6p4V87co1uRGO0lnge0Ob9G6KDryaZbm1Dyi50GrHUgY a3B5fzP4LHGed044zKe25liGAq+fehpazm0dD44s/UXcDDgkxIxSKSaxQck40nFIrMIZ5k06ZIHC Q26dj7vrYoE7hA3lfOlfxC7NSB0vxJbTyDt3W/h4TSJf9g6g9hExXQ+lH5Jss1gKK6S6msKdSniV jTu79PpsR97OikxWmSYfkkfpl7TpcZ+5wMyfZSTBNcA4p6PnxWodkCND+vbk1ZCYHdDHl0qBA8oK pFRbnZLiAO1JphZXODq6enMbQRuaMq/UBrGdjeQoIDgy/3uSU5Au/iczBBzoYHvIXiwXgr3/eJm0 SJI6t9n3owOT6AlulWWjjCCpaE3wLSvZkv1oLUHuXHnW/25JTfVHwyk9f6DdlpaBesu3HVSIvOlK xMDuZFnioRmtCwcCjGwJ2SITX1Y8oIXBmGN2cUXtOwL3QNcuaWys9N3kSoDfBdkjSZHTf3WdCJyq LHxCjcgaYrQyMts4HLK5UTx9L8UYTlb0c0DXkbFrsvvp/vDQ1ge+DMJAXrUhmHwUXjs0zMCSu5uf u5PJpXuMsyQ48NTJJ2E9h82rkhZj3qo5c+gVeCCAkgRvEArZJeEg6+b2CXa1QsdQ4eBPCNV2QUlY tqDPzXtisuU2jg9ooUyBmu+Px3AZCuG8wxvF7ClXe0Jr2UG1u8kQUgs0gtXXQVrdUf2ZadJH28ri BQ3Uzb3ZDU8YtpOlIBA1uYiYSwOOOGvNN3MKZtRNhBNlN+TVOZy0AM8Zigqc3nJfCD1q29PftbwN k/8lchl9GEvbvGvgU85veq0rKhD4btyzhYAqkPPc0qA17IZQ3Xb/FQw+mbTNDdsURJJGIOC0IN1B vf0MGICn8Rb7uMfErn3jOp7q7X/ZRycAMMpgfeuOe6pyvWHiMjHVeUeXpaU+P0QFe4xIPbDdKctU 1StDIlXcfXiOXvI8Ewp5a0OqFqZP+d9eAnb8XDV1KnTLC55H3wUMs97BRgqwq1E1MXw2xjYVbhH+ aOiBRMh8nJtuKSak6nkm26qWBOa1zmkwdUdJ+qjics2ypSdVKNXbCiGwYzkChzMSH9Tbg6u6/e4M 7hXoC/rS79n/jSjcqAzhx2XQCT1X9peeBO0sf+PLvXrm0YT0hXYazRZMAoBoZ81ALIDOVfRLtapt B9Il+bDsdx4h4zEc8M8VjRY+gQMCwLg170p/HrB0JWVBOFLZUBeKWON8trKyloBP3EPkTy3xCRKw celuzGAtaeJpcX7hMnu+ScrnGqeiUO4kaIK9GzxiMLAYnDmDAyFTHRP3/4RroHsh0z2oZcRmAyKE unIRDfYc+mI8FNFE058ftE6b8PSyP3LQ48RTCMAwZrSfquXraZJYAZlo0kXNO5kdz4zfdYCYvNhh qebe7gHfYsKhh4YVaAIDLtxpmpCFdg6jqrqxmevhMX5SBVxyfEcrCjo/tr01KgHcP5RQ0Y3+A68u 9tQDKiPBZIIji6NAOGuIgsIlRQnz9dtQ9GMTCCN9wp9YHIVlkFn4M6LI2ZzAEDOKNjd6Me0cQf6Z EHoCigchuPYGlATR0BAAfGl+dZeddrE6YACxrVWBl1FN9fxRHu/uf2WHuFnRGqAVW3Y086mLLpZA R2gi90uxW+rpas5GWh6nLDZQs9cKXwYjWbpGZ5iJ2bSC/1gZRz9qMYAP8jqXWtHN8il2wbs63bWB LTuSoVZcaIe8qgTEFrzDvpvvTNRYjWv8qW2uJ3K09jTSMtdlJI3MSJHpnP3+qxdaDGQ06AXlI4q7 LZiT0jkwhnX+IAlfKSvLjbBIISTt4AoyREbKK+8fPYI+baEr9sDhSt/LlL9sYZS/YWVsTq7kh/i6 iPGtkU0IhsHh32FawtPE1nzJo1C/bpJ4B5r1F6/Q/RhGEZuWxlS8LxU/DZtycAn/eLKfsp7sEJhA bK1htNnHF8mQbXqQQM/0tVUDqEXc/u6bXN5jjyBfH7xfYYb7My68cLVm9M4qvrlkqdGK3OJP9GHO pFyBbvnR8/V74LFPn6bQvSKQegS/HnGSkcxCOgRdIYAz5kf716VO/8QLre2R6hIeguIyZg/9a6tF 7jDEfOXmJO0JeSx+0z13yXXayvt25UT1CdoC0vn77+j/Aqgu3JK5I79Qry+jVmIOZ7dAFwRs+SG+ kYLeFaysebXT2/pHhWqVeUMWbZYR26xFI/vWzfVIXbx9AE4qno2V8G7he70ZqtBHn6aUZlLyV5mb xwMqQNrojA2p5eJdx+6313gW3Czg346rQ3ePwmRfR5ubRWZYb9IlxbR5yMZ/IdNn4u9KczkGTi7w 3DlfVypzfOR2r9gA8z/OVnRmjZzj5461Pdmcyiq9UuFVxKR71B2P5NoYCaaDg3lcwZLOfjZ4MfOe F9DLZ4NMEX83jrrFHu+BXp9icG++PLmvuo0g2AKJ5O0951o29j29SIwMHxybAl6DIf1MSJ47//q3 WRRnGmM28KoYAfTnoq9k+aig1cufFP79FhUJZcGtBgmHF0SH9JwtjQ0UMsWbX5n2EtkbWpbWrjjV l/L6QtYSbioTtqx8otjp+pou6fMqP3X4RKJc3Tybpeg7Ya0Y/XwaVAIMRJOmGaQnxbz0FAcLTAWa RG1oxeQE8X0f+XzqOm7yS370XaIhfyuJHj3wIxfB5XMGds5LMfLqef7XlSZl/jgY5T+U6W0tfMhi QuLg0vcD4RJTz0t/qxMaPEhPIwtieYsWT/IrZWrHYf/Jvx9n2HHRCleZ1O7qax0PrL+L5luCpV+R WI7dy6W5Y3FMhr3w9iwSoBhb3aX/fgCDm/nWiaDOxJ8ib8kjtDxSDDfj9ruVIRpvrh9MZSKPh+kK MHMkzpd1jsw32AUzazPXweiN9jCu/d8cVEGXc/BHwE9ypJ8dlj6l8BZw5UJ4S7f4C9s3YT9ohlxN aso1MUSZi+bZxydhUXzNf1NwOHq9Lz729DMM7TrSL4Vyz4Q8jZEpd91Qt610GeySvUnf1AcQEZDH smyttk5vZx9H66AMsTN0UQYSu6oBDu7Vl2Cnxn3dWNzUdQ/1kOy3ZcnpysAh8pfnnZtOsi7ZtTRz VDWBOKPjgbYzH1wcc969u+p0Q5tJUQf7zksBqy5mppncOQoQSdgTEjxF3MU5/1PeWuRbpq9yobAe 3Oh22jAjBY//UsJUAe5DEf7prdIHiRbMv3MKdGJTdDC8sXw1aZ1XFwL7tRurU0JQAx7ggk+7aJDE /xp6WE2FyrPHYQOciJvuC0u9SIzTGCOgMl9ylytNnU4f14XzGaw5vdIA9jeNcviwt0IVP1GHcHO4 0HYpvU/A35Dku+rFndyT6Nv2c06jN8YS1QhtW8Dkccc7H0hjHhzbhetYkYPDOktgexKN6eC+wDBh tag+FVR5h33wUE/Lny4vNoc6TW5TbcTIOqoAEq2gUYyuKA39UTmMWj5ZK1eqgfxNestPWc3vloZV tkhsMTpUAsVvKZ/W8/UCqf+yVSiIGhQ+Kxvp1hIdHVtHSLIbIjaJJ6nWvFZP8G72KsX8QD6CyStc m6ORM0ZXArnM9opOfW1akGMznwIzk9KsCbYgY0D45aKY5NbU64R8A3SRGEjMXLYjqnRyniGFZ940 TL7HleBNDmalI9/mLIBQIsk0m18D1q4vSeN9cauh2X3H7pFGqMVxlfDuO/WoFxoqSMYy9y9t9pOT STG/dsbvVs/DdvYBJrFx99M0T9rzmyVUQw9mtUE+YWCTnPBB0c6aicTtOfhd6dQ57piQmFOru7xX +OPSUOYMR+aZ7B4u0WSIi0SGkHZOAgUfSYsHqPsS4pPhjrHXwqxR1hhIiTzyXop5ayZw/QcKeNNW MqvVusHscC9tisrFTg6Rv76QoyB7IDWLrSPurbefqMyre5jZg9jscwQvVL1UmO2O46/ylk0ColpQ TjBJLPlk4nSnKvGzykDUhc9EWnVZqXukHdDc7xR9pP3BLCJSy0bEBtFaWnp/ziOkpbnttC2GrHNp Jr9A0kP7XJQyVAd75Cn0pbvXDeqROUqirVLOWjE1kUzqHiVCJGCdGhf+BpYHjFQzBV/uy03eDnE4 plPQTRYlHSN65hSRw871qoMKMDZF17fsrQMBDHYg3Z/qL7gRdmWO5FoyGGHSDqV0iTO24juRbXla tfwXAIir9HcP6ZMgQlFPUB2PCPwPuueMq/DIW/v4fzoRfw/gBtyR7bG6Zo34i5kyxR6AiMSfHDdW CvpoTvEvlaRyd2K+3CAd131GDKTrEIx4z/AZ5VA7VjdguNknAeL+2Iirw22Tp84cIbeFllZlc770 KzLqtEoAzzkr28EdsJSeOntRlRqd2DASYkI8n4bhP2BadEgeBMwtmMiU9NCTzS+AuAo6zFKFC/F8 Gs3jRe2tbeBZ5H3eQEZ+ohBo9HDsSVVNUBTbIJynzurWm6nfaTcVudfBYsyqt1CFyPjlXSLBWZXS eTw81uBya6zlZ/8SIDbw6J76RtwLrEGQaEFkon2NbRnW4OXdRzSsAP6AK8UUu92kAaPpOdsIxhdn ctKVeGjKfI0fo2nkQjIyIuI3Zv9uK5dC8E+ghfhugt5gM7EFF7gCbPSRKZNtXvXQ5e2VvRu6Rtgi XXPPqvLx5Ft0hR4PYgBUzXTNuHzNjAPMPgf5CNG4FeaByyh/AljrJ6uTbueb+/UCQGzhDfttVJE8 1gqjIQHQg3HnO2ledHi6pa7ICIhvQLrpKUIyGaNGe6x+MJ1QDfgQmsOENnxzXzsSXoKvfR2YIm+8 0RKkdy+Ej9q2PXOLLGFHyP+Q5b7ERpLZAovFHM5iZGDralxcAhycKOr2UMkjkdEUSgTAZ36ykMYN X/boFkRVdxwAzBmxyzbpqDghpZnSNGXhRmUe51uoa5I0mEw3AqQVdyauCmwStHOJHMFujss3+wDo XvZXQ8kKDze0a1+LnXySo6rPXVa3R0pCdHCh6veAmaQLT+oYUaQR/H3f1febnRlls5LXDB3uRuQx gqJ1bzJ9xHVNwkNqjJnYODXq0Ycv9bf70T5cMEzDhWTDV3N55SzUBDkmzmZh5VnhzzNB+g5Z4Ay3 kgHVgoTSOw1Cavt2d1xyi/4TSRyA0p6AEgc8hsCleMPJy0snXdFRD2a5NoYd7cazBcFlpXa8qdQq 9sMCHep+nVWTQfCSK8tUInkoxb3Sg6fLlK4q1meC4W6Z/YwxSt9lnKIJMXEm3Gokzf3GeDXh+aGv g2DzjGJKBFptTiibnFI4aqb6LmOODpl+zbFVzJ2bHHRech4/KHGwe6qzCpCeC7KjSABVW1u6lwbh Yt42L/pJcXe8OIje3Kf2cgaw5kkI47MKdlhQw2oy2tgKpcpgFg3l2M/ByITKnsaQEqBMi/R4exLb +GnjdU9LBR+5lNtaJeYOk2oCPP8n8KrUl1h/xC4+Q9SCZUnTkdBp+DTJANM59eCB5ojY/NAgLVCz YD+KfspU8vBucnU7rnDwVuXXUo94H/RQV80nEXujyHP37Zg4qGWZwk6rhrdWNp7wZSwIbBy8Oe44 uA1B79x07GQMOP8aI2Tq4BzxoKeSQRUd9ofkTQwhw7NB3FiZp/Bjtjo1I4Y++Z/Zq1WGVuYB6RGI AjMSYsqib5xOA4ZIBrxgWW9TYFnk0tcuJmlD89KbelXSIHQetmPRVWYFd/1drhic29Gns0ZPQX+q vEqLyetxWgMfXDxOu5H7nkSGaxAqSr0/tFflL9NaUphBeGiEaEUn6U37aVgIimAyvzEqknX4NN0z CSMJ1/+Ry6UXG8DX6UWsq0leOxv0fFFx/Q/x+hZKnALINuKoqTrEC3BzuKVC5oqoo4cFtxa/EQ3X jaXhC/uVNo41+jG+yuHI1+VcAm67rvohQ1TLvVZ/Gk//MtWc0s3XktWAdHc+hlssbRV/ohSI/xgA 1gtSxvRp3tM0AYRxUrMDFrvDiTOORWedGm0lIRFPmux9vKJQ5mAIFhWOC3SExv2hWcRUB6+tpit8 DjAN7rm6g7nwHMPc6MDmEEkY+GnyjuNKgPHVy3qDIPz0T/6O7eJIPmQXwM37brqfMhpPtp3W+yG4 gV3aric+H0dUz4lfLMGgMPZAoUIch7+9Q4EqZe+fxtvKHVSGVlxE5NXCPefuk3ZLQnZidmxWTYG6 CB1yo3gxCVZ/2S9mZcoxgLiU31AprbPNzyPDqirL9WJiNNbZ81lRpayOoUz4uTj/KENozhhsA9sa 18xhpmfSssrFfjRUxsp6YZiXVAxWxHC9qaBUNI/N3okWPEgD0bsKZn3/+lDhR9sTIbR6wwAjCAIH MTPuKgvqcIdelRThYh2cCKcDoozW2z9PCkbK01Q7xkqZhFpGqOY06md0DeasQxWwzL0y2satv3U+ Pooicpo4wlWvdrS2DGhgm5YpieYQsG8kJVi/I8SC6tzu9L1//Q+fcIbAj+AMc4UQ62nX8Q/HV6YB oxxTm5npmc4qGkjhrAF0av3QeWPTdPsQ/LM58OvMsVHY3ul1Yxv6/IEPPYvLLgdV2C82iajGCWI3 8abPRHnAAJ18ecFpmO/CxFXLztPSICmcZfqvhM206ERr3iGOZBnzDdH992dMMVIVXtSyKLYC7Y0k ulvcuduBwkx/D599kC1CPcyYlNYOG4/4PQARa34wtQQscwfuIN30G43RXnNY3/mbCfhf3pc7vUKV MpexErxybZ7PCrmHxVOdH6hStQTA3NwgY/1W8JSWZ6EF/GBpklrlszhW8f+E7YSs+DW6pGXw7k6W hN5d/emfV1/R1E03KOVkmjlsmeWIIq1znU61m8g7QQ0YhJ0MKFBwiGis8TdIy/FqhyL+nHc2Qx5o Me6ezDyzWleoMu2qwBOMNLaHntodII8dDVbugYa0NbKQSESzdnm6BTwRlotKGWfbAFU687bH7pFL XV/XIzTPZL6SqG19+wDv6Fy1+N8spFpJn1wQqCfhTnf77DfE252qqsmI2cjWpOwKcpdvwyNr7veB iBIoMxLjgjmlmHLrjm8HChNqz+VM4W2T7p7/MDLBWYbPFcdujZ7VD2Lptc8FRlW3wfS+CRCtbJhS OKtMvE4d8Cjw8bdgXyZW4p6WNxLeJH8l34K/KJQXF7Ky/N0MiILEHbvrFnRTbbw2y0jE3ViGBCfc zym8QFOXJhWglUyTv1+j8+JdgP3YLp6fGw+McDraaQn6n2MonLOM8kX1JkpyNJvrsrHSLNZtbsZK 3fn2nZnCd4ywFOsvbs1kudJHm9ETbm5XFYV+4IeIjxU4dV/e0Ud1ZDHw6bJvMuOdhyQ5f4R4mN/f Hvd7In10IXXQswadEz5aw7dUajyU1VBE+lzprPIItVzOHu1r/E+E/qxJM6GQTdjFLuzHXZ2mFhKt n/xuQ5OMajJySuQfjh62nGoQZ8rrq1m259Vc0wdk6FG1DJtTjlg7H0Vfy+iGeujMDX3Y7VxQnZ2p VTwdR5QYaK2h6E32JW3aij/cOoEQuIfqYWHkuUqicxMpKtOSEr4lueeXRI3vkPXgTNh0fXaDtoKR wF6hjptBpXAe5jv53J5HWVFUWvd4pIKHEKURCU6Zsa0SfRPB1XSJqL1EX5Z1mbti30CJif4qGCxu CNv7cqIFNs5QzpcB95VNYKYyc6a4HdTYRFT5VhK0eT2neXZD/w58HvhuFnobMrLBhYQBTIiNvL1M kUb3h4A0/cx+8kj+rZXstQBiBrR522QSCXg5aRgH91g4nD4beiozVXLwhENAwUMl0u43C8AtPAxa lVHdTO1dOyIcwbgey6IzCYGXqOICSubk9iBGLm0WbRo4xJXs3q19ks7YNEYaL8dhPh7eO2EwwMre ajunz0huSSJpwPyf2cJlVz0w1RyVkED2eqpxqJTGouFbPLAHn4V4/LFSNJUfu3ONgIhXtbDvyoSU ezdXhMpnDCau9cnC2sb+v2NBXmhYR4+VfxLUO++W3GSMW5Kr+EP6ImTKc5BPGEFOe9wLkFagOely OLD7y8n9M2sSf+AO1/c19doJ0fvF5buxjRBVN0s9NRSXaw6V+VkHr28YjedBVz5SwWHB7ZoC3IQs So7rXSIgO4v8aar1VkS/iFnc1qiByUUsoVPN8gdLsc7vLcbN0Eie3Ykf/4O4xIGNyyJ/bPWuGqhX 3lrPH6Ht1fahR9WY90s9DweIfZiQNFeLJmkUpAb2G8T4nwEXIVAfdfX01YVn6ypsHTk2fZGCJS7U 7rrpejek7mJakzNjzNzY90hae8ewyyb1CK9jnomJ6ErGbHInj6i/69578XAax8I0A7GGfp1QdkCE 5xiB+bdhqa8QDSyrAo7zAPMbkh2oYc9YqKaMPO1AXBccKSYnXTKYthxt3UyLJX9GQHKh/HjqZI3q mnxGvyB7U3s4v19+kts6F3AtecoRgDj8FV7qGtfHOBBfsKarHvkLLbZdbY0XRoI5ZHwI2qpIrk5J 6VHfgXP9wMAqeahODJ5QWRMolWNFIFYQkPIJqDFjn0LBDawCxjFUMqyw3sDzk64b2I+vZ6sPd2x1 4hcjUmhdqkeLg3gNIFU9cJ8pYXBL1TAdfnTeRQSNzRPPZGZgxFXboOe8GDI0a+/uwaCsBxIkacph SrI/zV8MOPmXhwp75/qRxSk/ergRnOIgLvPqz10xBfXGBhOOSozUo2UVf40zQ6n4mDP4E/AMeHN4 TMst0eFCM5pvvlpcgW/kFLcbvr40DLve3SJNCUoSAQyx4yaiQnUu1CkOJNMeoCvTg9jrMppmm+7z Zt0e7xwcQVQ02OEPDxncQHKRekm4pSq15qCS7r1jRB+FbOMb2oKxyvlQBhUzqE96+8WQ+geqqb0+ IQtwAzipypmn+ZKD/kSQFDfBDST0ph7i8XbwzRckc7KYLxxhb7LA/w31D9M+bd/xaAZcRxs9/H+7 /VDKLiL2CsHxKbGYp84vCaFuNL9uUU/QDdCjEzsCeyM2rEdDNisQCsJGXg5q5tYjowRcweQyyNq/ /LbVsza5GbklFyKbhb06qfR0cIBLeDg5bDhxLiYb4r4Lx2I2grWfjJXdG+m47mmoEgpCXXB7woIt qupm5SsawcCHxfSInAp/oQd9jx5Pt+jqKIQ/uFHmgwBhEjpers9lBa0OpOvrNbV0E+EMQg469FLA ylU5/wJ8dhR0AwrdFo5AwQ9wNa+S5OqpA9CftWI+SnNDyfRxR6Eg2mwhxA9XcTUDmsjJRSsQLrj0 LxKjVYJ9OmymofRTEtWtiPfd2J0GnjPs1Hm+qJZT8ek6HKrF+YGzXCxD3P8XDkiFVJ5jCp1ytWFY lATvE+jI2a34/U60/YKIqiSusXemn92CY0Kff65rjrF44eDUjccEX+E3KLU3dgiWP7dVbXsZeNeg Zxz+apgBm2ug5zXW92jdY3/ZGPO35JrhEdkxRlaoBu7oiJSWdh4eSuUU4sTv0n+sT2jEBgpYxGN6 LEQjxFMVj8x82HPPaXt90R14pWrgrHEw8vcd8Y38L5HKUvgqbJmSY1CIPKX0j9NvdZMz3fCYce6r lSoOAyv/++264bhujtd7z5zCmupkvFe06s4SlbXwrsPro96Fifl54hExCxacv4T33iga4w4DO0ml ClNgsaUQ2/R8CHKJW6XekKb1PyUr0akGxmuvWIswPngIycoFJYFQd1bT+FWoJCacWQzEHeRrVjyF cajpFwCNPrqj8+3WuQ80VsJsltHi7ezlruzzRwW2l0kkn9UmMG07kQqUNFXvh2r7uKxxB0UATbXZ eWQV2Zq9uvakwJ9h2/qW8iOV83JU7cheP0ra8t1aNe1qzEnswK/AfsNKWWSIyNGOR8EoGVptEuBo KKv+FKkFkcdQCZJZSJ+39sEehjKMQ4iRUs1yGLLI6nFXFJtD82cy3RE0CTdqu4gwZ2zaUVp6bnmO xXiFeBTwSrwar8uPF99rKL4QdDjTTrWyhQKogQ94o+MTNrcwTuSqRCKNxeas51mdS1fUQhoK5QUg KlP6sN0zb4fU6tuQbtetGYGpG3wU9og45bpS3NH0Edj4u6NaYBCaT6m3U0DwOMCec51aveBJZcce 8a5k/YKhfh0BSk/q1POjgCYBjX4jpI4Nj5K6j1ZuM78m5CeD9ZDhKPfGXAUzumpHYMr8fYe92UUn OEu07PUFTwankHwNtwmtfiz6P5wN3BzlVUBYse2CkobqRQVvzcrNNeOYw4i1O7EDFsf2Hti932+Q cktdMjrnfULDnqNV0gceaRws/FE/B1lNKVQGipdd6l9haSKbW12HUNRr+dRYQ+RX6MaoVTdQ0VKs zLn1FwsuPva9XSBb2yeg/M2IGc1gM8SBM2j8Z4LDgwMMDjAOfmr1fUvhMeqP78MzVjGdwnJolIx9 fKRxImNWChKwTYoE5OyEVARkyFewu/Zi+PclFDyH08Db5mfRXGbZ1IPJMuNy3D4+sxzuvMvUHscl u/2CVV28wxvIvbLGIg6n4m2oZEtoJRYwiDQXnLfldecC60OPIB/f/uP+D8r4NAasnafamj9EI3Yq hCrFu6BEV9Bwv8PMPp9t8e1rrzC8DlpSLMwUyHxrKKFUKE1dQbILPY6eJNpzanXmX0pSCxTG/viS XCxBzjjPv4ha+bR73Glrf1oA/v/lLxnUuvKgc3wOdg8HH8qqdKzF/MR9Ns5JfLixDAfPz1fZZ6C4 m1bYlt/Dbxadkfz2/oi52wzZfwJciycolq2Czn48VT1QM90nFBa4spyAUIfHonRcSpQVlZ7tJRti 4O9oGjjPM9KW6jUegM3NZ98rrQIaZvXJ/ppP1a+CORCBWe14Kx3f0Ycxzt8RDK2XMNN9J6uU3RJ4 tlIJFknvxe/mvVbM9BXzVDPq3lcScQXkZ9CZo7w8MtgwpmPX0a/nYtlwxoC5e//UIlKtx7LvF8A0 L+o59wp+VakMUP6J7EbuZEcKkJlDD3g79MD1yhx29qoe89cuudyzwh/PxEzkPBbWk3iNaUP4DKXB UDk+0ciOmO97k8FmIzL2MUW1Aw0HOdlPRJIpGUKJiZwTyMjJLKTAqBwpK/gwXCfv0kh2NSdWGI9d zHiu1lp5qzdyN/NpI3bXhP3MSWzau1K0dGFl+LHPiG+JgM3KoXhvslWQTJF8ipgTTd1yhFI0r9DX c5p0zlc788w3k/XJW6Ko6xdrrW354FQvEJIyHu4N39GakRTnBu4+Zc0THCn4Ej31d/CyVrPlHfQJ Tp5Os0WPVeOrthUzZxTS9cBxHlDNFrIiR4388Y21e415pWhxEk81XfIrQ7kL2ECohCHlKgXwpw4G 2xJp/+wsWBA8T9GNLeQ2XtPdsmFdDXr6MUJXXfRVfFmdIeZqu0smTZMtiBk7nEcc7CaJMleTmTz7 +6yZQ40R6/oFQkG5XaUxavWgNKG2ceVjGcgcFLS/2nj6LlMTpYmFq0OsyOBoRvsBSBo81IsYUFLI l6nZdeoLi7n5xXlOk4b9XW2WSHH9JJSfgYzov4tFvzPBDtMlh+ZVaNuWHdmNBOoEaRTawwC/m1sD fz2Xk67X28MAHmZCFwwLI8TYtt/QtiFr6GdiBZi39L2kwYS0H62+F5L42HeJm6kx2kcHPykGUR8K PnKEXXiXvvFEcBcRsw+4yRqmKH0m3Ek/z7EMlxt6Dcqmrri5Da1x97znt4fE+mSkbBJQguaBehU3 Cu1G3Cgs6byWy2PpqAQk3k9qUJcf9uvf1fKdRXlA/VFyotGWe2ATU2N17tC/YwF5LGd1pFH5olk7 HgaOIOF1BuRd84MdOhJCrpQdf5lWpRA8UGgdQvC7wlAx8NKkyOVAPeN5LYDDmZDXkC3cAqy/OtRC rUUyteCZvVoSUij32fEQ8Mem67fV7dvkfuIw2k/bMb+zjQacfd/oXi6REhbvem0kxyLmgFrQuvYN vj8St13+gGjLYX1VWtymLejRFIlAXR2gwFLPAU1Egx2wzU5WPueH3jor/SSNKnpR2ER0ICXcTVaQ CNDgqDtK9V64S2OxUxnSr4Rrifx9zqvw0zWwEwg4XNFAOYmWhVGezHeT72sjBaZN0b8F35QVKcq7 /Wubcr7blLf0frpepBICQwsNvWiorb2w3OZN7v2nWXp04szr6LXgeIvz8pEnaI4blwn3QuRwcGJy QJ045Z/j/TTB/ACyc1oH2wLiAhAOZkWHYUSaZdVfcmX2glAoXMXpjUFyfRY0fcy35FJMTrSj7e5H O9EUog9BjoAUOZNw/v8EWHLJOery6gGmdosQSlErxpx4PCFDH8E09j0HfcnmYWkvkfFSFXALsrND fMFQTUOsSDVUjbKmpP77PM+slmVZ0s1/85+8tmfkZrf/Ll6mTlQRHuTVMLWv7nsxQnaoailV6vUm 8HMIrt7p1RPChups5fu9F/XDDtyq84QM2JbrR/uGYvqj+Y1azVZoROzqHuvwbI4h22WNW7x/NadL WzKi8BJgN+n8A7XEbc2Zwzu1hTEZWsH5cVPmP0T+pjWc9sqPM10bbW7ne2om0CjaxD0g4afaNugm W9P9/ajgs6h7v6ANXGSAMYNzRi6f9eddZZ6Lmb9A2DShSd6VQItuVs8OCdv9dkdtubP3wjuY1WPs K/mK+fNyM8mV62GD3cO3eqF0Nhu/dvBlVimiBh5ZBRJHF5dzLC/e1Qn/7BdqFaRgN9N3LzpAomqk aJoKdwz6Mv3OY1y+V3Gpt1N8kvCOVPn410vIt4eRknmdj5v0faoNCYHjXBgmMDsYOOEGPf4nDoEG fIyuqPsS4PzvSExXbNJNl8pH9Cyy9Kz3ASSkLCKOdp1F3wi3uXRfjp2e/ljD1/Vimppfnh0fJTC8 04h1UYEmCJQl5YD1tJmwzPyHdq4EzGzZswAKqFHkrMnoB6D4JUFn0Fz6zYwa+ZI7mqUeAoDvFBKv siJ9/9GbMgwhC4pZKjNLp1NWBn5IBOEtiEtNrFxgWdNtmYFLqjXH4jdRaNmpyW5Gmd1tkqWYUYcv m52AWa9feQx3Kc+w7GFrG8XYqxWtNfH1L0GYr20PVNBvCke+twexh6UE2Q/pll0Fv3GLhLFFxUed mDnpFRO+7XDrbMHnwxhLKYb9SMrEJS+PKfuqVKkPuj/TODC8m2+mkDBLHWfQQ8LPyPjyt7/bkkKY GnWIAXpkMJyIpAEgpvHvdKCRU79NTStfMyDHabTTS5Z5ZdcgRQzqeGpGxtC//4MRtR/mPRCiR1xT nSz7KhpGaY0/PKdghDW2WZHHCngAwG/KzC4ACV7LoBTYYft74pb7mhKo1K9PeZS7x3Tra24CqNAz iRLs6hbtQFsqGwtZCwGlqrEU7z8cv/RIhZJKQDSVU1DqSBqBkGf+RyP8Rv2Az9d1UylUAA9ZSC3P MimFfikIRssukN/C/vhsMqe5PxBi0V6hQfbQ6tIKnfoUveEAElVE1SUyLzW83H5dqDt0ZkkwWdsb onbDW3bhmiiSClQsg3PdtN4WX7Q7qNDGTICtDJxoknX2duDq/K0qmst3NGp9d//BQY7U7Yu4AG5Q CXrT9RHnExtAptINg5PE0HV8d1jwnQEQTM+HqfVh/52usCzFyKk1JxeEp8xXQ3x1NRRkSwWO1tQf fkn0a+HnI99Fn46QzX2A1F886N8Iv0ke8PI701fj3c8mO6BFo3EKnDYMKNocaMiYTa+4AVQZUyM0 InYoLmmN50un7yCmYI0g5kiUr2Kj78cTiWDETtb5nMEZ9u7++Kct1wVBi/TicVbkLUgVGBjA/YpW zlC2X8+0fjFnn14VkiCpCL2mkg1eKcXE+b/B1P/dvIxjr+ETvEYWiP5KR9U2nowq32zBOzWIpAuX SGxgb36P8IEWgXxMyX+VGQNzkLkaL0bHghqV8s5FKSwIyk3rkee+zRerpkvEUN80X6+3ofYuePGv L2iWuvw4uLZUT/hOQyatZ07d3lJJtptQe+K7lWoObhY21BZ16/Fk5rqFAJt6idnPRE2nUzfOsFan 70tuo84NElIBJjTSpgINVbxfAtkVx+wOAiG4eoSU5zUDjNRY7fLqqE7S5wIAQDULVsbtTs/A6imi SDNnk7LIA7MsX09ApGU8wRfRBYK1R29YWWx0pZNZJ4xQzSRW9QR5O8g/eI4cEly3YdXptwUY9v9b 0DK5sA3YAR8dKMLa48apbhlMUODgsScnn4M4Iy1Nj9E/2FZ04ziDWxoSNL9E0zqsRiinOVE+ANLK 3z7sHnY0mWjXD+znKWQF2ZbnbNNnEEeJc7y0gkASNgfM5nyYqY8tYd+0cNZDFh3+Ogv+BTMwgFcC c11jJ2eHCfCZQD93IEi6FkrFT3asPl02FYGc06u2Vf4SCqvy53OgY/P6XClaL3RZ2WrJ2vzoFZ6L a7aC3l56R3/d1U3+W2PJMV0ruvlKCZeLj3TKq+hGmu96Pb4/b345o1uzAgjkiCPUqprO+s/vcHbI Jo6CyxWOaVvbcY5mTfayEhnc2KShImzJ+ShU1LyXllBbHFXnRv8TdXyHiLBl1gNr1QcALHq5OBFM 9H2zt1SCdUYuXqEaIKaKlrvLm59VrhGbNaa2X9OSYMTcs/zKKqyxkMYsi0fB/2DjHXQ3kjvbwD6d hbn7OiMnjgz7tM2zKlN+Rpqt/97ldtOSO/xmRf2NCSc5QgG6eBros6bmgOt4q7Odta8GVOCoHrW9 q109nvstVNdQ/yuVWJKRF17k2dedJTt3GzM+1qG+jKLABByN9buV7D9bZO+FkD/M6Qm91KWuBDFn Sqv3Bzs9kxZnf8Ebjto8Z5Ym8WQrsVJbsUy+BkZMoA6XCXlDT15FqSkkvC3M3gFG6DKk6dBgjKCT 7nHjfWOeXg3774dAJWipwbqxtQFLMyYOsrIYQdZ06hK/G5MapRBiIE4/DtkZZbGmAc71P25bCwvd sLQCkAqFr+OeFm2MXK8aagKhuaf+Idz512m2VLoIFB08lnnFD5RbmAEITV9C+yB2umtwZRbXO3os jJoE8TDUbUUC0toalvL445O9GytSH7/UkQWlOW1yTM5v8/EEH3z92JyFSSFaWadGF5MDs98Mqec8 5TzmnwUAVbV1lvOIZ/nJ3LGCnX9CALm6CnZ80/gfTshVkfUtE1lHf/5li38sAxFNC8IpBwNaqhdt XbAABlUgmd2Mr+5wtS6om1z6Alv6mHt2anAPITnq1q4NFG9MkXKu5BFfO9rRjhPychdvhVpE4SO1 g+Yyg+2kZoF0O3C6LrlU9qdWbsQBh/Gw64BBOEh9HMg8PSSTvRHtNRjje9s/kxg8D/3i45jQNf+W S+YIyLVT6hR0IuxBH/p9mNohLQuknlvgKAkiXsbBuCHOxPoudwqE1kQjy908AzqfCPv46aP/o/oT iuaUFopvwbLe5mY6lJX2X+R2nN2u4ye3DPa4A4TOHrYPrbs0cDUIRX9eh+5CDpWzoVfRkEpUxeJI Cig2Im6gbzgoq/7bLKu9XL6H0i8dFbj+DZNbe5nUnUpwikWsd9AdOYDG2ef+K9DdQSVEmz/t3koV DTP91TljE8DyCROagk+vSIB6H2m7DPvIENwzzdGFB42ZjWUPOtS9FYee3kEDvXd0Mlx0h8lkbbB+ ZLpI0sq0IomacJHKa202zZ2h30V3CknbBUd5lFsBqX221pX7GZO5arys+tkxlF+VGPiG6xPqSg0R BjzZnXMRs65k23UzN/UoFIcmb5ZOF1fG9bGOqcpni/CrXpOQKDmtwkgb8VsVuJZhIJnR802snbWp hkQ6vWAiGO0vm8Ey2KPKQB4pmQ7/neccNuFtQ+RRf4ntSax9/Zee1V9AIHCtRwqu3Yr+SR0QEwZ3 /hybkynytOLgv42lTSr6uBCglNAQ4hAL468wv9ifl/8a1B3nnsuQ3Pz0cHlqBxh7FkhMnItg2VYh sxAeZjJSYv6IkeAFWNLZw9T82ISBbtOs8EgnFwkjqtqlYse5vkX/KYbZlCBTfJu4kmMECCo5PHkv VXZXDN9AYwDJOgXiekboqtTEsUmXDhDS91uiSbicEkckGSrhIVK50dl0TSczyvZwQp3KlNOhDo4J jaPxmTBbttgXPFGq5a4GZNLK+VKhDwRtzR0TlzNtic+TFDmbz2sVdz2l96zjv7ECcQipCVsV4zV5 +7EGnJ2A7KI236WSNbbtVhRENdpHgbZWEwxQPOdXJDBPJi7XRvFLsyGQ6KR7Sr1fcl3j9u5CJViX OvasP79J3hSIP7h9vuyRuzwfmO7hhP8hKeVAuEievTOdc+ALhw//Ga4TTalC3DJYjdCszZqDx6og dTZp0Pvh/bqzMf6BwxiDUqCir0GA+lZ3crjnPI/XTTpTN+VtFSjvbJGz+YaHcDbstdiKY60x8HVV tmmYO1qnaLH05CYDA9FpYNn2FzyGm35W2RA0rrr3dQ7F9jlhifRnuJUo6DXd/5VE0C0eyoncYt+2 F/3Bat2wFPrk7cLMKPXuvi9yHbcBcp6E9dXGs/Ug5EbLktvCOql0bSU8+S0dFxuFALKPYwn7aj9u gyAe+0pbb6GJMqF/hl4KrThycbpofx0ioi8QzjONf2uVhxzkXafX5LdG0hyg7e38wNhDLcHj4594 krdFHrBFZRADpJR15jAfC1uqNwUv5EI2QPyuU4KK6SmL5VZIfLiAXIy9aJcL2Zym2sQY+EX7ClSO 5IdSP69YgOA6PaMtMITit7wsVxqfyLaZYUNkgcQjjT1jQlaRA9iYOBeP1CSUxZJI5oK8lVPzLI0s p0gMdY68lbS0OpeggbqxFzXTmcpxlFoLTmtPm723lj9Laai1WdsmUbH4KrH5GU1gh+fnei/0aiCK +3rJRAh+ooFd0DRdqOuKZxWVgT4LJdOGFOfvDrB9CCCN9tkcLNUH8kmC38WcSlvph35jSwtjBAsR nEASiubbMEQCKhTox3Q9MuTxbQwxEaCpopVBAMwfLJHRiJFsKu26eLkZn0lqyVIUJJSBKSsPxKG6 1kybP91TB9k+3xPzpPvmnsI/KYz4623N/jxJbYYGMHrOkmlUM5AjaIOuxPOhjjA82GNKfuDiMgLN Rv+qTqG6kq14lVIG7AYGllvlVVBxuC5UnmJ/s8Jl0WLDfMnG1UegQRNms8Y1f/4Lv9DsrOJ5U+Ok 9eDZ9ffdxzgQNvE3rtHq8RNWE+hHCK3OgBbpv+GrQZQ4gedGxNF5AsnYLwbT6M/P3aHJLTisxpVL /87P3eUJcmmumvQr9w75vYX54/spjoKu8+DEXCjFn+O1pz+sVXgtrGvuNdu4aj2ukvFFKTfcQThm dzD/GVe3vdfUUb8kNDQPGSmrVoq+lhnA69Zr93Hbpt394EWqLJ4vsItE3l8DQRxu3aTPnVJOqdSw A5+/AmW4loJTbgAtut5WEYg9nBczT82L+fubK7tTBbqQduMc8N43NbQgWVMNNBy4mqGKUwhDlUk7 oLMbqRhq95hHDsCMZng7eBYdhbPLe3cuYTrJushutmcotPW/cpNnN2Zx2F+BdZg9j3A43K6XwbND KaTObnmTEF9/vXjeK3KLpJLqzlaSvjF5YGQUuackd2xZ2kOYLg81diBlH20QBjCMGVhRlGavWtX2 7TeM52r3WZlUs3gAcstm05b5ealJYvEY8l3uiDzQgGNedpefMDCcy1UNjSSoQI1b2DG19wjaqUjZ 0Lq6UEpcj8MeV1DqC6inr/X+rbv1OISSomug3sLQo97iAF5hb1os9Ta6qACSsobBSCAHnVj6I0dU x7wOAcGvraRhyFRbR1Ax3c2wORYhIqAX/WCHymSNxUqX8hB5jUqHfqummUboCC2uTRX/kE36n0h0 p+MeH4KeCG9bvz7ZdGuU5Yv5zu9ca3Ax6xp8tBHqR3TMX7H4C2qAlQ1+AVkiG4bgMdr4qT+GsezN fcPF1oBxpjmB97jbWE424UE0TSWWdzmdg3dZf2qNlxWX3emlLqxUXQ65OZdL2BWm7K3H8vM+cliW y+qSvJvBwEF2GBVMgkTuYQB84mzcRd6SiyCgtOnywxUHNnJPBteiYo5Bmthpz6d687X7a9uOcPbx ZDurhtuyPk/3X/23iCta6+u3HztNVUz6yjnTUTCti6sepQA4yKy2LW/LSUi9uhohAq3hHcaO2/pk z/1NdDrHkp2d+w+H0UAF1tx6DNzGN+7JJmSp3xw6+tDXaCS23qVsuNOP0MkxdtRr95yoEHDVxv7y eB2Pmw5Rt9f6SrQCHWu/qJXFCKfIj2arb9vPosfGEFNNmU9DYWdf6Hv5xDR/GvT7KpBNfkW9yg8Q 7yYoiTztToj7TN6y7Nu1t26+yThSMapNgzqAy+CEWxPE0s9H/lnFO7vMuhXfpzBSh10FisUylYY8 dFyh+lcX8VfQVvzJgfPY6EVd/e1vC/OH5UI0mjO5ckazk6+D9DBc0CPfkCOIM9VTrWv63WWaMwq8 Cv/Xj95C8fI8adtw9bDaXkdl+PDsVcSTEPzMi7aTStZHndId1VUi2qov8e6S7OLCQah1to37Xyco c1C8it9V58UVNn7iFwn3WO75EQLIRkTU0XSO+vC4IgoqEIGrmH4Cy9QcaN9QzuMpvaPatGV4EEwM R8DOdl77251QByKg0E1mDFJUwMqpEH84J2ZbkASespKCGty2SxVvpe2lzvjexizM3kM8Wbu4tVkP i6W7I8RLo5LfDsRn7cKYNh72lyHOvCjxUwSSZJqiGqPSsbhzriykiWkptygKsYRrPuI27nVwHmID cXFsUJzhAjyTDETSBpbWRC6YvQJBuirJq39gq5Xm4ZGLKcj5+Udx7iB+RG3zKiKhk7tEMqqBl73d a19NYzmnsCkSVfVmDQcBDR18I9rVbmXSAArKigu6lmH4fJDtThjDCBYX2wzRCi7zaRvsOqH3d/F0 OT6TUj3LmeVkaxX+2vAN6U7Cn89MOhJDJ8PUYsk/CM//sX8GxIE+KdtxUEQguk5prsK8tuf/JHti acISZLs/6TPaDAbbgiykpTq5qwi5JHcnYu1Ugz/zbDrpoIj9JugWGeVIURZ+knviWrgD+RZtBvvH 54WWcBmH3TV5CMyHRm7a0/5dcBh4cNEl5xEE483YHVYOx05OEqBn/aYyj9FbrsM2IuKsbqdEDViP mnn1w6F3rxuHim9iQa62YhvaCd6K40OTx1HUhD+EaQETHq/0mEcGaAyKxlDeUrBwj5vWqM9pD+Vg vyCxSuAWn6rmZFr7ycroZI20TNZj6yT2p1aildMbHBBbjWBuhgVBUgxmqRK4AW0jRwENi4+HKb3o DevwAud3oDY4+mzqrPjmES/Vui75t7KtJ4G75ml0Wo1tBNAvFa3YOm73LOGEqlBdr2uxPjSt+Erz tH3pJ9q5EYrorAFUavxM0rK/NSM5m29cDBjKDI0yZliyJUbWGxc4GZMXhe787u6o3S72gMyLqJ6E kgxrVYLA827zVU5O1ogrnkjrJHFJ/aXUY2mt3qUVlgjwEBbjiv3HqlHwlLWN3yAIewS7s5jOTznP 41Eo+bM1rJ9bC6h8K7fN0bdoQXrClcrxxSEl1WA/nGhqU92cNH5cqvmqqSL3YuwOqHQTTSOkK2YB y81ZC57TsDh/1tEcAQwzXQ5WAK1evypKaTdumoVP1Y7yVJ/+oF/cEHrCAP9fJztUlH4MZqjcGf4B HmWch0efDFleePTLGmkVdpJuKBxdDuVqc7GWyljgUkaUtofsAMtO8seLyUtslKGTk9buWIpaCwgR 5sJiOPg85Tw7R4gn9YRn/1JkEa1MXKZpvjFaoEPnEpLiPo/65aMKz2CwHHw8GTMINnIqy8eeMkWF RNbMlINmqn6J8zmK5RXG8GnNFkqshLr+RBUQHBdnBueE+ond2879nWUAp5W3i+A+4g+Csib1fvdE zEBPGTFen08iVZDrY3NQo1mYy46zcnbss9MmYW6VoQbtaXCr4/dcXiQAyJHot7L+tzvgO7XoiNbt kCBce9egtVsVTmK21+gxJuiYRAWgGNxUf2JFP1IC6B6CIISp4In9C9p2H2snDgdMMunBXKTtk34V WNgNoSQ+YYjAIUxfXf/saDp1PNuz1/yOtIzXi/TK5KQeBCpcIKZwKSakVfNDNcsrIH5jXLeWWEyi JtF3qYerYldD53KE3YnTvxCvTPk54abpWq5C8L5jgzWEmEXhwnPGos+oQwX+d86cQTzjlVYCZEvb NzA2OKWR+HocVV3lK5JaVVxHzLLVP0zYPYfO/CUZYeDMx2TQn5IpK5ImcP1/hscyQmNgcg0YnMm4 V4N3MOI6wwax/bk/3fSfCpk4XjQ4cE2XDXAlmdIs6jdjiAqq9rrtiFBv7V0gEnju3icRFIwZHznl SjW6gHRnM/qfJd79NEJTko5s/XTmuRoG5UTDKEvlcgfsztlL0lXMnD5O4hQU36VRAZc8YUi6WV3s yIM0Or53GqZ8f47PbaRyLIZqX4NPCjuRgCDSU8K74EFnKXsm1oo2/8BI6kLu2IU1Iz6y07IgdlYg W2nnusGdrffJwssHoNElJZ7HPRXuMKrsvsobHuNKfL/Mu7p19XWbLQ9BSmXM4JIiv4TVCjCp3rM+ Lx5U/OGOaj2Vjp7g2qi1WabG64Yw8oBGGnKEuOey3jOiOdmJhx5sWTLOvjQQomwPJpBqEpkIgVI6 bfb9IoP+GIJCVki0uFAxosczoCwws9W0gMr6465I1GZLTc1tKvyOko+3JkhdId4MKSFJnR7yMKzB dHSrllASHU1DD2hLwt/p/VjN7nPx3QzOEPLd639eZQIoQZKm5B82fWDYwX2hMU+Hoi4yVu8V1FZQ Cex3Srn3i0YHQH0nSaAuCKdPCtgfg9eygEdPm/vq4k3kfLNTVD62+mbbpZrca49cv7DF+fNk/7GC WFSlj9J/+g2Bismi3ozzy7ESQLKkskYhAUkrYO6ZO7wDSZZUgYgxk0Lrr1MzLumOgReQcneJtK3L yMi0urAWr5U4sZXDYv00VUF4Z+wo0+yfr5ex95Uar1EwDM6jOkEEDj4OtzgDxo0flBDyICg/PYyg hxq8303yfopR4HuQPMUS/zY8y8Hq7k2aj4b1UEu2OGLzoSVxIx/x+U44lnF1OUfBvFOUX0OfIqBU Q9O4P8vuDzCllIOJz+kt6odPzHtlOaVAQ78f+D4ccsoIs6ISkEWGzzdZEo5wKG1le9dI0EdRn+KR s4p8Pq8owkZLm62jMCmwjGHqSwbObvt7ukWydoZymTbYPVa1OYfTdPYZEWQDFu6A+H+Wh6GTmZPz gXXHvJprGGP8tKi75RTnPCPv45zj8wfF/cdbI/LH2lqBsAy7aiiyTdWFWSpShuCgVVWpiLJqEKhb 4mOFxjNx8Dq5fmyI5QIfLCmrHhmfgT7Sj7IwnIzth0GsGo3Y7yknopcyKB6Z2sutd1xBEJicY1Y/ H0kR3cdHAyd8jSYLfntD0rTjRelpEoxrcHp+6+1flaO15Kvl1Q+zfmaDnyD1dQeTBsArk7awx2Sb 67a83C/YuG2aBii5IM5mY2NY5wjRgOXNDElbt/EnvzrVK3hQxpCKX+zZ9UJvhGC4x4m9LV6h78ru sh46gJCu4M0nxKUFN8iV8NPdLP9dyEd7FQBEyinPn3U0pfjAJia2ZxjK01qg/iEw3smcmHUh32fi iYoML+i390MeXQyCfTv1cdVGpOyhIWWXTfP85rBsXFA4xHEeHofkTnOdCk31rQAe3ghxVZZXvii8 dBHJLKBHXqqPPBrlWfB5eNdmCW0Kec3E4JdH3pY2tUij5EHbk2JCKrMMzm/fRsgDKJJuuXqknPoA hW5BE3scBDZJaqvudA3Ya55WK4dqoc9lp5p533IjtJFnCLAmGjbyadiaYqNu7OxoMhmNHpxJkzSR +xt+dH0U/yJvZxHB+0ueVRNiKol69Qwmfs9O3amUd3npazOcTkYT+wQ7D/eD3aJ3CKI1dj8WBYjG 5/9DnpG+zOpLK3tutBlBVC+t4P345kOhsRffSfs7ecUuU8S676wmy/yIoG3J4reeOQHp9rPjPFyi MAiLkUMV82l8yyjg3n/YgB6SF12k1QBrvLwyIKzvtqSmMpL1SOwVsKfJ7soKZR423hdluDBtIRhQ lqXDpCpYTMYofZtxErPrvJId3UV5yvIEAZzynqT3BxjLXMjHBD5UKli5xTBkOmxT0BkRiBsbN4Am 3ZlMy6z6UPG5+E+2lKhHj21iXAKQEOIw+Y+kgMCsdspCfU5wE7t78o7vNf9Y6Q1O+i2WeZ7N4elH ER62e7h4nXFODyK/02sWMiGN7aOrTQK/s7DdRd8ZqyfwJa0q3XJqwNO6p4x8su2TEvUh1Sw4BiFu DFwuuUBHAsZXmAThbGGyJtfVU7S/a50s3GWaVT7bB+9/SfBd7nsW+q9lDrtcH+MAgUtUZmMfervq MFAG+7JpxymGed5m6zl9fQNMX/9eHxAqg4a3V7C+yLm4rQoEc8pNRCRQ+1AfFPlpjHgiBTk3gWe6 nXs9sZsPYFq2OLdC95z/8aQf48gvtK20xasYFL034SdBv1+n1k+LR/r4wYdDarzFbumXyM/7WAuB u5BOiygtR+c18E6JdHFNpvjRfQP0sCcT1H8WQc6bHHZ3nvNUHvL5yJavhoReuuMsu+DDHRin9zIq SrqyZ+39KbDaEEvXJ11ExNMq3iX80GLw9b/jsKOWNOzPJvCa0NMKGOKRMkcA/ezA8TtRMJj2Ozf1 UuhAm+Nv4J620D/9otPwqNcD+xcPQj8UZC/E9j9Tw/XkHhMWiB0/mwOXJ7RdavlWUTTmx0yVFjG8 uLOhgAGHQhhkVyEMdRDTnhubYhGk1p2JKeiML1b31TymSCHlWSpNjA9lgXUrBZEvHKOC2efdAhOo gxFTJuqMgikyJ3eWpesD/MH9+8JpFdfAd+4iFJYd0on5dbdyWuEogWdJUN+kP9R+WmaW/OEqmSUJ AebflrAOdQUmgPut9RiSEh2GykPCIXNXcHB5mbADGUnjDvnWcWt2gg6pnqziiHvP/uHhq561PzD/ E0tGvKAxAzqeTa3N3Qx4clEH4bfv+C7ZU5PDEe1OSurO/Pf3qo5f5+I4Eur0b1WKt2XnzN2sL91j VQHDYKRWhupEu6tQA6BnlZtBauL1Zg02wJmHQnIj4B0RQotuBNo3WuzCzZsJtKDuHwFtk0767EIe 6d0PDcCAP68RAc51EwFCYh6/kH7F3eUt+5tiKTf7bbF9vIsFjX3vNk6aGV/mc69Ko23DZ/NsjqVI SoyTo51p9JVm/1ruB8gjb3R9M4hJs8VIj6ypggkKHd6ELfwvTQHcH2dqzxvLTEN9mL/Ra2l7d+UQ 9LndRgcQY+gqjoZZO0lUl933jPpB3/VcjyGIucc+Bg9O/xBpaNJ3f83bzD7Ktd3GB9nBn4HgovZB 7ATdR0qzXTsZ2o1J8g8ZFwEsBjcOZf5X7ybYlem4BoBX2+hxs/mJe7VkhzW0WYaXgMwzWQ2cb8Pm nezM7niccZyYV0fqDpeBJwro4hysn5/3VQEMd2zaHyV84+UkzHpmHXqWzgFiPnYhbam31Hdvw6Wz 8MvnEaVNwBoJunT8nGbYCK+UkQqBvtMum9q9MATdugJEjmRinEOE5Edq673AWSCm1V0wuZmjgE0p tahnBB/nmmrmxMD66P+iqb86DYiRM0DLMYIXCvtUrYrJQqx9qfg5fzm6zuwr+dZ9BhaIJK9BEMvU aRusw8tOoyW3dkKDurw5P8euJPLYPDgGvXunm7dS9d64jTlQTeZk/Fpwsm1CmXBfOMBZhJ+/p8rE k2q92v7v9E2BLeTTstAAbKrvg80fEy9KAgsEeo+3eEqLAWXgHpwUqcamtmxI02c3xXvmvFEz+UTs qGB5Afw02+wHoDot5NJYpc7R4gXvjeVaGu//fDXGcn3K8GX7+KU3s0wE+vEMq5vtQURLhUTd5rw9 wCWiUcUgw3oT5c64NsKOQSL23bHZ8Mi2MAh32ECfpIsDInmmQTZluw+wWXZez3bN2IxV1HqvyuCy u+tlLqMpjat67akzD15t3/rBhhO7Wuik8xs30qOEE8wYKF2RyhODOEtDEmQPtW879IrBiEFh/Nr+ WleR3mpT3J3/8BCWE4zKnQcXiCn80rnOEViMGcrAnnpy5MSqTlBxuqyPkpX+ZYcoLkDp6nn3tEy6 qZfLHbpzmvnRcJhNPH4aFVjr25rP1BR8xIAWV4AgsGo0/yqgZMg8g2DRtX+7kr99Mgh5E8dI0uUG dh86jbaWExwbxMxiUaKyETB3tMQepvMlRVk77jmfmwT56W3+exQlPmKVq9Dk+uKkkkBdqIMW3h76 hlozO+WhlPLVL+aA3Dc9qDqlk86eZo4HgnQhhZRWrJ70pPURP+TuWBUQEGfksxSz8uYk19WaXL2v UasQiasHI2UhhM0vly2YVZilX+yqN6bafYBQqyX5L5M/nH/nnNHXDv2W2PCi7P/oSmi5IBj8Wl6b BrdB3Z8Ny4QyMAXkklr4yB38+phlm9nR+bvPqe/d94UZFVvSTRQCCw4Z8bsohkWlT/syMFOvQBbF 8U1qQxDnCki9HT4OAsqvQ8PibclmOxvSkJE7+Sr4FsmdNKGpslGna50TomP6/obydbryBFVml4/Z 2HGS4BLZbqK1ZAuHadRg/xNul6qB8s+HSdOCOHpfTpR5hIXge5YxDsLMxzA0UbL0RwGZcRozpe/S EYxRquuhWBSs9SYExHUpe2+xftQORvVzqBaT+fDdXSiluKEdIwF/OCNCw2U2JkG/vhq5f7/Y+Mnq Z8vkU1w5pnBYCqEJpv1UL2PPxCVAj7kmbAZ9M39u0xspChVIHe8idzPy34CxYHJLa41KzOuCZ0HL NElNhU+9cRSh9lKOLSWjJdDrj9wz53fjq7+Xx91fVA5OWbvl8WiX47/EXXCqsfxxdJfu9hshGKoW I00dkVb/zMs2yQScxDGRgXMC+xn5suKIkoU7LQ4PDpIFaXPoFwjHrOnKhruV5GELO2WWgexRJut2 czllOPPRPvPyCDEStFhOhta0rj4H/bOgRpDNzJ3kTbFfaYyYJ4LrRI1JdM2jUp8AG8dw5ntl8fqh kj+3UmxMJIX3rBAj2oRNnen2PvtD+okEZ0tLB0c2aY8/j3t7UdQcUwL+dfCtgLN0e7jQfC5aIvEz p0HX70pDc17NCNuMpSeXF5/EHqBOcqetqu+cSesJKfIKg/FaHdjdfL/NrqQI8G27/W356Ns4p2Sl DoLLSf9VQWwziE8wAsIyYlXD5G1JkluRbpI6Odz3b94ScYW1BiOhxZUdmbN7UgkLZX+uCKammdZ8 deEcc/XPZlMDREzall0hbbxLTRFxvoOdDTVOLR8PkepfDjSgtMPj0v3bgncUPLl6bmS0Q+9yDG+t fVxZHDp54hpeuYVxv5iAIHvouM2xiGmSG3E3n++o9/ABbl/X+Q1LpE78EeT41q9yJTLBlLVTx1X8 elFrJe33wy/M1s3rtUXG8xl+WhYx/FZDQKo70e7tygswwKnnXXXW+Io7D54rMpGt0ZM/1aNzT/Rs LlgMNO0FthpulcD8REGP8jBIRlBxHQ9NiVmG1NV9yKO802PX+svR7XZrOvwZ3aKcTJBkP3FevyPg w3WTTbyZEj0vl3doHG53DPF+TH3ifWkcqxfYrxPWF95DTKiuTAbuHwcI1IkVai6nOZYfjMYKIjDd RpEFJToJg9zx6SjiGFq6rVPKYEFy9tfrF6Q0IPIT6oSmQkJyc8Bsg3/zwyf7R3vkOnxff9Y2YEYS I1dedDIFVRpQ6kntBdf1A1SjR4pEiIk8fTA6vUUjWaDf8mx0VccWn+T4HVgtJ5znofzcuZD59xWG ovqdSwPu17CzfhjLR7ts1YkE21ZE+7S+bkF+okJDQ0hP/Vm9QggcJMoQJaJ+lpZSg1WRTOs8+f96 4yVdCAaH8FCt6O4gcKdjjfnUO9bF6qCwyxtOTwL2dQxm7tbCr3NK2zCSSpPorumaQqaVL4S0Iugv Vx/kqwqD4XagBN4XX3KQ2k28GDtTm3NqMwhlViUx9txa0elitayJVIDL6Cb5ArTBOSiDZLoY+Zdr f4aDBfoVlk0gL0VI26HuJG8Mvx0XM/54oxhkWwlCJs9Fe41iCsaU4USMhkWwjF9ONE4J6w0LSZph 4tS2YdTQdQJv370jIsxHZgvZV+n2Ga9mQMkBiBy68eJGfF0VanhyDXyFj4dzeF51XW4KfDh0Z6jf a2PbWL8gtCBODXano1IpNu54XqWGBeTbenC0oHpypFgjVyJDARXm4vSyRmTmbUnuxxDkzho1TRvl nFt+oDXPvFwuWNETVdiUM83GxUjbiZkG40U24SzWDD2vUZM+B3enp4Rc+swkpGXYnz7JVID+jjsj i8eL7zP1hGAYENOjzEjb5nC+60BXKuZB1tdKRX0BPzi0ggiObYWkf7Jr+eBKNRRcX7YZorUc/Bqf 56hBFnFG+3KZDdMJJMOYVDO/G0iBBsnXxmDO2cc9Ygx8lJpE0+q9MtdFzoobkUyn3pLxbUFGxXwp h3sieIgXGVrdbgYpBANiPeE+//HI80lKkxBdoiE3OPtSQ1hbpFFpTxRsM+9kuby36FmZv4Wo0r+4 9puK0CCddCrCXiTqxfU/ePm1U3VpxoVTCn5dUKDnNh4QXvI+2TVxu972Ek0ArgZuMrlxM/qb23a1 anJAtSsWeozo1j6ziYIA3ILnvG6pB4BXfytfhF8z2Khu/TtgDyUSz/pQASu/uU0Gmoj/ky9AXLZp rCxQuHtR0OulaIyuiQl1/m7hCJXiTjGPNFT9+UWP0ybHxJ3Wek4uE54w+O/220GwQatJAEIwkigq El0F0MiK9wqcdVDJA9xG9jyRc6aQCSYX7zAjQPtjDH0WMS1wGu9cCeX/P+a/A5xu2td/vfvFPt0E nG0L6i4t1fEQUfBrmP+wfLs37KDeebPq9zk5wQXcQ7br97TFkxMUp2FsfwqOkl3lVW3l1GNIwGsB QtVv6xtOoh+9kpbz/E1LJ0hnX0c4VGoWTaEMCEOhtKWk6VGLjT+so/2fVTeeOkL3WAfmYJXRr9gz 4vFxx7TQZIRflcCONyiahPYCV6R7sf9S6+NS8DTOsbXZY2E9SCHcsP2GZNfXP5SwilheFDaQlLWx eSmwLvhYbAQJjbsyEhmaw6Z2qNUktMLD21aODe9WZve9TatX4kgynVb2Sg87Fyqbgcr4RcIpAXqc x1K/jfnq/VNkE0H/4FOrAZvTzGSDqlIDIaAWCbaAQ7/whSCjS+UQRsQdLqsw0j4QwbETE3qDsI1h XlQTRBzP27DQ67PuR9F9/ctqRGJNPO4qxihh2m55v/tSRQgE8cTAfWn2fqspNs0HKph5GGkkRtZO lE1afGl3sCxuDs890zAbfQXI2PhkpgF5a/yk77ZA2KU6H91pFPdXE/B8Vok8coGFkHnf7JbHxYpI MgE6d6B5i2b8U3oEoNhQQ/7le584dqS13UF4Ex97mG/ZllGlkUQUNxiGFbp+CthcTDcKwP/7EEAZ RHJu4GS3ngHYfM9aZY1NeISjGEizEQ/7fUHu2wh9r+akN/0FgnelVU/xbwkIwZoqOP1cU9e+uqBG i6K6148jmF7Gq7X1ZqTlEK8m/wO7RGUOzUBTkliY6ZYLWS9NH8LkQUPQd+v/qkqG0d5uKe32A51P 1OBzTCFPr0Sa4g+FC+v/B1QcvtsmGY1lpYUToy/fAr9AqByY9+/aDdYzxJGQdgTLtNRKB/2vXjAd f38fXhTQKll7kOXtD+CJEyBtP9m2HoKm+Aa2vB/zJ/4sCXrFzk5WAx29fcmY3ZQ8B6nSYWWfu5ZK jzW91KZD8nD1grtmIyvuaNVAysdwPr7sLfT1QZtMFLzR8uhX4ww2XDq2rYvCLgJxTq5IhnsKlblH GjqVbooR26Z6IE3LyRHo5z2BC6YRWoEjU5KMrPScHxl5PZG/qKXDIGqukdMQeFbwDCcI832Mdn81 EnZw2fnivinQiEQ3KVf8c01kl7lX11TLLHLQHD8aZGVoJrKFVQK2GRCKg3SN9vPK/rfL70k5GDaq j2ej64ESKlqJqNhJ2mmRaWqHoYb7e7EnEDQyzOV2FlK27WGqlo3qDlTLyx6ues2xNfd2PgWw9QBe +GXDmXGUSrlSFvsSThSn9M0OHpJw1fpiw91QSFr9CwoLlaawQHjh/b/M8XccWSzuV6AvBacvdCAH aL0kPnDeEVY6yc8gFJRLVm1CD11hhvrY1BNeS8s5erlI6p+fwbSHQXz+rMXq80/fHGuVbvSz9qwB nRLkHLoEsZWFIlFg4F4oeDJ+Or33nchF788CK71aNCezUqRiFUmRQbN4v/mxSwGQPshrMT6Q9awg wpsejYfhM4UA6Ed9tR9MHd+TzjHpJ5J85RpBUYPgPJusxYT6Lu/fZsWUGALC4tra3rHRtBjxq802 APA6lVDjYfWwhuxnzglkeSrzM4h1cTM9zo/p94ia8KTuBnaRUT71rjspYhM3Wvln4kFVW+SJ8RyV u5+K2TGOtAQOxbn3q7nnEdnQn01KFv9zWwoyi0u28CrwB3KayNsFu/bwJ5Rjm5bjxwbZtjqpGn8c mZIcidtmesRhpiGaFg+o0tS0WLSC+fJHgvWwWdsgNGQzlAx7Y8w+iNzZDnGm/0Q11WPu95QOSPjT CYjrZN651lTaLlD1GheWqma9i5BUfCPmmkvEgfCK+ig6cJJiIK6riYVUFo6338XTVWGZI5ad1t4m +YZnbo981IZe7H0UoZUKax7pypRf0ww61JGNKBaQsV4tIyM8yiNBLajgltoPGnXWHMJ1pBL2kTmL wxsuOP90gCO78OIBct/IPdMWiU3OPgzNkhWDGS6hs8Dby/x/3pvymZvpCbwy/kWAaZhtSOjnE/8w YteKCOGO5jpdaLN66JrcwaqkfLBQWjGJLF2xUN/NXBpvvRE8/qpAfvLbyjo45dP1GkqaOB8LElUl uohq8y5RNgN49YyYeZb6ZQLY7ER4Hs9qJZXzqaUKrxExLzSbk1/rvNgV1ySnbBI5qcRaLTX0KaFt ZqwxZIYPyYAPo+FQEw0I3/BGEf5zmcSKs2+mctrx+kBD9Kct6pS+8hj6ZGzuRTgas6LyD6KNkaqQ NLrH/UWP2+rzBjYSXwavUBkhs6Ist2na5IePxRHfLcIXwzA/7SwNtmKmZ7c8oDEO09nC9rMFgm8y +DNP5XTAGC+qpojbGNBsMNp58kVTtAp/qGOe7zhTWrErHgU3Tqq6jFKZDny1HW6MjA//yXqEfAiQ a7aYkvlPTO1g++kt2JTicNDBKlMPFH/qzLxt76z4IYuDlaP3wrLpHpspwJUs20Sk4+HHeHKJ4rsK JfV89IE7FbzGhl1UKi9DunfzXDJJOyfGRmw5LnkSY+PhPvRUY7wj2MNol9+CAoMs57eVsnHQcDbZ V93U7F9kDC8Lv7Ea0gpWv4OxFtSZjMPfcBpO9E0BnCsT0e0ksRQwGsoNG5xe8O9FS7jYTaXyfZp3 uRRipdvpxSxvObFNciZ3h+wVZiy4LxaDQszHCaCq6C7a3xDLr+Wr+obNrkgRAoiHfUIXRtfsHOTM Q4TTJQ7RV0n3OHYyVLgBLb+RSe30Mk4U/XiarVhdWzDusO9l+njzHg/9RYAY+3M6vZNZrqpBIpV1 vhiVX2XPYJftcvUKuP3iFflKb5+JXXVY3fcdrb7myX+sBMImmt+U2p+ucDjmsbzt95kjCdDfQ41E ZP9KQXyXqx9rKNh6Qo4TSr2BvpP5ANNsvBEhRVIdKGDrg9jeuk+P1DDPOYP5pIgmBHg02iZblpMw 0vpzEkJn+p6Bs2YEOKjjEXV0VShK5JUKAyTVZEJrGNwXJXCOiiNkqBXLcPA1tpTlFIIBaok5CG88 4qKN7tzTwE6o8xSp0JDhBjb4thdPC2LeNFbvqX/T2Ahr4Ur5/RsAoYr1zyDs0wOLfMSCMjnMna+l PvFcVFJz0o+wX82+bQNBrmRyvbCkEVNXE7ZMZtuPAJq4ZL1WsIjeMIn7HBzc5Uwf1XS/S70h/JFD uWPOwesa7y8asZqHbmSVSuq6d68H2Jg0hhyXVAzZUR6leOwJQORdOVALxmFWcZ3jOavxinvUXipc rxjZLlTkA5jVH01JUgXnd3hjBM38mH56a1nRh1XW+obVM/+5YRVuED5HKZ2XR4xmXGpGDsPqOdIl Hvf1pe70w7IL3XYs+DdJs4e+8QiJbj2udTrW+iTQBOyL0QUEGdUnAgoxHgJBln40bzFXU37nQ1Ue EycXLtYFZlW8gSOs2ytEtFZtMmYCjSMZa74OguzsoUS863uZ8ppDIVOPiJIdkGScyrM3QvAE9PVm Oq4LGGF9eS3prLZfXJ+PxR+LQHdOKJvFxdcbLwenSg1Qhkk1VOMVeWkSGMBpYBcBq4hXNIzLigxw sssNOqmwHSTGgQXJMHrUnuNf5dqtHVcifufL/lqi49yOa+sXXYbxE0PdmYYvd2w2KWSpCZzT0Ody dSWLeUBFeaJDHYFuz1i3RMNxAd2BbWlydXBiYHRGl9XxpLXcA4c+/ou5eImtQ/oQMVMGTbMGcOLw 19JjbjvCTARRydmriamI/w1oDFacUrWWncQGpnhNHwdpPNizIJWBpVBChgnLA4Y8tOWMpKIK9aU9 5X4vASI5VcHkyqjrmVLR3cuJcWTzFBwjLYHjrJr0+Ccq62F1iM8Z9Zq93TNXUCQeu8cAIUkHcMsz OWifxS5wDVZ51WYi/CMhm/QzNnqW8ygYHQaaqeMfkPLphjBWpPTaUzHdxAueZ8W5i43MZb91MjBA nENmEU0RwaxkOcfJ18c0FxycHJbnKhrgtwQnXQ8RCRORHDyd6ui9FOACKve+7EbQndpIVOc4pupw rKqiQyx9CNj/GbvhR7cjVP780Gem3TOsR/IOukOYrNwupIRYrNgfLO7ND/1XYTMD06mkR8miHhlE kDlCH5MBMzuQavOnCpZmfXJSracy9/Eu+rgf07dN6OPfdtgN9xxU+DkODzKyGBu84zis3yBBPHpq p1gmzUWJ4K+Cg0VouNzuLff09lH+Iwethhd/NwIhY5qHSKu1HGq/tsKuekV8o1ER4gOG+kGCIozx kK0MZmBbzFYR5eG7T2g4Gt90b2VH5ycoZ1PvbcVn7Dgwco+LAcyKDfCROcawt9vF48J8f4CwkLGk HFGPOGE+iacW+cMAB5GjDXVd9Ihpnl2Fk9K8YWClB2lGRRglke74MiXAtBcb8SG5awD+TZcH2Hm+ dawvpX+MuqR/vmADJ/bxHWsvqj9n9modchpkUBV3l1GJA3O06iD7jnGRq0zfTC2Sf9gFnQ1NeTXZ M80hxmXOFDyZ15D6e5GP4UHDCIyPo9ZUOaqwKyDR1fgYhWUfWoukIN8RE7g+aQ5zSqvgNQYsYqr2 RiaG90PVFXeB4S7S4cpj1kaLBoaPz97A9svsu/MewdMEv+bLDD9h19kI2fTCy2G5cIXA1lgYBJRC VNlq3Reb677/xcSOFAqGPjMFz1u3caakR7fzDDT5AKwuKWdw5I1gKrjAWFXPACf+Bl1Br9V6ATt9 CObFyjylHqdbn0SZuuowRM7r7xbpPWGlzzbD3/FRk2Qk/GYgQjCerM/wqRCmmfv7Z3Benj/0iy5c 5w55Dsfyflk9ATBvJCSNhsIluwcfTWCcWov1puSa7ZaX8ukb3n6z7d6fIRRrNlZ6Y5K3L4xxUImT F9Udfj37AcdGkGCTlgBctxtMGyZQ8UHhxsdebBDM/5wn1wN/3m9gOYYMlAiVPNpqUzZ2sGGSYO18 DUHldpw4HuWbmrOixqvCPF7hmq4Y1Jwm+kT9AG1pXpNU0S5JQQgS18kSy8cqxPIWu7zkW8TcoDD4 TAhsvDQGzD3snngOK8GogWJ/2je+c3gBzQYfbCWm9AUyBbcPhIa90KW5lF3cZjx/tMUdf390YOGm R80K1CkNt8VhYTwXCsY+bK5neBCXUiLLsDotx75UNck/Q+m6faa8vC8UKqbvm6GxT6snlu0784D+ ++NmEInN+1856erXaurx8aB1wL6nm0/sWr9HifxU1TM/PR9ZN8I/zD0yKV9Cw+R1EELhaZvdmgiP kRmgcVweeXFMVyb5P7HF0PcDbQfknX4ry60MLCK95W2GYDyGXB7iQvdS/qmLxnaalcFpnc/H4idR c67PSgbUHqf6GvfzHDwsncc54JN7Iw593pbsW3mklLZQ6crUEtUz7xNtWN6GS/F+0oEWZ3K5PUuD 0hadkH2Vq3ODatel56E6O1xpqmB4rGEWj7+K4qvhoqXqTldU8MERZgMqEw6xQaxDaqdp6I09iYr9 cAh2QkDWcpB9pTC6jetXccrqTiHl79ijhc1K91PqOMOdZb4dgaICyewagnT1MPTRBX/fRfPXir58 +5tExW52fB0UIInACZVIhWYOslKS4QevH4BKvs95ws7MwM+gT3liFYt6jh0dX3yhuNuZlKwpXPD7 lBf3iw4V9Zo+Jg4H0JegnNsQ9GiSqgnzxzt09opau1ELBKJUPOQgKQfVUc9uIqnxu2FpjCg0sZZj Wk51V02DlGEupxVYx62EmaIdC1fCQWYruIRmgBSaGedphoDSy6YA/1aULSAmOstWBPLWP2aBmqkI lA6hdVLIudrAOaYerBaM43+UG6jlMX5szYEkTpe6vOCbTtfobDjQKPNnOVwwfptlhiGLVK8Fegma CI/eUhMbC1wMMAYu5kQ0mFrwiKhy5EEcoXXW98w/n4M9cLWOG7OqZr4QGm4nT2h2kK8UIceNHRas 7X4Ck0lLq7osDJu98HwAC/qsAlNNKCMxsgc3R1UYSBYdi/lqCnj7eaKuNxgr0YRkYpDoK3EjM8XZ mZ0nAqGeCFA7dn33GzTtV7ERzxSdPigS037/38QKCUl4BO1zVMuaGUe38BUPdEmvoIRNflr4tLwj ES7uB1BAgrvHkMSKetc2b+u2tSEK6rDI6Hvx2w5U+d/fiVsFnjO/AKzm4WFp2ESwlfm6sni1qr0k r8241koKoFo4oYEXcu0ELAoGazGVLx5pDYNl7/gqLRch/fYCff3a6iLMDenYvjPpJ2flM0svaOBK B3l/sY8ajT42IfLOBa8DJaW3DCiCs3SvX3cBIB6n1Ad3LgHFz11Q302AhbOqqp9iRAIgHFbL7Fxn LlL2xgDp0JGqos1aLLn+FJ3+P6E9rXtWUqQREwgyf/cq8r5L+QblnMFMX7vef/JXXBLPNrtV7jH8 geUOgG6hpKcZ1HanHWhNqSGiBX76lf4dUjiNL5eDwvInrlI6Jm203V+Q+GTiEH84tAPILdZnL3Po JlTOVdY4G5NhIlb9BVFoKBsPcD0S9VypzRjt/K/WRv0cGQD49m17CqCuLDl9NDnb/C3kV6I9pRVe tKr0h3ZOzkIC0xaDSTm+2PbUPT3kmhDmM5u7AFCGnNYuVAPa4OSnkYllWJhCSYJXobz5xfpUZrmV UtYQxE07tMoHbh2yecrlAHHvgQJoNo8SoYOs+zVo8i2IdHnz1HDbLY7GwmVLju97xuP2KUKrA0Ab PB1m2Uu02ggnOoBmd0pGu+8kp/yTkG9T4G7/DTlgCF1q8q2K6DE3xndDPqtKNenOPVyPq1TUQt1v Bnspyduwx/g35r5Stpl7ATC1MLL2jmrt50nomWooKBUJFj2tLCGlCD55/+ZtP0cSJaj/vwDG2p4b 2UtBo+k7mIKCU40+82TpzJQJ07LRzZyT3I7Q/+FCe/Banz9/a892ZOnhFjw6K+7kHKytpOm1uLwt vmO9R3Eksg5XuqmCSfQ/ce9GPIYA3BhjsfViOd2eOTEp5jZYPmKHnIb++EKRkenR6euwPHNND+Vg oDSyBbMxOxw3A/JA7Q5VLKR0/W+6/AhDPyIH9GQ/qarKrCMTzPoN01X7gqW8ptohz90tQ1mzH//f ZG47Rcf8VgeP5EdpjTFS12uKFqi8T9v2LW0mmQhWV4bI06fg34N6COmxTc50bId2rpWFWKhuMBUp KtwD2eT4I9TrRIHM+/3vRrg7gxNwFQ05jBULKsyBl7pgGeXs8UGfhYygdBzs27o8ocgDgi9VkJdc FYreshPNAB1emETWrnw2ooUSaMXe85aLi3yJWICVk1ZFXSj4jVuSyLvlM390jC9u7vE8AjqAdhia 5AzT+3FOsLz1i+LyMpTbPkR2ZVuo8AxMK5oevD4dYjF+5+0f/2ArvtNBmeWpBITbn8fAmIpjpVWE 82zJdC8Tm+VWeSsQV4RKRca1vkURvj4WJEne0n4/ua5cSOQJlbJjtOW/FBdj9w40NF0UQhPvl6Jo niYLc17/NFTaOcw9Ik9wMNROXjzD+pFZ40/uXeOFTNH2Ye7FCH46K2Xv3ZHoYgsRARu1pRM2gg4o //8SQgsm8WJA1Kgyz9hsQ2U30SrSZO6/lVbWt/g5FQyelzxWuv1kPig6SyYTgWEaZ5tgTXvlXFsq cbfJ2snF6bms5ROQQF/N7nbxZyipOZiff3FzyrSQhNFgRNCJcJTB3vXg+5gkVLmwgqetvgprMAex /EygBv8CS59bzTS4zF+aa98jLKeJgwruUKh+m35r23vBkhs9qyqgDDKdeVFEGX9vsdfFfw41hXXr FQKIeqalYg7WOmp5BtQFWKMC2MPvbqKyKNv82rQOqcc7MM4ifY0lryFjD4yJQqvaXygaCSlvB6pN 2L3dy9XTRyilWwNqHLlwA4mqSwEiQyw206MYBE2C6qeqJb7x0W/qkMMOrJDw/kP6d6Hv5EN2Y8l2 iwYfhJ335Xl5v4YUxXWjLYkYC6NnVu3S94LDTJ2MTzwpIzuWGqFlATt4yeB3m+w4Ww8UaFFUX1IH NTaajBsSpX0tKBANexZYj8Ei0T9Oag3cMI/pEMna0OOTaHUL/pOSIjL1Mu7XcOApsZlbJG1Ih7MR 3klm5AIUF/5DOFMNBoBfwydxTgsZmn1h1xkT2kawP8UgJ7XZSJtGPXDqxmre5chUm49KkZJyukRB IQjfUqmkjQWtI5y/Ta9k/Cq7pZvPDvzTqs3+KqoVXi5lk1jBWUhNKKZGMsDlx0AN3WLPxF+tpxo7 dB+LX1iG1jPEhhIqF/CExEQYcGDbGAQls8SmWWZPN7kON1VDkBPaxs0gX2cqjRP8HVemve/0nY2k 6HNIsSzhsIOousu2E5kIhO8E+driPe7nHUJrqWl+dpH0vtHGzS71ZcFgurMjmGu41sy0olnKolmI BCofIY1iryYZEGj8pZi8pw01GZRMalQO6gKvzrVnoaNoVdWmRmULSo4ZqjkWZ3eYIrV2wIUv/mak JW/pFnlZgHhgp8gXnu/FeWbHDgy1OvZibkL8GvyMO5GoodjqT7Ot72uGwPosDAZF0BsMGEz4YSa7 wNUyygAxwVPZN/Hw9NV99wfdUdY3QwdlQGZ9TIy9vWHlN/nxVWQSvHfsRQg5vawvLY443bKCKLlS LmusUjlF5ziFIAhE+IY1CsvvBgeEA90XpgJEYx98JCp+iuYcusWPTLJ9rrc7u8iTpaUItocT9bIB 44XU4oB0//E5SoZCWis/mGmUORC/4Vkk/I89f2BC3yc0QukVFX/MV8fWDdGnVzUPivMyZsbRUSm1 BNcMOHHxqrsfK1rjBVZgzENAwSUby2s7DXzbc9BkKm3FhF3bt5+MQU31411nXoLtct8PyYt3qANN KFluW9rxOQjp7HXqnS9u3jrov1DVyd6DHAyAGmsF1m6jTcC0GO3V5WsqbTG3DMq+K9EDm4Qgguxr Q4f7LtfwyUNBziHIRAf0xIJjUiBRJERss+z5nfjIByKHEtJu8u9YPX4rafUj31iwcf6DK/wA4LO5 PlU1lZILR9Tqo5SWndqi/CoxGyR8Fk3j+FsaKvSWAHmU2KG2NG2ujZZlVjsG9dTQq4Lav5gWy+IU g2L72MAzGxC3KsJQ/GvnrtX9c42HYAjPXNGlebytKAVby1l4pOZck93pxok9JegBv0rlBZnnFrOy zCeCXD1DBIUYwz34iLz+7yHyhyzRj5n4q14xvSlDGA1ZBKZJAKMbkvmu3mVnVRYMRmUoLwC+cJAd /68Q6oFijgNVKdw20tukDWZIElMQa8SN+SwboJkAiMpsXUVlYuB1bQLcUAoYpVt1vptfRXUmtnfV e+r+GZnvf6sYGZ4l3bdX9IzwDlxyadm5ELFeBE9Cgt04BrfDVlY8dQLUYThCLTGjbj3gFZeo8aoK JKJGMm+G5nNIEf2K5MThKM7ZTP9dBRYbo24OVAEPnV3pLwlwMo9f4Mr2BXRj/xsJErRML2Yx8VVj UXtX491jCm4BsjYxJlDu5h2hMx1UkASxdKucBfG/DpTN6L7dd6W53FOpvLifBlAH++UsDcLpzras a7va0wL0LJWV4C1xf+g3NEGQ0+v1Lu6jpXRiCSXQTVm6ZVBf4eQViArZgw/05a+BZm2ARC37JWR0 HgX+agc8Sq9waU7iuejZhayi7c/VCtNQ7ln+7tDHNjEdDqpDR0XVF/BNXVOWhMyYBV51EYXyDmlE +87UrBel0nvc7UWqqIJ1tBq0EBhtfEHhLlKKR8LPGqNvAtrfL55OZ8VTuIVix8aLJ8T+81CNECiA fCTINwnRM8A+p+FdSDPp9QLDeM5agtug8G2PkBPQKFGQUu4NEqO6O4YK0+hgufiMxxy055vH0068 Si1mYBnu3dDO0izyYyWpfSZZMHv58H/DStbtoSUQX+TIiTF9Dbpc9F4ji6Zmym4KZMY7TM//szGM wHtiCkSWHKhBQznlu67/9KGLQoaZrlbuL2bXALAnLQa5qzl7Kvq7+fQ8rLMxuwKNCKev1+Fo5Zw+ T+oy0tkEJpCbdMri+S24EtLO6aeSOvmtP0uf+MsScmWGeYgulRbEwI8kq3E4SrQ0WNkpnjPwZTQP T5u9M9ujBIdB0XTKlp64cGZQtriaY9cQpwG9UJs2QEHw/zJ+PUbyZ/iANxqAJOzfP4SDeKHs0NG9 IcvgXuwjP3qYDFS/LzaFBacxY5ZY542x/eCYT2XXPHHUkwwduG2NhPT2SERaLqS0bSj6YghQFP71 iIr85HX2W2kz+C/rwFX4Xdh9T9k7jkL33TZE6rkPHVDfRwcs6EkN630aOQPm26GIaUJI4zEDPS+W bvLL9rRaNE9OhDt/awLXMTrt3D8f1fh8P8UOBZFwggQ1rgqnFkDrWp0IapnP0+1bEUhnuIkUxbI/ swl9gc22SBdpqh/Ebhnh9uiWEN3Df/6Tgb78BLshtdyuEXapHSiL9CgLhgJAfUhst5/4GTQ8675s nUELxmdLIxK4W7AR3l0hu6jh6WzzJJV4paWT7gg7jhWXN0bFQQN5cSRceS6MpLCcvNBOt3hT8ogb KNzqipnemBIQLLY1ay6vegkRX6nMnz0XmUq+AULr5G7jNOKktqS+rwBUUJyz9bDc5Fdm3UMXiBtv Fh8HweAUKpRzphmw+1m9hdXtXhXc4srOyuxXRMWO/opeoNBbZ9Yw+BUsIsSTnxkrO+dEzbvDHvEQ vKEev+anwWa/VPXMlCeQ6rR4bA2zx45dTs/qfGKssX9ReiGNfIt8G+Xw0XPpqcMGFw6BrXpy7oOD RLxPHgT5Rcz+v7a6yA8tARh9Cpdkqc91FhepeEkTpG2OBdwIq5prKvc3QFavg36thcL6BHLT9R0+ Kt3Db5oJ1Wtf+9W3bbRx9JmLLMYfToamtcOWZ2Jk1mtgjC5g0wzty/CflLA3oYWWoho4w4pvGLDv OCJFZ9De72r83Gf2ZGx8a5cImgHKWlUNQgHtR0TMsiWor4qliQwr6bcdCeKU+jfyjsTSDZEAQUH5 twC1qbj3YeBCnQTzvKgWqNISc3zsCX1PWr8zsSCPN2W8uQnzP6C5KLivHVPdmUAG0DJ/Xko/OlZe mNw9+9A3Ko1w4u/KO8RWEVGgDPmn/B7i3YRBlUsIQEJzxEgL6QvsL/v/THz9FiZWeP1H01IUR9WV FPn4bwviYLpb4Nv/DzI9n5wZJU/h0aSDTJDkt4JVRLyCrthYOmhTEWoFeZiYoLl3Ng0nTZUchHZG GRWp+c4m8PF9yYBvDCkCksmWGUB+BKiNVE+BKmDyZuPay8Y65HKb01lf1YX4CUY+Cghm9lDQ5z60 OQU8RCRTU7Bm8soGMhkNscgxCsEMLWnqEdx0wu3DlV7veFmzp5A4IXF0QD48IeNQEIZiNyPVFQo9 dEBmuqJi74zWx2wwg902dxd4IcH0WcDDtzx1pmR3OUw72DkkJXQlcwDmvnNoeI0boVPGbsWqfrc4 2zg+w2f9o1fzBHbQNIJ13yGhYC6Sh65D7d/3dIZiPqObRNFwEaH9cWNxXAOKfDmAqW5gnzlcpMWM X4OV49HzTLoktM2a5vVzLbUjEKJFT56vKdfxI0lcW84QgHCdhwo/0gYbbyFvlnf6vL+CHkxnxcqK aXj5MQ1L5QEUH/AfgRj4F6z5go5tUPfN607FarkEkeRrt/JWW9sUASzA6Nmm8AhhUWui0lDviuYb DrKpJ79BloKkPEC5J8MXYZhtRNfX35mNr4KtYmp22qDHL13BuCc2rS1TkUddszdZi0+yyBG5G5lk h+TJ56tQq6HRc1YshJR8K6rQRiCLWOc6ndAujMtrg0VuieqLHxesneCujs6bl7LOYH+2FxcyoJU9 4dTRfPR4nfsWq6taxPl4kr4St0Nw4ikEEaFnTo3gvdBCSGMMWjgfRTvTm/1bbzW5AbiC8E4qsPC7 7aDuVLIm2flzi6RcqDoZAOWp5LSqo8XD3p8pRji81JrsljA9PmezCcr0GQU5uJG/QkjnYs74tGQI qIWZoXXm9knJT5kage0/W5gCQX87cZcQBhfEGG0OAfXHp2pNVm+zFfDmlOgHo+c6IZmOaR9mxvTG OoJjI6sxqfkhw+V31WJjkqV5vRy5qkd8Gi2JI7lTgqNq5D1KcS9G+rYaqoE0IYkVq6r7plQSDUGQ MPQ4Wcadw0VMpXPsOF+iyPHiYWvw1jZVeacpq2OpAmJ15mA4Q6DbFJI/3yGA0pxgOvD0g9rK6zc6 Cc26Q3wZoxe7OB/SbsaE57Mx9mcB+gHXDn82mMmBSbHDAUWWJV+pdm30VRZ4lcyW3Vzh8+iskS6r uB7At8WElKA1EuBkFD8HWabf5cMiPDHWyOKKP4oyWQIWwnmIjNzk6yjNMY3I2a/AMrX0yH7LW1Bf 82FFx/rd0al5dU8uWdSekFc58q5HI/H8VsQh6aS3G/nQH1QlSItq/MEoquU7kR+RHTGQ8vBIIF2X tQJTXgIuh9OggVKpSBwnll6yBE8ZI70NZJ1CX2XrCa583zS7nC4oNMlgZtUf5BbVnMZsvHv3mMQv DEOGjn3wuvlmF+rUfWNCyDVI3fZc31uAeaWy3jrOm7KpXoRwcze++KbQTfbZuEwFgSWVBXg71hHV ATInjrW+OG1wCZnYEGp3KxBEfwn29Yvu9xUpiFeePbAk16muVmOqKhIfvaoomhaFgTgQdAXQXEl2 MxFRsklvQWvveIm1wzQVFqGNak7KMY20ISjDcHivMOtGDnepH89BKK31LZIIoCgXSGW1nWlzwlzE +TB8RhR9LgsDFt1v36zGfc8FctuuAyLna/+HojaIaIiAkaA+71++vfd7yD/mvizhDtPJckMoTbSM QEf5JCTCbSavqwpGqrCJhCQVW71TXrBN32SvH2vE/pMG7jGjkd+mJI/UOArj7BuJGYMGM1qVf1Pd TD/ThXzFaMw1DxkuS+NZu0Mt8CYtMOKiA8m0/2OFZgSHS7VhpeWwwEhd7UyIjHnNuozoCYPX+fqh Ly3WToi+K4N4aLko2MqWHUTzN25PBsBCgAh+sAtMxsU3yhq5/Ftwud+zetsXV6QnCina6zjC/q8q oum81j1wSQN5tyIfMDaYSSY8cZY95FKcmYs0ngB4XV7Bl/+6lTCsHmFs/IT1bbAuAFp0AbdyXdxi OD3oEpSplK21gdZcw00SuXX8taFIeQfanjwYTNSRRrflghKPxwuGaZ3z4nLd8TTlVkba9XhZFgeU MDD8Pu3W/AHycnMhQ3VvZg/fHNM6R3i0JA0ATMKjaS8cAwTcKE34avvPgNg75BqOMtAg1EhjgHZP 5rE+zZ6+S1lBGk3LLp2qqnt8wLw95+55VoTTp/wWuMboe2CATEyqgOYT8+KfsnRLDEmXUU8Rx8Ql N36CgUrU8Hc8QlDOvpIW+iby8ll43hUkSys5bCViw0d2RwhICkK5rycwZQFJyrQkiCj1uiIwdZkh alOF54r5tGExATO2tWPDD/YEzayTs+4MwWaKHY3CCvGdGces2thKLGT60aZhC6uXcIdZQQjXMNJO rsU8rqyOvkd6qr9bG0bC8o4++jGJz/CyfGMiNogcYaUuOEG5reqPV2DTIKUpjjDIkC+qOxSDuaoM ByBFfINWgA/Dw5RWH5aiuzZkO51Tw2sUCag3bOVpFjZkyJe7lyNsPKQggQAK/d+AewB1F4MP2n0u UXjq0uIoKc/kltHHti97MfxWOiNAkqlUnu0FGmNKsu0VfdqvRI85jHKw3D4kTCjEaiTFVR7jYqZJ WlzahOjL3sgaADnx14AHOtDc/FwS43h4XrdXE3AuJZGk7jrqGr96enx7Umg2lWqv3WrWkqnYv6EF p2PdOs7+o1pceUPbWwUs2izS4+LXdKqLwejIa7aCZOqiR1Vb1FXmXDFwzqvw/8l0YPTtV3Ykp3Y6 uctkoiU+hD2CywZLgrywSN+b6fIJbGtTMve95U8a0qfle95cT5rD+O4BfjKEGy+BMPj8dwgW7Sjl lpQVa+a+Mu+gf75vl8fqTCU/2ENO4HVJFuxlv5JQcENMUvb89M21Z1YytHKN6Fxu4/XrqeH2lU2H mLv6gU2CTR8OfhxaGRSroEHqRLaD5dDGNoO6lvCc/xToqTYv7A67y6HgHB1Qr6hzZGwaDbnIybks jlRf+U9d0dwkChjuK/PqV//T3pDBGZePPZ7fAAPi/UILwVBzfSWAetGpQqEQ0SKLrFiL1F9BToB5 c9CdyigY9vl06Km4NipXpFfPlEzX6FdGHHcOuscUHsz/DO6fzW0y3+5IZ8tTgwfeZIbhaL1xnNiJ QJXsRfAF8+VvadehssneoSjCppEfMB3xyufkSZ4xod82w9VdTBOz8chz3QxmRvqJ0BqUFwvYuXnE hxhPfBAVN6sUppWCJ4aYdQng/mEZovjZg5yZcTWEtwzxoabw23xQ/RViB9kVaFcWrfXP/JEx1O9A ypSBYz5pONY+hKnYq5Cwh3L+ei21M9mUrGrNpC5R+tjCpQ63G3EEyaZUlaP1ncZ0dRsqbH3RHnva fZC3Nh3J8fXeEbBaStPu7xE3OBwxweDWuozC3TMctHaaQWS91DzOkMKWMi+bErgkVgOBH/DnXNKd 5tDHtVNyM8Vq1A5wP/dpdNTyIt18FlhsXdXR0N79noEPTsxbW9yFxGLonyPO07Flu7a7JT8HaX6N snG3+UZqSXrsBVHdY9Qd/0GVSm5lhUcytOeTkIHkNPqhli7Cm0j7SBRdiEXYneYge+OERKfhw+IW 6IyP2N6ig41ff/W7YOuUKlsyh5Qz4s48aIi+RBdpGR2o3b0LD9PVnYk8m2p0rPO1j0ljvM6e1DAi lhgn7nUqjANwfsCNvgGdxWxbyuef4KvHsHh2+UWBvUJ7ZAshOHNNrjEkbJIF8k6lijFt/vBgAQZ8 +7mFppJ/jH2CyrKtF1pc4/TfTHcI29EfXihcnYi2v9CPkZnJMa+ybCZI49aFfbaw+s+rbdbPwiY7 kkhMdwS/IDU0lhbk2hY04KdNXOgB0cenQLxJb4D+KrMAUr2ZQOyFNX/CxrNeVEHS5XZDDAnDrdvu Zc2Co1pZXM9aiFGJEgjF+rcPe1hueM6x5oiNpbTgEbBDBqUYeP745hot0m/AV0BevFWE0fDuXq6N BOxRsMCygu0IVcyieX2Dybo7ywUcHdTybUaM5GdHnkTsMMG7a3Y7jyJu0+r8r6jGOHZ3sC6RG0kD YiecGRpRigrTOwyCrha4/y3roNUx/F94+uCMvvu9VzmtH+kIBS0dY0u6RjVE7HSekumsqaxJSKWx 07WYLPQVpECVIyBnRDzQ7fLJOXBp83d4q7M+7iFDU9HKvfFka/TNxhYQLtms2gTnE4pTdKrOEu58 dZE7V1VSCb8LmnQ4t/10RkJXEnQJPadBCM3Pj8Ober+bQZIwovmW1IJHkw2GS/nust52+KUwzrD5 kb6O/26vvw2AKNeK3MYRfRFOfQdw4p0qL4KoZUN84DZZvLeLvKygkHDFqyW4ONHJB1gYxX+ZQDju vw5rWGEUmfNJIM5FOvu2OBEn6fKFOf9ogUjMOobxdlq261COBHARCYCFcSz4y/r38tXu5u7//X9I 2DqQVtwjJak49hVS0f5GgGhhRrHS0xI1eRwNYwY7/4Rx2pEmwEZODk1SvSYuZyiSrLssncVOiIKR jDs2ccQUKjpWSa64mrybYFn0LNkYcNXaAmWXEWuBeZzthRcl96z5nT0DkQCv3FMlQvdyvnawUQ4P GsR/MIJqftiKaafFi9XkY7jlkWHKnl8buSuTUzetAeTB+ONxGLEBoLg88KbaE7edSwYCb1PXkgnU KqpCXuCdhBUtY2iTT7/XjIcbG5OlexhZPOaxaQFHwy+h/2fRn/MH7Vv2ZQLIN1Gzy+eBtgXV+UDe YVRU/sSsQcRRXip8OI/ABXqeUY876TvMyP9q2312WbtjEQ7Jwewc+ErBSuSJKtHO3bwLmrOm7n2D CVwtQvJb1HZ1KTSMUoPhxJNNS3qmqN2iN5CMTY9tHczwzhwnyHEoXZ2Vzw3zd+R41rC3pvhzNl7m 4fBYwCoRjOY2hfYffxA0agVjHNEyx1z16ui0npWz0WHA1VBlPhxv+ns5VqK/TCPu8NCGdp6WrPdL PRpmxAz8XsJkZF9LuJfzDIKiytRbi9GOIHtWmNwdPvPYj5LKV6HakyJfddDjDWfYDTT+MbThyX0S b1b2wvEV7cBhUL9wZgkwNS4utMc93v2ncfs5Z0NyHEqlgWN4SReZLzcGXAwRyQyjOUs/4gV6Kdul UGEwsUs+DizP+tLCzgU0Lgvycowhh1aeKFoizYxaW6B6R/loKjJVelpbAhIohIQDePwRDsiewVgt sVhgYd2LxOUk1TE/V2LDtuAozGQhMji/pzQeFd3/VuJQzE8unR7olRsgi0WAZr3He7pzrgjOTHKz cDonLmaCQenbrX1OyyX3U94rWel+SYACHeJI1x5fKDHvm+m5IEZz2uu/CWp1uCeRFUFQ1I5lT5AI aurFBFdBxszBHU6v05b46bF3fUCcpSa7cacpFYuaDC+wOIX2UD7v8bs417XbcCTzXMbkuZtg71yo beYeQdB3kX/NUC0HEotJcMm9tQ5VEDwxTzJ7AguTAi+T4f/3baIh0HyC8vxqhomJOVECbV5WBul1 GZ+/rPMNNZkOpNZPDABkyOKEaOg6p04Vro3kY/sasUm/P6lbnXAWU77sCQuy6wF9iZOS9IuZ6ihE H8TKdN3c7ri8JPWh+CbB5ZAxYt8wKNiVnBg4+obKCrFmnJTT4Y0S6aOt3wB/zJIspjBprz0Ku1jR 0OnBEWCrjDa4OYbH5vxcpZQp8/tQnMmiwGijz8S8xnRIEHztrVFTEi60/evw+X9XVhiyBojM9XOE itfjJWHaBTbn9QahN9ItSaVnXfKTcsLsawAZ8ZtIrogFTblUNu+HdSKk/U15E/O9oXp1i7/AYpnV ZoWtgvzCf/HLNvuDrkrsKjlMoDm4NCQppuY46lHvG08PsZvSZixQyk4Y/oEvrAdu2QrdBa3CtfNP 6ay2jcnVTdyayx344CyqWifqxdEcQh5NZSUtEK7tt4nxQiVfD7dAfDbwAbXq/ay+/PRP1NLATWf3 Z68Oj6EqmuJu/M2aCzq4N7SL7IDN55SlNiAjccl4A4CuGv2sCoaBhfYHUbKn07iVfW6oCVYPAbKg EdASoSwU4BCpPJ9NXMZjlkBgnxe3PEkrM5TnPFtcioTc8sHC+Mz0OkN0QSqPkF9WL4IYxam5FnUF yBuAeslAMOI+S8ZKKM3eFPB2zPNaJlnsKDV6hrNAn99Lvxm7Orwn+5cUE3+++nbfmw1vDtM+KPDK Ks/iQG8Fy6KeEy2uIocAaQIoaYGQZPHe6u6mAHLTrK1GirpB/tYo6W53xOpBoJDtr9MnHYuPE8M6 dSOgAHYkZU7tpSA+1BP+ePAR2LI85aIC6UcQKR700NlkLY2O7i0fCiOHvyVN43U2MFtuF3t334J0 lcyfRn0kbr+YOkMGCRS3TeMElf+KAs2gGOYBuFLWH38TTGYZpKEcTzyGkXf814U1GAhdG4z3lasz Nwsh/dQ4kMT7nrWg4ihA464jeAqABfIYShigcJ4T/ZYocLEaqeaB5CnaJXBsPXyKvYxktHH9Hcvm YY46W7DD4dVKBd3JrtJX6RPvc5OVKcfguBsb8gjSpeDu6zXO+nk5BCOfnUzTlw+4MR2RyuSdUqP8 UpAsIFQEnRA+px0vKCnPkuWjy5kOUyocw1Ol55xwDkTKGbbzjU3wy7oec0VD3PwneHDEfpfJRBHA JCjAmKgZpDHiZVKOysfbigIu+GPYgBfD94/kpnes+YGluPZ56Z/td8djRKNzl7xvtw8buNDHqVdy IyitRhXzJamirx+GJQOH84Bm9eOb5PyLuHDHMeCKnisOEB+GF4EgQd9RFg27j1hHuOdO7xbQA3u1 Nn7wy+n7Gf1AsvWGf49BMdMUe702qab+9v57UxL7PT+4kwuAd76CG1aG8qZcjTJHAiITHLMkLRwq ozXOUI+tYyU3iAWH/QZB+ovCrQS9LJ16zLLQTp5TApKscWNr9a19F6VFhMnEKIQhxj1TQ6vpopPF 11b8wPNiBUJHPe9WXpBZPfbfme9a0SqPknZmtZQyCD/Mu9IC4ns0PSus0JHPJzdwTl4eoPruHKry o15jr04h50qOWkFVeiXm9ot52sn6uVkL215gx2saHrdBQn5wTJIZBzQ/CzdoAHf9myByWRlDLk2V o/PNwu5J2XzBqll7eobP6LoaBEnxLmzOONirPlO54X63RdubqK4k4Xh5yJr9xrNutS50x08pZAmu lo14hsUHAUZoPfGx88yFXdbMkh1LypVwaA7GKWg6EvIgepnL5eLNzWlUcQCHwJCm7nooUcx0oGzV BeWfbxyKQXHq0/NILhLq28ChMVN8WFZ53LgfSNWLqVuX2NO1mjXmpIXKFjM1sDOAHy1Z7QDuE8Ue VKoQcSBmhekX7uFIJbNwkShLkUGvkYQjsW5GBfTAlg58s+ttO9vyPtUcNgRddsmfaHMgG54dMp// 6AfE0nOXYQqvMi+EJPZEO4d9+aHfn2fMXDLLfsQf6ULF7S9ZTPh+LZQ5YRj7NbbdyUccmXAVG4Ol kB5X+KIuKI9dLRjWfyyDpizbzfyZXAi79hoO8IMbRdvzSdhGN7rDwSTQ3dGQrrN4f5hv2O3uRpJq T50DDV6M5JgG9QVC8CW7BHyoG3K3f86W0s5wz/JpR19f7ILLKCJYXDA3caJ71dtNyYNhEmA7NqW6 Xk0hoNEgp2ELoOBd/yAzuk9CvyIuYq6TFtktXOopAGAdgzsfFF2tP2NeLA2+Um6J0LScsKjqEKCf COi6acEQf8Jq4+f3oTCNfYAOr7D9rI09E6PnM3h6hvDeOAo/WFyJohpiKY9trhqEIAS7z36TDArD jMRFb1GLGREqeM5IrcKGN2xoYrONPXSYXs6HhRKHLRkkkfMyiSVzYrmeRBPs1H79tCaPQ9zlPk67 +U+4uxgJLNhkXO+Dw9oDvol0T4r5BouAM7pYNOWmlpcHyhS27dhgEvGziHgA/E+WAUJCwAP8k1FS YonWMNs7Pyh4XDVVM1AXD5QJg09dnS1UBPkbJ08VeBGcbl5SWqMHjgOUflb/lvMC6A2AsCL+541z Y6BHSo+noRRXwCHBpGZqsoZy+srbXmqJR7atJrssuokCRDxFEJ2tqejvppjQbQFbED2jEFKtaSQZ /XZcwdhhpnSTqA11qcdr0MKirfCh9CxGeQFbxuAIstW1clFums6l39UziLqBp/fN/lHFsmwqpa3c tVXbsjnp8HHgWceQFPjPkl9U+91F651+rznfyYcjivQhKBCmEzJ7eNrigzz6G5sKII8Yx8tvYMAc DDQHFdV/yvw103n/m9+xJX9IMInBqb3f+EHDG+r+RFF8gI2/yy9u6pU7jxNIWM/lqegp9qnYVwYQ 9Zs8gEfhZHKtFCd6FXTnrzTuTLqFN7lMKx2Kq5YUyzwU6SZ9IG9Hk4qMIbmf5RA+HKKurtQLV3hs skR0j+ktFwU1roq1nOdrZVwc0H1/TU4dCFLGJeimy9U0T7T7DIytnMlym9iykP9RvLaMrXsCeGz8 yMJHDyIJ37YH6YGYdi5Nun4th15O3BsHHw2kq+fuC94QshIkRwynr9/ZT6v+4jGckLClKZq01uod +0yHKM4mjgsm1tYbg2NDIxx4xEt/G1iNB5mb7nX9lVJWzez8lScxBY647jddOa9aQaDlduRJpuod 7JzEvkhG2FC+DrZuJCiMY+rozwQ+JRwpZcT5PjKsCrGpR3S6iAyMHDN+nEhbhXdP4Hlx56cj/MKf /DONwo2Zn8XkYPSYNVV8Q2KJMaBd2KrZrPdV4mQ73oPYLlva5ROyntexhfFG6K/9WGG+g7O3w+O9 /ulM0kA2pr2J+I42VdzDexCnklwYcRkMidXZ9AeB+SzjRlU8P54h/0FmnPpY9DsYPwa9pSUFhBtw p8G9mu7BfrXnWqr6SiP7+zlfaJ0ZBQWN+iqa+NZfnWTFNW/uKgdlEu3p/RNMciJ1oxepoDOJyXrk hAXkXChtbqm90fyH3zXz/b9hhmVaWm+iqinuky/r3jLI3YiLOxJ102kyUC5bF+tBb0bKG3Oj4OCD 3tgK/fLBxs/Bkhzyx4maGSKMnZhNg0k0/w9sC5EA3IxhoYWGAQ+3N8wUplhBRyd6XVy/qmI+/OAm TgMazjcXBCFfPCwjdhXMmRCWWKji9+YY7mzQfD8dwxTq3nitpJj9/zHmf939W8iiO7+EUF0G7fhh zuw9xzCagg54kgBxT0sb0z8RV5iWzxI0WW9esaKXtHQFhcgduhvLNG1H2r6Ww1D86rD0H2pKiJDn KeYLzo/4IpKx657c3eqMz4NjqagFj4SDZuHVuMM6qsFjSW7+gtQbiH58TvTUP0ad7AVByAECF+1j 93K8MdB1kRIJS7DoV90vXk8bpY77f1m2UQ2H+cuu+WJVkDm64ied6AQaSSkZ7rzU0ug6fuOhGpgv cw6gn60t94v0AanCQ1OOstP/bKPNJK1KP4o4MxHZlQwA25froINJvD/i+zhkn9ZU6oPTy2nXzb0i s1wanbwyl7HSGbgDLxP6DbsOlmcGYhXNIjOHZuNA59oRVd16zYpDUFW5G4OA6eHmtXjZqKv0Lwco 9ALZ7lLa8w+FG6Qs/g0KZgCviMEuobNmqFn6QZ+a8cVz52sgTkKhMSdCdV68psLkEos2lUavtac3 CE4aCTaP0StnMMdHe3JSLEQ62SpsZdsP+JTIf/LKXZKbkjNJ3veljrAPOUmZGjL5I0aDx/qQV02Y 7AqMeAQDoDuulYqC+sNYycMiOro2OOfiX+zAzred5xQUW3W9iHqG3ho1N2coWJc6RkS/dQRHPXb/ aNxDMGTLBmCUxg2ozQ34fkG4TApG8mR2p1xmVeAmg/1EyBGVfVhZn6/caUI/jIIoR9+Z6hw3O6U+ saPpkizSZUkxu1KkqFomDyeW3sh36P4R8t1U+9fhQLmfj+2egGYXZThiZAZeSCLUo7l2ZxXEMOQv q0pQvQWpPhnRjkoRGFCiHsnb0rI2j0kW8VdzbMqNXUXAgc5jQvucDY8HNFagW6ZuBqiyqN9S5Wmk +/fb/TfgbA4kCdflBUUbSgPVhrZAo04e9vOu703x1FPc6efFXW6Gha8Li8vVzMyLCRrVisYgcuyz zF0PXph0PERHCRcoE/j0PikmjUj0MYm1HnW83+cxm+IoZx3gHyz5Gew17ymnUMcAUzY0rlBt6wv5 y37RCl+ICHf8Gbp/nk4KfaFn2YI+DK70aaTV1ykRCjZYNCdJ8PsQ/igoicVTWpRy127r+YKzK2vH EsI8T/DYAO1p8ZmPzROEeaqUg1B97Ti9O4mb7A4rjWKREjzODpAx9nKX1cQ8OofuHHvQni0sxctY P4UsKV/C4ABoCnWWN/ubPDGIi7ibU8BH/+4LPcnEZFWyf/Moo8ZfNQKVgFLl/OQs1H0mir/y9adg hgi91zJuixM/dBrVGowtQ9G+RworRyRtcg== `protect end_protected
gpl-2.0
a4d2ee32299ead74132637769604f21f
0.952319
1.814233
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/shared/lead_zero_encode.vhd
3
31,657
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block KBQV3M2LJLDkZEVVdOWgqnhgZJNcXl2DJk1WzALbAPS8ejh97VPAqIPa4Cr/QcHnkq1GTQPEDfJp 0XCTVmRNRg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dxf6jk/+JsVEItD7klZjf90x+76cfkyhrafhY7+mPvJoRX3rg5umu4QyjpBogTu0WYVCsXk+ELBK zr8cl7LILnn4GIlfb3yMrVWHAmGJaL3yp/2pXxijW0nNgZmgmaWNJW0is8rGc1xtEEAdfAiLCZ/1 zXSXeh29VneSCjSQpwI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hQcMbhHwhtGw335uA9OivmLCJMVz0fUc3dxHWv5RD+47b+lo7OaQiz0yw1XckjaxRyBKgwOK9tkC SotuqcTfrsUotUSOQTC2XuDYs75KB0m1Lnumke1hC+4oS3eiSMaHNQ7wv/JwK5yGI4M4esWVv7m5 SNs6R19kM0ubvzkXyTR5Em5Y3/vOKVa4WiGSrHcrCC/rMNL4bobR8Erj/v9ewLz9l9WebXyQnTtT 0qxd+ysT96B/Awl0mwmyMVty3EENQsJChV1HRYyf3jbPD4G8aSoJYkqINP5Yb6Xu0N/ici+nE6Gl ZnaYxMOmE+VHwA8UA/hXodThoVG5vYuJ5TJsow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BN3j7Un0U93CJgSl8wHzNeQvU2do4RlVV8WjUWf7VyMQPFAI6h87fx8qRhY//i/EON4iSpyPKBcq 4O+KFcomI3GqJJoi9Q9KL1Ajd9MahZDOwNaIS+XfssrXG5NhhpewlNzvh/C3g7UNM4KuWMR8WKGP vQAA1N+UykT+DEQ1fjM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mlWADxQWAYHacuplPta/wXq+8zhJ4E3x1rDvRgZ/wapbZqWqE2bUp3aeC5r1USWDCU68SUUBYjDw LM4IRRSnB2luBgpOK1GrMyTXrcDwGznobv+jynM2Em8uKUgx7JytNfRwOP3I8ODKSFxr7Gh/oeTm 9h9BM/CR1A7WAXPlWCDAqH7Ry3KeCKDC+TN3IRZyhvhjstzZr+ZmWhZfJd2+o50Lqz/lTkcXaxvf x2fDJh9QFBPwDGmb0vNxaRKT8+sZ5OVwQhmymADRn+FOS6SMzCl9Osfxdpw+O+5+cWgtfxPm0cRB ejr2/D0AF2zZVoFPCOAjEcxzdGL2KtFTj2BNuQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21696) `protect data_block EvjiHt+NTcIhu9lThgm+30TrYW99GkI3uQQSnFBblGvqLqPMrNNajOAgeu67q4znYtJ2/3oSJZxl OoAymoskxkaMED62/1yx0qkgWR31dbzaoTyCVzMcuf9mUmpHqoy2EkktEuwSzdNWROgnNKD0fVRl UvDlA2aHI4x3tOUbnknwtXqi4x+RT2IyKHsO0wjeI66Iel1bIYKZf63ThooQx/6OXZ46R0SNQTsi YfD/Zioyo7WG6IX/lDVeJOrhWAQaZrZS+2pZRAaAuScCrSdn3o+ACDBJOXwwuWZVGV5twJxyWxwW Tc3mfMVTGywYZ//UyrxGdeDqm1CeRZehZTUVTDNn2qBDSmr5mRItSKgl7UzKAAy0JMTxfCvnwB8Y uVf2MvPjN8qDyJw3TyJM7spl/7grZf5mQ6uCnOzO7+VHUpx5QIlGsjEbXztrMOeNI2uYFHZl7vXG 58vY4roXEDUcvj7wYjmw/e+DR6ZtumJ4iEjQQ/gnx+LMpSmV5TXBZBO8RpTkSlCB50zjiZ3rYysb RFsArai+PXpxvueaHJfgotwlSStBcLP2dUtOQz8Mq8fkjnrtqot5IiXGJmGRmBBz/gqNFTCQsH5l 5x7I9KW4y1DtUVtddCAu+sag5/pKtOzIdezqDlLvqBcwhCNw9c62eTHhzHF+nOp/TFKZJgzbeI20 5RfU+DmBskWjpRvqzH3+NC+Q8tR3QsXk3Nv+RAtpHYnC/4jmJahbtRy9yKc9cR4IUPTDMpQI1t8P yYdCooA3fRRs0KgMAWoyHgC6/1wWXYicjiWwDPr5dK4wnV75AlNLSlXf9HD0KEX/q+qBpInIZ3Sx BKf61alvVKHPxqavW/r050XQlZt8M10A0+ga6GzDSEF/z6NYOLngB18AGOm/SARvny+syluC3imq LsnU+lwvDP4STHo3LmtTUQ8IvKgPomV1tgqS62wp1quz5D13bLhjymny77SLzxh3lzWBOwi3j4NN c2t9xDKjEQb9V6NfeyuOURaqkdwqBIbl9K2gqH5DAOgKhDb2jI39CkI/bT4PjLo2Qt5mZmETUJfM lsrvc1CPVSBpKV8edBZDWH2Fyt4JcAcNy0/Hb47YBDUY4Hsm+YdXepL3dfA/pOivpcJTrU1iaByQ CLhkD61HpJIVIyR3WRGeUIADS8oKt/1lY0hn7UY1wf2YNGqsSU8OvjljBYvvxYTPppjVaRX1okf2 JJezb5hV4ZIDaMdzNPnCYNQ0/Sc4wavNwA6eOnrUFjWocdFwgZzY39hhsi1csFC5Vl9WhyNJ7VES E4kTA9dl/pwNgb1nfwSLHWqKjUUnxVLlzM1TQMdD5eSShGeKZnWifmsXR0wLv5KvGrW9LFlEgELm plRF9ZaH4HyfzJlaknzKHi/CBMPguFSRWn77cTkSN5xn7X7vFB6aMLSk8J3wRtmFpAk53UhmyP9K ViqZjLzrtlq1Lq4Ie9gWMeZLds9RULhcrAEfrlGNUYpWg3rnhxCra6bxgbJAOmojNhck+hpx5CI2 7vmHlThlq+qT6sYLqLnsCYG+6wFC8kgSlMuUHffq89x4gEfn1W0PfQWfVCK2qy7Wg2qKNM/nNAlb tlVO2LPXVARtVnPuhDQLbG5fHzRCCRkp9mMjOVMuDyiuyfdMc6bzmbz9oApDCRqGc8/SUQYXhKR4 5QpM8PRGpZ8Kl6t7UVTDt544GUaCHKbup47aY8qFdw5A2EgjqTb0ouBJGZ3vdjuPBsm6jgueceLO SJ0wCzpUXLq4NetcPh/UF8sQYPCaFvJvWLkED9lpt1C2kHtNjecVGAspcW1N2/x9epIjQK0evtwW ny27kKGKsWo6f8Y0J1GhjKJsds5DarMdpFC1Hz6N6svXcT6wnjOaInEwJ+MC+MZQaoCms7nArOto Mu4X/Yt5QUc+AiNs6SsUhCB2wlibsL59IO1l75ED/k1ANTURixy8R+IHzZVVZXRQixQm2142ZNaR /jk5DZlQ+sRMyV4Nx+ylYKsh6IfjorO7ayrX2ExQWD5zlkyqJffaR8DbiZPHofbbDdRQsdULraOf Xu6674pmNxi/r0hE9BuqZjT814hHsttSMpqZb6t4euQg48z2oWj/gfwrCqxG/bRVM8GFBD+aQyDt 6QvVXFSTZEb3VVwsH2KJ69n7OFi3NtESi5FymFrxEvNOfEZ5tcXAbS4PFkYhtUbR3yvrIr4SHR6J 5zVQut4Yi+uWikErjLtgwovvSSbIJFjLfFRAZZCqkNMFpJXommPDSUrP8plKFIb1Bp9kZ8KKgGv/ c6zoE0oFO++M49vqjObvOn9II/LQTEiwFl9ScfSFu/cZNQNIPFuu5IgTdNyGoqNZWbK62MkfgEtT swe6lecAkqTJ30+w0R0OALriPiD2h764+nwMzi99iXFUsyM1S/tWx6P6v6QBE8B5sL6MYY0fq/Oy NkClQyiAEDZ7zao9BOrD8d/utX/6m5jXmHyqBK7Ob8AvSI0C9uH1nTPVVJU+vc2Q8yq0W+w1x2Z5 nzCw/dOBvx7cFmSOJukcScxTDcybEn3+SBZi8slz0g3J9374wSO+4Wec6psXmH5SjXwuQF3a4uTM qbVRN0Zs27HW0Ksyt2+Ho964Lq9kg4FNi6JkngSYJBS3Aye5bKvaP0kO+/c1vi5iZ/NBuhLC+RrX iqTAZXRnKyu7Zdum2Ag/c16i5WZ1bOhOugnRAPWBcubyj45390af971nY77T4K6I6r/q/h25NEgf UFxGAF1KNYPsYCyvCeQvk3bunqB5IAi8gB6ILuFImKNbhtiGpVx6tSmWO1qOtYA/I10DlXf8QX8P aSbfNT1k2W1FnEn3jBfRreHF1p9HnHGJDn5TekCZF8gDKj9YJBF4ArcloXI3sZdRREKyhp9fxvbK 3mJEozBQ2et9X867KeK2dUNNjpvKWY4sUTMeXLbhVHVdNfdPJ/Kg0BuoLiigVLe2s34eDSNh6zgm ZU7/zCKlbPYOYzdLYkyb7AB7T3XXhyaypcs5glHEJKCqekMYSARbOrfmogcgeBV/3oaVHf3T/rGJ 0KmVQvMXNLelEC17MUOiPQ05c7Cavpdx1jhkAhTWvfTjdc6WRpAzNOEW5vMeslFHAUx03+SzJoNK HFleTTrV7zx/P8XLLKXwoAY9/rXRt21lWc2VMK2s6Vm68OxkNKHASJwEMzsxjxI2WxDrB5TyfqHH 7EWOuJwga8TKEjRy06+azfTsO8NBBLQwMPoS5i/pAPTECl/cC1vyzg54DkdZnnbFOZBpfV4eKriC kYF461x4kZgwlcOWiqNkPVcRsKOkFoB2ppqHosgUSHrtsbFr+1xbEMLz2eKlKWzTutqvbGmjcLcw hyVr8NbIQZjY3FGh3o3V+NhrVleMlEywN3BH4VLpDeF2BENZ3QpvxLtcmzIhYMk4IlX7+d3R6c9O D+KC3L2TekonRVGdNHBvfU5nu0R01UAFBQIB+eXujH2kjj8K3qvYwRnGoKjn84Yler+XMtlhFPj/ AdDxcKhBOzc34CKaTDsX8RMY50gn0Jnoe/fbchZOO73FbXQYjP+u7OyM5M/8zOxSGYErZbMDbn27 SSUHn3DPZVSsN4VQxLRiXW8Y7UOYUw5tlKKY1z58j0mLzmOwDE33GDehGo0W1SL5dHZf1rRK9Q14 Q4flXajc5aO0ypmze7Vf5wMMCtKmDIuj2COYOxJlJA1XgiY9BOlOl7aRWSs1xBr4Yk1PTbvKXzdD lv6ens0WZTSKG240Rga9giM9kUNe/8yW/MBMhVxUtV3euwyOTRPy4HEtl3INHbSeAHKa2enkupMw V0bfwxR36095TttdaKQBXT1CNM4epSnkVlv9723gWTlQ8iIN/CeKBPE/af5aox4MXhYaR2iZDeVZ BoBgJ76UMM/demIODnpyuWuRmSp6h1YTXaiPyCIYl7NveFsy85JNTtDmfjXZlJZ8zqmAUjoiABDH rF+ifAtxNHuBZQTzN8kxlu6WMgcjkP6NJAWostjibxk/reALt4G4//3pRsLEyH1WdNw1KdJVCoTU n890gAilBIn/dpj0/Gnc0uXUJt21mOZO7aGpJmYpGXHdU0+hButYRjOtXjCtWZcRepM9hfhvtTBP Q1wjZ+hNFiY1GpfOrls9mE5KsjxhHbRnfaZSCdXrE1HbTv9ncnA1z20imizjRg/gDX109ou+jb7G WJ9sTiLXdXCxv4/BxlkT86uISxnRLW45JSuWqLivYwUxNXMLcgdLbzJlJ8X+Fxtlr+kLjhRyChrC BR52+4zkO2jYTbhoCQB/FOxwyEV5WpfhtuuplpW/1S61ihoBcdgB2CR0yJQjPY4HoZ8IWS6bUkdM 1rCMGUf5XDWM5gHKBE52JEDOGIKIm6UH/64muJ7pudC2+AMnTTitIL5aMNQeKY0V71JLqwnyRo6i o7C0M5SeMo/Zwdc5yt5VDCwyV2Iw+QT0idqtsfYEZ20SS1M5nrhoA9FUqUB1DTrlyDOxDgwWGAsC +KNLF9Umyp+3Fx8TUnIIIQLWqqRt+6YxnZlou7KckiHgkavKUWoK6pJhO9Kc2TIbLixxwFrcZ5ZX 1OkfAxwypfUs5c2KavXaXTV0eBshs5N74bPPymgzDFAnAfUe8AmUnJDxWdP1B5cK1ud04/BXEeQf o/cpvapz/1vTCq8IQ5PYfG8p9MQhaS88CjBeQfY1pz6D7jQIYQ3hZJouHr+VEo2JPv4qUwpbnrC2 fdQC7FXlTXq9q+oDPKhzVpvm62oQX4miR2Jags0OQA9IDd4WQSD7jXUqictodDy8N4ucxXUOLAhU /F7iuoYHUoJs83Eu8Y36ePVvmA/WG9fr3szFhDbudPl9uPpivKQB34oDzh8tqeJkUajBpDzRnCoW nhfjE/MKH6pNuTpuI+ZoPqT3sOdBAUi3HIfjwb9Pz8QjYkXs6H32WYnqI3iZqtvSMC7B2tRk6LYY wHcV5ikkJLkDC/TXxPmu7YBUIbK+pwkhRZtVhk7A3VH//dtNXRp5Wn1ohJtSF+UonSgUvxg9lLpB 8Luf68P61vP4MbNNC/7QVdQjC4ojBkpTsxqYDkh34hR4XtaTlQpr4f/VZNKm0epcdZ71LT9086Ch 0o0H+bQfvOnvdgsFAOn9apE2bxSOgE6ThlioWSa59Muuiiy0D+uYyo+Swd/w2LEfngvXe1+2i0We 3a6TW2KVP4tZr4G6hnhnPq5YX8s6a/TxiHhYuE1B+tikOsjh+8Yib4CLcCAH70mJtJtqRFdPPnpX FkihBUr8QcPP6ql8kEPRSBMEAtFtZ/OPk2ryhg9Jrg4tPNXACPnmqwcMFtKEvPxnHJLM+c7w0CqM xLbK06rgn2noNGT26O2bV3Rons+YhfBiJ+jtFSCnW5+4ewjaDPzD7kIkXs/Qp/z7A6nZilfGBVKb aGo6pFeYSCOB+MN/JCp4zWMHksPtGzbEwZ0zS+tKc5kc/nlHgOzFP9mM+wme9rBcHSia4xP/sgwq VtpnBQhNPCFwr+tsSQsu6rHAMk4bpWe6/4V8dSi0nRavvPjnatcNsl/dyjPrESrgsyqm+GT7zyrq zPUpLjOajQGRyS6PL75Xve9zuEcSEQtHkW8IJKu4KCgSdyih4sq0MPyt9NoenDQ8pFeYLDsa4YT+ xA9EoyOjoDKHCoVBMl59af9NmhgLnY5TqpinugziYMiPdwjJEC3qPqi43vFciYJtufKgcfH9wlB7 kCapgyIaN5WdinMGn3jBKlsj/rFO8+bcDZ/413KSe+qNevtKKW37MWKYwlA7NjVpwMd/EUXDmB57 yp73LM88jBNGaChXATCO54U2NMQH03LiRIc/jqFb2pXp/Vr2/4yNWhuf3Z65Rx2hczNrwC1q/vjK xG6BKiI9Xcuo2uxvGXCd7Gm+lsbkG1ZvWuBOg7W6Bdac8IL24XTra5+kYrGPEoCUD3IA8RBqDLZN AKoPiR/d9boMRGceRos1pUh34gWbbDD7CZ+eoZaIC9viie/OI0Lt6tyl/fTiKWN3fMyY2YjBM+cQ pwk1vgxUjax4vLyVaudC0CA5Fa/cjzWSoWxxhNgYNkUmubnn3uKPzJ+Pim0Liymx38/RBi/pu6C8 rfl53DlybeFnueSRCZt6/YrZFU96z2nyGxakFDJRSVygRG3YxGYwdlFHNgmgPvlUEPCmI0bDZ9S2 GwWdFHM+ez3Em0PRZ3VZDsokWLcAZBnPdI7VQ7+gW/YP7wviFaJM9x7Fx/b8BhCqyzesSD1+3UdI uRZ+Q+foD3jd4TXX6bgq5brr51EPKkoE4OXN432hW81Rwe4mjmi1CQcekO2PIqijaSUBxU8JasBf bq4UdaaEM+JXpDGidAlpNcCc3DdfDMlr1Mg3LTLSSzzE/04uBxgHSOHOy1dV7eLOC2CxlN/JDm/z 3hQXZmj5wMfTKvTU9gESA09JcSvYDgdFlcz7Fk880DiTmFJce1DBLo84+Haeh8LY9ixBWo9CudOp c9vyf9BdMjTM9xycff8fpbozWCfttqVUNlvdIqriAnXs2O5+cZE4X2B0OXtrAE9HiEXBQfjLawva TeHJGGL6VslOji7F5mF/RYZNekgxZPNKydl8McmjcgqOvwCK1u3zkI6CU5Tpo+347iuIkPNXKlth Q63EzDdXoUwLHShnqe1FBU2o5SWdDaIEK+5TsyiL8zPwuZ/+9kDD0AK9vqaiRcj1fKgJcTs2LKqm FZXSjoWD8MjQ4TrJJojnb3pbNS5EVExXFfTzL/4geU8BAnzLuqXXDu/LSlbQ7lFYZI58gqHVX/FZ Iu1DIit3jcMwcqiiXp7ZHAAb5d0a1qV4mP3d2EKHoYmAYYTX5q3YjKfWWqYwLZFfjwASHqVZYXA3 egXh5zl7jMFY90QiyBqT3z3cqlmGTUpQyRUIAxby5CJyW1CAb6qkh3CPH/fdibKr8By2ZyW/LcaO 79YAKwvJYshJaWcopLNpd4W7FMlBFqcXVFXMv7BPU43gZaFIrL/HZPLUnvQBM0DSBb7XGqS/74HB P9kyVEKeXDxtmUO3Qha7+eMr9NrWvdhDmFPCXjR4Mh9MhOukPZ3EMvHEZi3UocZNk1mDTCa5YjLU u1K7kKJ7g67qyluOv8q2/5PhBmbGWIW2xfzSDgY+CqyXj8kIz8x/ziu5pdfJauNG3Mng6Qaz7bzX rsIINgP9YKAOmNQpOuX+Xlx46j1Qdbvio9WJ/AyiIR958d3G1Q17o+DG8Uu2R9M2N6bbkHvcEPzt yBu+uhw7f/GaEbi0aGhLVtBdddBgPbSemSoHoiniv+dl+t/AMuXKGA1uOAKcNisxqc1I36hl+1ow 38ihltwjhwyc5yGPzuS4ZINZvychWsALp/w5kT71lVOXYBmA2pTO2IUeOb3+mXNrTSRWknj7sJYM 6Q0IQIrdZV0WvhVWzSVySIF3Wrs8VbSPUY9aZkQVAhb5L21n7waz6IgWt+NZxcXDkX48DIiwiYHc mEtR3lZr/CQBE/zPaDg5M3zPbfmHZOukUmWF2+H+EC2Lh3m4aJFKXy44V6p4KmEmCM2TbcyMyRqU XtA+UJdUigN1dlvk6CNV1OiyyTTmPfve+vdIHCz2c8kpYZ2xL1yyzYp62nlYKlaIp8yiUiFxwdu3 2e0KZipQeVutpY+cZ2yuww+g2HOGA1paXPMgRdy14wCmnK26n1NM2xhZtC0fGeJl7mqwrlLAnRjw t8SobSXo1SitBUjreF3tUBzEQVa4jE8SnFxQBi3KKVIdvNjQUW8kphiY/25QnwiOVRL9zHmGA1v9 uACjzIskhJyILKPky0Vqjh1274tjG84HR5Bgu68IjjS1d4pICtf1U1phKgo2eTCxJFtCjg7C30PM i+Bu7E+ngaQRN6haPEVQ+FX/aW+pQo1ma31GxfXSZTi6//zSbWHd/+Oyxw9/n+aO+Gle4ZTDresp K6UuDQUOqjPxBH5i+agWyI3Ca6uRIFRdq79fI1iriNhByOhazALJapvCGTQq3jVY0djF/074l3Zc 9wtIcXfmD3JyIXnaZ559EWf7l9NuO0fb+EbAHxAxko7bbNJM0kCh69Vj7JrgNc7uoTXfQi8i49Nz xwKoxmNhu1ZUHAXmEf2zN2KJFUTWqwz7S6zSB3/b6lghJZJIa5Khs39MYnzkJgWYpgoAVRhGVOb9 uu5rh0aMTGNsgTlb+tz3zuQjTJ0PGau7Y41m1mMAb43EYpS9PFGS9BLa9+AaxKkabkHne7gvHA/U C4Tm6VfNulj5rewbZpC/UpsRAI5VDhS1whrVDijL9bHmTWINXwXBMgjgg7S1RyswetPVwehaGYAs o9wukVbVYytqQRR8YDHHdoCqbswNzxodaW1Vu0QJMcPdu+6fKv5H6/wQ7QdLbqa/pzDWJuLRxh0H ez9OU6fODXRjlB3/ikJ6P07OY54qK09DB4rEobhtLY2Eepts+yWMoJc5uyTt0zdW9pECR+SRXv7a 4Yu20sIL3pTIyzdM0mM/ZIaMcnUp/DhADJSpoZCGFD1TePi3KcxAqCEBf5i91lusYKE0Dcr7Dl1+ msaTHv+3CM6eF3EpkdR1CS8pY+R72EusTvcbXu60GbNW1rRtaz/lLJWhmpqH8cNJhrjs3yTBvKRV heeJgxGxhJC4xUx0lmgwLxlkWnzBijorih6fK5qss3iaNvbkEawqY/nU+dZVa9FOV0ADu1pG/O1M UdTZyitmpX3kPS/QY51UJ+Zzn0DGCgnbpjD/xm5FtDDm2g/DNLkWeJ1+mSsabnZt9DMOdMnrcqAv pq18q3eJj6fwR8KIARkisVlTK/omgy6VqwHYoiI3okxZ01ATYt0Qe381bQK7rezBkz0lNFdePf8Q 39DxK5s4GkyD6FM3PBcorD9MZWNfJsFORlTDb5uzOuWh36H+7VlmBchtG/sSHtvfGAL0UFy7ZUyI HTrbCK1o1P1hm+dPiPIdwGBnQn9MNb/WIDzs1/9tZRp/Ch6XosNZPdbC5bmMeGFtkMf/BF79zae1 S+8Kn1p4i9eW+mlpxPPmw56UxoJ/ThPEPhtZY8TR7Jij4+C9VXPwVom0ZliyRrw/ycktBiH8xJjs CSYommvroA8VcTFeOYFwEbE3HT3t0uuVJozI4s5WKbmYLYBR5SDb/oboxmT0i/FxrY+can5bA3VM deXdKZ1I2as6Qe9n/3Z0iTuPAIhoHJqiML4aST4VXSRFaq8Hy8XgGw6SiFvX9oTevROo0vZVln6B ATKSghJJIHuD0Z+gLj9yF32gKrvNQ2Yly+PqZIoyj9r6XbFAm0fFPuQ05f23UNiwhqpWmzpOZqZS CTA49wS1gMfUzpsiwmRXnkwmGijdf3wGABozeAlKXYgiBGOHpEA10aYmKgsoWuJIMDImhoFQ/TcN tEDb2xgnin/NhBlERqVc1yXmgUf6ROnh/NcyOfEddxSMi8T54GqQvuMo4R6jYgJEsEvOoLdEoTCy 1IHKjxzLCxBp8YXwADkR82LZYqAqpzLEbx5AzWsytTwC5bPsqPjTeJgec2nDVgNyW1Ju5qdZjOei R978Mg9rFytTeYIXLHgMswwnlOTuuQ3XvHNsF2RGn22qOjKft8e8oQclipDzgXQX9EHg+//SVRJn 6b/YV33PRjO1alcXuLh2Wf/Gdve45L3j83DFMOk/QJAPNpdmvl+dtNRK10T4/8mlVyoN0aOTrzyY FhWK34+5+hXBsO1Ms7O8L56+8Q3jT/N0vatIKXxBy+UJxdpjdzOfFwx9XdNLQYaUb7Gw2zWqaa0D +Hy2FCi3MRcnHe0Ay+K8KD179x1DE7I5Dx/lG5kMntJ3znx/P9A5Vbn4/4336dr2MnowfNwTLiVM HVI+fKCQ5apuSTDiw6llNwyQ/eK4wo6Rw/Fnt4lIstsdH8CUaYP7A5Q/N/9/VhgQcvyhxBjkTAx2 GUVt2b19NQDA1Cee8cyRsUuTaw5V427C9yIBMhDLG9+g91YjCVfFpCcbDakAAPHotoMtfLTHsZol RwIj1ZTGiU7nclcEuKeq7KwHlV5K5MbY1mME9ZWUQ2McFzcjJ08eRb7TLpBLnSs0iPW/WIBOUTGY /Dp0URVoa7dyvjLn0D7qOZwd+me2v01O6SmzKsL2eshZ1b8TZymHz7fkL+DpKxJHir/0c35QMbpQ xwWoTYnGR+ch23T5iZJCU+aAy6puQS/zrQf+iIyVVDBcFiDqKuNaCOC7XThZx+Cfi+VD66RaZtRg 8ItSkvhsVRATZXyBiyZx3XEwgsvQagPkLuOCASrGtLvo92HuFFt8eQ49h2roUSFlENAInI32aIay ts/2cfZxUTKh4oZZRSHnH6bB16XIYq6x+VyqRxI5dCfEaHuHVK3tE1FXkr4bsXxGZ3nWN7X6tNGt GCJ/ZoCnDRC+yCeOJ/ftXhD9E53kNan6XYz4+2iH3acEurh1tA3ojr4F+F/7be4sx+H4XeSlKE+z 0O+J2gcSG/rYX6G0RlUKOYn+YvFeU2hnnuBGWaWJkzaFV1gMU5SfW/Sr7C560jAsIImj3MgpNVjC auOVvnGrPPc+kP5aQrEJiUu1pDhcrQH0C3sA0IECzM+506xAAAIxJpQdDkA1yrt3mZQyvAUSYcH2 JdnvlpOmsO4RIXdqnjfoC6Wj4+lFtryz3olN/cfvMhulnUnP2E/70/qxiWAc56CE4piWnOdqwkrU S14UMzWZ5MtbNJfxx9+NWVa1u3M37VGKAUt7FYCcQf78fXMKIAEZVxYdeYilmFYPmr7MCzsApgqH WruUXcgXs/PTAhFNqXFa5gSUEiC8ED2NxrnD7BhD+2UGJMA3ejdyDddbb/h9aY99ppfUbzTCPjr1 lfyFrSSDK7fk2MAXTgWhPw7qE7AjRGLT2l7RlNK/dGTYsJRIifOq6nyK2X/hbwmKdwXOSpSjKMdD O00D0eq58qUghxDKmCmAmQxtKa6dHkRfVEDXBXOqc9CuhHwv9o4vjFPS3kIx6yJ2KVfAlfdGxqSv DFRS73b3M4ts0JQm5n4f9yF57MhpX4YhnHyV6C0b2qiZ5gFw7JUQHhmNkuAf5vhajBqc2U3ZGOxH ef5zBY9yiEXVlS+Tr5IthcnLfhLVACMRxMSSvyN24cbYmkw4cyxZbcWkCbSU+/6WlOM+U/TzA3ff V4LMI7GprJZP8nzFx4s0MHs1Uavwz+3sIzuO4y4Ha6yv6pEddSG5nRRJfTHxIcN5c7wQ5DhWpIaV CeCXRMUy9R2F5qyIDNgU9ZP3It3mTfpoNxrBfciUp+1ojFhPmWPZbXZvcIet7QAmDtOTmkePonxa uUv+NLICtRfj8rmCl1K4Ro0ApNn2gSdd/uOfaeClxWLFriEFBYgj828gqilEWweXkSglegXitp3a zPBpjtuAETdD1nlbpnyRutGQrB4uv5JJypEDUJ+RkkzuoORSTar51GRbJEnyLV7plusyxGMx7mb/ m3bem469kjrfLG4vHc7no8cxPqvy+9gqRFafmO5CJ1NmO7N9w4CSYU8gTEt85efjXywK6mK2l3T9 ym7dW503OgD7opwva2dRa2BWWgOEwGNQIL5T26LvUs1gXkZmNpdkcRZyojvCmL7zXS6oS3QhK3lx jVrJMGTUzFtFlQUwUQpxtNOYmfTq+oBLnei9k2NMkUS6zRifMnoulHTK9tZ+we8nFXF1luEePxmh JawO1ShERCIYXeVqMDiIjErH4+V8FClDLkavh3EC6fHMxQMFSjfnKFtJvLfbNy5s32i5enyncyNP i+AHRMJ/lszq0jgtqQhvWcUDGpE9ATJfN05lsD533F36+uD9ZMCJ6iA/XWftjsFDGGldfNQFPjlP CjgF9xvwBJr80X5QdsroJIT9zZTUOeC2eIli3fCsxELjGb1CnsFKNz0RCE4/J4DPSpysKoudWv9/ MphouwmnkNZ7XL1q6+e64atJOKVRABGKdzhxttGiu5zXu//kt2pVeSi9kPmzYG30Mif0+jBpmsZa l0CtVNlxZ4EOWxfe+KCR79Yj9pQF7eCu5ILLvhlTSF/VmU4Hz3cRWmGrCMGYQp4rbb14BqeP1iGI c4QlkztjOskDLlb35Zb7djbUxRwGuLCzwVevBKlRN40b9n+Riu6/O8DbRIRY+Hvhn+QjNg5pYWIw lojP2jqcQSs2vLePjNWfRVf6QSeGdvr+iiNnitXCyRLuEZXOv8fU/Hr3o0TvqatzKYrYkTpvHQqP ue2lHsHPwVLFd/JXoLUaX49K0JxU4pbx+RO4ozFfal3qIPWX0TllQxXWd7GU5ZrYmOH1DVPMjVjZ f4K234wK1/uqqm1QJJ1QSbHev8fAPfkk4G+vvGw2Oq+kbgzc5jwiZcnFlkGOCLOYi75IKh1z5uIF ODtoW8cy6HFx/6ApeuVc2nBXFkOQ8IjWE/HeGnvgcAiwZ0yXblLTO8ZhT1/A+5CWrnr2n0pPM3K/ GmgTAgaLIAiM7NckNz+9+DQ8s/7Gf1R+N8qP7dYYuDtXdDm4KapkmSwLJV6KWsGUetRcWiz24A88 SJWrNaA8hnx0d3yqSOzwlEC1piNYBSh7ZooBvdL0P5K2gA9VfCtJop1c5CgyoWLBUcO07th83vqA BSVd4eNHSlDjckhFonnxJcsfCaA13BXyHwZTe/qETgX3eziRIn2ya/ADmBelssPJyE257GhJFU+w AIY6jaovGyDxItKlStACsrzYEzWDcXHmM6TsKZ6SdFkUAPOgjWPTK0pVkPuZB/km83Usllc3DsCY Ja44b2eCR5wdofGNhp4aeX8qCx0gEWKUNi97wcZuotqjMzmbpGgMWjqJBZsBI/ASu9Tlw6/DOqbL IJO8AVuRKjDMa2D1MeJDs1qVUi8leFev90loln7a6W8XolMdR8+ytqI21/ABDZ5OW5eQM6P9i/2z px4uOM/DTGrl10n0nH1lN34ndhpGn3szLlX3u/udD60jypT4I4YmAT006I4J2hfJ63J9tpauC8gt yX34+b9oxV432MztuKHLLvh/nqJbp/vNGCwfUtbVSDE5vPvwHDccoWP3AYtGEe3whX1c9FUK1cLe S5aJZJTjlxv/3dwgjL7VpHv5ifTbeo0F63LV7dco/zYdlXpsquDVwo1luwqwLi7huyO8ch754LKi EULevr7HEdx2aMc9EwMCiTQYbt4fg/v0wf/JpzFHYrWmadY9WTvuXB+SaFT/uAyrxh8MILSJLbdl JOie7VCcCNlAGThL4urq/fNNfDRgy1AvmIPUQMJGwU8BkUFtXxg9a5p3Hi3AlWOqHhSwt5alZL2P +xIAH+dtixLZi24APysB2tFEi32GNPibp/FcFCFLm8zPOr+4PYNJAsIYGTRLKsLwFUXp49Sp8u8K RsRUGUmH5K8MTCzGYFL/kvZ9taofSvgeaROujnq8iUitYV3bZBR5ce+4Q24K4kK1oEYu89jh5VS4 yakOHi4LB1OaAi0yPVwMtk/s3u8+MeUX9hBPXmcWOHJk+l/PC6VYypv47euq2Xc85ma4e9abbpC7 5MP5QEcN0fObWuRezXQTdBGsls7hnUTbAPfacjJDCefpq3EcV0N43FsqI4tFcvitYjYzIluTJb74 0xutuDzvX/8AIiTZTyxr10TH80D0jsLmlrDuxCcjT3U2kw0KgRZpRfUn5YyVeKEDPMb56i0F3dz9 lUQp6ZnwRAz7Amd5ByA1gJaGvK15XDGlFBNnxmIm4BqyDh/oCaEAw27eBpmQVKHsUhwquSH76T2W XLQotityDehfGe80aO5yJ+FQkxQnNe7y63HbuDM93nsfRC59w4367rESH5WnsLE8e1ZtPUn2bzST 5swB0vF+HakgsT76E+vTzN94n14ZowOKY18Tonri2RRKV1NviTTItTpLp3AVTMeQzsUeXORCnv6R mYYcEsQg3pCqWs9ECnL/oDp7F/DqfdTS1j6MtCDKf0jc6/yGBRBCpMeZS82FvAOzgsJ4RRkSePBI F2jF1091ql+oiWYNuu2aC/Ka9ZjlPnvoSuXGzzkfMPdlK4wyg1xydl6GfKZ+Ds3/A+kWVYIdw6Tk I4xA6bXm9Hq55W5ucODUrFtZk0hAm4ofxnZ6abk5B4L5xuvdjumL9HAnjghS9QCawFfikPIngyj6 hfiLGH1RUIeuFTJWqtvzqkUlUq02UsRP3NetPRtmfqpFcxhWjP9/GynANgwXHlTuts7RI8n2Qmmm stdPVVWgDxAyTSaI0NGS+PapTyr70HzKsQ20mRqUaSlTld8DWRnVA3QjamL7NKUjMiKG1049mk2e JGV21YWNm8Ct/JcKpfQEKbx+otgmJRLQ7H1e4e9MTzPtZZps7TBgb48tfXcpwUPx9C/3F0CY2BvI +rTshf1rhWJ9igIM2cqGKyfX26pEE/i84dPchPf6qDhllLE58IDY1QdPscDQuqzGmETH4pe9T2br zPlSpWe4o2/heFy7uTCLmCKF8YvLgUzWo10YyOziOAaR0SSAlQdWP05/93avXZKWiLfADexaPv6n hHq2d+I9ave0PIjNZmzQYHCY7iJcswNchZDitenJO6AX9AnJOhOM/yY4B1c77IbXwKmljZhiJ1WV kz35MZnuhbbgCO5IY5PrBkhAfadkJU0xawQKTJ9lg22yuujj59xW2epoS5VZp/Aj57bI6KJNh2UE ZXq6AKHuInx5dM8rtxni0kwZkrOyxj9cUtrHULLRF6A60+RAzfAeRuUdYZSwfeX9LbwZSp7V8Qwd HI8ez6WZ5YHBziHKBx+12I0pTPn9aH0uSxVt5s7MTTnlmPPmJKINOPRKQP5WLEXK2K8B2q+jHdB+ MCGWYmJNC3awl1CrmIXi/zqBFh1WDwAJ2zrXvBJ7+kdJbd9kYfgiNNiAf7Q74mpjvfAPTmskjKck VHM4OZRAPKMmPmQRbmDQtUz5fL268J/C9wvlmfUKVFic90t2NWvs18B+3yl9xp2JLAanIIuXVbbc 90ZmWeCFgYOzXTBlj+bilF1zQizKvfEfxWAaqGn0XTteAwmVdZ1iA3D9Al0/dF1UbNGTLa4X3m6d WEQE5Sa2/HLAc4EseVFVtISsKMav4FWdbW9kDzt87D5/8+DETF/Neknt3EOHjYX9Aen2iBWsgctX 2HZnBu4hXHJJ6m3FD5OlDylpjL13edvqBLdUd89PD/oa13DTBIyNzn/sBSC0gtOy92Mw6y+Xs57h 4WoHVzpoCE6o6UG05AOGQJPO9AsJ2USe5cj6aXr/wlwFUD9IX8SlPfqaGVLFS9hULqHsxNgf0DuB at4mYSXrtzXjYN8RFjtOBTH+4ktDwaJmwoOCXMK2i5+mHFMIml7svrQPo5DBe/MKQxp/3HT/ijWm BEqQ7Xv6nY8BCa2ut4P4XMvHM2OS1v8Z5mV7f6ivHuLh16x84NSnBrjAeCcD5FHv6EaWkV1u5yuW 4/vnzWFcnv9+8dDgYG/UZIRgSPfN8mI3iMCiQxZE53WJf9rz4eEug+9TzeJuW/85VK7SHQY9gmdq momZgs1FMc/Ugi5MuO9Zr/AZFlVSo0UfvbY593H5hJpmPUR8gwTz6GXhyJmyEG9GjYD2Uy9t6Guk NIv8GtQ/68INiPD3M12KpsPdFpv/oWSRAwlEhN4YVXn5FFUxAIAiBui6AdesfHh5qgFsmdkCiYEd S7jWdcSYMoX5+472IaRpi4U5l4OlCxttDhYhcT0rU8TcEaTaxhKIQsPrCsvp9AyWiSzaR4VDHwNB i2BpkjpI9+Mr0KPZWIHfEab5rAoZq+pG8VdLSfz7b0oyNc53H1OmlnKzN+bYsJINqfexsfBh0A8v OJAlKcycbjrm55/QM2FepVTSEpO/ODS+kAc5b/K+sf2Et45+Vr8zQ7czH4Wh21eBl1oe3O2eQaVR lFMUSF8BM6JZ5/WrggSlPXSHHYWAcWA+RrYpvjrxyYhPKwk+QqfXBdONv7jj87FLTCi3sF8Y96fj HRa4n0+FUoxwYlj1olk3kw99hT5yUXwpk792KmINZOMbIFI/ZKK8XNM6EK3ITJXQB2rE17b7QHlt 2U5J9mKQ85II3jbuaJGosEwpdyx8w8mMBpbywsiRcvzpRUFaXksfk1J1hWRjZU1BSURiCbktvbIm CzZyq7mLTFo6lgwbwnDagdr+1zpPdcYBtbyoH1SJJ8PVxD4H2+w+T+7MAQPUC0KYSbqvsU3kaGSr zjMV7CaBbdPwbWz8B6Num+4rRYyofAi8aMMsVySKBvqXfXMdlbAl7rpF1XIvJUkiEHhHLZI8ruAR JGLcE/PrYrlTFtQvZlKqmY0dafsi+0Sv4MXxrDG2cH5rOrf927uRBlYRl2XmyMMtmKhCVqtzCNir em8gZrwJMQzGWzgST0sqGmo33XA5oh0IVglw79y0172fvRxFPKENvKflStWQy2j08Ngo/cJYvjoj Zz0C5e7cW9sGkq7y7XNt3ki/cKl34sk5xGpxUqt3PSk4paz7cCzimd8pvKlOf564DPwolYz/AM+K 7VDytb3io7Kk+MvtzPjCDe+pnCiTatSxIxQomVUPfrQgMD9SGUcRDECl1KpImGxobJstuk4Ppevz Xev4bL4itsHe48T9X2YMoUmjG1GyVI1vV0fh9yavyu9t69psX8/4iJWehrxX/EinYdoC02ogO2bC UO6LKidCRi0NzT+jELT71XoO1VvzQ3vUJ3foDJhPG2Q0mBK1ExeaZ6+0pVs8kPj7GfyzdDZRyVZk h4Z+MHwBxbgTHqs9FghQR4IlLSBzsJzF2RDGhstZoocoHhKcp29vo8EH4pGv/+9TLFQ74NS+RltM 3VG3YyHBmc/vE+ElXjwNrIe4dW9PCP6h4X/GjWjOdaTCKzvI0ebWctckUsc36jshaUN/32BrA/rV +VFE0KKxqSN8b+yP7aAlfv7GYbaIV//Ly8uq7/N7p/4+6PbIevkv/0JFoyCmoQWDJmJKSXtCJW+M FRwP4XCYLc3RA/cq02mOrGwTmNUZOg2CYofF+thdv5lI9p6LInMZhSD9XjqFJj2VwHzCDIry+YEn G0Rckez4lQxYQYS6u57mm0Wap96F8dsS30IYV+OdgIGq+z+3fKRCfePHqSkrjA/veBv4/c4LqT+s E5mGAurP5roUfi8n7fsy1ill3hLigN9/WqCK0StMNKel1TYFFFTINidKpZD3RdUJyqgZJqUrnh+7 qNL/TG335Mi3z4RwR375Y98psBrQMIp5zqL3LiUr35kPJpB1q/OMANEy1asRWyWc5yN5GTL6/L/p OHa9s2JIEdccK18DFiAq6Nzu7yv2SgUVM0hxckXjIdoDrUY1iO6ycTAkM8gvcx/Ddtcov64qxh5o OUKTMr/pNKGEGM6NESmkMUxVwCkpZSTVEscodkQRhubhoUavTiPqh8xeKt+q02oRfWYIM+uxEtxu b33ldO9KeJ2n1Go4YtQ8nJVDcgYAlu6SIyyFevFEh6Wlof4Jro/5Mt5bn/zpwM8tJju51URyjLs9 rXKr+4k5wVTuHCRG0lZnHyVjvW0HyLa0cbE9BRJuBm9m1QnIqVfYkAKQcvMKiYKNb1+Sm6Tf4ArK YTI/3jlH6KSn7udCmr76epCY4ZFiSYWUeFbVmOHAtxkWiF5ECffVhSv7Cut2E4aO3WDow/lufnY+ jLpgk3Ro8WdtXq6uAXCUheEKKYLhkcv2sx7BgcigitSYcw7QlnuyuWO8+4LiTD6TryvrkuNNDR7y rAnhr28Vhrzti510SZ9kwx/kGq7odRaFWE1QRmDjJ8F+eCQBOVfIr1ApTwBFbb7Gr1Vn/KDm5J6T bfl47+089uO0dxvJKgkwVXjW4Z/pDrcw6nZLB5sTDbt1X1BKS+9R7Z7p0ueYMMMYTtymjt0oKeQu NNQWVqcL5wJjrBLHTPJS8OtaPT9ZgAk7HhafMj53FC2DSYKLS1ple9cnIbc6D9xv+t1tcf5lNKSc XbzonWCKSP8LBWuOOauaJJLDhjQDPCEcAI4l0QnN6dYcrMTef2abP3S6ZyWMMEOst5mc1BQbtfC+ U6dMNwkX2HQjeidKSNZRkI4GtILjjh3Ws7MtukPJpxCCFiUCpO4cGBXZrLgZy5jKDr/FNLBIYADq xOE5Ixx7hqWJ+S96PNT1OIhcwu3gJQ3r6jYAoeZAzL4RkhukdjsoUg8PD1DB4cQd+tdW4fwaNdco JU+gStiYQlAalJhLuvDIt+zszOKLxtcABwskduu78c3Xj3HExV4rb5g3lKSG1b/bRKb0q7IL4g+I kADn3l84CzKHzloaZHb0XqE1Z3PRmoaL6JEg88V40TrY8L2bYTZXSYjlBg9Y/SlMv/CcDX8gualH iJRj2Z9thUbIDxMROs8Y+67b/MWKwhQCXFnLS7rLz8ubiJq0LJ+QYeppjkAMAw5xkc4lwUfB31Oc f2XxHBMTe08gTPqKef3lPpFlL8s47q//8d249bRKMcHcjf60abDDNRs6kH6DxCOcDJ1S2eTv9Mk9 TMLqeErEkkX/EBiFs27X77VVfXojajLPSIOzqPJ3UTjSOa92tf59a8lvBrodxQ9AW6vdG7RIF6tj xV9rP4AFQYkr13Mt6H2Uy7vw35hkGGD3fDagXp2raLRdhHh/J7R77B7rWvG+CjWjVTbjB5lWhHUs 8psrRzE63RakFg60OCWRZqot5kRi03UWe6T0gJ0fcUYgoD+ebEZMHWO+avvREDEJvx6GRMdQPNjP NPlhbZJCqLgllAU2n5iWVTbbpTrPekZKaIxIqTHGEii1ocK3kwJGuafHZxb43wTySSz4qq5rV1xZ WgNXp2ao44t5hQQVOAFB/+c0U+svMnpc9ldMCgWB4TSH3OnbSg5nyOrjvCgt7MsUi/uIQ1Bz9jNh BPNBGO1BdTTNcGb4Vi7alzwnZ3h4Ffnsn/uIyjvhEH35Fpc9BikfSD42jWBfbUrRRwQqVFrk3OfH MDNB9DhDDU+XsdJZxkYJlEV2VjLin1itB7vdPNAG7Mcf/nhKDn8w6qH5tNpO16mqxWExvHQUtNeX dHhTua3l4I09A8dMK+3oXIj+Ut3zPynKCeqQ1CE52eR0EvxQu33rnG9jEYWRBPV/E9eoUQ3SMB9w BWxep5LEviTWPcbTF18Rj/xi2+l49GtTpT03HWv/8Y3ZkLojMbwOFBLaYHGeqPivRRF4LDwpUSUk ztDYrwaAtEM1+QM+eXLXcrnUekrVar2lfBIhEv51dzH1wP2IreoMRVj4bygB77+9UqVwEXQfAn2c 5BmWE17/OY+OQ6veY1SC80aai2zobkUnlD4JeyOs8GviGAqrrub8glSnXfFRCYWVQ8nI7c9DOcHY rSPZI8msce2+Kq7mEMnsclrd/4Pplf0pZy6zZwneLDH0IPRW66y9HmTDl0CGqTVyPx5vRRhlD+Sq pCaNnyY0cy67kmoMZOcs1lHCNPgcdme8D9OdRHJhIE+6N0sGj1ZBJv+2COv4DZvZHdM8jDXfEmVe SS++9M/46VTBmhL2rOgMiMXjgEcaBkFyKbDUXho6N7WdbrXSfh1Oyzuwmh7Ezha6CC80B/8zQxPZ VFKrm5NBl9PqokWDa3dxVl/8rQs7XL2iDZGHfFu+zjR0fd0GKGYYJAI4aE+Y1djfXNYDcLeR3ETn hsHd4uuTPmkmiXTmVLkF4A6eccbcClECNiFtvSKo26gILFlYW0yEiJZocjp5tavo6l2MirjZFEG2 wbPiRuq+Vq0N539t/ZsuPKh/lpSJLpVEaT8NysLLhtlbZ2DdPv5kQkUpCVKlWuThB5fv9k0J8apa JoalFkk4b+BX6n/Cp3HKn3xsPEe66PCwSUrM6DZE4Xuun9eUlfr8TmJIpecQAsZavT72Bd7UY2qh VOhQFY8EC2G7wxvHQzsrdsj0nNnIEZEww0lp2JORjxMtAmnM6hdqRv0HTvviTqlVhlsB1inh6eY5 GGbXALe7Fv/oTXaMvqUuiPTLcFXAQO9aXXGeUsBDfPTZqxYSxmnJ89qoo41SDfR6y5Yw+BJWuFbW vKYWzIVuVeZ7SvnlTaGA2oXAKrdqpkowEFN99rOd8vWGW2197nCUaugCHALidn7xg/D8g8fCRhLH lXwbWev4NHaySbYCdG82v3ELpH2g1MKSUc1wHWPVfIX3k8hjOmNX2lzIFpFSfOi2uwGU5iWq/6r9 FaZP14ZKIrqTyHBPkz97TuGOXw6Fh5dwWLl/epl+up7gRkOuTZy3sNbfDK2yFiVL/UjPV4LC+Bw0 OiUWh6wu6NfVzINWq6FbH1ny9nf8Ze97rrDT36CZ+aOwFG4p8JFBf3noPQZqaUub2wqpYqqAIrjX q76LkfQCQxwCRqs7ldpBnVo77aa9+22j8piQ+qlhvimHR+k6tz2sSc2s/QGGDdwMPvlphqjlgu1H zZvh9q2wVez0V7MBWJ/9mjCipIwFcHAK4WQI3ce0PAvtLON8+S9SLRzp5tfybawENZwg5Is+F6We oYEVNWN1j5tz9HXrLpOSOP1GQPn1McxI1HF5zfLtaz+587WoSaGbTFVHit35QjEMxJLGHkGS9B2u UEkaLI2/ki6bW8mSs/3fppJP9CpMmW9fJcMsN52PTROFTxqmnAgZk39XK/OXt5rqARZYk6SefcVV yVzAmdBMRus/pFBlj6JUFIYfi7QgCseXfX+Bo50Ysg0JM/nWA5xOPxSGWjVhL+U0Jr6ExRE80bXt n1hpvGRV/iqm0kaqTKFGjAGSbyb8HZbDPR9mxMZ4f0hgObxIPnrvBdrO4aijjkgTv8yNIu+SFzmQ Rv8cUTYeuj8MrDb21PAU63rb5frZs9qyHyoEbXB0whaYYmWgZHcQSniZ7V/TIUTeJBwKvNHbbDLO jAfWF/NKoAzOsWvF8AJzhXwbrROa2sJThEkzS7tjeLL9dUZKbXDcB8jJnu2IQc5+p9XMwkRFpS/3 9yVOgpXllEzd4ql2NFloti/REVFc3/UjmbL8MReZmRz/3DBD3zkMVlOPfIrQuyRwmX9QNYZ0gTr4 U39c65Q+f8kszN+BsPK+5GtBxJvg5jJrLC07FaANmt+avN9UBYkDbYD3mfgNqhsR/7t786es6l4O 5lbYPaqjyqgga00BDj3BkA2cNMf5GEU0sagOUCAfCStuDF38CuD6mDlr2lWaK0OSdiZHp1X83z4B bRRl6zmteiyk+cxyFGYxhZ6Hn7QNxJlH7Qc5y8neucY5ftr7WMrcXfLWgFoo7D8qtc2iSPX/OP7Q ASGcXm0f06jdn4zNF55ZVoAWxk3VvLzahQ0KnTTiYK4xDm31iGDSrxfiJmiKVVmu4Fwlveh+doPc Cc8TWpGFcWO0gOA1KZieHw5Shldaz71VURf24MEdKTGogODjMBhjIyCWBdvhsam5h8qdPsQmtz4x HDoej9z0pnlNMiK2B74sqOlhcMVeGapthsADZPTnPPIcPWqm8L1tmMQFhplxeqVOTTCCheIH7J7z a8RIOxFk1AwYeUpTBGHn78pfM/SzrSfh34HvxdYus/s/X4jN4eX79kcM5Pm4Z2l29paiEAuP3hZq jzuW91fsVN4gi1oq5mE5RLU/hbsyjQVjbs3+9Pvl0IEqA1DSh2U9QM3znpdP93tLQuyp6m0rh14C OZLLAY8plitm2sPcu0k1Epum+n63Jygou7nTnJb4fc1ZXukukxXYV+7uXacBgq3PRtyelRUbsKQP uJ+CPSSV+cupFiseHoGHWd68BP1hD3NPeCYVaH8nUKMJwtnoa4ESNVhw8qlPLYkyx4yUup5Rw1MB Stua9dVHscIw3tK9P2S+X4rhqxSUGebpSnhi9/uLy2g9/wMANsBkAP3mDG+tqUQv1h050dQOB0/q pnISuOa7YtyonWLg25zM3NdQsf7vntVQyhOe/u3sRpwT9c1bZ8TIbImZuV2ODLjzTQn4wL+SnAjl Hm5MKeWpvxsJSxdMeL8FOTswiv2kcAyI5ZhfFeiSVeiifMlFHwq5TVzD54ufjoaitTesZWJFjE0Y QuvJg3yfE0iSQmnQHHx/tZIgpamUya5Ao5a88BPyXiOk5CK6EMJXMU7qow7iOoQznd2qDOfiDbb9 mk9xJQ6DHXV9V01AAgpSOz91dUYc4dYNL1sKWTzzTGdWt+OBA4FgaUotX+iBxVE53n6pDAEFB0pv jpb3ioGYPgmi7lF1abEWxol15EBug4/ujrgbi6FWaIGFzhSWvYiMCuGn0VLX1ult/x29pOZUF5Ke S/pcwYeWW+NkcdZgIItxPhltXzPMv0Elw6rAH0l0Tfdvl6oFoAwGexQfIPY2M4JJZPxIDIQbMT1Z ERMUirX+gGgXKm/mAUQtB3fB6fUnoIDqwYEOW+MedjxEctQR7RozUG7LGJQdpXsJ9ilNRqrA3e9x Cz+BNqzHmZ35c3Rb9ypJVk4gcoJgHGAUtCppIgxtz0+XP8Lwe3bVoJj9R/u0FlPURs8AwHdlD/Sc +9+XqPtieztQYHgRm2YF0n6AQoBQI1QiLyn3EvwrgNhXJasuNJHgED0979bEg17oQnZaDE2Oe/Bo cEwqTI87surzcWoNzkaksdwfnCcydLdiimZxKvm9Hw6ZNO7zhL61KV/UnXxH9kS3ahz0S98EKCsr hC5OhmYnScuOlEa3NFxZL/VlrPwz9n3dmhYaqYu1BtOy0WejDBECcB4yNwDCThFtmY35S6pXXn6g gmwj1R02stQsRHyManABUhO8SGvrEtggy8o1rFsaQCFEN6M4ROTLR6GGMG43TGQrk04/sdLkAzAn bTAJ/1LargEvBVRJk+PHvZN9ml3n8OOEQdUlz5AI8bpEjB3IgRKYcPtWoawoYeiA6zzOQvHTV620 pa8UTYGejVv4jEtVFtxK9KWAa1Nl1kRif0vMj/Eb61vP4E/yu/RYTKDQ9Q4+lybV0Y9YJl21K4OF TpjCc8gRgjnZkuWNIr9wAmRnwcs6Y4ws2ln8jKRCqa+t8MQidXBuTBsWHIx8rgWWa6uhp/YTyDAS L0ZLSmJKIXm66phk3WOwPp55wsM2ODXDgzhy3tQc4517WGIo9KLTShfEiMZBxLEOvZ3JUdh5d06Q oQ3Z9tWSl2UTCHg0DL0pLj74pF84cmtOLALFkFuy4b5MOb8lzqOcjXjkhJ6hQMgz5XVpnSWJANPJ dgPkCzj9rSMSiCGi5h6T+WS9r2lDLWhIQ/AGfaV9OrgB3HsIo1Vs8y9qVViI9CwDl3DBiF1Z7CzV TDbBr15qxxFRxKdyl4lmRXjGYDaXMM6UPoqFpZo3VP9yAw8kMofrVpqJOBxy+2e2NkWUPHTf+vCB Wr7InGlVW13gnls1sxoAkt3E9fes3DgHB3gkgKWZxLJyVJK3k51SC9UN3rEgt8LvOhrlykd7y0HX qmlaohiwPLZ8pMJx/peRVBO9WQ/gP4BxlGYcazJqsmIWjcC2egcVHx5Zd9RLEca0K508ewU9yPOd s1Cc5UdbtoaCWfzB2qvhfUrfaBL4/KJiDOpzpdPXSWdxX5JDGNJ6QfyQzPDIJjIbGcCOehI8F9Nn M3R10MELlilRauOosrv4geSZjkvzNwq3z1qLqTZfa0t9cJfvT5jvzAHcF4+W7d2ElAxudpp9I0xq 1Kf1o5QGq7Z9b1e3qzfhBTsqi0NiIBeGkpotdlC2Vk3AXfhPwE87im1fm5t7K8keH8B40KotdAOC 2K86+D/hBLM6WvEZleEzRxvzf3yeqGMXLIKSMjactIS8OXaLq+mjq3cOYC6Rwxp6vdFADpLrBslM FCqdnxq/lvvmzegS51Yx9PS7GOjIZpcebQnmb7RRSU3F2Z+ioxJuMsnnMf8xx89EWv+JpT5yDLtg 0magDVI1ha+aOn8ailf5I0o7bC5o6sGavCn3iE3MmW0C+pHguJ/eK2dq33jNyX5JY/W1uCdWbUwD ZAJDqDBE2M8OgjafD+p4eJpGJGP2gk4SdvITcSKE6kGeQvHn4In2vbntSmuc+CSGqjgnfYMxQ4mn Pyijazbx+JoCm+G0hWl+phCEAbOYhnGJa9iHfs7JUc18S5urVcZJZjI+dO2QxNvwNoAJkd51liID BGP7uAesPVmROgih3pCt3WJlBEYLgYDH1WPJMD+vHn5LUto4k7nJ5ysGAtHxkiqzLPOrQ9OykLCK VGPc8hwlwowPi4SGGbjTH/Wyz7br4aunsKidhbnzTlTObCJ5bSASqzH7XHe9SNFDndLUlMxIdJ3r mpMN3dZMaKAey2GGSVGreawJog/nb1u1fidkbDkuRaEjDZkzNNH3SComoa8BbFjSnUbEuUc7XkR2 60Nww2Icb419d7fXPOx7shnl8RtJvG+NigMYHQbEpF4zjciIBSqlRYXGNX8FwMDHLBJHzjqm2cQG BiCx65VYzhuWG6WRI2BTT4sToUBd/20ORj14M+38+Rj6mGfnbQv3Rg3Ic3z41Rda77PWEtq3wJSw q3I5/T4XQYmwFHaPkA+YAYhGWYkMhte08pDXMhD8RbP6b2m/hAmwXwK8Yo7r/ydvuafLfmhnOCes XdEPylHYhsy9PygQiucznlgjQFfEOVsl4oIrUl9GoBmZOtlMLwlI/7x3NaKjTNhyFIPgH4bai4ns cbIm+2ScjwigQ44kpAJrQ17rtqgz2cxGzAJZrLuzhxLUEQ6VjFKxJu3uUsTv1khw2C68htKT6xaH FIrz/74CfTBKjDSeyAhhaMG8gE2CwTQxXHEI8bnp9uB5mkM302s9KNmKkDjXGg+7UL4Ei36DRbgg Aw57Dp0B6PhfGqdif0FgAMy19lE0F42u08cK/PxBmZwGIuyKgybW/ACBNrJsHu2nikTo/GDTvGFZ dOZvxNrmoyq0CHBXvVQiFbzyo/MWrD7/elcyP1QQk9EzKVVwkAXYCXPUM02WeBZtbZlh/Q5Hr6AI 87JCdPE1Kd3ayBB65zCsr2Dif6PGz/NiqAPa5gl7SHtb6CU3FS9UDcODeUkb/zuDcmhoXR9p5sgG Nf1pdC48Q59S0srSyGpjKmdd1Qa8CljtMO/4s64RFr93SqYHsnKYPwSaDEGejdBVcqYsO5hJ1+pP icof0C4pwGSNF3ziTCwNw3fBWpfJlKHY0lhm02ztjDZ7Yloa6JX/Q1fTh/cyeT2yZL2uQZBkKum0 1EWoUyH8YYBG2ulC1V/HYAxv422FdUnTtf1HxADqLwXGzfMwQVKURA/JKYm44Iq2daJ1bALVdnjR FuD3J+oOdpTpxX6VPKdiMOG0FHkNbmZqXDsAyEHyLNVBzl9eK0lXRDUGruk/4Ff2lu1icDshQfcO NztVkmC4r9hSZEWnbSLnsdq08F+bLs50UVcjgzm1wTTxqF19dayusM1aswcyKq4Lgrrns/Dfn4V0 uefRtI14Cv/XeOVJ12+Gso31Eh8qbf58N8Z88uooj1U5wFjqm1O/lLjxjYVPNHXlIiiOVPe+fA+u nup4iz+vayBha1H7XINO5xhWmZ2jbDZo84ia6tyn4jO3Xv8VIAiGvQ//JNtvqmaKG0iaU7UAG3Qc oV6wKDRwHhe9EAxzJUWOWhHqT+7N6l1pJVm7I5UoaN1zhuTf8ZuWzOxbzM6/ufG/gz0dJ3+vnir+ F+puX6bwMLgbOqQqLorgRkC3Ml0C+HB6+B5X5PpDqQvAE5YDBn1QJRc2gsSdVV1IAr7ORxL3nSS9 zcL2Vv4+3EdvL2tnJViDXES6R6OuvkVCL4sQ5peoPVMPKwnEW/VhmLZ5sVvh+/KKqKG0JnRYSkKB 9MPyg3t9z58HVsF01ATyvTifYbu2dxWgMri1xZuGXfMNAn7i3hgtNyu4cAMCyCRLdkgpynqf05Cn N0m7+wVSR9vpFNU78/QloPm1CAPqNJu8DCeo+C+x6SprhRpCoQr2VcU2W16dGjcSya2om9ilBmNv oXTbD456miF9DU2KrwKnH86Pv5lMXrnl1JuA/x6LsW0c7ab9txrR9acdvSIUod7ObHvHohQvTMa2 2i79LFvFYxstzTzNXZC6z6w87JzuDWN23mk7jYETIQGM1TgJ+xu3c/aNR2cYQWqqHam2/totIFqC ed0GEUJLPJQzAkIv0G8CvKl4TIOU0cIs+VZU1dY/UpJkm6u5RtWyM/1EAskYu4eSM5imJ4Nq7eSG SsxySLurWk1AHiUepWah8S6W8vP/qpcfeSSAmbr36KLvR7a95h9zPIYmOdq2lMLBZetlOoDvDaFC f8MPFhyFj3XfchuGL9DxKK8avna4xw6zyxsWkJBoBRONRshv4lgmgGARw6jApDGqLzzfBc6PVLjF X+FnGf1OFUA6Zi8v5kifpU9yPfIU5Pw/lX9GPE3UF5UDz2ax3Zr1ibwIUHhDQtlaKIa4ub+9Xjlh auCT9+WqSaByfPb9gWS0ReXuKfFds+GtN7bKtCASEcPABQwvBjZXSRzWcxZM3izGouKEEZ5CZpPW 1u9VH7VJR/+t2595XR2IMQObRh4GWsMcztWWgBEhcOxvPohWC/AeuMxYjug5/qEQbNAdi75dmMuu XcO+/OPVdtf/rOADPTZZYlXmEv7gys1u9v2jZ9uuvIghnUwegFXLyxejBvH+FjoBN3PiQRuYtmFY UQnRGarZMRrL/jLdXy+W1UmmjPPYxq699+PXafIzO+62qc4xOwsfPbZZxjD3JMVdACHd8MVcqdVA /1qekQvW0Rzbe4fQncRzpswlO8FOf7tIYggidlnCNq8yVBZdx1PJWuoplYVpPZuxSpv6nWi62mVG nG3ueATeFagizyhcyw8JdBdn+mImOgSacTxvrwGRWm2efYAKmpUXyHOS6jGK5QYkd/1Z9R2KyiS4 u2A7oK/Xi0hov/XGqml9L/+/luK9LLJlAy7Hpx7YVnKV2co7fsFBIm6O2ErI97bNHfk6dIiaXUG6 Lt5cSK4epShkSLA0BbNPTZwSGR6DjAIdXq7+/NNS4jQ3sptAJCX0IjqNVsKvcTIMquLUOcTdJcEe +TpBUJr/pMHN7K6VtyZYbBzIyFl2fOtgnW44+oGcTbNxrg2s4Qho9n11b/9aQTkS2LCxHNHeqtTu 6z9w0OO/NhHJ1A+gKXD34aTQobL4+RmrR9RIEW7Si+Qsykpux0Il+FGAdzpA0xalzBMmoqHiinyD +d9tDfYq5LdLsyE/5N7K4OONtdlCJvb+z4twpG2EqSSj3j9kafIQKpE5jcwlqeWzCw75KaoNEJS4 JM+5fE3E6Y4iMO4CG2sYA1dsftNzqM7N7HO8tsCN0MNm63K50ebDCRHyKcWo1cuBSbfhXLZBgM0+ 1KVKiPlGaWet5AcgrRM75pq2Waoz6jZcTcQXhUNjErqRzO1FO+wkMfdHLKQqodA1O47DfhbeYeTa 1wuL0spnPsz58MyoX8+Z0/qqjWp3AwCD7BLB+6Udwh1P5n6DdblfYN78wBbGHGrLQAMfpNWnl6Wl 4GG9sprf0TFTn/PXMy0/qh2FsTrxNJY4l+2yKCoo86YiYWBGt9koQ8hl0q8vjKbKq9rmDMtqM/f0 NCr3AyLgQa344Asv74UFbrsN3mbrfPfeAvFVYuq38b39T1xhRoCMa3HyCjxo7A8n5NP9vOcDPUTu yiSeb0Wr1+z4Eq9R364zPdqIwTVNB1KgdkjehN0iDIE5oEjiHwnuNuCQGCW5RpO/IdrAycip1oYm jDRcSk3P1Ay55vRPa+J0n+E6wwafP0/3iv8Xh/FjHJu5pSWjctjBec4a3M6e0YXSgE2IY4kEJVcd flJVyzrmqJ1VDib+dRfUoEwQ0ZB0o1tmc/iPOIFDmNI6NkMmq1xotgB9fWuwUEARNpwZr64JJsgI +eOjmVVglvQQDVCRKSNn8Cd6oGSKV9iJkOR3R1aVKBj0b7JkyThBXUU09GM7KXI0Lez165znQhJH gjq4wlmTTEG1WROJGd5M+TKKGMgwkWVXCtnpCJ4+GVW+PPSrW/7kVBKI3yXsGs6WKv4clb2IDgNM LogIR+gAs3vS4oW563EC7ZZjVC/5TQI+SX82X05hNU6+sVQBMVoR+7EBWDZ0lgy74Dz1I1YjJOyP /6p+ZFXBUK2jNeFsUW72nLROpdNX6mDiwJszL+KM961cKsvMuFdOaqpfmtD/38hmlg4NOYu9wIM0 K3OLt+8lDZ5finoKCxQlIuIoCkjcDePm29G1N5MuASsQDqagEJ4j5yLhqXbV6upDdg+9mTwx1CpX Cwd937tu1QnhTtTOOnYtzBnzSFGSV2RuQokHqdXu6n9qX2cZ+BTTstAwQrJOHhB/JECAYlTPIiPq 08b8FCZJQJpRxa97vJ/0vtCVT3POX/M63goboPrBTOpr02ZtP8/Y2kz5rwS3VA3acOZfXCt/6PKM UT+sRJulW0EDL0AMuP/hJJaDnRF9hIbgelYax3om6GBp0UeRTm9eJ3Su3W7rgxezEF/MtH+nGzn0 2Q+lDB9LGuh01h6/YyS6xrB4eUrdCvGFSVJEUMQPID39lbrwR4F0vNZqWSXfWI6ShtjotibuztNc 056ELupXYgsbHlWI4qNHPIoVabOL4PT29KrtsRHZSD0ZOiPQbubE9QQ910Di4q/5X5NwxbpIwKXy ERs80Y8qMdzNM01BLNfH4IG8DnPC0P1HKrehZmh/h0Jp12rmKDKURIfscUqVIBzB5H5XB81Q3ocV NEiM27kvgD0muGojZgAiOHNyKDhPQt2oIPnu2QmsK2Xu4PiFStun5wBESpuQr1x4ffcjtUcPerAs O8fYMehvHS8CLoL6SL/qxFREuHTndLwtkVrtlL8ufZv1H9ySr4nR2Xm41luvkCoCwKvhpbSZa4Bv 7zk14i+f0NvTbQcH9dGLWu6avPINjDE94ZnXWUoTAm6q/Ko7VTziCZNZ349HUHMrMdtTd+Qs17s8 f91gstLuAygWXYJ5WJRjaBBb3zZpnR/uVClNdttk4kz0p5xJx/s2QSNxAAzT2c2KcDwv9yOzss4k IOLDWeZoilrtXlV6Z5g3PFtshoBF2kZ78mfIcicMVEiNhyhao3XJQRHoRFge/xs3xPKG3Hok36Qm H4Ea5x/RMOpLL6uUfJmZdPX9wIklZ+Pvo2LgmYlSkJqXlvKy `protect end_protected
gpl-2.0
6e439ca70819b48eec7ca7dcf4f973eb
0.945383
1.833913
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/mult_fft/synth/mult_fft.vhd
2
5,611
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:mult_gen:12.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY mult_gen_v12_0; USE mult_gen_v12_0.mult_gen_v12_0; ENTITY mult_fft IS PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(27 DOWNTO 0); B : IN STD_LOGIC_VECTOR(27 DOWNTO 0); P : OUT STD_LOGIC_VECTOR(55 DOWNTO 0) ); END mult_fft; ARCHITECTURE mult_fft_arch OF mult_fft IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF mult_fft_arch: ARCHITECTURE IS "yes"; COMPONENT mult_gen_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_MODEL_TYPE : INTEGER; C_OPTIMIZE_GOAL : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_CE : INTEGER; C_HAS_SCLR : INTEGER; C_LATENCY : INTEGER; C_A_WIDTH : INTEGER; C_A_TYPE : INTEGER; C_B_WIDTH : INTEGER; C_B_TYPE : INTEGER; C_OUT_HIGH : INTEGER; C_OUT_LOW : INTEGER; C_MULT_TYPE : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_CCM_IMP : INTEGER; C_B_VALUE : STRING; C_HAS_ZERO_DETECT : INTEGER; C_ROUND_OUTPUT : INTEGER; C_ROUND_PT : INTEGER ); PORT ( CLK : IN STD_LOGIC; A : IN STD_LOGIC_VECTOR(27 DOWNTO 0); B : IN STD_LOGIC_VECTOR(27 DOWNTO 0); CE : IN STD_LOGIC; SCLR : IN STD_LOGIC; P : OUT STD_LOGIC_VECTOR(55 DOWNTO 0) ); END COMPONENT mult_gen_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF mult_fft_arch: ARCHITECTURE IS "mult_gen_v12_0,Vivado 2013.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF mult_fft_arch : ARCHITECTURE IS "mult_fft,mult_gen_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF mult_fft_arch: ARCHITECTURE IS "mult_fft,mult_gen_v12_0,{x_ipProduct=Vivado 2013.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=5,C_A_WIDTH=28,C_A_TYPE=0,C_B_WIDTH=28,C_B_TYPE=0,C_OUT_HIGH=55,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF P: SIGNAL IS "xilinx.com:signal:data:1.0 p_intf DATA"; BEGIN U0 : mult_gen_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_MODEL_TYPE => 0, C_OPTIMIZE_GOAL => 1, C_XDEVICEFAMILY => "zynq", C_HAS_CE => 0, C_HAS_SCLR => 0, C_LATENCY => 5, C_A_WIDTH => 28, C_A_TYPE => 0, C_B_WIDTH => 28, C_B_TYPE => 0, C_OUT_HIGH => 55, C_OUT_LOW => 0, C_MULT_TYPE => 0, C_CE_OVERRIDES_SCLR => 0, C_CCM_IMP => 0, C_B_VALUE => "10000001", C_HAS_ZERO_DETECT => 0, C_ROUND_OUTPUT => 0, C_ROUND_PT => 0 ) PORT MAP ( CLK => CLK, A => A, B => B, CE => '1', SCLR => '0', P => P ); END mult_fft_arch;
gpl-2.0
b1040cfb21d9e4c77ce86429f5cd196b
0.677776
3.392382
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/floating_point_v7_0/hdl/flt_exp/flt_exp.vhd
3
59,020
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mhzBm2jqBKrF+bsl4cRzZQYBjZX9Q+pwcCzrps+U4bzTbB+asJPd8vPVhn3/loahOyMMk0fZ/ezN ITDHzwqlLg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BV1BYjJ3npWZCLFHXtDV+Qd6kYSohSmBGd1MFKi9lUBXhDVwc11czLcO2DFG/Y0PUi31756iUSf8 YqI9eS1cBBm1N41q4qzfycSTw9Qs7K64IjV4Z3tWvProDN2PUJ1BSDWtnL9/nO36DnWDDcZY4uAo QV5B1D9XPZRfJNOBGp0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T5hroK1ySmIp1ijj4NC25zTPvG326JZBg1A51lUOeVdHyEqYkDqmypXIZL93BD8orhA0LJ8rgyr/ We8KatrfgOz2zuc1q5GLpo8q+7CAAoFBg4nMjZpQ5uBkr/ga1lIIgZiA3cav3fK52cWVUnPpD9Y3 7AI/Y7wV1qB7oq3FZUEZss+EeM4bgD2SJfEjZJFYrznH2SqjZsvH4/6xH300bg6ReMQpucoIX6yM qniuvbEu0p3ldpjMp2mqcRSDlgZd0AiDzrtTBosGzvUh6nRIugnsqXhBwu1jTlC49rC60BehcH9k Fz97XBtdjrTuWkEygciPwHnLbIO2/zMKKlDHpg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 32NRL2ATHjfeoLmY2DdgNePlbV/Eh6zPW09DL0fV+gMrTIjKFHnJ+dgOALi8MZMLauKzOVJTZknv R3/vHUI9qSk5wFlcPDBFYxnrGogVEO66Zy+701a1d6qEGg9Acq0VdaSfEK/nZ7UK29+K5sgSTeQ+ I4eNpSEpoyLtui2NV1Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tnRzKq5Oyxo1vldbDtWHPqUX0qAwix9kODEDtyG91DBOCiL+f9AWzd4J3OfPKZaeoInSUZFQu71e P8Y6welhQbrBxHT1zywJ1aWJjuUwJQ5IbQ5d+E8AD7Y27ftiUV0szxVnUD2ayYaMYUJPMHPW3VMX oH8UFW0auVByZ3T6OXxa2G4m5+RtdVm1UgGrHlpGHU9HFXtayLwEYVOUdaVOwH6Yt3Za5Mx9AyIp vcQdD2W5zSlPbCTRelXJpG/Y5kXEc/P8T0TDTB1Rp/wRYFE7VxnJPRF7vyU59+4AauluU+y6yUWb mhQecbdewQsTTmvmiNOfxqD0PwbV8lTIDoW2rQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41952) `protect data_block pqQS2C9l7IewXxdLDpjf8VgZLdBSPmMsucB4f1nxqCNVxHLIfHCZgbt5z7NfmylRAwcXlJER6Xk0 qRcoR6IyyAH0cpqqUkDQ7UTaaqAEx0hbEM61uJx9FQ5SJPV6Syx4es8hffCQj9+D2hhekyPqKaFX ghF2Lo/E/lbeVqyhU8YI8OvSKV0CwRkPM3OAmptmMTvVS3wRpfAT/yffsFRcUK1hFT/jf5YpTiVv c9OJyr6+w0o0C/YW2PJUuYtY8uEMhshO9fOwhIqUDlYh/3/LLxESY07089FbAWJ7cqQIIm29X8T+ NL/rsHnF/jxoKsltLpKI9CT16NiYG4g4p4Z8gd8rFV2kwIc9DVSXjCLD2rsI+3mYu1IiNeTSaOFq Uvdo95yy/Ksqfd5Q3VQO/0vSvMBZ0hkydGMCtnx1Fxrw1vxi2ptNg113frVF0pkrKaA6RO3WLjHc 7pyEuItNlDCelGV+Tj36eYRHbLhZHU1qsC8xVzjcxjKHvESRjpb7vnz04iEMLt5SYxOTQ8GzQbed yfqVFZAG2CtcmVuRFJO9r8wqmw3lJpAcVKUl4FxvD3qIFbSM46mRlTYk0y1acwiq2rXT+WOk8p+K DvX+KMgOcSf0Eq7v2DwMY8c8RsfVIDizGIhsTkzciEJ5qyI9ZdHOyUiqubRLgpXVTtkS7QK+clXI AToiw11rUdoP/2sW36NTOjN54cKGRPmvfPDY0jy0hT5K7Y2bQe9SmJb/O+0bB52C02+bPtKpShHW OjsvDZEVz8boz/xenvFvw4LVn8wGsmiPMjga8o+ZQYvZRx9F0d9FOEeOzlKjxiRiNp76wAt7ih+r n5ne2a9ki+5UKIOXnqWjh+inZp6QNLFq2zGX+E6pTFGvNUpQAmkk9EdHH+OWxP6yjeW+bupzXkvd Q1tFs3RMlOCtFeTP0z7U85uxIefkUaXlcC2Cf86TUp+4eBu5FxM9ORM3C8B87hHah+B/rezrBlgT IJ457ey7UoSi8EymTkeNTFryvyydIH5mWEMlvNlSA9JN8ncvIIiIPatoclYlSTV3VA91hkV06UHM 7LfDgokmHIQBpBPvjhDLiN/PTCpf3sXjR2AeoBCvwPLurHYjTinjigt4wur1usRxoTZn7GFwTpxq deOdeYX7ZtwhK4nE5hdMiwqQDMKuYBOXZszm/KsL39SgJU+2v6IDVDCfz91GLEroRWiGLNNgscmR 7Y2oh8E/C62FRzpJQFE3jABHee0wHe/dgWQZ7z5NoTcpQGc2rL2akn0KaPgsTFbndOpWNae+9hrN K7kyfa7o9/ySYA4+1FX7fSzJyEZndibF1HExnrTZAa4S0Od0IV2D1lwxjFRwta+Z0AMaFyC5Vi7w TXbVFytcD/nLEwvhDgk/M3uaOxm7r9zMvSFcte8TIccm/80jtPTk6+Cd4xT0fh2aY/e1s6aNGWOe py/HoxLRZpdJLuF40tzt9ebdxJZJL4iVN03Jxuv0jeA690MRyBZrBQAXNn1mxH1fLWFqjB4Hi2Zz j3Q6tBvwnPj2uKxsFtX2qxuEjMbEU07CZBp4wGWP4RBZhWoiDhCHzMn+yBKQI/kR0OyG0/xTj5Iz SKEWbyqphrpvNvYNRf1MPLwTS15wJ+PdJzpv+mHXbMyPu/Y8xMPnrW3rU7X9Sn6r6AzfvF1Ob73O rhPsrAXrQHkFhejPsRyK6w8QN7qBmm/Z6EmZuhBXvNBmjn38smwjYcJecIuRESTTyU0Hda9ejnIr fFa2S1Hn2GjoQV9p/Snl3Qj2GUJfiCFwu9wqkHQpWV8nbMGL+asvxh6GVTcnl5/WPz5xLXtDXiB8 3wxomGOX1MfGsfIik9ut8Gpc39XOqCewCvuVM68yo7b/eVh014W6ter/vBsqED7vwUOwhoWaAiOS A6/5eZAjcIlbdIdWUvXBLsyiA6YXUGqd8SZTtlu1zpQWoRLrmkB8pf6ikeAkkzlrDtmeuY0LPam8 7wJzNPvguAK4X2I6q83UwVGL9U8uOgU5onlPlCdX4JlsiZdkZAGbQUq6yjsE3Z3qpAn5h4Tiw+4K VLCtSRqRJHrgjcGHYUspCks2S4MRPpKgJvw8evnRA25nmsewG39DuAUcz/V/8AX/fbVql9jZzZFj Wuz6kZVuhV6fMaKFQ3KkrKU0lzuRL00vsgYQinw5lc0WKwGQPHyZ+LEYZtiq/z4YPjD4AQLBySRM fk2ejNqlak5SyCOfNeAOV9dUUwS/c+JdHJuooPf8uoHRTmEoZCsEulFM41rUxCcyIuc4dwdJxxat hNBWz1dtfr8EgjiswrLFGhK62hqojzf0bbtq9d9ytg5x0zZkaTi6teymdUF2+mXt6aXb0g9HaikO P8rp/88d3VSFyOMxfUEE/OFrBz2N9vCvGitO/jQi5WGGatldcnQhJUE3pi+I1M2SrgKkTTk8+HCd aI+W5v1xVTtI2Fz60lKzWaOArCBBiPI2x+Ivyoh5VyhTckS2AMyPqatsMREuq6kZElmhgKxbw504 jDBrc/mefCEJ+P7JQY0OG1ZybwbjjOmHEzSxcP6M+M9trEJkogg8aVy2D+/KVmVgOB+Y1tChdzH8 8CjmnXKG0l0bgUBvonPqjLn1zxN9qondUk1OFhJGI77a5fyyKiNRr+9wr0oKxc2p6EG/GQb+uE7c qufnQXsVYw4m4W50qTDBaaN0qquDnxXWfpds38sRDh86a1bfBq0czPhBDlB4GPG7d9KNrIasn/UV X2EmCJAZ//oNZD5LhOYf3Hzh+DpeXK29gztgyzpzA7eZ28rT2ULROwDLN4T3YSbeyqN9x38Qhcmc YrW+FMHttciAXVjQI/H6FwIHVdMA5dc75HcUFBRVuufSY0OFboSfGShMFCqYL4WW2MeThG80xADx noMFzEBrxJh00aeLDqFfREXfdXcwZHHw5+zJ+5Umd2wE2SznsHcleiVVJIE0Lw1lbKJcDfwrw6q4 4o+4aWeo3Txgg3/D2BR/XAV2iVN2iLtJi2w4MziEZ08g9XCGl5sExzVjcd5awBlaNIBCMM+7Frdz 5HKLkKOudQEI+r3GvJETtsJQ7IUJ10ya/pSvVcekFP7ZgTTuRw0nyKjdsrurTvwPIJ6fWS98p7fm 7wZilNjgPVWQ3EOKJ90IH36LEa2Wa1F6nvhKh7MZ7851m7ZIVqZYICnWpDvv0aPteHCJ9mBbEHE8 Mox3XpAzpq+nyMPviCRctIdERUnRwuPhjindsSLIKDuWSnxXYXLh2SFF2A5oqwxXYRZrIAhLnVOu p0Hwc3VnZ5KOaUY2Y6fLkXej/+MjB7XmtggGdOdyPDb/CVX2TbNEN0MzpfFeTwqb+7g2MSliHirn /mX30iv+JBX/9YwWV1ijBJy+cUDkJzwS/JtlE/VhDlp961cROwkMHU+UX0h5E2kjs4q2Wpkot4tc dVXu29fzoAULuHQTsyVWdp2XKGXB+U0EcvP+h6AURPIy0fXU/eIan03dfqjhwoyvLCXfqduznJyp j89iiM9upBhNxmRgY8/84mHHU/dA5l/ioCWzoIGalZB3h5ncIr7IvSmu5vqajNlrl49pkW4oleZK BBUaJPNcxPmbwCPIrvwejtDWSwCguKK8DpMB0f8EJpHtfWi4j8v+kQ7vtiUk59ZgcwYSGzQaEAn5 BnqqmzNfsBx1FeOQ9SnHEQn4w8B2dv/dCuPM+lBRp5OvD00EwZpdyBsvH9KHORyZiP6iwC84h/Mw NVbZ2QYosvYtX9GRWylesXt8Ke/+xLnKjeUdl/O+9AmI8j7kBR36xAACsiMNHNv81+89EdpOjSap 5a1KWtfL+WIn7HXfcSnvsn2hBXbnjWXvi28nzLL9C12BrhSsMj0V6KFwKelHYujPZf7VeAUwvi2C I/2RZPqxHiqaAxmtq/V+vAuDWwhoBeBI9Mp8GyJfI/VxM0Ijn/mZetjEbqP8LOCuxnEH2KXeb7B0 CDibzNPl3VGTcrTSTUNdNH1dZqa14o7rxBxzmPsEFhyJEivY+eWMEdh5h3HqsxIUjZQH4ngfeS8z 5xwxYzTNKfkjjIVAx9D4UnOeRQbc5xGVUKmJq5nYMBqMgyY2oZPUWTfEw0cm+B7Ikif5LziR7CQG k2NApopVvj6ougfNvCbbiEq3MEtnkLyuOQAXnqGbq+iJ8uHcMuBZmUepgG3YiBG2vyxe2UBtJGB1 nMY/zJSQQFnDK9WUq4Yv4qXfwlY4cKXoPO2l7ze2n44d+VMo0nLnXhjCS8RE4DQpCkl2LcREsyNt Txr+TvZDzyigPo9mMrBdb+61j51s9oWO5EhGBgGwmnSnI1coqcvn+quUsXi+m1cfIbWiGGuLyEcn KwZW780DM2jMXdEQu0pQygCo9z+BqTo6lOONBE2pFr5Y8QklzZwtb8gOTb5r1Ji715U7uAnhB8kK t9PtsKDiXdDUw6UHDmeo+NHFr5/Q74n+aj3a6H12OHFu3s2h5m2t816ViI6un261rX8O45HbZfno oqKKgA0rSDTWVo1g5hun/SSHQuLN0uHbl7rxy+purfSoMgFLFCwaMRyGsEEYb+S4g+yc3PXZDvQQ SHTC70M8WBpg5g8HxyUzUfdoN+JiV+AB0g8vZdQLNxBimAeOWisF0qVc0HTF8kYNrxuIYLtwdNcg Kg1zpxoK9yFeQJNjk53oqdT/Lmnl2W+2FX3oc9u58gWxnin0ye8O+NKa/HEV7TQ0ZYBFKFVMh9v9 iETR0x6/nD/LuzmdsazJr7q/w1iH8I9b0tvY8pNcoRZngYQNlqEP17qb0l8/KQINzfkusWeuFUKH ghI49qDjjV1nyg3I5djz96rB4+N/gncCrys4tqg8ibYp5oT2H0+DtCSWtC2m2EDXM3jEmxtxlXC3 HrcFcMVPjy51T0MxCpw6z32LmnvbsXH5bQxVa7rgjfwObwvFTh+AjplA1PmysKYLLPqJjLmeMlra 69gagD8mNHum1xkxskXtDy/1glSN9jolU75H1wuLg74IYemt/fDan1FKjvqm6x1TsTHshPSWIfLM YCf39rrYYE3JvoPlQTdijY5Ot3XsssopT7Vqv/U72AZTjZD4K3aNVYfwoVzguf56NgNFXZG6bWqU epaqe1CvkepyZk9RmmEiPd2tvjRGDPUpPFwjLvPngcqOCnn5dxGyrk02+bVpnkDVv3fxPKmbTVfw JPTHH8VMGDyYmRxrQforTTRczSFGzccIteokqRbmeg4rqr5qlfMIAjmLcQGTadr2mwFEqKMthYs+ lyyMZy43ww/OBWWBXPzWXLwfUKsbuK1cUfLIz/P0HgEKiXUHFMsBZ0XCfJE0Wkvv7wxE6nFMZXw9 XfycQV7L33HVUCsnDENhZruAijM3b8B8GoPDDf9DvyTtZt3Zbq/DlOx1frx5F1bcZltNGE3veFb+ qsX/xSbZyCGMZmNhAyIl+rU98pCoOmYEm1Ww4b4OTy7CeyMx7Z/5K+7DZlkbSjt/Ze3gLe7fflSO Sv6P1Osk+pl5sCEyJN4mBpmxQ0KIaS1S6NL5bU/DAr4ilx359q2cv/R/GnAgDkQsa6DXOe1ypxeo 1UAdeer1C+p531ji8/t0Jz2idtfA66YJ1UJrhZ4alNTL71aEPauWYE9vXesg2suDZWvbp/eNdsnl LcKRKMfyrdhKB0kb6PtGf/MNKTwQA5leiMqA0lsw/vDYAOpmnVO8W5GxYEPZW5O+1+DDzb9q/kl9 YSkRMAGIOsZCljAwWfbO729yvPY2Mgwj6fFiUdZqHrshB2wv6NT2PP9UWd8cLfyX+c9JL8iJpqd3 Urnl7EZ8gMjei3VBHLu+nAUPjnLchuFCjGDWREgxXyjj5IcmluEh0Le4OaAxI5if3TcC8gPdiOc9 7SaWqR7YPV6UiV5YXue9Kc/87oBMqdq/L0nYEHsu7DnLKQruhzeYK7jHaJUv6XOx1Wf0ahIeTruW fSpV0j7A2B2LZpkiDaLLvVub4Tv2bReKagXOpe97HR2TSirCi80QIkGMHRag2CgCLsPAbV9zX757 XXgllwuY3smvIqFizSOa9DxXy0ROt/pqmhOM1gJJjT5W+5QgAqMiXb+uiAib6Q6oubKcQY/eaqij U0Of5ZqxPNHmPwEdu6S2DxVDbvYHkvcE5qts/VDZRLbI7xyYpMgyaeRjONsYGN2bIMpc2pklGWhc 0JVcWF5F9JqYbhDjsIbka+pRIBWoCRlAQ1HVZ55L/Sv8UnlDOITMo+vmg+BSEV5Db7V+8+s9F+Ci 4s3mwkM7GQLjsh9sc1N7/2oOouOdPJ/tyA5q19kR1D0JiSQKFSUQFNMIgumBI9M+UqLWjquMp5lZ go0O7MCu8+Nx5hfWuwihNEqXMYQAKs/IWxtDMingWypmIxjeojknZAjGWMWoX2AoUD6u8dGl3MFS 8oqkJM1CU5CaxrnuOgRKBkYOy8Fjxgjc+JePGBy0drrIzBTVQ2B60sMPcp2EcgfVR9JYmRHhlMZ0 F+mewSvjYG+M9eDxOlE3ZTG7Nsqb2iYnn7tl0Lzd0IJ61x/+QYocFrEntS6vKo95Wuenak79x3Mj jBokeEAE4TnwFqtsstmV52zk3IsAdkDINAFF0xc5yDuE35MrxGOeU3IOFOLqSLHWse2NvvCuYqs6 MYG/RPXEerrjKtfv01fgT31pCg1SCXpkLWuswpPXIJK33xv1hT6lYbcIS7HdTfKecUuRgDMIJfWi vMjyn89a3cPPI/jp6hXjwMWd4UafVAH+M6ddyhqQe/Nnxv68rQLw1XaSD3R0V/nmBR7QV5L7ZbKg xlsxhvmxa+mhREX+N8Qb+7JaUpujP/RExLQLgex5s2MKrEpxD8W24CsY2KB7NBRtij2Q2NfWX/AU /q0EN8JzFfjfnsp7VcngfxSih8M1jvHXxdUzulJOY2+DMtlOy5xDgY/TaC8i5NdNfvqMjw7K0vVG V4NUi0hW+SFtzb0MVPVUUGmjGjCR1eCIJJJ5Lv+ldGCBts/q0scCVEnOAb7uDd7S8+BJctV22n2F 0jVm7L2ySXg2tzo0CH824R15nShSuss0VKWuDu0T01UO0xtlcjdF/UVZFnV4l/f7683CVaQ691HB e8HNdkWu8YHYqm2Y6MfDEs3AwV2dflD8EZUg/1XM/szs2FyzfadH9c+sYo/SXdbMLK3b5VhdG3yv 7rHlbMB0fOKIQNixu4PjuiJ33/8/W3bGTXIyAc4lTgKz9Evko00YLk14zzBUkAW+itXXS8L/B3uq LQMiPJvZOumdHTVTQVvDw4WtFafdtHVYMTIQsvNrve5iCQaRGmAECAhFD3Ao7D7xr91R1UySsr5x hSCJNnqyH4YeSjdXepMuqY6q03FDxMwgScOOJh0jSSDqSp/T7/o0SY8TdB8GiELLj3lXEEQrUII+ yL/0/ivnDq8z7H6RpSE8OHBfNPdDvYUToEmIdIdvR+J6ubsoDxuM29YTG3U9vaJHwY7IpTAkNFLv PYW4XvIskU63mm6NuvjSzmhq44ubqouloJqoryI9urX2s61KNZjQQC1AyZOosDvvNKOilwQdrtU5 U+3f18hgnNP9rgPKuGmm2zaqeFxh5HA8n1Nt7lfm7BpjIyoiKjCtsDBV4JJbFS6EA0RnUidQkc7m Jx+ZXATn5WmT+d8vo+zxpb1XMvm0YV+bnyEqQf6Pjqz3SxofiPbTl3no2osv5D+QnzAzugTrTKx1 ZWzi86TYXCCQdM102HvP8/IcEkWuFnCO1LYVI7mVyMPg/HMcfkKshSAhwJevlTlg1xfu9aFufLiQ FCntAf4qdly16GJ1njI4gnnvoVPJHwoylR9JRQQMCSMvPfVqTKsLhHNkTn+LS+MvYHfkYXQAjFCc 8UpWwzDPy2gAYLHrL0u2YuFZAC41oyFN9tXH81+kDYrEg3fbJxI5yIUd9Ct1+mfCz9NaRfW23eI8 n8iAwlwUYEUK95UbpPJFdRsa4uEOk699Ug3WIuMWc+iJheroOKVzs/sdyIeHUs+I/YiPha4ITXUS w3+j2rOQbkj0hZLQ/d4kLZG1UeRSvPPL8SN1EA/Ndr1mctiY1uhuGLTlrvJx+PLeTPZwuJ991esM uUVvCPHAW3p0/hM6Ox3tybQ3zI8svUZzaZZcT4UXkPoVIq5wifag7oq2Gl/PnM/7CYACYwc1rQQ0 S7SV4qmjagZjBnyj/+wheDcuHSy5MyJPyJF51OZBsMI8iGgQA8RW/9Jz9da7dDgHu5FBt1evzDrE WXFlViECHpSSmq5We2PUkgVSkrWwz639n8jHPuZfLib3cqFs+fQoY0Ris0Y1+/22MVxhceCdbkLL /7VAL2NGxHf6/8rIshq6DZQA6tT8pndd3mGDm1n/TYu8dlc2tdmar4I0B/m91oea10cArNi6+mGT Np7PlKZjBaKgdEy+tnAF+Pk9NOZBfrLCT5gs5Dx92vxpw4rLXSAhEVOwjbndDZ/juAU8ngULNRBg NyxmX0qFOHMdPB/9LptGROyia4UJ6cTKjHR7PW6X0tElwL4Q1fCc9ziU/O8oCOGj/Rz3gMYs2y1U VirK36FX7OZAM8qIi0zMHx4a0UBeeh5M0qWyWyPAvoW4AAlDNrZF5N7WD4SaDMHXE2U0YHSSL0AO BoSmfa5jTGtHvGLo3GoNw1zhCAzjrc6r0r7D+cSqP7AyeKFmdXAOVcDKH59k4PW/VrBXkkHeAB8z nrSbfcBJ7+wr+ctL/zwP20pjXsvZG4am8LzjuSs7SgXLHq4tPTFFqMk304t18LF1/oouKrdXh7Xv 520VgFV6ZJo7FLs3zy5LL5mZTZWHeRo1A5g/YHRb21ezzOgsoz5h5k20BcYfiHXNn0blUOXcf3Im JjDvtyuO6w+ICJ7DCPYqSAY+nJEjr9pl0Op8Yohp5YHfSPwcWCNHmFMxg79riiqU2E7+9QLXLPt9 wec3geBO4ureKfeTTwtCuHe5KOLkamHJk4Ikd1eAH6UA1S8TJrLdU8anjNWviQjqTWztBmcS3KTA JaEHIEL5ySs+M1unwmqVfsQZbQbs/OnO9Z9CwxK1I1Ro2o+eeIBDjWshZ/2hAthqDCSxn2ZrX94b XGUZsbc6lvsMlfudLD4DJD+AYoXhCMDRhSeRLIpzG9Jvnb4VXLV4jXZoovQ2EIEczPaEKORZn0QZ PZQLDB7yeWLErc8BdInu/gflvxthSu4NmdQK0oTwKaMY35GK3B0jrVZgZ4XxkSV4NBGJpbJ6vyi1 D3zswv+xt4nsX2PP4WwW2GLSq35rtjrxleGMtB5pd9WPEHN15mfrI3GVNnccO5uRefj/CsfYFXIi KNyxjtUbXZPM0G3vjOniDmPDYvL2puQxjWhofSKM8OkE7lihzOg0F6At7ZpofRmKFqAxFk8eq08n 7j9lNSPRZ0YqpfdtUb0zO0gu75kCGxIc9SnPe5AVxFQqwkTIQOeqYBnxIsLI75GctlKsS3RRShjN 1LP4fH9dzLZz+yfIKiu3fKJFm3eLlHF/WsAYzdGkA/xHB+97CEcegbcePEWyes0WAK9EmVKU1snN FTDIgUSNVT5Dwn2WAJbMbh2GMbbUHIrfZGnefpcQ7snGyY/+iz4DoMPsLZyKrnvNMmWOblLhnFoE 0a5E0QfktaS3ec8+pZjT82ISEqMwWG3GoO/Hxwhz9B9CLvHn+OzhUmKcdHPZCfhZkGwrwOvEmEk0 vaVkFeP1yvJ9Lf1GNmXcew3yvBBXK9bcpjmsSn/hueB253vaSYUPA6WtbeayPsa15PB8uFol/vWG /bCcDIsX7/V39rD/fAB6wb/G0cF4Oerfp0hMTk8/YBQaN43RTzXq7jcWHrJiD/iN4+ma6Jnvdjva qYUisgro6RHmhW5Il62lqZ02CZY9hgIAPpPx2dwgZhSenGuSUOVvc64gSVcLv3PCCYvOecfvigOx y2upwb4iuE1GuSGX2QnLiuzuv/HUuM6N28NnxXkmAqxuGG4eUqp34z6zQlEShdHLC8vGLjXRC5RQ wJ7dJd1btcxyCSng6KieysxUid+5cP2ZrXg+hr/1Y99YtY63tfWoYUF9EPfOXKmdXXAbtSKGVJeO 2tELorM5oH3WQCP+zAJLBhin+LetnaXkXSyScAhVnOeODsenqQsHIwX6xd5nUMIpXQWEA3a/RDj5 nALhMJikedsEs0OwtbuIX0kIN2awFy0h5Lqos5pmIhRhgAe2XdpJwzYZ26QqMMJ4LS9CM2V/IYb1 4qD0XMQlJYinTPpURWNCX6RrUyWAuY9XoAiEQESCX6s2hO/hMSQHSADKAvfMadWJeDLrrgTafvZT vPaKE2Qn/vfKzfWlavLoqbu1eIi5mESMRG2pEQghZKOaCA4T2nRdhE7j7UwcaEOE2PDh1oMgItiU CnrLpUp+fa+erB+yZz1uHYG2NDtAnXKlEj7rd+nRl+IpIcfV9SSQ8XrQeOs2ewizSEHvCT7w26r+ tTBOVKmjalTTwisoPQEF+koAdfK2+XTlgbzaFjY2NiB+5q74TUZqf3ee1uN0GH09kRg3PFKiX29q iGoHc1+0yGugXV7aXXL5RgpKide1PEWlfk26APKHjW5BhBnGOYMoU/iZmweVeatqYv7hgIfpc5M0 GJjUjXoQ1JuEnmNSbIbAGZ95LvGsLQdY2PSoXl/ZjtKBhcVUze0lngKUPDWTUo/DXPqkv1DSDAEB qoXUMH9U7eqcIb6SE/lkKvPOSR57NxwbbA9U7rZOqcMGwAKVaTJmVMIYm20x4/ukeHkbjff9Kikc vUyFvDLKPvKY6e9nJ3kS7F+Vc+r5wpux/x9j0Rhh0ZpDX7X7tf9fvGJvmTVUAdpEk5+bhB7uNCji ta0Ox5VPF7Fl+qce+LudahxcJJHMF1T8nvw3YGvWp2GewHAQWC1shSph233pwwlZNCIZaAKeQNqR XwwfX6j7rwz8ucfZWOq5+4SVYiTZg3Jrwwj4bot+j41jlf3wc8lyqi3LZzdZiDuyXoePeVRiVKIU 7RNxZKG2PDpBGlbtEfDFNdAiQv0wcLGymcq0WgErTvIRsbMFAGNXHXdE6vXX2tewj6bG8mDchP3f zCx0Knvk1OKl2+L5zSqFoiM2kUx2acumZmdh2+aOuwB/5cw/JWsUXaUWgxmqPrkNxeqCp7Ih+haf F5Vyl0NTt1r9WpzB47YuvvP2g/C6+G7SmTAgSrEJeu5TWek+pGKMEBoVGDBMFS+LgefhfUNKsNbc WFGLGB5YK5aPrGhYZPmInMwSEKR+SdTGqk64gSGr8bkXAkAUhZlz27xNb0CMqcEwP6c/jyFUEJOn PMxQAhVy6V1a2Wfg2XzPaXLsWyM9l7fqSa5gJrEf7DqC1dqIhU3COf+phNSgMYJ0QUbgGh6LGWov hMRteJdIN9RAlBSbPgsqVl3AhJuzXZYc9gBE6mt7xU3VpVL2EOuVKgRVeOUGEH7VoLjGp0YBxWI7 Td5bmP5DyfKuXEPQ35c2IyuKJ6HMLARm8sHhEabhhl+pWz/wXqYOWqTai9m3ucCKOZX9euExTb9g fsr/JVqaDdsJjgT+f9/4lIAPlyCfzbo4dXt4GCRc2tGS5z7PihoItIKJmAaR73zAxyajad9nZOLK 6uNcP3VwOzvuBb+xkTZNhBDhax7FeQWek+rTRU1m3U5Th8vYSlGGuIARF5rLlTYRz3s6vMKQGzqI x7uoT6LuAWBkc+uuutks3njcmIuoB1AbDjQbiPVZxwohRFlIpee/27qh2z1TsMMKwiln8zrlD9FR owtP/4seu1yQcX0e+SOeM75XVTiUOE/TMRGdWTCWAqOVCcJbhbiGb5DawINeOR7N8peK50aPQhSl mZ6ocX8jGmOPFZto72/ZSZYGcl19IhPe8wNlGiJgT4aj9auD6fDFaDFx9+JtKJzUSM5k0sLdoDyD x4tbwVi9FcFMFXsMNgRYZawbNc7ZhhPvb/5f25P42KVWjf58aiW2X9wqjOegr8NPapDVWxv5dBlJ QeLDVYmeNInsn7bftRlVq9aaaiS0mnenBBv+qTpiHKudebBNlTBIMrpAaxQQfPnCcRKpLkP5wXQR Z8XrJLJ+UqCNvPP/B+QcsYAMPeFKZBA4J/fOBu3MK6y/IwHRUzVpBAT9jXX6uqozrKjuHoT0SUfw v161DH98Z+uIHMfGHkF+YvvlzbvWCETHoIrGdwIOFFed0fU8kx+TJisAKC1LLE6nMqjtuhiBg/fU zV8dWCEkKBZbZ7iX+vXl3QN4MWQT6P/SX0YY2p/xzqSWlesGQLO6ke1S6+PJ+8zfkxLfSn/cmoSs pZD4k7KigJ429SG+6i+6M3YaeuHfWoCG0g1inZ7sYjhM0EmE66b6M4bW+fMTKo7AGaKx8t0355U+ GD9GUATkt4UaWmXbxDEC6/F5J0U2r+7Gd69B70/NFG4w8lfdEvrrKCctCwSKllvLD+9QUwth+oKM Wa0eDt7i88xYmm0VhbgkLuIUqaUvU7cCzti5AYJuunwkhOJ08nJl2rOExejY2jGPdYHok/laTQAa YuTTIYA34AJpISgdT88+8muGAGFAtHQvdHbWtulVA3hjKcQ1QSWf8doOzq8wH7IMcSA9EvoSgIlY 86w2zfT2DhRaM+QhOQZv35ALswHkLD0SQ0i+ut9Hnn58lZZCfZJ0+5MVDIcItknUu9rZS5xlgGjR WjImQ0BMwW7vMQJqMeNdLQO9O77Jhuc8t0PGJZEvERGlHBmlVVPINlSNZ3t0bC5iSGBizcqWa3Xr KMYIe68JG8m9Ecw/c5Qwrh+GwVBc23MNLfUtZfj8c/9eCKuaNy3v4m+2qW+zpycUplmFbwFDEyFR cLFQvcFeXPC7PQ/zRIFbQ4yee8e3npgcoOBGLz3ncceDMsfROQSveS3QyipxdHBFGnA1sniZgv9z vV+Uj20F3nekIANJ7LJiiAyMCxcDxbf69wjETBr8+VM2ji4S5fVzGyGiNeU9bU/lxmjgb/w/kdG0 KeuzBCoPxeXT/V2P2q2En3Z1rfDokTXYn7DfsyFh8uTqe/NIdq8Awun09gkEjlZ0DehJKqq5qJG0 AENa1qgBfLiOzPqXguZKzaeqlCZEwSdX3KsUxbT6FhiaC40hqJ/11rElAMMZtUOquP0M5susP2Zy ulHDy2n6qOUBqNzd0FZK0LXxNGTv8nBfu6WTJ+S9ttqg6ed88fq9mQ19Ak+1zP831/u2JiPz9Zaw LwijoSkuqgrZgSrcgObxgwV9NK4lnVnGWOblnDfp5rkxmAUAb81Cq8mw9up6bhip7TR8ahzISX+P zJJvS+3NBihiPHssA0gA6dYDm6V0mstOv9j4oSq7r8H9kExVmFNPXV1MlG2xqL7WhMitjb0Ppmbx QcySnd3rOT9hxZZig392W5IL/Lo4wjcERV2tbSegl/FNEha7ki9Drzxe8H2zo3Eh9svR91HCrrJ7 S44tbvZ5xyIHv/BeNAEIb0SfG8tiV1RQ7CREZp0FCepnYlx6kIKmtfOhCtWTW+YSpOSRNrtuzua4 wxclGyrtYt8rEdiTDvrb11wqgkrZ+gJyC1oAJIVg6Z/i8ZcYbn1Y3paIR7lPr4uZNY7ZzDrT+4y9 Yp+tDFFU1coDB3VsN8O3zEot7BulTHz854psRMbDzyRU8SXV2Jw5AA+yOQYHKq4ntUmBkREYw0Yh THU53j3YAz+vCmgOER9wwjsUnFKDWD/U1G/swFiOx4puF8WWjCKOE2kYJOE6ni8/5v/+DZjXRq79 k4rOj7aOiZgKftaN1xe2dvOsLWohrZZYc+inxJKKFGbuuATwtrhUVMy2LzApGS4CGPtNszPCF19v CjSYE1TfyPnmpMn5dpo4ZlxKn/LmLykjg32XN2RvTglsqsjxzWm39m2OuvYL4LfkOolOV3RynfEY FRs2HSF5hgLWgNqKIB96a35GZQrBTHNic1CL08R0HD+KspT0X+v/fUjXgJzuiC7NH+Pz3dLlfY00 MIK0vbn/x9NckJeVZ4vuIen/x0WLQwaecGRG0rplPRS4gGsDA1trbkc1AXY90EjuNVafYCEGeP1P 4G3jWe6jQtd20b8PAM5NIXXkNNU3YDNr1cdma/yQU4MLhTKWbT2Bp3GTqytSd1GVgUdLyl0/wD4R uTLB27n/HmfLzZePT9tfXuYAQn3n53nFShx4QSJlCQr1BjkYNXl1MbzboSEF9kAfwbAV3FYYYAvN xPm/xq66pqXpEfu3pL83o1Y/9UdCxBn7+f+wTyQqJVFiHIi74aSREcQ+IIVezCr8GN9YBQL1wuNW jWbABUIyCIP5Q/CrIHuPNV7/VXOecEEQ7qW2Uz8uwUkPPqjs+HS0arfDinQ+F5jTVwVKl2oiDz5Y MWrzZ2SUkyNdsBIq80thd5owY0lXk+OuRKVoPVieZawUNyzt+oTIPKU38E+y/VFfDzU59p8aQQg3 g4GHCvXPXGjhWslFQvn5/7VaH1oI9c8rnREtgnU9WQAK8prNlXU1Cad7pKy67bsaQowEEc8d93tS G9BFwO4AmVklB+n7Zc+jLI/vGxnJzoa1J0pHU3l7Q8Pg+523iB/98oyvSeifK1xzbrnE5qejLaz7 ZOcj6n8nOKXzaWBNPxXfTcdykv02WxnW4yTEgtNz7WsUPEGH9uPEwcPz09Xn/7bN/MbRDGd29l5A R9JNir1q02xw+CBDOX1CvDibFZxBop/jV63AyMcjzkqq94Vf8nXMd49kRkA4gRJRXTpyYt3SXf/8 4F90Q7FpgcC0bsZnYzHozUaOhHIlmB5npfhFaiW2HJe7suV2cdC0C8WlXfDi3CJUlgqzVdur3GkO ZlQgS3IjgE+M2J2ldwOgg1UxFkxOLkdiT/iJ+T/A/kfcVaH5NTEIRtJMtuAgzPX0YCTqvZG9xso+ PHr3xrF2IOqxrhZ7NyrnRerp1VP1bPZ4K+L/Ky76xU6AHI91sigXvGh5IU6dh7DgERE4zyVSN2s7 /ETTO6fQZQ2Zh3tQiW72ZGFa+z5fgEFuprLYevywoyh4uWmB5MITzAFjEGm6KRwrVfyWGNVM1iw1 bBlMtu2Snl2PJ3SUYpSEuOF21gXUVK9NqPhz/NrG6oK084xR40u3XwSJpnNmntaVB+Dek4f9/iRk 9xxXW9Q7IMXqt/3I3KUFPEgBp9a/Uo/6H5++jH26XkPByrFK02uPQ3FANX6CqhRKyOa2WxtAcHXD h507lNGmOT7zcKOoEGfaCdAWKfN+v7YkY6jE7EvTLUe10/bn125vkJW0U8rLepUEhJGQBYFD9Stk CyjxDa7kclK/jdpmt1HLA8dOWQExCOZi0z31L2lXZoN0v5hFJpUvBCAoMj1pP3TJFiY9NjcT/fH0 fXx7PiDgnJFcC7Vu40S9YhsJyhLjyGEp9eOGjeoxDBp5K7jiIAkXaVb1PGtcTFhemmOhnia0HJYR A0YNXlPxDHVUwn0UcIWtPYDJ6ev7KL8kUxYyg38bBE9A+BBeSk6K2PjdE2SoUQUv+E0aXoeERbEF LZaMtWGwVxp+CRThWxgWQqtbOCDjzRcoP/QgINx5ksEKENG/Fuseq0vugC1GIgiHJIrf06sllBGP ejJVWIa1p1T84X9MOOkYe02XavzqAyg+ICCAmF+28hSR0XCTtQ8S1DkLYAUiwiP9g7J2KEwI/wLg 3ylXz62cAJsROZDwKxrlDKKFHqf85GQzb8O+0qbPgwzBKoCuXxftSrbUDX5tj5wmBFQw5gf11k/U sDe/u6BpsqVi5v0Qybijw+6vTKkDSrqp5KLlFczOzw2U7fvG7IFS/o+KsjecONqgB4T4x0H6Zeac mnoElifIM0rrIvqlHsrMtTrDjYZ6wPiimLAFHXZPrg6G7X+OQCloZcwjVN76nMsSJFPxGdySyvuF 5V4I74Yjywx5cT9e5bgLl22uB3uyB4pqy4MKeG/no+2nAnCOwynNjGPeEZLoLkbiRSDSHpQcNTg/ 9VDJq+64FTpGI0C0whIqW3tA+QSzJLgX45ZUrJiMT3gFH+UV7ncNhIwUbaiHGQmNGY4AOzZAB70e iNpV7anYsDEdWY/ecJDYMEMP4Cv3HYT33XnTRYVK/qnHtL+E91rqhjlZ5US8jUdIexbtwHncNsO7 FOQ7C5Ob4g8U010u8eQ7L16un08HdEl6ZjALi9zNar32lzff3M8ky3wZynJ+Wwx6F+LWdEh++0z+ H/WD5AEvm/TN4a+ETB3BWr1yVfn8cZqjSKbqybx58jg3+rr239WM0w5ztcuhQb/3w4Tx/3l8qazi kdWVJaBz1PSsb5po7ZwD5Gw30zujTGqlnsZNyp4FWz6kxSGYqayGRE4JemXw+lBrzEjLo9scbwHj zftvaPf6SKIp9yVWAdBOC9JiGkeXxWiKWsUAiKNkrerxDTQTGT6NOvXJ4zkB4sLBmtqGjOpcMXas wW9BEG22iDjKbPB3UPGgEcu+Cxr++qxUoy2mhACVGEyIKuWy8z4UTrXmIZCHTYwFtiKLqkJgK2iW SLo1UGFooAzD0ywErr29NHtN07tfRKEq3v3Xbl9yrWf4/pksarkb+DsFJxFzQRLP6vtA5aotcStz hTmf/OGz+8QL0Eof4ILauPxs1MDqKq70P01KKT5lBKz+6tnev0jTz79aZSlN80umQ/N30HwzXdAo y4/gJ2ocRU5miDPK2Jijmi6S93xhjOJhr7erKp8theS9yWeFIT5chnS7ssPPf9gj7oSk4BmgkTbi SLBrKXhcspWb9Cx5JFC2ZjwWSXOUa0qG9xJRNTNm8V1LzX8bMHbT712h92QdN5CxV+5LO0+Y/QhA 5keHm/S/iNaft2tUE0XmQbz1aCGpoEE35d1bDsHY+CmmEBlHyDGYEwMZt58oC7dzH+op0aQepip0 EQIpvaal6FJtKqNNQfDvinUCj8ZkxzCLWTRZgIvB27H9sOcTXQSxwiGfHaso/FMMUExU9kitoZrH TX5eldSxA+pq2CMSzAiRLlokwd5/K3YCHrWqTwiCt4dQN0jb+EQfZl9fEtX6N2829HYkMQlgVwoS rL46leut7EKj60XKz9Ad2nybFYD53UDYBqJ5+5SvkrTF9Ag8acVKaTHAzyV8gIEHL/jFhDXwaIrh 8kLclazToU8BUzRNrRcoJgattRdob7dMH9b48JlAgUi4EePfdrVPA5fPJEVezmNnPZ1NJlCmgnSE KeGCPQL7cY7oXFUbPtNtwm3zV+8qCW4aPXn1aHlrbizWZemx7ssV9valKSJ4fX07eyGHI4ZH7FUF xYS3IQTQ35S0Y3rM6z2cMO9mXdf4xkBBTJJfgN31Ch4TE1PJH96tRmpxZB1C7B2ioD24aD0UlvB3 BAnhXn1MqMyQNKvX190hV3fMd1ZZbByEqbgGz3SJLkTQB0U+Kq1WdPNySNzk0iZFS/atEinJOjiV 4nyYw7wueSDx9x3cKBK/wB4OL76yXFO7u4GI4rS2GvR5+lAxhpzV8FW0z74HNrkcHuvYPgGvme1o VzSUbOnKgW5FGX7rhseBxmzri4mcNjIu8aCjofRM8EoE5XFlPPbYO03h5Oe0rgURnE3ELd6jOKa+ ldY7dYbFU9PAed6CviPZpb2n98/7sBjEqsdpimWJdng6KdKZKUE63zuJ54bY/zB88uJi4GEDX4xk 2g8M/fhfTDUAvRUH2WODmZAYKRQtbG995sWVpl4AeUTocZgskbQlxg7EopPsbUhulBA/2u/Q9mJZ moovLuirrfX0HL/6lGebaFy9Z8o76O00byIfZPalyIyOdyMNkIKZAoFpXUJuzpn3EqRf5BSCZ6HA 3X77R5bhhcHY12UoHsZjXBPufd9zAunijpXfthNbA/jz95QvLMWLpxBlfqSD7rL0zYv1YGQoT/M6 BlpYv+gv9Nj9AL7adVGltqf2uZJ6UiJ6/vlCk0ZBEmJTq25XYRRHVgMhf3lZlZfsgTBUjN/I+OSl VZ8pMvm4SPUeM8F5vkcI2/tHJu1SATKdbwTph1mbZAl3NMayU0SA4IJm68o/Dsb+KXi7dPO6LPjn +YObQypuk08xJTCsMjBJY1CpKWbyTPXpW6t5eFm0fG0zMtluI8qLQTnCweUZtzk7LGFmbCf9zrHx +IAcoSIiybvSqWzpeX9eKvBPaHGUmUr5NeXLh88tUGaaOn2J9Y3lvqPcPUt0W08N8fwNYcpb38JB spWln1/HqlipSkk0463rTjD2iGBEMl4AXugDoSEbdiisZEW/HPs2aBPh7F30DHQspuGHw7vwbsDk oPLLjZtWgBxjcKkMtSzNQSBx6Nd6OLbo3etWyeWXIBlxITh67x30xFiDy1Gb6is/wfWI7EMqxwA6 Aud8rZOFvOOemQ2mmoYiV31AnnsK04C+HdBRWIYjmhEnsk9rhp+DqIv4VtIkpA6P88vdpXygN7oU q3E9u3pSmAJNMkKpzJIEbYWVYUwLDZ3B6oiEwkuWJcWnIs4nETZHZmZCFPwUaop+i3+vJOS14B1B tNwJnzVC9z928ACxjEU5lOCm2bUvodXgWWj3HjdEfK0FzfNE1LxLILwN1EL7XuBj4DRC+tJClPdC 9bkqSiE8d9jgpFdSqSIIii+Qc4u0urVZQnsNC9Tsqx7ViMAjb87ymiywDSLWSYE36zkiY9kRD3fp iec0/vSbEYGiwAOy+dMPjaZdMvx3RZsKreBIsOqgcJbPREWnqmtwPpuHPqepiYw8aVUP0bRe9Vo6 rX/NdDaORizOHOo2o5qGhS37bphvs8P5CHhaUH65S9OFO7d8DxazvQv+ky4CXmjmk0EWUv4hIh0G gkoJk1IbrXCVGYhWI63zQcJgQ+bk9/+pbJv+jXVM5NqI5+7feudpzNmJpgORxhBfiMn6ulMeqLf2 GrnLpQWzFGHZRBUWyVSCgvE7581AGnSicV0wg0K79jHmA2ni3hKwY7CTfbYFSqSd6RBZWsiXLP/p /X+posZ3ItitKbmTShnGA7P2WxJkKoKfWctBBpcHBEpjG9nbXrqyH9usd36vGeV2KcjB/Uh7ZGAN Vyvl/pGJGJ5j4LZUJx+boBoG2BYaJgG/hOXnOFzJecZhidiENrlGvLmje1DVvvTk8Px2wK5nQjIY LWekxYPkw3x8yQM7X3TewQUO5NofHwB60xgOaxOJSlEDe4TcCn12cCduQE5VUTuFFACbWoZt6nnb Do0cA9PCs0m6z1DJBTN3yXHIFo+EAeMRXiXRNjiPGv7P36btMDrgvzcCmLLKU7fkcw4phrIAjduv 3RqmpG2L0QKHP1LDXnNiuJLlS6VuZ27SLbKjHBL47pz+GYKAa14/PEqa+JRfa6AXqOIm+gfLuv/l GfzQt0HUuR3RwBztlcnY3TagiTirbRxMuErDqJgc/qereVKVws07dSN/bGfLgKlglRtuaBUjhun6 I+lNVUHGVikO+pUWyIB9FnbIWbvXraUKpHc+xu3fwfD90wN7y6e7DK4mo2jHjrHXnb7tNcYC1XDn ayjOTnm+kj3w6BLz1MHcjaBhelg7moEuktLsBT2yfPj5JuoVWQ1IsA5LhkkwEvvQoM5au3lT2rhP 96lla77chG9CIiildAICmuizysVOBJh9kvbtHHLGaAP4owiM1wD+woJaeUg4F2ansGVSpk9cgAq5 JZDIVYUqHMdu9EZOeo770IGpKdq++7CksdTasXf55x0dEjutOB2pqP8M1rLZFnT1wB3Z2UohOziw XAUk6veUVUTcF8vTCi3VWguTzv0RjoyN6G8IYC0V2X4fc+iHiyDzUcKbX180fYp4xCFw3EoJ3PdJ O/Ok1MDz9MVwCXDI9soLTzb1LWFRLf0+tjbG79743Dp7o2MA0efLdA5M51XNbLaPMOJnK5pS3aS3 W3vzG/9dcj829ufwrOqYue9OuH/k+IkN/bQf/OuejNDfnr+VxmqW+6gQNjzgriy9Z8jzrhxSQm4L bCMG8fMfrAt60ujITXJZa7mTjLaELLUbNK0ChEHuIR2DoUoyOvkY8Rx1fIjgieeIlJWPhflMzGm8 GnZQICCkvHRtljdvOK9tkYmGQSr0BeK/OJ/X6oBOpxw+FV6vKtBCKq/w6Z4/j5RGcygUr8oCJe7l RMYhl1NOdjMy2FDtbug38Y+1VlUPA23UTFVEi48vhvS+vnH0iq2xMGZU8BlQSwThOCYKK0nUvp7J qd0lN4th92n9GwqyGc7FcoDFhuM7DuFmCPmrAEeMDnxYHpPgUuzFFwXiw0r+ETa2U8DA3lXRkhOf B9/erS9sZPToQMpyOnq/EAMLkQDWmfCK+nX60v3JQm7SZjQa2E6kod6w5SbTv4nhnE5AzRNTs6FW QbtEEOqSx3YmkGoCZzrZSHQ09d50LdvmdIiLfPaVO44diKIbFRJwB8h+uBhvdGD2JTlju435HarJ hmp7uLCM6QCJT+x2YBOWTvoBqq/kPqjDAKvuowXywj7kuMxiFziWCQeJm7/4QKzx28XQf+9qO0OW kRNOSyJBYr762Xp7G2vwVIu59EmXlQpQn0eWXPsp79JrRiV0Cr8ngeYELK7x2H6iwvloX32CxQfu t8DWaEnbeAvdO3lsqCPgRXLQfc9hXdMcL2UCV/2qXSXJJVnLmbY/v/4Tp0Sx2huhBnmhZBYlvtCW CB5zZEbIJUS4yVVPE30dA5MmLfAXJfjM7Na5Gd5VoSjlFL4m0yMBIkE0sJt4yiBzXRkhzdG6bKhR QqoDHP97s+Jj6KEl1/Z9KyJlvEVobuf7Ityby22dHFPKNjCkaVQCvVdavsEsWZIedQmPof93A4ZZ M9tn+El82nMs3tOPHkgPen29iSZI1aYqJ4UNFmufDYNUEzZiqwEiq19t3pgXR23IMTj2zcfKig9h DdM+VddsjlhKJRDBvrpjrxu6dCrZy97IrrVCPy3GrOH1NDhF5ag7Ou7H65XGt2Hrf79kfRn5m8ll hQeECj0RyQMijImWx632P7f0nQZSNp5hxKygXEVKiAojDfpigf+kX9wdOAIzEbvoF6z4lLDsNMRo A2hUWpSlVX+Ga9QOYdyPQvDdMvPR2RK9gabTAdoUgem2YR1+Iw3iubcpbaY1nRk3jLA0brNvgNse RKOk51BS039e6Ob4Y4GBNzpuzWzHtzx9+QEfNpV4DydboEy+mV/9sPy8M53qfFoy+osoz5fMw2Uh DUmVRGrTQ+0bW1ay6N+kOYO8Hqf3Fm4CcwmIB+VoD1M9AJpSkFL00P2G8kfXJBr+t/hDPEG0d9sT AWsWCQHei2Pbh+GVnHGVQzWrZ4PYs3GYRNpWFJaiurPcQED4lP1oAGgU2ta/gdcCY2AXnZPrpCY4 vY+J5EguLrYFUvwM+71/yhUB8vbI4Grnk7+XgaJbKtV0SgaNAQnIVDKw3OmqZEz76VaiBWLvwJoo zi87wJuonQ1b1LwQpOnJ9VYrj2FoKfeF7CdtIPP8uPsuWsvirQGHp5vPcAaLrtWtSZ6h90g/WRiV +7x9Nu9F3ARvdZv8oV77Y4OwqhOdpfKEc0TN4v+gfUUFdg/BzvOcdQkhGcbj0zskQHjI6pggNSKb bP5VAhHFv/tJELgESUKymlFLcqH0f06atFPMUPmf2ZKoHxJIeALKIz2tr12YLBQvw12DADwHtl2N 4cWU0T47CNhOQ19U6pJa2JAGxsPhEZfVYJduReX7oaoFSaV84N2IegYrcerkEU+4THsEK86spXq4 nPueZl+SS2OQq1Ql92SB7mZ1slBcJYKveWXrumiFP7aZt+snarRlmdx27dEZPqD8JziWKzBpshIC sr7hea+rgx6otfUb47Ss96L4kvWNjYJXP8D6cBo0O+Ndb2+TSnbT8iztaTWXCL+viKPubf1mZQ+a 9ZGxF9gZK9gO9gVoqPl+/pHLRqYPBxJi2/SJz+mtm1RYokxQtdHpN+vH6licz1AxXs9kh4nmxRX/ yyaIUmRRz1krXamJboNPqO3USoJltc9foYik7BD/0DMGCANXLU9K3DtsjYmb0Fxrb/4YArpVkk1S tbv6LzrLPouV0xoB4W6TM7dM4g2bsJ5Kk80oqkjnQftDvySNJRvcbT3ixF1Xp/+XT/fq9QbcIq+q 0b2k+qn+YH2jHxUnN677MHxLzX8TPQjnbmzTAieT+n/df+QB8l5c3bq7kT1ba/UBrLDE83x0HAay SXcnLZgmk817qZ9vCYx/FB8AIsl7b4Qi5Duu1mjCrXv/hq84NJA/vyxIW6UDshmW8j9LWfKHGFZW xfb6ILnWcXMWPi5p7DKOUpOB+vgK1I8hFhdmCr8GagEpZG3qb2CX2W6mFNGDui95gjs+DBo1NLob e8a1Kygc07gp80hSd7TJZz/+ncIHMUytKhsDX5T5aPa6FkrkcR4g3OncI08IYHhANRr7dHD97kSS JFhvyyrrzDcRMBivPht4ZEZuMCD9HNbHo3VZbWkNtRz+RH3UotT/CNx2+huPpv1t9oNwO/M4W3EQ KqNvsB8xkSWVyEuAMyVefy5+g6fBAapS7MT7wzUVP+LQm1fRlSNYmummUeAQokfNndBWB68UDY5k 48Ekal9IGwl7XYpRPw5QFZ3Vov7Iv0SYXL8wIKRue25HGa/ZUCFJmmWdfnsKFQFdXvFV809JlLY9 n+JVqjOTVsmFBakP4u+PdZEqNksDMw8KgN447FA/Ad9puSuQY3pT1f19fuBXS99wMKLelUvBGq7X mnEBc4j3jdItOvvRDeJuERQtHAJ9GnVajPndoFcVnnaBOSgdIzIy8ldMQXRKutZACE4wTm5oWNye J0iwYsfabi7ME8helDXNJS73zYGPg9josVNOGOC0vfJth17jZ30RvpLttWSZCBt1W/gBCdpUtG/b aG57Dv5GDbPp6yOo1uwAetearB4gg1zS6Qw1CWo0cWHopqcde7cSWvsjcUVdFtwUNtSrnk+Y8TUc 8H3DGLiRED2h0fMyY2jQUOu2eAZ925CPqu+473Hz+ydHbDBTOILPoGe5oh2FsBXcVF2dPHy4I8sD liOhtx1kCZi51hPLU7gU7oBltI5FmBPuFEqQpId9g71FtMLH4w1zsEwZU+RV7lbpYy6jsCgtsSk2 svttIptpZPproRcK/AoraDlj9f5Lf/2gmtdnf3qy4gZrDFxsOkXhwehDXJxFFH0ZQJFbwS+T5CWe YilK2CzjdHzG5oacnF4pv2/F6YwRzhtQqPvGMHiIJZOc5JidOVS3nLg+zarjg+0ZIc9mQ2BbOS3L nUtV49nYa3Ura05N78gIwTo5zgeMkQfpto59atY2gTHkW7gTa8wgyHYLC3PrwbkEY+tN0I5aZBqC sbTTUIFN//vEnBfec8QUGhhZ9QcVLwjZSUKW1Ve4vaMqutApcJAeXsCMK7LFIyNbsMirxWCRKmYg kwXnV5/KoJLV6L5hOIANh+SxdFRWZUvqrTl87ouIzsMqo342jY1dAzCKlR6vJ9luCkiLYflmGCIT rt58RSxJTftYkKs7P3UysPevIQfBDjoIHZZ4EsGK474ENwtoDfGUgbxPp8n2MrveFV8Cy/GfTynY LQ547lMX/AJLUGGxChl7nBTcHOaLH85LOOSULeBFBbS83w5w8jSiroKG+FTRKWRcKWlZSGCePRm+ KETXZs9EaONNnboX67kUqxy33A531c9JRkcEkK//FSVX5O3j+AkDX8H1DxyWDY6Ez8JUNsSO96SP GftMAmccTD2dtogGkWDZTEAhG9datGfJ4+gBAvK6NKR/b+twr1yvDxjh4MoKFdmnGzMDijwG/+Pp O5rO4RTHz668ewxmDEd0SJMGlqT4l4jw76DdgBrzAV58EY2ngYKdvcH7MN/uuFKyS2kOAleShs59 U5JmYD4Ecbd58rowBM/Lw0Dwi68wlo/ldvr47sC//jdZSNXHY4Zp+HT1hGRZKAH6fCJgW09P9OOD RSdCGpduXR1lTbOARTt3Z5pNN0WY2aEWV66WpmJa+cq0TFK1EwY10gIg+xJKXWF0a/bnje2dR6fs DfsM0W5XOb8zAEwezkvMDzk0SEYZTvjk57iQFxNKhp9eAyKBlVsaUKedSHGxsQ2At4DP/cXZyyIv qP1wsKUNJGJNHOloJWlxlrWZwUYbRG1mgIAnJIMlrBeV1KkC+UklHzOJvRNQ8a26mq5k6pmL5Jli aXVkFlmw4kAN3libjqd+p4JoJzK8Omc3PTWlBPFfYjix8C5+tsctOYBJMJ2tzqKywLkpTA3fSeOh jyLRdCZT/wNmwXvUgz4vq5wPAOAROumwN+RUJKvuJ2EdKYkpot894BCMFO7rYjD0+5fbOM4IAF0M I2gI9u404f4LKotr0RmekBIsAH0ef0hDv6QPp2ObCu87q4Zre2vIubVqyNibMXZPUuLZtaG6KVOx 3TJbLJrq+tafJu1Pe/qjJqas8dW0IfHc2ZP1o+LSTEhbhFBViwC7ksex0bgotyODQZ8XeTn5Uz1G nruQqcBetvYPOgMxF99N6xl/DUKdFkuy4AEjMfKTYYVt13gcD4ay83IDie6mO1lvJGxWBmXlUXIf pzVFPSml396qxeunio1xfVkH4rd6Wg6yS5CYZpPUdhkhxnk8eBz4GMFKMXdtMiVduMvxkMdIQhHO nAVrBCrMl6HWT8dnIV6WqPncd/OwFAADXTvWrLnMGP5ipbVZpUvwj+k8iADyXjP3yA700vi8FDU9 4TfiSZCof1KzHHRn9/9/CbanPAK9tfwz3HizF/KnhOW0CEIUGuyXqcLNmTgVELERAid3i8xik51v ByiYZ2AimnLNqesXQdfJn4WZ5nA/vhrHuP6bUWDA9qmKg7amWdbYvKy23EmMwHlFfGOqEM+BXNkk UW8jz5ANbZlTXbHLYnHhMGt4bW3WOab7MiXmsreymIOdFRKa8XDJ03CqMeHoqbTEPVEIrPtWxjtS chXI2dnIcO9PPii1ZMJ8E6fW4ZGIDk4arVmII59i4LpkK6oji0Oul+An9pqKD8yoislTH9M2xYMC KKugNnGmkReQUHTu/SLSNhuFjasOdqYliKecGHBguUKcL+U8MLktTEPH7gd+JAsMeDPAXikycReq yyhRvYzQPKc0rXk7acfxnoNYHgVqy9mXronS+nWmuiMa1NV9zwGC+ITDi+5lhe24u3ewJsUCmLJy cFKNGxPSgPys9A/U01wmuyOHYk03vJSqT+0LqFaLiXpkyBE16XgjbtLlWLs3yNgtS0XVtdRJInD9 kxj2ApIdSW9yD3/MmS9oPlweu76/pQBVE129L1CNzxbhOFbkctC9phqutbjexWOQmCGwXqhgepYq hhHCSAJQwRsZUVKdpoNBvYamplKIpuQJIkPq991actFEQbTupMt2xSVixXxZD+raBI9G2sWggE2y l6ndR+ce9VOxOv0M1L+cDO3/s63D8WCussHMkhRIfkc/ergNZhO6L6scOgCkjZkmsj49zMcOi2Ca CIYXKxdgw3zhWAtVzIv4plYRrMicX/X8j31+X3Jw3bYUSbKpVMCPKlIyKyvsxqVl2W1HtEX4aT/H +6/G6hZughk1aADszu7U8PquIWsILe8/jLOewBVG8FWVw4/zgSXM5fw+aSpUvlUk/hod5LPnbUcB +TZRx8mXM3fqzyqsGoDsiTxA78qt2xyElAhxDbbgQj2+ARcVW8JR///t2XKIMfNkTFdkFbV2gdNE qbwlS/zj6qsBc97EMPYF3/87xtczy3aQgtd20Nh3d5wNMiDVLIgENfHw7ZtVK6JqcrB/y0e5MgW3 pMkgLAu2Drq0aBSCgpEBkPa0U0Qubzv/yXBtKnBAGnOewYnO86w0Vy1kfHLQN7PD8+yinf0p9PX6 rzpjHBFKNxAMHVp1vM5eUwIHj2u+waZNgx3qb97kfzcEg1b6+6XdGp15ehVLAPpL0sUjcHIrRmNA C/SMvtm1XUeXmz4Mvztt6ePjZvu5QzD63aiEqHhbDpRviCvVVWcuiGkzbRpDLpY56fMn4WHehbSl oAxg3ra84ivzS9H7mkBdNSAzHD8jvmI45x5qgS2zxp6LPxN6ESMaOkWCMJ1/2KM+GTJtBOaJMVrC 7QO51qaheP1b2jPXHRYDQ3IZt8o+kv8fzf3RIB9y+fOiOSR1+KgI7RIholHXENnnqHJnPHPtv5gI 9DQcXDvkN4HrhQxDHEDk91CkGMOIpHht0NcZxuDh5lrC1gc7vgUoXxMEaSYZZGbx6C7fghC0gzGw N5zlzwc/HFwAxcBuhmOft59Fv/Wk/4rwb9wHsNuguWA33hTyRLFE1lDDWbowH6yrciqChrzGchhs RISoV8lBQTxj7q8LuNPAGAyscaEhV5XMQIClZAg/dmLXhJsF9ID9K2JDQdtj3OK2rANjqvufJFnO hE8VHaAWi70UWmJuM9U6Xko+Vipkho+7Z3BINVKbVsy65nDRVEHWQWayAYBiP5Myn3IVaGMXsVFz Kdnd15d5c/5MkQs7g4lI+9CdhPRCfBRTKqpV6Xw1zGuTJq66NFrdFgufZUdx+XNXw3K7Xs5JrWG8 mMh1JivMwkNjGlPeeDRvR4WJE2ThS0386Yid1cKkshCdnaxOq8VwAVN0QBJNIsRc4tlsvpCWx4Gy DW2I0FDSOkGE7MstcfrS6wnzFruHjxduRRHX2Ml/yBxBAuEDrrAt3Ayysw/oyQuBUbIaOtM5L7/3 x5sY9PVBqG8iLVm5dDN4dHUQrWFiv+HBZAh29i3uodv33+VKxZW0p2S4ACIyJOsE6H6MgABYt7P2 4X4ae2I9cj4lnCVpKn4tjg6xKNBRxfuT04zAttMdzEmGIGDuxd6KKc3qFNBOKd3G+/8czmtckLqY 0Mc7freIdaxB+Tk3Ti27DC33cqbDZXve0n0iJ7S0nwHLyLdG6ikCi2QVDbHxUV1eDliujavX8Xmf JvDljvDTaf/moMvCE5SDnXfXAASKkJZykwLxihVdbbezUnl6jdPr3CKDQuuS3nq9OKoROvrCqyoP Hu/qesPa8+Oc2/KRmYalCpJxhhXirN+KqAwYs1I9Q0VsBJ7tKiCpfixftGJ6ywi0PdbokkoBqXOJ OJQm63VXJCKnOvQ/aZ6s8auzwK0tK4TTTJCfhnGsDajUBxqVBm6w48A5qpS6QxXjLaO/4w4RgQvD cQLPmJ4jpkPtAcqCKZ4K5k7jRsE4CbnxHhrilBRc/IUWN2+NrJb8EOGvPGTI0gxq+nF6z6ddnPz+ gpEYohGtGHRwLHZ8VijOJpbgYCl8jU2bGC2h8lcaj/AMsaehCe2dcYEWlgshSPK6d5MCoNIwEZ6q pM5zridwlWGqH0wzqVHnfNIKR0H7agfoiOlpioh0ASZU5GSRoymcOnMk9PseCswoxSimCCOwa5Nn rshZpayHORFo3PwGppJcDfVtYCGKHihFNWc8TC6IFNS75fWt5U26GibS3H5h90/M1jtc6sUIw2rW EGtH249xxte48x5KNvieDDE9N5At089v6MUGvDwZ8Xy4PSnlv9sfiNcplTFK5CZw1NXDQfrsce3h dxMHXBTMBmmxbfxsFxs1C2PCV3cSbP2zVCTEqAYGvBOc2uZr6z5doXe0v/q6cubpdA6maSS1snWW PvSdUSirMsS00zphrtG/qe692O6toGdUvV/YNpKzuDIztMeFhXRnOK1xfJUsczfUCR/0YfeBrGlf OExKnbCvNUUrOLMw51wDES8VB1GukUOspHWPcPkxG7akK16IHefz4WgGJnrrfDzy9JaSTwCcypoY nhTOPA5y0u9NVWH0QEwwnRbAfDkBnxrTdj+ip/zKGUeiKrBUWlI/jQtxYFnVfAZZTP3Gx4N+0Yar dqEzpmyUDDzFQABbDYBhTf8HKDsCBWdxVo/p7W1SI6tlpZAj0rBK6lsIBB3OUG6IjOM5eUf/Q60I /5ha8WQOL7uJCYIVUNP14vhnx8k8LNUfl50KAbzpUqKVZVHtd4T9x1Ziicc1QeO2zukP24YZljK8 weU1hyujY9BATNDsGIjbIQZaOQNG/FeUHg/6yzmivmFI0p1PTR4AnmJSmwF65kpFPf6dYwswgK5b h0RS/31kJrgtCY7/U9jaZrV0yJKVaPkOdEtIOfJlQmohzWHPz7VOZBX6d9EhIoAR/U4oIKoS/snX AGZ25GLmztmgJcSCuZRuGiNbcVLsrkInKXrYAcItAQ1txNOUIaGPDq1GUXbwyEKZA3FGs5m/5rqF UENMVPrdIHWColNtPL3W5uo66+/XYmzwYgDqAs5P8QPsrtDIdDkLddTte+wWokGMeH3Kf8lycfHT g86n9D/GStvV8z0V8rhHe3A4bCOh+HnvCIyQnKKOjWyec0dLlc6dYg0pEvi7hM8qrMs7Hb0RFuPd QSYiTNJjKK21qvHtuaukSNj1m3c7Vn6b6GnnzcIGrbcWw+g+xc7XXe4GjD2PQfag/pLH7fRdMiNR fiD1H9E19Bmi6ggnPcE7PfR6FHk1sidTXE4gb46ooDonPTBYeU2bmuDmpQEEkVGO3g+6NqdWkuZR tFW4rMFngbWSGnqdOlq57yJWQ7oAdaThItBw8ZC0uBrqKt7lDH9a+cR6RtSQCIXNGa8Z1Jnfekoy 59BlDDo2PL22Ht7b5vy3gJWWiI1fVymneu2ZQHIifNX4gvTTCKk9vbkXZ9jg9q1N8ImSwuDrjG1c VU2XkDvMF3N/M3YY875cJWVzZC5XjT61ysIdHHGQa5JzvcGYXau6qbMWWAKELV6lci8EkwDbSOmT mqVhdTZMZl9JkME9SemeadNsxz5p40a6Zq5KyqZ9OxSpeqYiaGuHSwCg/LSJz5FXl6ay+sA9FKFW A6MhdP+v5QbrKi7I37hctqbmGkmfsii3+OviPFvv9NwY2HM8RJbzavOSig1lWpNMZ5ebbyiPQ0Jo CE/PRJ1h7q475/r2fLTiHsSY3V5cr/Fd/j65gpbdq6IBldm+Eyq7HbUkpxWGbAZKGj2flFDy/ymE /nT6jFRgcA6W1ouvWyI3RwThNh2SHF8pQ+OZKTFm3jySOUAnWdCVxtotUEGrkLHwTHHYyjd40c/V lc3HZ2UCSnyAsfHe3XfuDwhk1NIZMTWGOHzB35M7pu/PF8bbiM3nzY/2urOQ4+rp0UfY/76hKB1v GS36diMHE8vGvP0p89UsZAAWnmjSo9qU9trBHiFJ3H8kQl6d1K7PIpbEOef16TZxk9eaKJJ26tc6 g4rsXfusPQIsh1zsOhSG/NrKhKyfoo6p33IqO0KzaOok5jCSQN5olBRsQnhXvcev2WgTF1JSPfRa x5sAMXWzwuzLtUqeEys3e0COR43SSe2c+jgCIj+XkMTAWO8kmVHZGYMXxSttdi0L/Ox0U7zF0Qn6 3qFz6Mlb/p9v830bELkdollg6Z4CYKMWXgxqUjTqhxTJvMoAIE2mSsEIt2IhdmtnfGHtsXfT1udO NM/pHkJcG15hj+6TE7N6Labm72RXdCtHX2V527Wrtu+5y8214CeOJgb/aXJqjrm2xXhRgBucOz05 WjJUF2Nh0jEx2duxVxHNzNeZJh6KIOllM7Y29ydBye9A+/TArKpEMFAP84OJG+axU7kEefqaB4aC yPhTDkaJoJIQNEXRM4cV5gsich7iHRXZpYTBcRdE1sjhLkMZgjizeJYGyUQaaMQCUQpdKdTaj6XH +jzrQUQcGXQSZqxjrbmSrTpN5l9EUqgeS3AHtHS+KlL76WUI7r4iKim6gSWkkO7Om3UDASjehG3l oaW4MB7niJfSrLNUiKWRan7hhheqq2MEOXAPdPuDas+wcN1ADMxJ4P2/wChydQB+AeGwdF5wb/61 zwb7ojXMyt8QJLrKPVwZO61LVBTadUqLBpqxG9Vd9lW8qwCBE6IW0FTah0IeAsC6F/sDDIx5lZ93 jLH9fMh1IfTKAgibSLvm1NQHamHtqlMUA4hR+7HWm+8CSqz0aZEsAOTVjV4VS6efnH3YVqesluZx mfpUHt7+XNoShI5FosjDC/v+CdOVMGx1Jg0esDL6bPI0qZQaU9l4uuESEwWP+v3vnJIwqm61fG+I f4blD4RlwRwm72Jq2sKXkVpIEll4OVMqavKq4lYAMB99OleYr3bY3CwBMkR4qYMO3zt8t+qX977e 6doBwjd71ezW85wjOZyzpR9f2tp0oz2tvBLMv/v8rylN4keTjEvVaWFeukK8mIrkmKb3WZJtr0Wk +CxfbslPwx4SUn7I8YlZIVssbINRdXdGHbnZ0cBRC1/ozEG+uBYWz2Lxnf3BiSPdhd2i5WGnjGRU Anotv9Mz+z8KDJSpqi/9NEu27s6FP+DZfDjhPM2MK1YQB+Kgcd9S96JXiVrZr6/pCL0+9HNszK8b KRl3n/RVsz84jwoOI+Ogr+JpQ/jNDMQ8+asS6kRRL0/F784qQMZurCltwaUzWFb50gmlR27b0Vvp t1LztruDvosSGsLQVBK0J5L3byWiTZWOsehjNTQfmWf0jg6Tx6/RUiRM3sNfzTVN5HHdZT+dwfhN y+3l4ma2VXRWYpa3YaE2i6bbrTfFflcDUf1jvRcfV618WiVJMdWB0/i45HadJlfbuySWOHd/kfcP Hg25bW7OSR1C3drUkwqPTFBanYnAPPFyxl/hzdiaUusPLFtYQaiTN/3k3IMBPP0DB0KhzXGF2KGs +I7+jF4vD4W+9X9X/KB3KEduDXbeU6XOfTD+9VhlDJ5QDDzHnunFej5bcGUtxmmAO059kC8epKdI apDWQE0SjAKfgJ8GuHBRd51LAlaxiMoJBeu2Ta3vU1wX5EoNoJfv3lOSy2R+y9S3EJjciUkLBHgf 0x+6tRD2TmD6hDbRnIAnUNw/ctNonzY8huVayTF0cgcEp7pU3i0+kgjwg+PytWbbwqiQUnqDXWfU k70kuZeiYWTgue3vxi3Q61v4kY9lcXWGlr5TVDQGJjwb+tnggQQWvUe1ystVN7OT2K5hEOWkrYmT pVW5UvJTcNDsOs6gQzOOTMBN1g7kl3SljN/VvOoqGf40Zmuxx0CymyWfEfDVWwWrMBoa1s11L7/U lV/X1ONriNn1pfvhhoYPv54Mp5q9LehNXEYuMmqvgYXwcjrb+QwsAhZSzheYNiITxEqAzRUfa8XM ortsM4SNu0tTfTcWcTtkEhSX9l1OYEUZYrVz/vyeHcNBJq1yF91O54ZxyZK1vQ4Q8hB5m6IPhfE4 QKfRFCb/oTsC3Q0OXjPg+gnXgJAGMGePz43S6mMM9KMTzhqBSlovOSz5tRkNzluoJojv594Ieqw/ oHliiYr3B8A3LKDhv5u/DK3S6WGlCWrU9H6iNELqeBCRRt3lEfwHt+inx9S6TsWpk61c/8L4E6pd pVVYa14fhwMVTGEVLT6voIDpoes2du3N9osKONLUZNl6Pul+RpL54LL/WhFwiqm2F6Dym1gaxNhC tmIE0ccvwxGuUuJSPzGZMoGMC5HiaNMgF207zb9g8IeU9gi0FlX91JrJ1l87pCKErSq2AZzVbNZ2 CL8QKWyWGF7v6aINJoH0/5B21A4cuGGwiF5ez18gVgCT30LbTMVZy4pl7II6X1CjOn19B1VOb5aR pVF33sWM6uxImDCjyirjACIYkLhQixwMPOHABI5peQHIPTBCsOS3zXktceez/Ka0ofxLzSYZzZPK aAKp3pyoEz0Mq10f7yQICXq0neDkKc71Gcv0I0/KgHCbg3mZdCtPTo5FOiV1UybE5QCM+d/6HUb9 hyJiRnBulQVnLhAICRaXTndXb9XLxSmE1Q4c//OVdB400XJTYw+ZH/DhfjzmZXaSGMDVnhCsHG3d s32WSoYgT4It6ajcDSVfNh6NtR2bRqkuz8ePIU6h0bM26B7S4MxHfLIbdsnhZjwEiLC+0SL8RA4u 5KthgHWaS1KO+6fK8Fh143XZL5tbNjIXpPKUyqnS4aeGvDi2z5B4urThhwy/38FV7rt8oOhJTUAR WoDS/G5Ih5hgebIrM0vERdEAKfwLoOWk9QOkDQdDCIKkRGGRxNQa7wpSBDdUjy/I7zS+YetYsfiQ j469CEc6J1fWXtqUdkjawxpPi8pbU5XAzOktLnucpts8K8Gfi8TiSqwzOq5/xlUcPUAE3rU/PONG 7ID4h4RLDT9ilodOBFBITBV8eLN0CshaRktsKCR/qRlvN0azYNqfvAZmQYw0bknknPhEICk+nOdn dYdYUWkaP3i035Ei32SZt/wiywV81pDK+3erA17oJt0BSDkS7TwIqQKjDMXYX4XDTRUG9kvVbU0h S1mJ6FaKSYGbG6cFkuGtkevEqReRQ9e2sg+KmVCozpPqhAv6HXwRRX/i1ryZJ9cMilOqg4ssi7G6 Q6+W9yaKM034/s1X9vntJxHopIJowCVuPFsCuRfqNI/8gqSZ70jr6uZc3YIJqYf5jp9Mfsff3XEi 0gLAy3eUh9pDZOI/AmG4M0OsLsbsAKCqLPFtq0ZnOZxNfTZeq3ROVMgQpjkCppaCeKjHwuSJiy+X ezE/sykfVAiRsFE5EiM7WDw9Nwx/q3Qew46XuKSna4pYAtPso+l6FA/U/9XIhbRT2TlLaBNexWGh TbYEwQPrLLr8akjLzw65beaT56V7sBUBkbQtnTOlbBFZvMKzzlQrSn110cO+H4ag4dd6aQCo6obh VGjUY+rmHNlytXZDox34wU2JRZBn7HiY/Y92UUjv69zrCoom3AQ4UfOr2I7I3gIUK40Tkc3OnPsG 0Tw+5DZT9XxTpcVZPlADCCYMGJTNDS7j8Tl3K3Gnnso0WPZ7Ol77B9ZEKI2e18Uz9IPDPruD4zwO ryFGwn+uJCYN5bGweJLs7Mg9kMzKiCL3NyyKQUmzS/ugpmvoX+U5BWdgO1mH8/TiNg5dAOgwQqMH tutbvG/S+aotHH+0h0qLEeMDrvyTexNW8olSfM4tl2lqE26z+7LHe9FVICDhvxZ4zMDgCxVCf2EK v7yxGb8q9e94beagKL3afz6KuPGDWnUdFXACnDktrWmvzwSmTMXmCgnBEd9kILawsJRRqnEROhDC y8Q2f+09nz5mL3qOJp3jum2Str4dZAf5iYETiwTXeMc3kTi8EjGTesLb3EPf3mDlCGuSCZkv2FXZ dJqmPcVekHRNYLlKf/xMthau2m4X1F9lQ4JjOOcarSjPizjq7cv1jdbxh1PsnZ+hSm1yDOnK7Zek 3r/IXsYCROkYe8CJHCGU/qydlnZy2oGzZBT5tHyZxtLltQsPsOpSgBgEFqehXLr98JfSFk7VSMQC S07H7p4p27xZYTOFgqUBDgHzcvEUg7yK6CtxhW7uMlHi28Ngm4BDkLPkF7xFj+MoiexcINSmOTO/ qdaEmTVH/vsuD8NKIMsaRGpaTrngmbwwZHZCFe2k7oEewXK926OVMTOEn2CIywy6rZ+S4ZjbDZf8 PDQdNkdwbozlxnBpg24q/9uFVkwOJBN9X7PExTDLZftu/RSyHWjdOhSsodQ+vJvmY+9uL/LqpOEt 2EO19hQUomwoRLMuPN8bv6ACX8sXcwKPDSLv++xHmNnf827mxJFdUPzNk+8BWTwWvouDH7tja4CE odLFzyEnJOv6f3pJ2A7IKN/wyIJIqp+xghzQNRsMTmoUZfNYx2rp82FO0ckVKUYM2RF0f/A6lEAZ oNzx6OvRUxDcWHU34sMaIOB4NUSWMrGNCtIektvesBK4wU5WA7PMvyxB4VOCHyPVjfk1fOWqCsrd Y25OKPn5s9VlW9kXcDpl3W65s9ZcaUGuswoUKw0cS2XQjSVQOqWt8jNVs9lQ5yMaveRHufdNxjAU BNNo45WF8ffut8iySbQhk4QWNMMn0wOX3uLPuHsGbJBZS9U8dO/DIzmvIgxiGRZJ+mNvDu7iiC3I OJTgkSaKZDTaaVg7lZBsDVb9on+iWvJF0GLAuS13ZKgk7VxzZ90DAkGr59qSuJ6+gXdVyeWez3Mx ys8TYX8K1o6CML8jTX7UQioAsgzmxOWyouRmlr4qIinj8Dhk+QkrEE1dGMmSApNPFPMPKF5rnR8e WqmN9cZEqBkSOlvam25SQvzduMpylpiZX9F64GdG/r2rZZOGo4bUFqBgyFOK3dQG4oJAZcJ9S63u 6EFgXWrz3Dh6Cmb9zjTlZxwlHwPq22eOM5FYVlxSidofO+FNRTaEGdL/r6OYL7H5593vkXgJWDzQ HCQ3S6bLIJPm3gNy8aPMMq4u14DcpyNSbi97YrVnQJPbB5XDI7JddMbLZM/95f5m8xvfrzynQf82 uLDvLcR5ikWXWgnGJpKA3SO15xmwghnDAbb+rqOh4im18GgDNfW3kvFlZYjlAJ+lDXR7CkGrsR+Z uv8xbemttf600RCHOrb03sMxPu72vzeNnJ219WvoXpbpwlvR4bGpjeI33abnvz+Qg/NiAukTqqMc YwmLnAzKYSa+NSH+iAvQigUMMSbDn4Po0CkXbIrkMqwQuQXqmjKdOw2SGVnE7IvWn4E6TqCM6sCR DY9prWpSgDiEHFX032UZk9ydMtzf78Svxr2o63fOweZ7BRohLX+78sxDVm4qgZ/WMYIX9gCkuopl 8GghEk3hyjvOaswlYiW5caLTR1+2SVRm+pWxOH+fP8EiL0sdu+tzf7FZPFgtAU1hDaAGj/uaYEEx 8lHjImzr9bJ529uNj0LHR1+hk99X2y8S4Fa2LhfrEWsBLO7nGwFF3R5UoMyjaIdrAFE+U+ZYHj1m 50h0eVwxJnWe2G6/xJmApmUZZznD4ByUaqUbIBXcMd9B0vHOJxdHYmJDiotMrmQI2UAd/ABN7wJi i0BHsGhrqne8DGAshQizMBPLLdYP9zLGaaFvx2de00pFxTX33SIUp/qcgzdmUktRzoHWpchOJJUx ZxLoEY/w4Pe1xRjaCOg4cpF63Axbybg4ojCJNh/8vKB23ad5ABx51qNdkWbGa88ETJ/KPAuMdOBu g1wbDhutYd3E63TIP94Txov/WRLMfmAo4Oqg3IRYtna+u6LicycOsErGHmDvgbDT/iE2mq/TfI7X LwXYVRQuezqgvdRwSlC+oSWXy9ncj15CeAaP25EtwSyr1HOFsLddj0rWTfsBuDhtVcyiSsWOJs1Z S6eEnPld2p6Vrrz9jabQ4vj9YQArbI96PHSaV5f5heCkQ3crin/rG+lixfyoSOI7yfdnBvFIEocl mXOy3omCEkwLp9c6t5ll78UtFBmFP0Uwdq/cfMIpCqIrzlp3m1ANTpauD3y/OLBynS9oaGHO9wlB YRuuFwyhqALtFqOnEXrFXaRK5axDaylLKUuGTA43RkNn8k4M5ru2Algo02ql+GmdlHyb2oI3ge9u ujZ4WSlkYeZh9VA850Zt8wHlY+to0wzBZQ4LYTDZ0B2KkreiBw/xMuJ1OassiCK72B7TrV4BX9HT l46NLyVP8WyHtjyFMcF4hsC5psvHXn8rKfoq/HKCuH7Quvp1m0vtv5J53JDwYi3yjenc2TGN1syw H/VXO8XZNlqOIYRiQ3uOQN7z5JP243QmAczG8mgOi/8wdAhFCsC4vvq/n1PRi9Xf3B9EZZHBwEvb A3RSx2WWyF8XmoZeuHP8X0j7MJ/CXRcVfzrjKj/apJCS63ypw7xS93u5QSgptDkdz4KyQHOKOsor CMSLWm7jAxcRxLbIZQRomeKkevp5XOqgRBmfQ3cOhTuWPrze1ubqSxFQrF3/RNx6oyGfa1dFHV2z J2hxY2zvdAP2OjdfV3qfq9Q8JEyqdFrW9+uDR/Dme2bh48DSL28rKrhNx/Ze/UkXAktKRonMO3Cy GuzyFSUpjyafVjsBOwtYhGs4bb6R7ZcjsTtNGtm3S9rbwb+LGvUb4nC3RnJn59uwA17c08V8zSRY ZgIt3eolu/C7wBqGGOviSUNFPFrGt2J3avBpxLNR1IkAix2nVuPy4gu4VLQM2fA4WSZazUDU8IJr RdXu2N3mKQKkR+BNvPc8jq65Cgh5z/qWfx1kGVQkGRa7je46BQ52NHFh9NfMdEaaySzRk0njtvz3 vz6SEZY4hLzlAvLxlVhiIaLttCHratqSiej+1Q6Em29B8aesp89SnN3MeqVEWYZAvjNIsCJijGkJ +wF4+x8xj5qPKnt5jO761rvxhbYounlMEv2njEDo9L05AEMWCJd313gHoFXsrsgkPrY6IdNveZ0j onhsY/qJ3suuoXIxafRZXKQZdGFhiKHGveNbpxHOY24jBlCarty3XXukA/2yagODny+YfbXQ7cho jOMEkllFnTm0E1g5fjuQrRCFYtUyUbprMKOhY5VomC+O/NYDEmjg++D/LxgoUHeOzQJA2QNmY22r 1UDX4Tl2hxZSB0FeNc6SOk+8wIdgqaV8c+vFAhghL2gn7g7smvPAMi27GhnEEu+Hlc4puU7/gU47 /UF2HUGEt6/JpcwjLTH2T1p3pLz6cbERlBYxMqusaJJM/5ptk7zZLKM2P7FAs3kFe7jMtf/n4kA/ bdLgUjG4RyB8tjXy0baE3TC5c2T4/bb5se5zPLhBM681cgqljSDHNNR8SuwNfrFVSijix2wdGLyv yMwhUkfylnCu3rMZDWURPyCmVakBoE7CQRd42Y38gJv6tGB5sQGIK01L4Xw3QNZ0HizwMG8pR+qM r1NTa+aKPjjPPimbV7x6BunZQrOOWUdW1vBRVAWCcqBFIHiybQsimaa+Jwzn4C98XqmGmQjaEKm9 Nmxg4VEs9uEPZB7FxhnbpNwjocymret/k4mLZX/XSefQT4ciZ658foaCdZLnGJ/l8TN+CJTUQPUL kpQNltUhJHlyQ+fmC163OM+I3pgpvGVHovZDR2RAw6f9+IY1Q7qchwodlSnjSz7aLuLz0bPLT2yi EcUYjR06/IDbrwXEaInTSCn6Hs1pRmK9IHnmxKiaWFH2IpwoMFi0wB+oVmnxRZVtj9c6y0E7qylt GvnBB5kPtbNsyCh2tfTlxXpChPUCZFnUIm2w6PRVA4Glu1qWwTmbwgViXS6mgAbhSNO1lKz89D+N yLTFw7S8/u8ezvbxBIc5nSu00NHAtx/+nTkd9u34hqli2quzliacJf8xTgA1ujSb5DdlXq1T83/i a9kYfGQD32Pcsk8N+sQu23BjPtQENhhwkvy46EQ9rlZV07L1oSQZje/6gkur+4MaMFxmMudajvEK iPCmDhrf1twzMJoSJ6jgPjEYqVBILEdeUMuH2LK0F0oIEKyvTLMyCLSIVTeI8WyqIpOOxa23n4lV 2EQGBmoREvV8TdUedZ2ELM60HSIr0jlgFXJaD+HfGM5eToOjPq3NmoZamuMVaUHs3kv7tixxbPVi 1CMwZ0LuLCqfwNMrQtkIoYjava1+aq06Rr6sDEufyJ7Ych0N6SSbkIPioGon8UwNO7kqaz2fmaz8 lUrWiJ7dn8MC/buY7y/qUiPUnQ0KBBkLGPJsjTyOB19eNzmNEAQVkgnWkLigyLlfZJQj90NGcp/O L9hr/ateJl4PAzDOX/zMjBKD6t+/gpc65PUtgJdChTknqpAve319VxZY93twAhw5vHEDrWG7TPa+ URlk+TuEVuN5TZPj3+wA4ztX1lKxGiKg7eKxyGvuX4h76cyRQZPcNWQpI5OCEw25clm8hsYUMVr7 70yPPlCBpKQG7dagjkKFAm/wCkE385rFbJIutWuOpo2h+7pqpkSU2DpovpP9DRPvmqqNoUxmqEBu w1cEp58nbUad3R+5ZDyiI/euThe6JQ3CyfMYNjvEEyvIHHRHqR8QEwy54i/DSHQtjYNCxdzjGwch 8AVYTF/tpe28NVOMO1fkx2V5RzqHfgIXerHb9CBRA05WUmLx6qNIl3g/kWaUxu+QpCdGD/FCyYIq jvVn5P6QkWSRgeXOYlVL2+9PVsyxhyOlKqL79GUk98ZsG7afU0wg36Hl9TMkfcvYns34zw4NxNKA pKiI7JEPn3Hz7YNw1oHEuITKobMX2ESa8ggQp0Y3i5GT9gV6vtdJ84AGYjYGviQ3PzWe7rURVYLL lp7tc00YdPGITXmxB007yR7tepvTld/S+3IJ3lAGQ4b2G5u6HgbO4OwwqhaO0m8R6CMywhRE++mZ c5guzNPfzTALrlDjuPtLzuC/kycTwBsmmvuQoiP1dkpyfsPRDkO5ZoGaarIOkJBkV7b/U+UChOp/ +WuQq1t25dppgQzXrIkKMsDzKxLjlBIsEle/yrneKFDdv0tfwK1LtzajLd4usf246Ws5YPVPsudI wg12wSjCTwY6Q9vCghcCSXhyJakTEH9e2m0MDGJB14e7qcBRVNv19JMGdhPVpbr3ohTOq+eR+IFk gehStTIJ63+vveUFuL638sCwXiyFL8wgAvRONXFHC6nncwb5/4dN+lO/VqqD9hxo7fhyLJiAeYnO xqzPy6FD459S4zGLQyClGFeBr7GckmZIPu++CuT++rpse7g3z724kCcyUlSNTVe9jQxuSycGvwOB OT86YTfGM0VzlZkAxpGrU5T4OZXzVMnG2wD1fsslcx25gzfm8XXNTi/97lwpaWUH05xiinoCTzDp xuxxtajATFGGvB1M3+JkEiQ/vAvWKU1lfGpFoLnboGGIhW15lrwjucIOc8zEVKXxPDLyPxKpRUH/ Zvax8HSCU9f1MU3YOZhUUb3IlewcSVl6mlB1zj29vV3XUxIxIHggED2/3ROcN9JlRacu69W/wj1/ VtNgN5HMjFk/aMvDDDawUyxhmJjwKbJQLc/9ZdzYRyVx6OEU6Hhn1LG00UsTD05xqPrPoM6GbTvt dqvIM7A2ZniYSfBDg5Jgdx53UU7nu/pGzREMXlpaYrcaINTps/1BwFXWBkqR4p9tcBoTmfwiPZA7 gY5Xw2oJwZ77/m2Sg++mMz89hBces8tXwpmz+Nql6GRhY3y2cXyVwQyZdhigvvUUSOytFpCkZyf/ pAX0+sHJE8z9422QXNQDN2nUmjjyKHdFeIQUbUEnst3eRUHNTMtuK+FdAx6GJXdgNECP/wmiuGE4 vuIFSctwsY+cUdfRS2X1d82oAik9K2ZwVsbNrQRhObsJBOWswfmTTuq7gXhDlkUSoFsgGzno094p 4SOtM8q0VQCX36hNPgGCWzzWIKzokFk9D43uei2dOxwWkBrJUcEjAfndrDAn+0TnuwqfNCyQ8HZN GLyPcvVEHJ1RJqg4q6BwpMjd1dbz2t7st8alN0vD0OmoRjLwb1dOyk/ncjpvdDz1nJ7RbIM4JET+ 5ewZOmwxgOpzZRFt9+VmFSz+7tVULwLLfsya3RTr55cc1ZelPpCXc/gJPSSY5zmb2RoAFIc8qAYX Mnc47H4sOtq3YO6dubJfuJ9+U4LDdCMsjqcBcMiDQBrXnuN/sTDQJ5aAzg/wTuHvO1JaWVMMm+1C L20DIaEEvfmG2kqfPz+hhNecxah1vaQb1cT40dPaSmepXcwkHCG7R0pGRQpXdJuYpRJEPjkJ/NL2 SV9yQkV9LEkQdxL3Wd0sxtAjo1RvVWqGzBALoQZBiUsK59orvVtdEuTkr8NDrFUXcBACOsKRa8vE BCOO1KEEnTnbNuMHWKP+WVEL3tPQO00/9yhyvOQsh7RnUw5+i4X0sRe2u6Eku/4wSBrInW68tdbX w+tzGirrvhw+oXOhxB4HqTEBFyjwHhw+ikesg+Wts/Rz03LyCGKtiyKVLJbnCCVUfUyUBhjK0tIZ FSD7LkK8OHHFPVzM/p7UUE0iTh4hAoeq0l0vthhxUuMsjPXVy5wt0VZceqNtjW4QcjPT21FyjHoy yBmQsyh+3evJyzoXLrKAxjXTPA+hfdmb885W1MoW1HAZumSE4WuHvqUwwXw2vojdd1eTA3yrnB6K FxnJv6ptL62C+cUTcaPuvtm7cIq84ro8/I+2m2u+xh+lITUPfBaQgC6jQgR/7RQVD9x/2cUP3msJ fd3CiMTiYo9upgYekg809jn8JIMLTwQLotHytrS3xsggRutG7/0EbY3N+Stt5escHvy77PKyfUz+ 60qhUg8l+D9yuYL5TeGG197c8YxUjH2Set6kVrtMSTyqsC0Lhornia+uk2aL8Gz2x4Qv1BtNvIX/ DUYROKOYkycRg/xGWCcc8wUDjnAJNByJygxNfcGJwqw3FvgKqwhLh4awEpkrFyrgd5R81Yi4QioR /BpiTDL5/iMeYAf3GEC7ns5/MI73AedqIOZ+RsotmNVcQw+X0VpNyG9kaPns5ckD9QWgjJB3wk5g Efb7wDNFLe+kIGIoVDlyphKNPqQD2gteNS6ULZKnzzgR4xr8C3Q6HfpnsHDRDGP+87q0zwVrgN7u mxUqyekpv4ZTwb9VtHSAybnvs61hn9RsxlMJMEVDHmSH8cNTl0bN+ohdrpKUQ2uLOupP2Kn/3Gib Wx0Z/xBab0JrDpv2I2ntzyX/ui4ioNzkXMUE2i8FWbh7saXGcWlt4E6lkpVF/2yOCF2JCXlNkUAB QlxytInSlMtztM3YjBnSKo2qt38NqlAZ+YjnMkGMXkwKmsuh4gRoUStafw/jp8qd9GuNsBdLAyHc XqXX1Kdf2yGKBQRrC2izxFVp41O12kMRXf6tfFyjv0HgmEDYQY+Uv7wBGBIKiuy5bWgmQd1FocDG Wm8/kA4/nF4l+3TCP86Y3Sxso4FmhA5yTJ4auSgnMZ+KKDK1wQ9vBDlk60/4HORUpPWfihkpxpat aZ1RceHbfKDmI5+cDI/BnbonM/mLxaNwTgpkYuGXxOfZJ31fN+r3qsTDL6hHfLh5Ah15iI3YznR2 shRY1aELd6cyN7Eo6RCX+I6GoLKE+ASgUSI5a2vgQSuOoJuo5OH7/QdjyWj4nPaUzpCRyDY94uzo FFjpcwcxlwdcYlMZKPHnCnZ8FmQM+7sp8ypkxbDsZPF9W8uKvQqqukphIbtgqOuOhbuzcfDwmWu4 8HqgrHMhX+xpneCQS1GZobBlokkaJpBYa4M1MFR2C0nyVYlHVZXdIoF+Bp763WRuFLBXmVNT6R8j n2Yhwb+IRtDP9ccKQJJ9xYVmyIN2x2a0P+yULAhNh7F611MKHNiXiMtleu3mJO4CPqTVHZMhAnIo lZ/SaVL4oAxkgXNg28X22VcHlrbzcgxcJO70DXj08nQJSJKiPrTjnVZh43YnNrHw52IUYcfm/y9s HujoCVd8Wq6Ys8WHsyJrb4RBsK1pxc+H1iQt2yL+noMAy8xj2ph5paEMN7baLv08xpWbxIg9Hp3U gJfJBPic7s8lWU3ME2OAD5FYw4QuV2JBuEjDKSruHFhgk6Js8ac5rGWWXi49X13JnVstPDcg07k7 h4W23KAOFNq0ciTFGkeIcxfWxIwyTcAUb+HKx6Boc/wOFSbHdDJnd/KV5XhEhX8O7e7W32uNJghH JztovA6lmNSTkp5F5qCTeA4EicJ3BDQKl6LNwAT3+Brbp4ibI55XJlH8BhbRU3uKdWmMDklgv9Sv QYvnobYO6HvDX4Yd9XFLtzV09jhPJ0/ah/Lcy/PK28xlVXGkQo8lw8Gi57uBvOqe3vqHNz24UpgG 4hL/baskWLN4xa9EU5uMyErm+lLdCQVSfov1K+0Cr1/DsFSrjw8NAvY6IdRvW/6vr8AIY0w2m8Cy /20HmOGEPIvrKs8HbItf//OLpTO2WYwcNGt9CaT6zcdfgfq04tKf+izei6q0RqAggYzj8zfnKLtZ tj8ABzFV2VUT3CiVlvMOBs6TiWjmUVMYeULGEJe8Nkatjz6rxvkbxSUcVNq3ZqoLKjLGbqjAUYYf LilpAeukczXHRB9NmGGc93ueDYR3Xu8YVl4MV6nTDMoDUR9n/nQPcn/C4rTjpUGP4ATHMkY48KtK RRUDTEhXbTLcgZH5mlxmMUXgVMVpMN+Uxz2LP6LjFHDaD7ovDbugCBlf8eUljTPJp35CAInBxpDu YTSuBL6e0nFTHUR6mB3Ms0Fpq0BCt88B97vAhRx8VDkYkonRNiNlKzO4V3JTelkQe4pbunBInhMz lEBUQ8y4tyPmKtVbf+N/HFk+5nD0oKRtu7uduBqTatfDAH9rx/hdL9nt6reTV5DeECLXLLe7Ls7u 4ce1OaHp/QM9t79WXS7pEmarekJnOEuBkDtGL+7gnqba1NA08dlBtA2zPtblrr7y78r+dOpvEqyR 0Sp3YlbG+tx2Q9csCCHJmS5C+ORoUP4u02/+ExpWXzgmUC9Dizc5uGJJ/cEI96G3CFVCj373l3Uj b4AzI7dFrFEBjGbN79QyiIoZ4JUSqOinl4v422XffuZKCa+A/CqPMtsLAdNYei3YuwURnLQlSszD M1cAPaE+YqX4gK4DWC2sCXjQTXS/+qx5hl6+I4cE0/jDF88WgdcnS+fKkpACOVXLuHvGo2B/7bDp dm2v1kvZ2MfU8V3QUsXg+kd7QEOcpohHTZa1JrKi9bSAnSJKaX+kWtyo2or/8nX3gch3g7qIbh8U n4UCBV6cguwGxlr5BMVBcYXdV/uKsGIFORJrZe4oUU2vqHNDZEA90qdKTOydgu/HO39PKCjquuL7 8sVhSmiZPu85REOGdxEeuZCwASrrkdbQxu8PTy8JE6RVP3VjJLDV4H8sZNStMm/mamklhkKUv2RX tvAuqnnBPjBGk7tpRnfo3H7Zw9teSNhcaSBSeB475v2PKZosLinQ58skGBFbSWK8hpHXfyQ8xt9h CFMICcLWNwqXEWYaegUO8r0NpqcJFUaRWP5gX6neSv1T+jOl5Zb3dNcDFKO2PQANxS9z/EYZkPNY XlKzdUC6weDhDg+u8Ug/KWUm91rmiqjmWH/8LnODCKI6bsvbOa2UHXslhYvJKiIpiXRPa4+HQ2FB Ymz1Yex46kR8dOpL0GFwS0j3M6Jw/pzPheBZi/b3L5kNJ3QLxmidgPnS3aYM+zLvfNzM8mz6z/BT xPgz1IZljvu1OAzhMt47X+tbyXd6bceV6pLVTkMCKYw2xyV7zxPJXGNFrAE3VBwTN+EY4JanVkm7 e9RUVcX5XOTIvlMh0g4uLL5EDtZDsZme16SGhDU3jx5nRk5WyDdDVaXFu6sysUykWCgFl8Sy8Pcf voSKb7EA4JjIVOpmiS6uUZfZ5L2cNUCxJldN3ck+MhWEBtz6T5BfdVdvo0lP4OTp+h/B9pjrocqx GtSCnORcAMa8goiKYkRQBSZXu8oMXrXW6JQU0ACzVcW6pRrOwgtxbCDcgfWKLjS8gYQ9M29+BqN4 i47tRC8sTGF9l9H0QxIzJ0AvmGTHBWFnRRF/fmkMnFBwhuweREDUGHSkiUn9rRsv+UVVJVknEXGP f7T30gmPSsdWXJJE0KqwqbNrZPl2z1toTIA10Mg2EWfkMThO5vK6eDIoPYmiqZk/rgamHjEEomJ3 gnPaaasW/cz39zma/GoUJ4DzomUqGRVBbipwiL2wu1I8FRa3U1cLjL16dw6OdkAfDfU+QaI6VInb Jbu/Um0T79Arfl6sk5IL72StFqJuQhOvrMx5JKDgPkSKmzYzX300XxtHM0stZuJA9MuUm1tbBmRF 32LQwhOhyFFQkkGjYHM1T6K7zu/Z83Ci6m/XCtiqpSwg7blBzD43N1LNT/+vFM4H5kGh/WLoXX9X wphfdATG1PYsrFGguMcWhexyDva6i0d8D7+N0eavXMPzf14SFNKB3Evo5b32zK9Va/bzYj8fTcVm LwcPx31LIPrVarcYX5ow5t88KuGkevUOwa10ptT0Dl1nE3zS58n5IGh0SyCHtfB0r+8tPMS76VMX 5Y1IKFFT4ZHvm0uHBjSnXvUBX3E3pKnjgobhUmaScycafta5v92kH3yDXq90lLElR25qzYam1fjY XJP0o4iS4E2Tro3luIHHwfcKaTF3YcxNI8sCPENsAh1nzfpRHfOzC0/BNGkHU5w2XXDkQgc/nG5n UHcg5OJTkF7+xeaLJ1XV7wRQNVjvsqSspaAn4EJsGoCKMTOTU8chYNL4ikzKkdD6JnC4HBuMzgrJ EFGXxKpjed/iMxYa8Dd3NIx29Xf0tGSuSW6Kqy49zdVRuijo4yP7jX2qgKVfdd1fNI0OEEiLlkmz Qalf6GI6CLukKA5wxiUIU+j3DqqRbr/f1pYUyOIizhZhyjfKymhQeRHpUNEZ9Pl82v3tovdQOKMO e6AeO/WvKlLNkuAA6H2iUpnvBsfdSpIrKvMv7WpJ9pcewPcCRWBMj33SeLSL0Rq+1HKEaUIBhbqM FziJWcPETfT69rq7eFBkEER1FCF4SYFoy2h70mZpRG82e9jBjsLHFKQNKtbltMGWdD4Pjc3Uk1sn RKk8UgTr6YZP/G4qTqroElqzafV5fEsYG5qzXSiWqmYRBjQnUgKmk4+ZW+gqgGTgyAghLq1zcMUl 9Qgc8aUDmmTGU1eJdc/tGQ4K5+JvvU84VkmF2ROwa/EDSEe0SbL7F8lgaTgNKMS2ps9WHrTcK1ZH skrnXq5+EzWKjjzdQUvjvKTq/9InrXJAv+1Yiqr/0dmjAyKYGnspNkdAsi7W7Tw/qalmxl3Tyn0c mDjFCYpUI3mNC7tfc+lGZpAnRXd5FEgGjoMlo5UO6oCd3qWSSnSbiESVQgtLmskrwscGQ5jluznJ AGCmf8RxSdiwrSpACq6KFvMPFfj7iz1XA0WLESXxdYINOsXzF0SqjtjakNbzvpKL/hfPTZiMXFna 2Co0dQM3HOi61jcPZsuzxT75LhwDWIeelxA3flWHIPTZwTayOJ9mDPWFgpfZvGHxSNUU/HQLkUEG YocKSyFd+M2Kn7AVU+Y2YYqdlhw0eamd2b/xuIm1aAictGuNcCwuF92+r31sdzfWjhQUltedFZY9 wRblo1MLqtT6UotMfMAYFmOMYbrhTSW9ErDnyBX+IWmCgvjXsbWEY5jlUqSqOwcWv9U8MNwIUmsO BRkja7Z1hP6Lp49oOUTEt0WQjWFWrprFAMu8qwvCkoRoXuE/6YRjX/mzhSWnw0JHYHC1aiCUR5UW SFNfTRJEDGyKEmrj/2F39v+HIT+xD9I2ufFXFwpB96vqDsAeKWMgbkVErwfZq0slZy2KR4IYG2rG yrcZa55GcJ82wS+cU9jjeN1LJlAPRVXb2uqXnoIZokyJ9NYtOiO+4hTj4wZCfU17kbZ+QkYIWfDG 9EnYSzigP5xxnVFw6DOTnk+VPJT1PrloRO/L8w5SCn3v84Q59uK+FKri5tqJp95gFzfebzqIPENI OCFmDEJr4VWk9RJRvuEAEAeX7wrIzm+fr76X/vTjzRcUU4EhGD2r6cTSjqpCAeGwXVcdlsUnlm1q qAOaGPM1UW3Od5V9ZhFuwa07zB3AM1QIyBVBuEL9B+JsJbt91fLR1XRH0OaBpIL6KN7Rp4H9i99Z Qa/fG5iMq+1iwAKg4eJUSZPJ4Qp+BZnMDlUcqOaM4tndU1VmSicVupKe0Q5J2UwUd4w6TixIttwd H9k+WpHABPQUvbmflaFPHBW+JD70HuMyWvfD+E4MObcADMNg/0XbyyJSF/0bEYp3Gs37CBPQzD5U qMAVX6xAutKgmiAJcFUgyCu4BI+GkG/6KqBoXbKqJ7lATz3+8BA9dFezH6AxCM7NoX9hXYWNwgH7 tMu+EdaNkQmqBKb/kEoyW+SYteaMUeH3G2L65Vl3uKE2QoxppvK78+u67knL8/QhOah4v+mcsH5j WUUHYg6EgTyIBenmJIwQhsx2buSZPb3B22o0C6JzMvi9En+QaMvQsD2cfwuha4fPcIkPI5MsIIA8 EkWvQ8LOS412YvDbTILVE6jYddQFe8mMZ1Hy8EtDmj8e815KC+FSpi5UEGrFkNnOwl4ptBPPgLgm 7i0yk5pBC6I9vN3+949hZovZ5dN9Nzcc/6GnK720ZuUpgJES8SxSYPnnHdyJP9KDRDIIYcA+zNJG 3VK/wnxE1SskLsUOSA+1dxktBDW3i88VtreCo9OHtKLqftSSleT/HtZ9Tej9b2dO5xQVN0evDHt/ FYvGbp/Q/cLmdfK7aatV3CTnZUXhNud3UlrRwoCMTnfX0X35WIbbZgguD18UBkp5Fg0/+83sZZCW e5HBllAuzTvDB0V/uMuJgkblVMJZhgDYi1ikUbT8TsGLIJrsKvqQpbRl0+YSEz9qAs/fevYPQZbQ L7CpM3lsyAvSm3zSVBOPuV+bSONU+hj9gkK2QZi2qTzuvVctjpGemCGIyEsVNTiFPcwL8x53MQkB l90+/9zU1OytE3PbFC6K1e6cYmUXNktBnRyxlCCDY9sWS/DvjJWveiHO9P7CWshz0Ld/YFmoR/Sq 2T4gbCos2XHFpac2kcFhYXP7JMGfr1VzccEUbO/Def7MWwLPwqhlSuidt0cSwfRZIc2CzDBPfSo8 UQAVJy+lpfzo5vmO6oGpzLWIfRHpVdpgMeTcP7uXr5IE/4SEYSwvOuFmFeNPea+vuOJA/EO2xcyb r3sk6uiOg1VEBWF07bwatMmxCWHzQmHVm8VuQjSRQDsvbylJaRG5TsEdKPvudkzp6khMj847WY/y Iw64LV9OEbYTwhfqpHeh6PFv5DyqjcpRrJrbZKM8K53Uq8aNbLWn/w9vBTrKdITH68lIeUbHrDpI /b9VLfe4a9jPCJzK2vAIjRZo/IOhE/R6rbl9CMYlkcdu9DAAkDISLxOIb4Jiz7Y/VP1eQux/cKRw jl2Uu+j0SZYfQEwg6lIaulZSZiZN7O/91Ql9x4hYBhB30MEaKyhpgyFiMT4NVCRfm08nKr/F6I5U BmicLjaT2Se7Rg5eV0FJea8xeEpfc66E6nspo9XYPLDGMcK2FATB/STHC+Jg24wF4TMTF75SeiO1 zuEAmpw6KEADTJ52rB1PHNFC4S6e8nZtcvmliP+OaqnP2nmcUB8ZhE999WZtARAa+O/jPPHXcSL2 bTeaR4OgGJ5BpyNZ2U+SgVwxfyp98HfEhpUvPQ17qVPmNuhB28hKSxCRH4g2BIzziiituDC2wTdH v9sR/NLGkdgKy27W/8Cvkrd0/8jmk1w6k3Ce30115dhH1o/bYYXGkJFK5FZlzN9d1t1uZFgKhB6u QM0Ubpa5b0XAEJtuFukogEvkxa5OOyaBmp8E1FgZz1EtamI3wcqOSuy454/UKUL4FHqeuDcU7loE JjCt4I610XWRxPweMxvePzmqM1O0UvOqndm0I+Ofy8fililaIEcqVsoT3mHTmh3xd+HkcemQ+avX 8+cXHwCbhB1N79XC6vcYbc2YpYNTBxy9nB3ESxW/eMiTSmgNfq5LnxzyHumy4Fjcsb7nkQIYKqZW rr1+BTabLNfixElC4P+h8GFhZzfnyt3CSihLtNtdCPm6tPnRvGGp8y8xorCTZB1mPU4dnX+NbWOD 4+GS2dQjLNkZsgxy3WRoA7X4aNVsBNAXnY6uH8p7u43/5aOW+/Ps8D/63FLURvHOceObx4LuXykj tuvgYplgG3LU+ObyFqyeJiIaR2jSR3oxMtkqutmco74csyrlbyE5aNEzbG5rP11B6TQyrfYiwtAE 2Z0sV1F7kX9R300stsLptOvvmp5LixzzwBrItQfROb0DH5Qiissh/BTVGVV/zEEOLhD1chb7tGNt OYZyIghE+P0je52CmLwfDSdo3WTQiWGmcQ3XuIXdVSRRvQLEmSWb0o80AyYopvdoNTyIPlUFStPG 938Z60dMZjyK9w8RPwKlJ3xvUamFmMohqDhOZQkLyu/sGi7x0eWOZKTQCFh/MHyqGOdtTkGyVB9k pNqb3WdfTuKx7gSvej/5ImSCGI2ay3UIsuVAyV4EFp5mcVx7tqNodwNS1bkRtpiUr0ABh1z+x4zu 3Jf3YDMP2CiJmc3ar6KALUM//+rBdIHcuNnx3M0yg/qgSCHHW15IlrRL/X6uys+0ROAKxB7tyQ/7 TueQ2bFfPISszXjG4fP5x+uCRUrzewr/mHLGcHHFCrirV29kh++FFCr5TH2fVuevhcphqzIJQK2X w3JaKDOrqLlUr3fDeanZNFDI+VGTtJVPZD7+MCjOgQA3uyIio32d5U4d9qFRXFnH77Mlq54hAHqO ZxuF4pUxKbosV4qAai2jDklOWwikgs5Ceo0v+RBxCsldiJ305tjZhfHqdTe4svGFOjxiFjPaA8vd uNyPVAI08+2WsBazV8GPF8Rg30E2IaLoPvUCfm+3GxaoE3uNlmq1H6/Fu3lkYwv89X47MVawy5io haWXB/+/SrcmZabHvBsVfK/tUYlHWgWy4yuzMb8O9DhFL16qOvO2MIBrkep21dEzd0FbovZya/qR oM2lzE7Xh50kNAY8DRG3/LKdJQhosIqdzmw3DPC/GC71LkAQnQpL7YXcMAXK9nkrZEU3EvU7Xdch V1gmxu5MsHkTvNeQGTpRNQAnMG0xAbo45PAd6imS0D87r3IpTtxwxIijbnXHUZKEtm1v1YdfhesC bh8FzTn35Y5pHgDOpH3WQpvq7zsOYTng4GurQixAkQbrBjCikrXof2UBMCuWTRJVF3e9eendazAx t8T5fLYo90onU2wxhpRV0gc7PnEsq8u+XZ8Lc1hk6QJJ3H1dYQctXnu0ZWkrzrmPkvMZOaHvX56Q hfKOwcxWz07qTEIV7AfXcbIZylx82iyEz9T9YKcHnShB4hFj3UFdb+jbaxVssMPFoo3UxLmLSAi/ JiSzsSJ2q+m33TuMljFGigDiNIda+UO1HfkpK+tocd5ovgfg+cac3AxKEGjOuDQLJEvVL8yIB2bK A953i2ZNZNLDOvvqDyWFPGP9MbY0fCZOaK/d4qOxPzxFzk+jJoPwYsAST/WvA83R4jXaagq9Ae67 ovP+d/q97bV2rpqunum96rPDByD5sbsUFTkFDa4nx/8h67Z8aOCVKr+QnY7LLLYouDkYg+xVHVHT 9E6NDIrN1Mh9Ybcev0nMwzwm8Gxn41/nKPiIDN5kPpuAS7/mwXmJigS4qy0PAXXfiZIonR3RYjrG 6TaRD4ZuGUs3kvkMs+fw4U3hsfNHR4U1Jl69DgOQEq7SQbYnO8ooTplCZmVdltvW2rQfsdQFRmjH JB1h1pB/PslFILr1oOEx5o0QaLvCzcxOy+I3CTjEL35aIDiGn9Xvt8kPhuCAV874Ce1DJmjQ3XVO +MXdXJJZMiYeHgqvw7YSuwV3uDXr3+OpEYjey/SK1r1drvC4J4qFlIg6yudywRuecdOUF1ho4mEG hH11HJDkHk64XPICQvZwdU09HQ3X/3hGOkjdFBQ5bNZgQZprAbrae6VPJ7fjtfPUqI4nzwmHFLbh wmvZj8TnHx+En3AQhW3ZIP62gzYlPrzN8emH/byQtVKX3SINj7s49zL4TdyFOg952FnE7oAXhMv7 971gKJ3hZACyQvzR7VIqMHAleq2UGcWmwxllGBTcxUfM0kMiNcJ6O0ZsqAbTLLAsLdB3tAoUrLVx 2CebHh2d62uPZcZhgG8zXJSK8GLHbBTHr4tiB6NJ4rQxwKJuxPqXdgt+AIP/b6FdVJp1P//sy6ZH hvt3R/iSoMDs1FCkIcPS84V6IhC5X02gk1Gzj3xDy+I8KP1XirAC8sCnAkHr7KN7cJmqxpcU6fm5 gmCzLMrgV1xqUxdexHgy3g0Cf4COG3Ut8T+ml9ndMnG3tSZGZHmJX/BmM/05IeB3+0+XtllsFF3l jwK1Z3364udweZGkgGzQTXqUQMwXC/35bh0PJ4azbgVDfEMIbPQoPsGXgUmgH7L8U7s3OQ5TNaVS zY6kmbIEMUmaOc36dGGaq6x/s+yHdr1riXgymY/iUlNKpp90LuLwc0SIM6cwsY1XFeTXV19Plh1g sTG8GVsKg1BCOEtcLl0/QQSJI57vPJfAU44xoW3D5yFsKeYWQiHky0BtnAJA2MuDHlfR8o3FQ/KN sad4Zq6N3Obak1zXNIQ0abcKOa2j36GK5OL+AEdIffs/519dJWLwMmKaEIMiuzChg47GY/ZZxjmz n1MumNjLEWndhBsUuNa20ox2aCx1bYoAgNCyjewsu/ehYJE0Nq+2bDZGImKKGEbLLatHu6ciIbGl dTddcTfSTEOk/GNCJzXtxYbNb87a26WtNXWZ8AQt6KxyGnC589sjQhfHuM+M6igzeYPA/2U2IokU 0uNKrRn9FlN7d5JL7X8gHzNJcvXBBm6BKC68CCWMbZLGi3lpjl1GD+MqX/fb2ZlaxgHjK6iqQsx7 9TW4fIA1FVq3eUKHemD+BcLjiKfhD1OnRTp1GUEsqyKpUGayGbIerswNc6NHn98G5/qHG7ltjhpW SCbWM8VJR4A5AFq2lMjEk1vaW8//IdO8F4vb3XmvEB/a2bF52MRgNwX5Q4HJy3FLyVaYNgzkpzoi WjabIH2NaR9qPN70543HLTTc9RtEi4Nwaa2Ubc6ehp4kW+cERU8vwvfSrC8HAqHpo/+MMb8b9VfQ /4HwYQvjk62nz9f+3e9eqivFcJaOkU/rPlBPbk0mUNsoZRV43KkvtgjOJkmEKfCA43GBD9Sl9Jjl 8+pMvtoLjxzGrvGRDAi3ojsUa2oVBrndPKbJcHO6hOSwnf36gKEk40FwwHX3uKcaHcl9AB75csiZ ygHrPWDFUkszlG+fwVV2WfIQ9hzusovu1KLN4pPs4qGsaUT8OYbUBPnKAbwJu41rIvhlLkcedzkm aQnAs6XkvuyCmv+ITk4AXv1cWQTzh5D8TGa5OAghSBdyJ1qgX0A9OPXwG5Nm3zAFUiTlrhyG3ZK6 9seiWLWOL3vii0hSpCE56ZmGlPyLuWSoY8CrCDiWTx+5rRWkFveJr+5XfAs8OeTG7w+39SdH0zVk Ww+YlbEi1BYrrLRN4Mojy5M+tZPuo+lnSQHRuROQm2EvqCQQ5AmQY2s5AoT9zoFz1EEilv+E6gSz GiX6vU+mV7GiQbgu/WOH6gUJO7NEyd4byjj2+a2pE69ngiAVhVqUJIlnNp6kgcQ62KwGPSgeX8gn wFdgNu1T9oReFubC3cHnOfDVGanP5dkUbr33eIU1XHMSsgOmGap4/17S4HSO4BcQUDYUAL28DjOP FbVt8wjWMtYGd0MIIfkZ/zx0otqiCWepAjAuZCOKQX2Ixs+sNVuPNLD3YwCCDlxhS3NP37rbbN/q ovyAlc6TNm6FNgKCgShZ9uF6n3hQ1FnotQRsElOgJzZ0IsImor+gD2/NLgzqqEMq4/q05Mq4x22q GCfZ7lVfeQp4/eV5MD4PDmRpNsb8COqQmjbEgHwfsc0W0CEGBkZ/hptjRAb87d52EBR5rD3eDczZ qzI8//aSyzFlh9G34bJ+URndCiXyQxebh7qDCE0B6dNZ2GvDRpnH68ndWFKzKV/uOX9WpPYC/qLc SV/Lnb43BMMqSbtwiVtm5MZYCBXAIrIeWxY2CNqoK1e0HCHhxLWigOORNwIA12nF9Y6W9C2EttcB ++fREtv/ly8Vi8STAJ7mHPicjhcxJ3dBNvd+07rpk+7hcKaNpNzYmUb7WkoEIYcxUZ5x2xCaQ1gV pfmDaaWLc8IsTfKaqg9wjO/CpiP5JBjpJvk0ADRC1rlb5hJRxxQjaJD5euPGoCEravqOOnAxHeWK CQFcPoQ2yDe1sIwzAU6Y+zFD/YvOunXeTv4j5unG7bCgOOJwMdNgv30oiouTAxDWSniORzYTS0Sc mag+XPj7Tqu51JtJRVyyaPzTvurWThMqUQ4RQlTNOh+lQvBlT+PnXuNionOzwj7szi9Gu4ZuqdqD aaVXo5wg1mcJSR1o1yCIVJRfvMhm+pOVLi7nlyajs0NIZmaY3jIWUlVkeoZ/7XSYu1td8+iQ64ke AYToePuNlhC9peqqPGxz3+wsJdmMZ6XmCbOiA830LgjNhnk7EzC/dF0CGugwdVtch3uD2akEiG90 lOl1QQEgAcp5lw/ZzUR6Jtu3mC9d6d50avic26ZTCfCtais//H/trza+WwJt2kZFO43eiRjoNFb1 9oa8wsr8dLgWon+KTFmU1bFEUwHeoLXbL1dRWIPDNs5KndFVCvDHSKIkW3g/nHQbTpDp0g3FwHIh BUesAa8XletKv3rrWSVNPTo6AGyoEUV1a1LOH6BFL/rseezwUMDHV3/BQZPXGH/ZMeBDIeqeXFCF B5yXhdZQvp1TQ6hk9Jh8/YbNNZVi6+eqjIDdtmGWZKe/bP6iNLTL0nX57cj+SAHKqw76uGztAJKg 78Y/6LBUENiesBnKCFei1RJw63vZ0jd7Ra8mV9stdLaLD/eNOr7x/IvPMAAorUJIF3XW92DD2Gfb lA1eY3FFypYvdrVx3s/mVlHRJ5BJZS5FBTJKIwrnt2EwmCASJeXWxTT+S7N1vcl6intNqgvMAXeU It1UJPN+ESjY68081QqgZVIMIWCW1LDSiM47FleVsCT/FNvYy2KO4XPDjBtbC/xt07i6Yp4I47Zy T78yi7ZO3f5/CNz7s9WAi9UXy2uRFmipQe2gc9GiRRWwFF7MEvgFy/S7KI1F7AXDGPkPy0gUSJtL RcTPU4jtRDrxqb9PWcsdgL2FC1zJ97zL350mq6nXOyMAoTeriIKU8+G7ZsqqEPxVV6MRE793MKc5 /EgTSo7bLr+K9krn7gj6NtNY+YhQkK93EobQfoH9q0W3mPFprl8qRj+ldU3oVTBxmKArAh4CgszH nIqJ3U9yODM1GHmB2Tyb65QROLUCTJ9wSMunIznyJl6kKFz8qtnYUnroQ4b+6gfit8LtJzHEp+O9 LRoHb2BFDpSVwQwLVCLsE8vyqrcdTAcj+DJHHKYgbtM3al0jXloNpv3H+bObUPIVCx18pIozGppj apC7gSFpVLKGjf0R5w3l50uI49LByjHMJKha5J7bcpcnOab2io05ez0lS0LqSTl0bQVgFJdnDqXq Kmko1Ygv3idOqq0T2A1YBF2FhH0rvzC8fVEBPZbnueWmrNq9wMwwoO/czVRNh3y4S8nDuapE5Nme qLODoH1kp34QpUWfq0AU2vHaUrXk+lKcYdD7JKQtLuigrPW1RQi24QNxkfaIlebkNz65VfWEc20y OKdAWr5RTmFV+nuhrhlBc+zxeQ+2+rUl0MT2gycW1Et55lEEtowWKCQgBfrQGKxCYTvAASaUsJ3U dq79Qow5/+ZPtvvhr+kv3PZjdQyIl/UBIvnuLA/gkHgxtGr/XgQbPnIOXORGUyRUnU2/xEbUB7Dp Q3eYDxyNo33Lb3JLdXsuGjlBLcsbIqK46rk2tJcgLzlSHzpJFEVKzcbcvWTZg+lv3c497xey+jWJ x228Mp29kkWeYL4jvU8mYn4LFZIqzFg93goOmFA53MVkdYSKfAKU+quR9D8qIOA2M253+TbYDNyW jDCkNZXkwEN2eYUhlbGvMAbS6iGgldFgIb7oK2fg41qDbX4Nfq7vL4T0CZsleiYONYp6h05SoI4i iuEigN3vNIFVJEb0yC6C90ZeQby4zyLv4oFKcavKEB7n+Q6hk3HwMpKS1TuyeemptQxGkEdbmPxg bvostPAqUhN6H33teXFzTYda13nhXcpPCnAL1x3eEHnfx7z3P0M7Dbmi68Va+wMhb4YirJE2cz/D Z8kILYohC8srJdNxI7DnuEsLvmAkvbNePgJ7xxAH5D0IcksI8HcqtO3f5nitr3sfS+KpoBd8MjKj IScs5B0tJTgeBwKCsyWwWh0ramt4cTNKuZKRAmAOkOcCLYyt2QEaBL9rE3RlSViq2RcDo94zrMvi 43ZZlgvC1MvJfJKF93FYBQwEeSBvHEz0CIjIAtKIOfb8jKo4uHjYDBMakcpWq9jBoncH9XjuJutW 95io2+2nfgMJtxjWeT7JuHlzPXs2QfMaKLp4giHQ8gn1NNgvbGzD7UCqBgaCDTrRouLKhTWdunsP r94DO/M9yq4rXdFNJhD/GTJ2J5VTYWeo4HmA9HALeFnJkBTC0xChnEC+vfKsMlt86BmroR5buV18 Vl37xd+VfJzhtCY7Trv05HbWx56SfbsBYtV8pwPlm5vJC55XbNGf1CjIfvZRJRZ1kS+nlEPyA7aH bx1mWh+2ZvTU/Mbb/T6KJ2V4DkNkcL1sImp/QOk96x1TMV1bmBvN74XWm27dgq+vNpPSIcjguaJY dCUVcYXVmdmeSyaCkshR7c9QeFH6RArAwaXsDH2/SnhW48EIHf6jN/JKdkyEPAy5JcVKHCE1X1Vo ckV+NP80wcUspjHgy2Qfx7HwxJhsrnx+tFEXSVTyJkr2cpvgfCVLbf+zvRhX8G+Pl4IIEGDPWFtc 2ExXr8Uap0XvQWy/ZI1mlUq+alWgxtG46UL8UMKewx3IXzKPGtVSFGECj7TUmDxGBU8zcpt/zQxx NzJY8xA5wQfmKal8vijFqt5ylULFLKybHYuUb0uQkuwGGX5e1V0tRZgJIpazAnVa85uDlFtuQaQZ 5ApxsxUz6JIdbXP/b18RGNRffxE9pz1ewm3X3BLlJrmTTaUUh4tBJjU9twc7syg2qP1EvMpmWJ4Z lLcJHPhJk2Fuu5J8IJWsoT/mViUxvPgmYv5h+ozcCnIahgJiNhDIu3QPi7pIDfSISYBWyvWx9c3Y U0761Sjr1h4qKpmIBLeQJshTUkYZ3UA9FhpZI8/5J7mi8HeB2ZtD439lDekRaVDA4M5vqsz3otzP EmGMTsVSrUgc6Zy1lg+QPYMyEAJKBpk+6lhUMgCMT1GniVg7HeskfsVqjZQVS0tOYZGWUVfLHPhW U3YSu6QW6zyUZM8zeuZZLoGZitBczUBQPENWFPGb9yJhwNZVjf23hfo6tL3xiPZhxbklBn9DokVi 7jcAYXvZ8jdk1rpcuWi+lYzylzhXCCw/8EMPR+TUjpsP3tgWykfWw84zN05IySDQHy9WfKJuy77U 3onY070mEIOBPNRyuq127CnBnVvQAatOdJPjoHuwE8FEnI2/QH5y2U7SNrdMFe26v5UPiW44Fp9c 9L02abb47C/rE1F4NQzyLeIR2Myt3M4C5GVXRw1vRfaTd0H2dP9bgy77sUCVV1dQtGkGFW5uQfiM YiGjnriL3au/C6bRFvmdnkfh6YXAFxOoJBd1p7+Sg6EADs36MRLD8qtwyfTf5kUNSXOZ1EOOLTw/ Dij/Hl6kfH20Qk2cYDD42NkyrQFQJsrdUhdp6yBv3B6lbFXtCZdvn2AmiIxOAL6kPUcTHkHTutmK brFyYfSD14tm+tmoRFtNseh5eIENyqay91kD4+W4ykRcaCjGehN5udMYLgBIaCCIk+5EHEKrR0eY aBi4fK/LZCIMNTfj+6EC6h831hjTreEdZk0kTePnDskRtAsr/n4+m1hd0ro1ringow1uaWTKglRt HEYJ3vYpX75lI03rzVz8a1hQpdwIkShqnW5teJu7RVqul9+tCYXlJbV+1qt4ngRcPA20xvbbyz92 ApAxo2YcSa1kCEXIRIu5mtZ33dYSareH2dZya/7KfUUL/NbEl102mNamq8fyE4g9NqjEJF9C0kRZ 1qVt0UHLpeltcBuJiptsGMuTDrPDyJIui/XjP8O0hW4/UsZbhy70ihikMFw9G55tlTli/p9RHenZ exCKJq+CY+uxLi7KoYWll3AFHXxRsLpu1j5kmTe/dCnrwMKqW5LA5l/oDygqMyVSSiVTQEVdDDfz NYjsFxhi7MnZ5G8wcJ6wLS1W0kYuiLGsctB1woa7MsiuqyDg7g+gBHOlOO4Oy2c2EGJcDGbnILk1 p0CbiAFmbqT00E1VETTKb6rs8EvhI0a8aQDeZYTKFt0ibkGcV/JWNQ2KXq/LfNJveuuwWf4Wclp/ 3Lc6oum3yDGCbCeAsti2pkfqkLdjmI4KqQvnQD7LxtGKBgBHKbQoIFLLgqlhPKIyKRQrPXnFC23E tBHnt4HKnnbdlJlFsDI28A2P/3lefiIzvXExekVzFhMbrcgjbU3uwGzJU+Myso12k2tKnD8D5EBM dphc7ne6hCo5+r+58GFA22johyxtnyar01jCmelR1wGffB/AtAMtbwOaIsm/6D6gUrF/jpj6LJ8p iY8AHL5KjPAlDDNgJ4jPb1w6CJKIPFdzgdc3Z9LnF3P/cHcbPVkR3ZaNwB1bKYTVLoII6n2z8YH+ JTd+EsAidX39PhYADl/pwQwxv6c+biPEPO1QsZXFbd4i51lm2Pfyt8BTMBWqyNn8pJ5C/0Rdl0pl EUghhxNN2imgynIfmRCnmXM7OI1Lxkfy5ltzRJE9bQrEzH3vwOlSZgaduu7Cao1xU4vMJIAobu3d V0JjCSWt4o8OAt5CrJv0JpRI3GBNw2RDdjAV44q6BSTOl7lNpdzn3QYyw+RQ728SwBkHenEUnJdx `protect end_protected
gpl-2.0
c34d7099ab076786731145104de7ae14
0.951017
1.824759
false
false
false
false
r2t2sdr/r2t2
fpga/bd/hdl/ps_wrapper.vhd
1
15,146
--Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2017.1 (lin64) Build 1846317 Fri Apr 14 18:54:47 MDT 2017 --Date : Mon May 15 23:35:17 2017 --Host : beta running 64-bit Arch Linux --Command : generate_target ps_wrapper.bd --Design : ps_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity ps_wrapper is port ( DB : out STD_LOGIC_VECTOR ( 13 downto 0 ); DCLKIO : in STD_LOGIC; DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; I2S_bclk : out STD_LOGIC_VECTOR ( 0 to 0 ); I2S_lrclk : out STD_LOGIC_VECTOR ( 0 to 0 ); I2S_sdata_in : in STD_LOGIC_VECTOR ( 0 to 0 ); I2S_sdata_out : out STD_LOGIC_VECTOR ( 0 to 0 ); LVDS_ADC_A_D0_N : in STD_LOGIC; LVDS_ADC_A_D0_P : in STD_LOGIC; LVDS_ADC_A_D1_N : in STD_LOGIC; LVDS_ADC_A_D1_P : in STD_LOGIC; LVDS_ADC_B_D0_N : in STD_LOGIC; LVDS_ADC_B_D0_P : in STD_LOGIC; LVDS_ADC_B_D1_N : in STD_LOGIC; LVDS_ADC_B_D1_P : in STD_LOGIC; LVDS_ADC_DCO_N : in STD_LOGIC; LVDS_ADC_DCO_P : in STD_LOGIC; LVDS_ADC_FCO_N : in STD_LOGIC; LVDS_ADC_FCO_P : in STD_LOGIC; PHY_LED0 : out STD_LOGIC; PHY_LED1 : out STD_LOGIC; PHY_LED2 : out STD_LOGIC; PL_PIN_K16 : in STD_LOGIC; PL_PIN_K19 : in STD_LOGIC; PL_PIN_K20 : out STD_LOGIC; PL_PIN_L16 : out STD_LOGIC; PL_PIN_M15 : in STD_LOGIC; PL_PIN_N15 : in STD_LOGIC; PL_PIN_N22 : out STD_LOGIC; PL_PIN_P16 : in STD_LOGIC; PL_PIN_P22 : in STD_LOGIC; clk_12mhz : out STD_LOGIC_VECTOR ( 0 to 0 ); clk_idelayctrl : out STD_LOGIC; gpio_tri_io : inout STD_LOGIC_VECTOR ( 11 downto 0 ); hdmi_out_clk : out STD_LOGIC; hdmi_out_data : out STD_LOGIC_VECTOR ( 11 downto 0 ); hdmi_out_de : out STD_LOGIC; hdmi_out_hsync : out STD_LOGIC; hdmi_out_vsync : out STD_LOGIC; i2s_mdk : out STD_LOGIC; iic_0_scl_io : inout STD_LOGIC; iic_0_sda_io : inout STD_LOGIC; pl_clk : in STD_LOGIC; sys_clk : out STD_LOGIC ); end ps_wrapper; architecture STRUCTURE of ps_wrapper is component ps is port ( DDR_cas_n : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; IIC_0_sda_i : in STD_LOGIC; IIC_0_sda_o : out STD_LOGIC; IIC_0_sda_t : out STD_LOGIC; IIC_0_scl_i : in STD_LOGIC; IIC_0_scl_o : out STD_LOGIC; IIC_0_scl_t : out STD_LOGIC; GPIO_tri_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO_tri_o : out STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO_tri_t : out STD_LOGIC_VECTOR ( 11 downto 0 ); I2S_bclk : out STD_LOGIC_VECTOR ( 0 to 0 ); I2S_lrclk : out STD_LOGIC_VECTOR ( 0 to 0 ); I2S_sdata_out : out STD_LOGIC_VECTOR ( 0 to 0 ); I2S_sdata_in : in STD_LOGIC_VECTOR ( 0 to 0 ); hdmi_out_de : out STD_LOGIC; hdmi_out_clk : out STD_LOGIC; hdmi_out_vsync : out STD_LOGIC; hdmi_out_data : out STD_LOGIC_VECTOR ( 11 downto 0 ); hdmi_out_hsync : out STD_LOGIC; sys_clk : out STD_LOGIC; PL_PIN_K16 : in STD_LOGIC; PL_PIN_K19 : in STD_LOGIC; PL_PIN_M15 : in STD_LOGIC; PL_PIN_N15 : in STD_LOGIC; PL_PIN_P16 : in STD_LOGIC; PL_PIN_P22 : in STD_LOGIC; PL_PIN_L16 : out STD_LOGIC; PL_PIN_K20 : out STD_LOGIC; PL_PIN_N22 : out STD_LOGIC; PHY_LED0 : out STD_LOGIC; PHY_LED1 : out STD_LOGIC; PHY_LED2 : out STD_LOGIC; clk_idelayctrl : out STD_LOGIC; pl_clk : in STD_LOGIC; LVDS_ADC_A_D0_N : in STD_LOGIC; LVDS_ADC_A_D0_P : in STD_LOGIC; LVDS_ADC_A_D1_N : in STD_LOGIC; LVDS_ADC_A_D1_P : in STD_LOGIC; LVDS_ADC_B_D0_P : in STD_LOGIC; LVDS_ADC_B_D0_N : in STD_LOGIC; LVDS_ADC_B_D1_N : in STD_LOGIC; LVDS_ADC_B_D1_P : in STD_LOGIC; LVDS_ADC_DCO_N : in STD_LOGIC; LVDS_ADC_DCO_P : in STD_LOGIC; LVDS_ADC_FCO_N : in STD_LOGIC; LVDS_ADC_FCO_P : in STD_LOGIC; DCLKIO : in STD_LOGIC; DB : out STD_LOGIC_VECTOR ( 13 downto 0 ); i2s_mdk : out STD_LOGIC; clk_12mhz : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end component ps; component IOBUF is port ( I : in STD_LOGIC; O : out STD_LOGIC; T : in STD_LOGIC; IO : inout STD_LOGIC ); end component IOBUF; signal gpio_tri_i_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal gpio_tri_i_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal gpio_tri_i_10 : STD_LOGIC_VECTOR ( 10 to 10 ); signal gpio_tri_i_11 : STD_LOGIC_VECTOR ( 11 to 11 ); signal gpio_tri_i_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal gpio_tri_i_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal gpio_tri_i_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal gpio_tri_i_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal gpio_tri_i_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal gpio_tri_i_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal gpio_tri_i_8 : STD_LOGIC_VECTOR ( 8 to 8 ); signal gpio_tri_i_9 : STD_LOGIC_VECTOR ( 9 to 9 ); signal gpio_tri_io_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal gpio_tri_io_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal gpio_tri_io_10 : STD_LOGIC_VECTOR ( 10 to 10 ); signal gpio_tri_io_11 : STD_LOGIC_VECTOR ( 11 to 11 ); signal gpio_tri_io_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal gpio_tri_io_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal gpio_tri_io_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal gpio_tri_io_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal gpio_tri_io_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal gpio_tri_io_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal gpio_tri_io_8 : STD_LOGIC_VECTOR ( 8 to 8 ); signal gpio_tri_io_9 : STD_LOGIC_VECTOR ( 9 to 9 ); signal gpio_tri_o_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal gpio_tri_o_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal gpio_tri_o_10 : STD_LOGIC_VECTOR ( 10 to 10 ); signal gpio_tri_o_11 : STD_LOGIC_VECTOR ( 11 to 11 ); signal gpio_tri_o_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal gpio_tri_o_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal gpio_tri_o_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal gpio_tri_o_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal gpio_tri_o_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal gpio_tri_o_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal gpio_tri_o_8 : STD_LOGIC_VECTOR ( 8 to 8 ); signal gpio_tri_o_9 : STD_LOGIC_VECTOR ( 9 to 9 ); signal gpio_tri_t_0 : STD_LOGIC_VECTOR ( 0 to 0 ); signal gpio_tri_t_1 : STD_LOGIC_VECTOR ( 1 to 1 ); signal gpio_tri_t_10 : STD_LOGIC_VECTOR ( 10 to 10 ); signal gpio_tri_t_11 : STD_LOGIC_VECTOR ( 11 to 11 ); signal gpio_tri_t_2 : STD_LOGIC_VECTOR ( 2 to 2 ); signal gpio_tri_t_3 : STD_LOGIC_VECTOR ( 3 to 3 ); signal gpio_tri_t_4 : STD_LOGIC_VECTOR ( 4 to 4 ); signal gpio_tri_t_5 : STD_LOGIC_VECTOR ( 5 to 5 ); signal gpio_tri_t_6 : STD_LOGIC_VECTOR ( 6 to 6 ); signal gpio_tri_t_7 : STD_LOGIC_VECTOR ( 7 to 7 ); signal gpio_tri_t_8 : STD_LOGIC_VECTOR ( 8 to 8 ); signal gpio_tri_t_9 : STD_LOGIC_VECTOR ( 9 to 9 ); signal iic_0_scl_i : STD_LOGIC; signal iic_0_scl_o : STD_LOGIC; signal iic_0_scl_t : STD_LOGIC; signal iic_0_sda_i : STD_LOGIC; signal iic_0_sda_o : STD_LOGIC; signal iic_0_sda_t : STD_LOGIC; begin gpio_tri_iobuf_0: component IOBUF port map ( I => gpio_tri_o_0(0), IO => gpio_tri_io(0), O => gpio_tri_i_0(0), T => gpio_tri_t_0(0) ); gpio_tri_iobuf_1: component IOBUF port map ( I => gpio_tri_o_1(1), IO => gpio_tri_io(1), O => gpio_tri_i_1(1), T => gpio_tri_t_1(1) ); gpio_tri_iobuf_10: component IOBUF port map ( I => gpio_tri_o_10(10), IO => gpio_tri_io(10), O => gpio_tri_i_10(10), T => gpio_tri_t_10(10) ); gpio_tri_iobuf_11: component IOBUF port map ( I => gpio_tri_o_11(11), IO => gpio_tri_io(11), O => gpio_tri_i_11(11), T => gpio_tri_t_11(11) ); gpio_tri_iobuf_2: component IOBUF port map ( I => gpio_tri_o_2(2), IO => gpio_tri_io(2), O => gpio_tri_i_2(2), T => gpio_tri_t_2(2) ); gpio_tri_iobuf_3: component IOBUF port map ( I => gpio_tri_o_3(3), IO => gpio_tri_io(3), O => gpio_tri_i_3(3), T => gpio_tri_t_3(3) ); gpio_tri_iobuf_4: component IOBUF port map ( I => gpio_tri_o_4(4), IO => gpio_tri_io(4), O => gpio_tri_i_4(4), T => gpio_tri_t_4(4) ); gpio_tri_iobuf_5: component IOBUF port map ( I => gpio_tri_o_5(5), IO => gpio_tri_io(5), O => gpio_tri_i_5(5), T => gpio_tri_t_5(5) ); gpio_tri_iobuf_6: component IOBUF port map ( I => gpio_tri_o_6(6), IO => gpio_tri_io(6), O => gpio_tri_i_6(6), T => gpio_tri_t_6(6) ); gpio_tri_iobuf_7: component IOBUF port map ( I => gpio_tri_o_7(7), IO => gpio_tri_io(7), O => gpio_tri_i_7(7), T => gpio_tri_t_7(7) ); gpio_tri_iobuf_8: component IOBUF port map ( I => gpio_tri_o_8(8), IO => gpio_tri_io(8), O => gpio_tri_i_8(8), T => gpio_tri_t_8(8) ); gpio_tri_iobuf_9: component IOBUF port map ( I => gpio_tri_o_9(9), IO => gpio_tri_io(9), O => gpio_tri_i_9(9), T => gpio_tri_t_9(9) ); iic_0_scl_iobuf: component IOBUF port map ( I => iic_0_scl_o, IO => iic_0_scl_io, O => iic_0_scl_i, T => iic_0_scl_t ); iic_0_sda_iobuf: component IOBUF port map ( I => iic_0_sda_o, IO => iic_0_sda_io, O => iic_0_sda_i, T => iic_0_sda_t ); ps_i: component ps port map ( DB(13 downto 0) => DB(13 downto 0), DCLKIO => DCLKIO, DDR_addr(14 downto 0) => DDR_addr(14 downto 0), DDR_ba(2 downto 0) => DDR_ba(2 downto 0), DDR_cas_n => DDR_cas_n, DDR_ck_n => DDR_ck_n, DDR_ck_p => DDR_ck_p, DDR_cke => DDR_cke, DDR_cs_n => DDR_cs_n, DDR_dm(3 downto 0) => DDR_dm(3 downto 0), DDR_dq(31 downto 0) => DDR_dq(31 downto 0), DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_odt => DDR_odt, DDR_ras_n => DDR_ras_n, DDR_reset_n => DDR_reset_n, DDR_we_n => DDR_we_n, FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp, FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0), FIXED_IO_ps_clk => FIXED_IO_ps_clk, FIXED_IO_ps_porb => FIXED_IO_ps_porb, FIXED_IO_ps_srstb => FIXED_IO_ps_srstb, GPIO_tri_i(11) => gpio_tri_i_11(11), GPIO_tri_i(10) => gpio_tri_i_10(10), GPIO_tri_i(9) => gpio_tri_i_9(9), GPIO_tri_i(8) => gpio_tri_i_8(8), GPIO_tri_i(7) => gpio_tri_i_7(7), GPIO_tri_i(6) => gpio_tri_i_6(6), GPIO_tri_i(5) => gpio_tri_i_5(5), GPIO_tri_i(4) => gpio_tri_i_4(4), GPIO_tri_i(3) => gpio_tri_i_3(3), GPIO_tri_i(2) => gpio_tri_i_2(2), GPIO_tri_i(1) => gpio_tri_i_1(1), GPIO_tri_i(0) => gpio_tri_i_0(0), GPIO_tri_o(11) => gpio_tri_o_11(11), GPIO_tri_o(10) => gpio_tri_o_10(10), GPIO_tri_o(9) => gpio_tri_o_9(9), GPIO_tri_o(8) => gpio_tri_o_8(8), GPIO_tri_o(7) => gpio_tri_o_7(7), GPIO_tri_o(6) => gpio_tri_o_6(6), GPIO_tri_o(5) => gpio_tri_o_5(5), GPIO_tri_o(4) => gpio_tri_o_4(4), GPIO_tri_o(3) => gpio_tri_o_3(3), GPIO_tri_o(2) => gpio_tri_o_2(2), GPIO_tri_o(1) => gpio_tri_o_1(1), GPIO_tri_o(0) => gpio_tri_o_0(0), GPIO_tri_t(11) => gpio_tri_t_11(11), GPIO_tri_t(10) => gpio_tri_t_10(10), GPIO_tri_t(9) => gpio_tri_t_9(9), GPIO_tri_t(8) => gpio_tri_t_8(8), GPIO_tri_t(7) => gpio_tri_t_7(7), GPIO_tri_t(6) => gpio_tri_t_6(6), GPIO_tri_t(5) => gpio_tri_t_5(5), GPIO_tri_t(4) => gpio_tri_t_4(4), GPIO_tri_t(3) => gpio_tri_t_3(3), GPIO_tri_t(2) => gpio_tri_t_2(2), GPIO_tri_t(1) => gpio_tri_t_1(1), GPIO_tri_t(0) => gpio_tri_t_0(0), I2S_bclk(0) => I2S_bclk(0), I2S_lrclk(0) => I2S_lrclk(0), I2S_sdata_in(0) => I2S_sdata_in(0), I2S_sdata_out(0) => I2S_sdata_out(0), IIC_0_scl_i => iic_0_scl_i, IIC_0_scl_o => iic_0_scl_o, IIC_0_scl_t => iic_0_scl_t, IIC_0_sda_i => iic_0_sda_i, IIC_0_sda_o => iic_0_sda_o, IIC_0_sda_t => iic_0_sda_t, LVDS_ADC_A_D0_N => LVDS_ADC_A_D0_N, LVDS_ADC_A_D0_P => LVDS_ADC_A_D0_P, LVDS_ADC_A_D1_N => LVDS_ADC_A_D1_N, LVDS_ADC_A_D1_P => LVDS_ADC_A_D1_P, LVDS_ADC_B_D0_N => LVDS_ADC_B_D0_N, LVDS_ADC_B_D0_P => LVDS_ADC_B_D0_P, LVDS_ADC_B_D1_N => LVDS_ADC_B_D1_N, LVDS_ADC_B_D1_P => LVDS_ADC_B_D1_P, LVDS_ADC_DCO_N => LVDS_ADC_DCO_N, LVDS_ADC_DCO_P => LVDS_ADC_DCO_P, LVDS_ADC_FCO_N => LVDS_ADC_FCO_N, LVDS_ADC_FCO_P => LVDS_ADC_FCO_P, PHY_LED0 => PHY_LED0, PHY_LED1 => PHY_LED1, PHY_LED2 => PHY_LED2, PL_PIN_K16 => PL_PIN_K16, PL_PIN_K19 => PL_PIN_K19, PL_PIN_K20 => PL_PIN_K20, PL_PIN_L16 => PL_PIN_L16, PL_PIN_M15 => PL_PIN_M15, PL_PIN_N15 => PL_PIN_N15, PL_PIN_N22 => PL_PIN_N22, PL_PIN_P16 => PL_PIN_P16, PL_PIN_P22 => PL_PIN_P22, clk_12mhz(0) => clk_12mhz(0), clk_idelayctrl => clk_idelayctrl, hdmi_out_clk => hdmi_out_clk, hdmi_out_data(11 downto 0) => hdmi_out_data(11 downto 0), hdmi_out_de => hdmi_out_de, hdmi_out_hsync => hdmi_out_hsync, hdmi_out_vsync => hdmi_out_vsync, i2s_mdk => i2s_mdk, pl_clk => pl_clk, sys_clk => sys_clk ); end STRUCTURE;
gpl-3.0
42996a4f7e77a66ba81e271b53e7f693
0.561072
2.565814
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0.vhd
7
18,733
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block emL7GeRro4na67OsU0lkZMYkx28NsGhLSauh0aCcFQ/hZFbnglEYRjoeyUd325DoScSYZggUcGJq bMhywD7pGA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block etyu805x302fM05EvwZMGnW9Th8+wdWqJaFTeXSmxMEkmKgr0m7B/3mCVU8peGC42v0rsfzLDxJE BQfS+2Sloa8mFbNm7FzpbpZfCeKOsFQvpiqR13fmB/ej+xcFMHtHG3XpxtCGAickuDd5ANRO77FI 6Q384nBFop2qkfZV+Os= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kRLCoeEX8gXga8mmebLwLp/XAh6VlLGiTlnW2PPBv5ExBzPXA6RsCl4BQZZFV7e5K1flINQYOo26 L8isf3LmLGTGTyxBhu1pec708N1/r6La4JPXBWY5ul3S4jczJYgbzYTFX25QMRLtWeR6te2EakCD KV/6ttZhV6wN7mMcgBBWTIxOooR8YT//AYP4GlzGOBpq8PJ6nRlJSLdA+NASHgDIFw9o+haRQEta pmzlUkpE7ba6Uwxlct8++0H6ak7eFDqp6t1i5fqF2pA4GnQUid54VCHeTABxZnVhjXBjtMPjbiS9 XD81ev5rgpPAD4GocFzke8e0Z1xek1e3FnWI4w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Cj2N3cFVtNYgX/LkgZNWyMmjDYjp1M1qgiepi/AFyNJ3xl0tVmKQ7H82xVUDMiYNAvO8keyyPS0u KF8v/RpChK0Rr7OnkJCI1S7k9Ijam5Zxsm6Ev5i3RaKIASHN5+YZAETzJyLZAwZCh0471enkl2jl j18pdxviJiWK/aFZwlI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FjJN2BLRvC3jr3Bg2bBdHgMENMjE9VqQpr5FtJslJO0xn20jNSxbNXLTjy/4bVRAY7cJaXmVj7Wb s2BNh0YS144WQjmoH683plxcKz1vGqbtWSV+PiGpFsQW9jWolcTHobachUrSpq99BoRJs7pR5PcJ vitYSxzs8OgUmQ6W2vsG4bF6CW+ONQ2bcM6wLXpDfkJS19cULra225GTPOZsBNxQU/E9a726Fzmo bU8JK2t1zbDqdv3r7TdesUoP+T5LgxWttSUPzmixpAtjgjBScmtBlXmihh34vJ4fvZUHBfwglbe3 8ltBm6mWXoN8ZoMFGro8IR4IROhI9j9JROXwCg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12128) `protect data_block d9rBStakAq0P+JNoU4G9wn4aMq0W535BfEWb6n4wpuat7g36GD5tn+on+5GC9YnY5WJNwm9l+IEG 2mdibQXnNNOrRwbjn4noLxzGCzWbmzKmMPZyapwiY3Rce3N7LPoIOk8ICUItDK9mCWwgKt8sonLf /x6Ou8LgZymE9JtkmoIEtYfXGbIyD6DuKeRvoKDcvSaYoruFgV8WJ72aLQrgYW7f0sTuREkd3ucF w8lAwMlV5cmcgF+TticaazPuI9Nse1DOnTLrixEbE5jqIP2MREoM1P45tPZYCNalHZ+g5JiK9wLm Kzz3F1nICg0IMnqmNKmrAx4qMUpWtECEzv4YomFtjOAJL0bGbBWRkLHBgvowyezw3+wY9DcGxCaG xKbRcpr0rnh2NMbp2WXd/ZwwJOi0XJx8Ri8/ecEUIZvZxO9FpU2urm3bWX236FnGBZsMWaeU1a+z GdgVAwqya0pHkLkOBl6ujJgD8wxvgmDtvLm7pIfKwIrfDPleXPlTaOow612nDf5zWdZCVIacEqCE CQrackI2FvPmTT/Cx2G9Lm4GvZKA8oZFDz1boRf+WtPUxQT+6uXTPOHCswPSJ2APbJDop5ijBW6l gkyMB6OTswotzXsyDG/VJRYrBDFhJopeh01b8LmC7kXW/fucByg7f83VlTjN1ie6bTWbW3s6PRH9 YouyqIcXaJoB/qrjp/WPmNt/Hj3/Wzih0Z/hPgkA7mu8ei2Kw3QGdqS2qDb3KmMjWczamCLCeq7e TFG1A4AL3iPNhiytmf4/2LzlZeIGKr9Y71rfgVQeAUWJ1HnZtMBg+roFnZjN+NhHCWzBnWuvkgGv cBKrWVUVXBATvmvsAymugduCvTbClfibp1aWIWOZVNiWWE4+w62xgvU5z3QpejurnJ3dimy26BSt MIeJnAn/TIprijjsB8sMXUqseJSrUDdMTdSPzeHO1U35izHoQtpN2oXej3GNWVnBnW6Mv27bhQAK qiU3J+UtXTupO/J2GnRCBUtwMXk8NybGiEGKMzKTvQTIVV8X9rR7OhGwUzad7VCBAYoNN159rGNB 9sURvXbKW0eVmuDMY1ZO2Jdd6ir9dwBP4n3pcDmO0XvwoQ47DCY1VtXvMbzs+8B8oMoFFgmcAw5p 60d5IBDwFodvHMM0f1jTdCiCqIVYKTXCc1z4dgmGw+8RrflzyfjLqQmfvKTGSxAFGYEYsN085Ljr Z4KU9ZOPU2UwCe2AezqHPCzoEAHJmsDDwPS2yP+OO1jb0bIcqIczOqwXU0u8n9JkEIp63OeALdWu 85S6NB7RB7R8+7G+cuNtym924jx7vQl9Do86Dro3bU5gfucDgXGnCjbC+wyPemEzrk48lWsW7zR0 vvgUw7pHgLk/+7EfhyrobF6hSg/pNGkmpnSx3wfM32xXQLO71vFSAH/9llcIpD3wehA1kQmFXieH AN5vrwusEM2P8K8G0ALvQdNL8oIDTOC29U54E9P8jB2KBFTc7bikygk5DPhVvb1e0Q0q2eX9AeVn ZN4Op/7yGbpgKdqMPPDhdAvVbrSndg2+q2rdn7l3yeIGphrLhaS0ZK6ilFFVy6dmhRXLD5eT5vw9 rW6suGQwsYvpGboBs9kwWvchxzl6rS1K5phdjrJCn1G1ELPVRanu190GwB2kDxLTO4jj0oZSTor0 qAgTtd+TuodLaF66hBxsg5BWrvktFr1Qw25JhE6eE1/mFzvZE6hU3Jxhifc8PdTO325087NEbmmV Q+W9RmbcC2TKZ8w/nHQvvOg3XBjFFIFEeFLKARb/LghrbH8QUy0MLN+R2DtMSHShZSzJgwEbd24L BoRqv0lGTnmjeU6nC/5lpTRrIj6ZrvSr0QNFGHv7pn9hVqB3GCfUibkaAqEURAmVscJLcjuCAyJr cgBEJH0syvSpVlF2b2dU7looQOcST0Byf1D9GcERyd83LVIibp/wrut1pStFHguzM3sWXYPCgUhZ 8f10Tyk7ccvAhjjEgitox+gkG2y+B5TjN7ZptGFDeDRCWqNADcft6XYUamMH3nJ95gDm1LMyqk/w BhPwJsr5nlhuYYHYJWxRl1VouQepVOUrNDvUwILs7nO8M/V7nmO9TCcWe9VqtUY93PsU1XahFFLb DWSGt5pBCSTPFl2kojGsibDiesa1A7zBy6ZFKToZD64CFj6f9+PRmRR97ggRoE3VlXkOFWI+bNIJ o7lC2JmXB7BR5T0cV7rMkBbE1H7oCRZ0RhZI0oAjVb72oSrOyvhuQ2Sod8Ok1pNbzOBGNoL0YXIc 1UgEVhJgqvE5Oya4mwB46+FTTW55i4mZZWzicSWSVrnLCxbQR4gxQXBeRnebLLeIHTkeWZaiUiF9 b3KSXhFfkRkeiN3pkMhSkWHhDvR2ODQYKgxsKTuODWatCvPvC8uhESO1DpK/qgyhtDapHokgoYiR pWa1wZzmG4GDlZOlHrdTGpCk6NhTxvv31Cuv5VGezATT34EdJOlIgIvrMEyxkS12NL493858cjlB kh37Xpm9WVv4xY6FeY55pPFfL9hTxQe+pb5lKJotE1DzOGw5l442sCBdCOQRfFccEsovrEzMR2cC fkxhQjFRgVMLZLdO54G3+O0ho+hjD2M6WPWXRG2TZh9hb4fOLr9YP2cmlMvYHyKQVKN/4P99lkX4 cRO42xM9U3et5CdoegVq2uUy+qXDbg0b50G399lGt/1mMKH6jcH5d54A55YjOYXgR0BFz0QD+U+e ylBUK6Lil1KvkkZ+Ydui7M2Lw1DmVEbKd43RFvmPk8XA0Lj6mlZuV/WKt1xau2GQpQMlzv+Eb8CM eR09qsfElhn8aoT4ZjPl+LGEqCzJnE/yVclQsJuu3rhwXT5xJtW0NZfKg4PNO/pDrlpgn6LDUIR5 47ZEs+frXkrSrePYYRVe1CtiqdnuMKVIa/sE3X8OVY7mvrtGy7mc4jWL2lAc4vCqad6z0oTaEYo/ cXN5oFGfSIEmYIschhCUSCsZUONYFYDSFXtQ8STmqVv65BzoaIrbUVCIJ7SKjaOloAYDD9scvlzY +ibDeeKVDVUqtU1EKndwwBhuZQ6PjT0eh87kQHzYxvEX9j8Mr3eqIsc6IIwxRYU5fWa6JbjZUN1j toK8p4wdyUDz3qLfTfHCbOcJxrR47mKeiTZsFt1m4Q3DSB2NoLwLsDBeEGuOQBJG6vxZFDF/ZJ11 A3Eg2ep+YlP482bSEgnb0VaC/tkN8H/h9ZIPeRtRUPBnuNQ7ng8G/jcdy11i4RGcg+27reiTNah/ xWJbxi6zkuj40N98NrXerf8roFZl1IiHvXkYU/Zmm6ZH1pJxVJszidJB58k40QEfNgiWpJFrgIyf L3EorkadcAZz2e6yin1JewM71J3zZx8npLSUv6vpkI3SJTR2rZ4WHTR6CwwaAytMQXQRhk4QZvss EG8yotzVtFpnml7ojdkIHZJb6PunjUuEOIW4crG1P7ksGF5jxYg1uyXDrbOaTHscHY4B4ZVEd7OD f/n8M8eUU+MaBHkp4AO67e1hg+k4tLeFrYNN18EBKMvYeV+L5ynmGYPF5EYex+APbm40js/jaCF/ YnWAn8Y7xkLgEjtzyDpndg7yH1vYRXx0QJv377xsvDPLf6tDBH+iOdRrweyzhuDpDTE9qZfa3D1n BjzxtGUzwlXS80XKsN5rog94O5aAZMqCDI+MA6lEv6TYSwj/WM2kSyIKQaIiYwr9zaF67r4asM4V UslSuC85sHnYLHvPBZM4MhA/gvFKyw/DCaQm9GdQQoNy4NRgG/Rvc6/lHAzBNCDw8VVGsHqBONe0 tvVYIPSzcXapLJCzqEOMAWHetL0VbfdOeTMxtTgayx33aigLuYXhCiMUW9+dqgy2SgSuxw0UpBJV raBKVvWL2UqFU5BzZxGnWC6C+lecPyW+yT4rbwe/Ux9z+t+j/i/41dNb33d+F6BPckC31Fy+Nmym YXV72g9Qk3FseHD/QsprcsiW3O7Wlw18wQHzQ9Bp5Zt3G8+DCQN7X/8LzkL50xntpv9fJv9MrFm0 sRFpxL8nbJwOJYkQIun7iLlA9quaz4Tkv2882D/cx5Pu9XKYvD+1p3QoTouk1jOEIRDuNJw+zME8 ShX3V1OLgh1TfrbTMvsW5RZVOcRw9cW8MG02VGjo+pyRmTRr53kI3zO1ONycSLFXzzQ3wSdRjqge yR8VM4Ps1TvpB4UHrbFe5jeKm4jJNl9iBOeyc4CoxCgglPK3TD3TUjYoFjzxyV56o7haWMLZHqjm I80uj1M3k9+pzhuT4VuR/ym/qlGQymVLJv3H+hE9Sg5dSPexNKoJ2qaWXlf/EGLlBCVghB1dJXGM hoQey7VT8o1hW1kWoMog8RoNXEXovcNaGInEvVHCZM9fBAklfjOnZJGR8alHUx9wg5AtBJIAuwLh Y2GRouXRG0gsC1dfrO9BuESOMyGLLyaxq4KVSfuCwwLyT//leH3OXMz/yVhdMUD9F8QtiYEj2iks 2OJootnVVlqyZtLSF8Tl587VMNMuttnvpd6CkRcQYAKy7HZA57LgltlO7XxVSSNlsUhuGT1e6YxI Xz0ikb5QSinXqheb+m9hoJuL982fog4WipS14SWbznO+F69wI173p7vSdkiggsh3SI3OXVBPcK4Z iI8va60iqH14mbbHrsDfH+sh6Osj+5E6q4hYYKc2Y4xFLc0THiJcjycd2JIZiFQgeXKxR/JCg0ar aVaJnt4A0YRysOf91aH/VGZ29PGmpyacSvsWMIMDX6ZgPTd68GWLXQhbwRGOfLHIZq3zUpQvjgvY seNDLChj3Xpayy+PgU5iDUDYRYRw2BgZzHkHB4dzK/FwdJ3o+6SFdwLchmgI86Kk8/2HB92Zk86I Ks5M+h25nDD9avZFNXpeLX3X4cRqTrzYuYWvkCrssV0yKQ1VWJMe0g6a5K7/emFOvaTGoz62Ep7X U6snHAf0RssnhJolSDm2o5R63JpPDoR7Fv1ZuDdQh+e14MO4eEUWjb/5X5//izigMqq0vNdK1g/t KNcrnbz+C7WwxYzwIUhXeupyTUjV26HW39wv3u44iZ9eigRedZiM0Md1JEXb2ES0aE3XmO7DdxqC lhgyZRDymrC52/lZCRF9ezzMQajLMnpP3jEGmrqcYmVRO695uIqmQJlSgaZdpiK3clrjdfBwBH06 Vu5vjzYQjVUtNzQDKnBAFzj25ki5LrHtesuYm7i9cS+Ff0hnrNQ1NTjcgg5YFnCtEIGSipTf4jgG HGD2LaN2i57ElFpq+rGiMPG5bZ9h2XrXGzg9lVsH5kxH4H0ztwJv01GzfkLNwyHPAy7qmKiIaGVk B6Q1dLAkhF2annIW5FB2bLyonvzJcxnHIR5DDl+yInw11sPw25kPNKxJYXH8GYHUEaanzV5DvhaU Jun4bkCLdTYNfAtZsq4hT7aEoZG9bir1rcCiZAVFd5lioICDbK8DDpWASGIpfNeZCOT+thQzeXcU NpXl4UqhRJVJe+B+VkcZSwWI9ycW0GIUFYNxkl68102SgI3iUiSYfJFX3i7XYerbYgBj5H7ZmOy7 F5NuCIKx/+LCn+nyy3XNIPYBmPQ3JZ+nHy8+pAKtZIX6HcB7ObhO/2agaNRHl4l7qxFiDE/Z7NYZ czB2cy/tcZV8WyNE1WPc/l9+CACDw2tF7Sz2tPeUI3Dhw3be2KltbOUVKXC4g+3JmGw7BGIYwbPm IxEaNIIUxm/d9PAxwg82OWRg1eLx5urPrNxlaYSHf7UJxHkAyVI1K3RhaIsYoOEJdzatKRxItPMX i7Ojf73+RU92hu10T7ReaBwPSEiQJ1eh/dzLSr6n9qZLTqBryw2jsHZKKJsMwbgQr2lEbyFKs1Ky sqEarsyKo/W/4PGi6UmWvEEytHpr5VK7V/zn4fsHIdMGtbp5CsDaq1xcVcwou03CWpYkDvag9yqx rzpZTlWwnwNjRmpVUEnvz9oKiu0oYf+MHbShVyjfvvhHd3ocptFeykpPQ6/qixpdUoHbwCbtIvuS gdihcNcrYn8wtyqLf9pxF4lu4W4BJBVcP+anfr1zzGJZw4azsX3/jmYpviJho/0nnJxivFnnQ5Xd dZN6YHrRr4OjpUa2NxymTK0JQuse7PKgZ282kym0j0h95reMobvRAARWNUu1nGHV1JaEJ8bOhO7E easbO16R0QYTqzzZoPzcwO/KyteilqLgjBDoc3T2WmtE0ngyHGxtfgU86aNCthf0YyJGeF7mn6xg 4bUsAKMRE/wfXWwfYdVcjhVFfsBNn7/UoqnK/WfPpbPpbKE2yKaLy1qX9qPTSTAdY1+zBCyn0Bff AJWFjI7oOnf3LpLCIRMmKg6HHT8nv0HsurU0e8LSoju5OE9a6SGhOKuMFXBgJ7ZVnNjoTmMaIFYG pJpfgTjOZDrOVWW3x69IEXm1f9Y37lUL255CSMzo7jTquf0I6ntWFVbtB2d2OKpKsEhxgsj5Djx2 Jjg15EDjYaWaYNwb2hXZ78bfkvIrpczQ9VnDx3DtN0z2GTiKEj/nbpr9kfxe/GbxgO6GJzyOPGfU 8pqP68MKtugaOTZWGrAIWrNOUWqkfXMnrNhDWi5RIZeTUyKu2zXPLao86g8bMNAPv6zdtRFHVOmt nnwCEBOEn5Xyr6FLLGTa1fM3+OA768nofRjFWUvTcIu4hHQBx4RnTFxUVSBBIkB42T/grOx2bJR+ YI7Jveyt60hAx5Vs8w3H1R0hBrt31woVMHY3IYJvnqMXZM2c3/ypycoc5jJ63Q+oZ06DxyU+LHwk jZVGJ2XwLEINZT8hn+tOGuMIY7uUkRmhciBlhCg7VF/rpEL+nQgipYGy6pIfSIKjYTIyYlSgXqx/ qM+aSsvDMoZUbdGU4fPYY3fROGMDaPL48cfZXYmDng+2ejqzbuyfn2MP03T3UMHrTpwrpzeyUVkD lTrm8BGl5CBeq/39+FUHFJW2VwVuvIpnNY3HUi9IMOI3UDB4qqM+b3TAD8sgjcprwp91xMhmP94W wYniaRc3bSmv+3pec1GOcqjOkaIZpJW9NDL8W/kCOOiWJVofZbS7TTWt+9RsjrII0xo/Inp/0aps LI+gkEhq34nZYlbn4v64Hu2spY2h/N3SUZF45I/RQnu5Q76/2wi3ONySMah8rPxe92hG7uSRK4FF KdISxOaPHYiJ1tbenf5ae7Rx7Xx/VvPZP0jqqbpswM//ktvpyhbUZ8XzHbkQe72pbZwRxneiO2W9 TXQ2PF27OrMVN9rlXJvl8CbCKJX80rZUL0U0tnkq9kb4ZmPaiK+4QkcvlVAKnOc+AyNIiNETg0Jn WAkfPSLWO7GyNfyqQ1KRDI/oXUkmXgojHdWdW7nHX9kCwOBz1qqpphX66QAKyBPmh9iIsoCJJRAs 8lsVI8nKcwPwV3Xb4W/fhBN7JaLtk6JX2vSr7GLjhckDLLvaQu13eL/ZJkQ2BJRThHAF4UUIdWtw J/XONmnjVaBvvnUqQozm7INtgGDx4EllfcD2lvWEtRQ5on6I24DKpZHLt01KwjMNTqh6+0hUrxnn +3WiJIDi8Vz5M4Em13nSsqR2c9YB2ARkdD9CQ40ruG45II8Un0/gVG4t7QdicwgLjqlAJ28Ufe+6 R7LP3VWCsOrH9lIPiuBMAg/REEtF5PheKZHFVXzIN1JAXiwlST65j6EI4Ua1/4/hlnEzGTJdPNZq gTsPPocS0hkf7vJK0w9hXN1OlUdfCd3wnFC6f/KhKWvO0GfhWmWoDWnmXSCsOVEsfMe/4iEXAqGl R5od7hUlwDwiQcZ/YFDnP/kiYYm+ORrBwBBe34x9z6h8xq7Oi5ha4FOCJC3Fd2et16FnIUaIX+XC dYgJ1i3tll0dK/CbiqVxVed1aUcRc4U4/41oalPxQ62DRUCZRQfY7hEkaXiKTJkcTF7Eaj5fUY6/ WAaDk2DaKyBJ0+uR3lEZNohK6exViYYBK9UAFS3q2erXNnON/P297jxqq7x+Y9IYG0szJCZ4BEnW tH+V0nKS2O8mrpnDts9ae/dGou39E7fSZdQ9zF9m5E2l4nJvOpf4wvXwDEWqVvbCsiIyT2L5GUMr SjnTjBmdq5Zs/MP96EHWcxXYzt/JmE3+4qvkAakvhiKFOboUufJnhUJgyCy46EKBTdkRpkow6isM 8pHUpq4FfdsHqU3m3TUE76XMZd5r56hWmqiww7SbqzkBGiRs9Flft3k9DmeUIfS8ywxdm6ToEZl5 xpyvjnWnySHOQcQ6UKVRtKkzb6l4MSZgWJBBO+mWJm46xJZfibr5jShuB18SlqJjH6QBspPrAb+N oGf8gyEBI9qttcwdAaUZEu/fwHPeRy6bBh9Tw6PJkuDPiKLXXyThV2qMYmAYslki3C95Ga4Dzs9f Cd9jBrKebsADSdqcRL0V4sXtO+/P2GPbNtXKP5DYC4D86BChJyi65f0qqDOJZ7qSt0xDfNg8RRK3 R3s8qqRLy8+cxZQIXFQ3fAbmIzih147UmSEDNFxkukSzWoZBoB4InyrFWd6iiHrjtbn5o+8aKh6V 5P3nAH+pOGadTWJ/3EU7NZO27St/xykYLwLv42kl5+Ef5fAm/hxwGmtS8LnqC33xjn/wyfNCsOTA kWO3yZgUrBSvxnO0/DUPhiUQyjp2YUh0TkoExwTA7sUP2BokHWHkUaKIZVutaEH/kGrJeCVlQZnj xREkuoWleE12wOEQ//IwuwP7Wb502KvO5MtMbmDC0/QOKCGoREh8IBydSKaeiGyOs6mDfBWBN+Oe pArlZ8qg6ZyWHxe1xXKsr0nvgaYUMftvQ2vm3Z8gVvBEw3TAU7v6NZIJN2MDEMRiPioxZhgBKwPN lElYv02/KogklPZtI0SKT8xqZ4MB4xCPrM/Slwf0I9vmiXg2sDbeJUGDyZeHUX+tntCldUUSBgkf S6Q+W5mLFuDBqdy0tIhy/9FfUUHZRaXQyCC/niLerKrmGcFyZiNcST7lw1A9HOd62o2hHs2SflLs ElP/07B0uZ7oXE6SESx4v6ZvO1KvYZF48MYmepANzu6WHbSotTI6DPOGsxBii4nwEgFjRVgOWZM5 7ojj/vt9bDCW9fPCwyxn2x/z/RLk7A0xAqKAZcXE2ANTjvDwfPXDSTT+xrXmJcOnlyYCG+Ka0lcX 0j2Q41VYUE/aptKL/Y7qkSzsJYZQRE8znOJiyc6lxJjKfIlbwGIJ/qRJPwNoz57N/10f2CMgo36u /Cb56mBXti6KaZp2h0D7PYTpBlgqCNRTKbm7GN78ZyE+yUUTmGK7SuNQFA42FDZIE5GN6m/QOa8E hOLNAvThaf+VCSedrcQWyBwqMCQoZ2V+fI5A2EqGwicdIJ1/ChmGfeNkk75oQFvphVkafW/WPXEv JpfEpzAwW0GHay+kDeoK5+tMGQt8CzD++OpKuprRd4k6qqkKwGeylQQsDR7JM6U7LEi+wbAK7bHR 2/RLYaOOk3jcRZenUyFp6MC4thiRnFLrPMaKSLIMpP+IZOt+nHp0OohiR4B5VWv61lnbUK0uJpNj QvBu3ECYkdZq6oPpeuIGK3C6wOM3iFTO/k3X729seTLz/Dr5ozylJGKdzplXjVCyB3aW9fD8Yg6A VC2mEWStLRWaStdAfzj7JCb8OlRqvO4g5tcscEcyP1FdulxhMGR6ZjblPgC/Rj4o8h2C+o9uTP8q EV9sZdzjtlwdwOqJMKqpHR6OQk8ZklnPYnOYzESKFLwwQTVMQ3nzMG7Mn+uftG8KcpUwoZsCY9q3 9+UcGeij+UTMb6nY5YiEAgxKn/1CxGpHAw7cqeTY/+uzE2Wu7NgHMJraazFqUnr0KdexFkLt6KH7 vPPZ2wIcMG9EFQMwigYom4SvLFcVG2URPzHDYAIcV/mFu5pbVRqfC1m9Ma0UEVnnFdQnor05Il5Z 3w4Wisdo6n6D+Ci2TshUziztN6LZeBYzjwrNGdXqHARNKG3ufGZI3qjC+SqneCT69ZjzTlUVgmrn qfjvEwTQ9KyRyYK/WJ/rQmd4PSi6G+MOKr5g1ALgm7A8d8H1eMfTKNNUrZHUCm1ShGiVjNDl9ryc GBLpfqW0CmX6v41tVrTwDdm95i+NI8/WiFtyxoMTPYV2P03X68Cbscx2L+WPXqRxgbja3ZMBawfV flCDkaU9WqhXzdbCg1lC6cfEPYgMC7YRoiWTuVxil9B7YXAo5eRfy0QrkMMC0J0dxU4BCc9T36DL TAKss+NkVtiKmQrFJcgx2XvgRylqeReGIDG7yn3/3QDm7vY6geOPtHXo7zKCi/mbPaU4UHwpFwY2 KSCHxWxc/J+pezQCGyy72nSnE7oKQsqnn3K/0z4ORal1eH6lHgOD7awZrvdMem0fzyXPKA8/dx0s LwKDn6NHROtewrPOQm0QnghFjyXTlgJmu+UVjMOGrpCNA+DOyRZxW7JmStQ/5DfJpmqQOk8nlYkv Tcmd4WqMz9qr+1nf37zzyUP/UVMpDhOVlLztfcjmMdDUdTqXPaCzND6J6nG3NE9nazIkNRhEF23y f4KMm/mbxYyqdLEePGC8ubLUR7Nap0B5UDtP1Ecb2TLuJwgYd0zSJYSnHVjwG29QYWijLy2VQuD7 OmMqMlbkpKRcmN78R5BR6E0DaQkVaZsQPb4j5c6Wrnhkeu2gCKp7Emndx/TaamThFJzN0GfA8AgY UiC4dwH1NLrwGPTqBxsOdRl7lDUKSGHw+ZfOS+eEXcZb0nxR4XST5kmOvFW+fRyUPh0CVF9KMZgi tMwOSg+Lsj9tCTVpxdZq2MfpTlpMVqleE7VRsDUBVbOzcBVqv68rEKpsutGxszT3j71EyR3eyUw4 TpGCTE/xpRG/Tywbm9YGUa/sL9hyaye0GYCVLsLxZWLRDYNbDiHAYYEPnJGJso+yP64GJq1n0uOG /rWEbxFa0zbP81PUzKgKOd/ljmW3L1KnCGQAc2ya44vidEoHmLpeA5hpi8YZmJZfqTuluW9T0mG8 BHdfNigfVT+YSOKvz3L8n54b8hPoM353ii7JLcsOts33VMUgAOnG8q/cfo3jdE/bfxi8O92jdAvo pzjBEovNYpljq1zLhp69vMX6BGu1kZW8jxuRCeKULNr2WTaaE0pWnDiM3Ffv3B7grUGd6l1vyYkg G4VRZ2lrVyodMPLax5zj/fr+iC6e6+pBnZVjMkXzCj2vtzP4BF8D41OxjhOB1nSaRs3v/PiVOE1T KBhqd5vwjgfCc5X4XbwAo0ER2dSB3xhu8+tXXa/HTgegZSjWggatEQYeColFJtFXV41xhdigZI+M qXgNLRGShsvGoOWEf49O7DVpV5MEg8jDGsjyYE131Qgg7ptaKFEYnW4d4Vq7QVc4Csro74HO01oF ZKea84a9N8rBEldCQjrR+abpSnyao+0F1qNxdvLe0YflVNJ+gF3D5b6fAXDUhfIaNEEJuadulDqa KeyVfDYcZaE7zAVhdC08Z/TdmnKXPQW5+jXU/hqtS0PF7cWSvGZ0mz+ttRA0/BDZa7TYORov3GIf X3WYFn0XzUvuZZWR0dhZecLcYgta6V3x7oBD372fEU1ygafMvQufHE3414Yoj9dOL3G8vUckbbi7 D352gjLP7mlypewz136c+LGCbNKx6ExcVaHAiZGzItPqBZwoey1P2pBzuW8yG9hOy1rEM3gZldkM VmJW1iU+FpCIHVBIPBENcOTA5AZkCYJjN8CMsIoWQJJ4fuH7MUD12qNg4UNxPOqjnJdyHwyyzVaw DTEWTu6KISUk/LPAj9PZ6njiu8rxnp9m35u3CeBfG8a85yZo51Yh4L2aR3EXRLcPbnx5HgVFcUer yiEr1qPJgHDs/LR2Ps/BI+M5u4YRr6pvQDeIn7ppCLIF/JrToEbe3KBJQCFyUa9UcirHQ0Z9OyzB yIW6N7uaQDMMYgCKCeUol78MxTzzpGTwkfnIvIECh4OIhWKfHeRt9j9cChC2XMN/XuJeFDTvyyfE SFMU5ipzjIs9A1He+cQR5/qnHUbgjDUp4V8jasoqYyvyIfP7Wf/puGkF75CNOLYa8SIOcuW1ZNXo dKvTIq7p5zQrnOH5NVsfsxcKN2a2rFBEPnDwEmmlUQaRq3YEG3WOMASQg7uW+3WWeenRZCZbBazl s4AN2W11Rc24eSxIHIw34X6bbsFDg/vRij2WtHl1hoRHRNjQ00HHnuIyTkRCAKRKq8A3HCuXKAoX eDK+iHtUAPBxr6rerAVgwa5zKLIwdH96PAkoWyJRJJDbRulT58QJvWzfWmIw9IGObBRUknq/Vyht 6N9hmxr69FSmbGE7bNKEBvB0meXkUVAt7QTMOLHTYiDppNXH7MPtLWJYwItp1gHbgalAZ9jkMC9j veqBi8Fvxb1C9TL7EaMkZahH/eCxI064vaRfCHl19CyKXlqDFII6EK8SefJ+gpfzWXjGmfoqjdsh HRnH26e2dGM379uPXVDXVeoBzh5HZgpow/dlCWz5AHjZzEzmXpQz7EL549BTvXLJQsFPN1hmBIoh 4Dqu7dQVWTYPdIq0xCyCLBmSvjdUgJTJg4pfAKT4BqnWXWWZfNE4dod1Xq1Z+G5s6bgSIzMVAK7i XuAWG6Ze6sL/Gvv34M5KptGAXePcRgmOzW9oTCA4Pn1wbIHgvDfonLpp2GKv4tCQ7YdX6oRP+S6e 5gPiOGfho87K5fUVX3xSm4UxijtteUuheZB+VIF+KeBJFgdPENRnzYdGxiVXNBsKVd7dZcKWeFGe jhpVnQYYF5V5QMgaYNacimdaZoPfqyfJvwv7tuqkLeaU4IAj+Ymmas0vwVXausaPjff3G/E4oTSm NU59orkjgYLQN5NgWwcsSC7yY3INs0cEN6LnTcAHzV+aER07bVJ+rouDPRTt7b1No7Tpwwv9kefN is1SS1TLSQ3GvsenkUygHdIrhLzWgBoG9rgg7nl0dvLnYZiIjr62YBoHG8QZWpm7KMfb4rYBRmw7 6foSaqBvT5i394lzDEk1Thal8wraMQ0I3EDSU4xc4oC1GpFEp9JMFMkxeR59yVQ+WxTx8ftWfSjo ERS1+1xgY7h08CuBgybjl3fg1/qLcY3UtbmdsZ0pSlr+mBdhko6iXcus0Q2jba5++9UOauCqKmCd KIkkKN2VdZ1idXBHKGjRFHcjLp2OFD0ZJbeA9Y38WoF+eL4hBc+mXJj2Oeo/XfBrlm8j6FqoUf2K 5sZ4fKPN7abaw6Gn6fAoGU5COMHTCYCGaYY+WrE6nVRY1pADj33XjiFiT8FvyDlBbOeX5AaU6EKz K4HM426F4snEJ4V1mX5ikCjzduUoT/JdtIH/uXYNf+2eNNYd1ly7tVRKB6gZD7LgetC4IuXE/PXA 7u3CxeVSciif91AoRlE4k1C67h9qOF2RjpiUhW1wGmQ1lTTKFwIqntJsPPIamYVaxahjEGCHwo1U x2T+Oux2i4eZqg70zg4g0jzz6ZJI+9lx1VezEgO5zx/Qu6z9PqrHSab1Ri7n3UOW29MpMkPPiTjJ u320LMWsp7C3knAV0QPytmKduz8eF16igU/JFyJUtkhON8cscUS7jRcCEgmtZXk72FC9sRnda+t6 ALC0fHTd52bJOJjeYrkUqc9bDu6sRMEIun0eHJx22ikrb3fwouh5OfqAcdMCiYvtRxENaEHNjGbk V21/zdLvXEGYffPRvwffDSkpnah5iLvFBB8Sy7bjAZN5PVsJq6QeaOjU5Kc+1oYyhXL+cvoxmMJS Q9RnQDmv7XQ11M3HBVOkjMtkAOct5S9Zny20NpO27sJzMSznWYsvufHGv7TwdkC8SDHb6SXeXTiq 9VfjQu6Jq7CQkErmyLLl78d9fV0VwR3JXgoGV2/Du7+buFjA5N9fo5jGKoCiVEZy6OgUFZjCFu1i TSyEsCRwk6tX+Oj9VHa3TolSCGyNeEbJJ51kdw6+cZeQYc2l8S6Yrx5xd0pm8icVSaspqF6F2BBo mKfBDeOF80Vym0cD2RJ/8yCHBMB9YQ98ec5nH5M41yne7j6vIzsMhVIOnM2Zy9shOqydST07uC2d 0BB1ZTKi49Jd5I+rrNQtlveMp72w6nof0JPch97HRtFknNPqFOWSgp7ImkfwgQ0IEoXyOi1sb9/q BbYPz16Twh43aSRboV6spNz+MTi2DERQy2G2fd3jDeI+rJA4JB1ymy6Sc6oq4+aBKomIAJ20Srph pgOq4IXtD1D3UhtORCcs7qf9ZTAKu6BczbOfukBkOtiaa2q6b5uHtfsWOcI06DsTXAMIOn4pkWeL x+4LjFmR/5baDYEjMGD3nrGvJzcu8caILqIuMKTIDyv/NogwgOmJHir8/FMDrXnGmULZ0lVKuhCD D7ZAkhDdycIuAZ9DhCntHLERMv7PeQbVGH1HO53szdmCiMMtM3eZ2nHoslkWdvZrHL1pVK1ipCQF Mp5JvaoTYzwHuUrz/sajXbfnPyCbTqoFgeDdzU38aubzVK3wfT6K/DWAXwBuJN1QIdEYG6BPx8gB hpNEhk8lPAs0eH/Sc8/HRJy/H33ruZWFrE7t4W3JYbXJE/13BQaizykIA5gdp5PtmzZXQGM+yMpz dfX1sbJBOcblPHIMj7a0UB0k6r73zdZPmr5Jl5mUSIbse7yxQR9q6Rxxb/KgR3oqIUby6iBIkSKG COFc9AFCies2IGMxeNADMVFtFLtXkXx1GFeJpqdQEYU63PfHO8jy4gQNdzizIJB7EqHMgUeMiLGY 2j/7F6ESOgmP3Cl20/IwuDF2q6VAvluLOKQemMj8XdtccZs6bU/dsziRnm4XPTexzP1KZyFFT4MJ AtIpfndvvRCu1UVqRDxj4fxhlJQWJPxEAA7Ur6Cxzx/yBxoZo3xs6wnxoLtqQChf03QEyl7XcJt7 7Wh27cxflztLFlShfE39qtoyvgJC33VfybbbTgyLj2XhbiL2U7nZW8jMnPF2ET0TlLqj0lH+mUnt +/dz5CI+NRqKupqp4iS0Ebz6nvsZ1Dxfx/TAGPWanPyNnxTKglH1wuC2n6/yzhkVYBTovoF7+cFb /AMFbsiGtEb//40kFouUmixMa9Ha9Ck27UCW+Jbq5oe6/8S9W6kUPa6LESFAW9oyzD2iCjRikkQ8 zVWAtjPtYjfkSQvaeW8yq3YacJE053i+zOe/cVhUIpyD0e6eKBbGGKLqXDQGVkxyZD1td7FgYO3b crnmcZuEMC9+vcnbFRx9w+vkRachhmnDlzwztqUWVwbIUwyVvfwf76vqGAIOQMLYe7dyxvvVZt1u sxRDsV6NE2JyjxH7+CKQ/KQnKi2Q2r5VCVXXzdI7v4MUoVpmwkClz/ZJBmzaWpa5DoSoQXNAnqia 9UjnNK2WigV+umK9c1IoyhaSWuTyVoaBiMo6ru2OmbHRhAdRu4qIPhIJ/tMkApdzD1Ys5oFoaMbD U+5aBTvErHA22I+eGS2YHxhcBNwpShcnKRYxhQVfX/9e++EBvzdn1tefCZHJi6dhWt/f7nMbmD0C vhMKcMDarMvyCn8qHaUVchHsMPUe+OT2CAD2h2cIC6eyxjstX2KdWbKhZtcpcvb9F9Wp7bRXoKkI bUu/Gmuy6HIyu4Y02ij8PZHLzmFF0ao7cdZs/W0UWXKuCqzhsaYfVhOMHOXF0g2qRFbBAQLgRw8H Vm16JDAjejzCVzNcCRBrsVZIdSZMjpXZ+X9wSKphgo+NCEKSea95buBqGMEaKUVhKKqDW8rUkBcb IPdBogjB25kMN75/+rjk8wwx2btV+Wz3j57Cno11QR5BDeMWdDfey1pj+yx3KCKP3uUYB0MM0EfF KNkeq6GbZ4apHA2GaBWsL+SfMpzRNwJYJVilN8j2q4y2Z25QuSSpa5PpMqX5RdR39zA/PvltDlXJ IR45L1Gy9jmPM3+1kz2BoP/0M6n8TrlR8H9A5SfYeEsV7EppzIKNAgFATb5k1y9pQyroxJJgcHv7 EaOZmilaXG3MAQzEnbGzzr4rXXEYunKUPu8/eRpQOr1WHOTHmc9cVMFoMR0AJPmqJ2Nr35K4F2pj QJnT/qPpxOwBunB/XabhSTIS0lPK/slDK0X/PXDaFZV8HTqY254CN6zE8qaoiuOgnBygBZQ9Ymfr zllgRctYKSvrpYsxZs/npnmuZsht5HLM+yYn1AznxP2YCV6wOuyJb92XW/D4lmH9NE5lg32o6mh4 fMy5+LNAILHaUM+m7OtDkvO74qtUqscY4yOaRtsN6wNw1ucSHbjONl7iGdY= `protect end_protected
gpl-2.0
c49cd3ea3d959d2614470142619adaca
0.941814
1.85917
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/mult_gen_v12_0/hdl/cc_compare.vhd
12
10,907
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block igspxRBvZTl/586mNdrH4BQX+itgOCeZ1xOzm9aZbUvP0UoMU5TvTDXkimIeEenF+LD0DgFrCICq OyRbSMJ7JQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YRQhrmSAroOiD1bgLLadPnYM04gJV4uAj+82+Xbog+8blLhXTxB3/UTJk8MmcIYY+btdA2Mkcr4W vOZ+b/TBUytWrSyGdj8/SUu4yCdpwBDZ0YEJXp9vZU0zfysPYTQO1RtloeHeOljj/nxzfU8JO+Db qpN6CPtIH+ilgYQ6gDw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block N2BeVmmjyQ/CsQIE4JZ/yf8177W/13UJcP7zdeLaTDJri1seNWBmKCyWCyq18RPFjQfO0/txaT35 bdyW6sQRFxRssWbwWh4Y6YEhtQ/q6OP4ZAFAVv0r007J/OA8jdphubQQQm1eh5B/XPtFvUv++cTE KoEIuebQXW6fyrLDhj7Yp5dP65WkU3tcUEhz8uWaA3xrs7vfI/4Crr8suNQattesIPJ2JthlzmSO Xneb0NLqYo3RuKOD8o2Ihf5fg2UZo0rCS9si754eyanQ6L+9Zd4JvSAvXdqAASvkbV/gHP7/3I/s 1R7KAdadkd9Dx84J6YS6lZchMA770COqUFFzlw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sAn7OmpbNaLGVe3KSsDOYCI1YxIuh1s83IP3Je5Wm4JFkRSwsgP9UCFROmwCdcvkDDnFuq8ysvfR 9j6WvsgNhxE9ciKJnL+R8zmgPjxOzQ8U/BHS5f9y44rdX2MmdwTijgqaWkbE9fMEmn/MxvG3H3dX fN2OWLeFpOulkej1TV0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NUQUiunqpt4DSM9cFCfu3SaZP7zUQxovsQqbP/L87McvkmjHlaqmgcLM8LwgY3+bovOQ8ifV3wPZ VbFoh18SQNmbCCpwtdCEgauh7i3rOjM56Gar2P8O0c/bs1hx0wHagO1XbXdyic3a4Wjr9ph7+hja Vmnb8Oh3ootlsg46NA3ZsMiCPanLiaUd47D0ujs7ZqQhcCtDS4wuN97QhDxcmc5PIXSc8BAXPLOy ECyR20WFQ382HJW2V7WyKnRRIuJzb5QI9bfRoxKRmNJY5phbr1npTBGzFUDdQEieanhUaXupH0Be uttrMyA7VuFJZINdLEhfDKM6bw0ZQcBF7Ihfqg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6336) `protect data_block xN2ZRV8m/vNx7Xz3W1WWPjjhaEIFfz6kIIJumvoDNMHTq7RZgwiRlPUNpo0wsTopuVQikrD+DT4g GvIPIHVMmMS2V6nQ2nVCMqOTxo5SgSADNavkgoablHYakIgHzpcJPcJWDU+lNWTZ8wiKYaQ1B1Fl v9i3RG0nc5WG5PvbUmMESX8kKyoE+PzNxR7pHdTFH7i8Hl0CVaAgNu3bFlprN/TLEVn+s4yzEVmE ZCT8N4kdWyZ9gRg0MgXZ2d4sM7ZWysJHPOIIdGAKjLqR6rIWTmte+BKex4e7PR298kP5usR8RMUt VqfMagQATOXvTRi1F3aBiYQ9aLSoBKri49Xxaz63pSdfRCYifhmZ7EjAZFpJJlE2/U2NRl0XUiGf 5TwrkUB5PzdbT64kDGapimIVxxz+wUI4XS8KXSAcR6o2QcSmQrVWHd2fqOphU4FUYDIDtXeToPuZ u175jkWwr/2Opl0RqzVjN0X7hGicvjFKyE5bHJHsvh43ZCaQIEP/kLGcF9WX3WlvcGMWl7ySeoDD 40ONBu6ZUKNK56cNh8fBN4M3VUVgqXHBDR0Zq2i48jLbnzqNadBReQ/g+LBBH380UPzolP66W015 ST41YeShWuAxTHD9ulsmLCjvPWupOnSoCymp/G38b2KxJTb9QTXBeF4iGbsYP+M3+Bnb1oTrK7pM vwjBw7l8le8+3MctLuvYIiljc9rxRNEs9bSpWQElmvZmGmy3kFu13n6MVRPhy5eMW5iTk4rwq+sp 9VczWm2ZSNTQzTdDaGXtdGFfvIUKoXTEpd3yykxl8b2PbPNTcnmGBNl4N8YU8jmxqCgP4XypW9ZI VlO8v8lYu6hjiJ+bUSO8t5HyCkAj+GflNMGeKQcXGKllI5lHg4ew81RyOJtMoP2LUIYIWiQ++AYv 3patLREVlMf03bTnBcIoxK9LHxwCrgW22UeInXJgVI1srUC6aagIauwF3UG12q1cNJiA0mQDYDIK IGbqMxdqw321h5jzrQX2sYh76jtJ859NU9ZprPzV9k+GrtlAo6koD4gmk5phK2cCtJuaqZApeW+B b0NUu9C4vHlS0T7DPgDiS8kuSv75oEnBIvz/cRDiJ7k/OO10hDXQYTRylm2hXzNhagf3aVg6++mf WDUKzkj7IWJJS8kVeWwknzEkrMIjHZLHeROCuss2TnKq6s4ZIVScwkz0kWVjJwMauxcuikayhTh3 +Ygp8ZozOXz5ZWxqBgkbAvTfLvKPs2R9mUL0Ulye+7Q22QN9WHH1D61N0YJ5heLJn6RP0cTN1h5f O3TdPEXltdTvxLUioy7magdD1u5Ewo4nDlUBtme5fglusGrpSv0HEwYPWGMyrqrzERf7aBkjUDeU T9y/IvobivABZYNtpY6I5P7k/w57g5dQAhdthhRbyC6TfNF7w2C5eGyq5f/61ZMjcSccDOGVGLfs 6/3lFhAHCY5ir3ErCBfZCaqywMX27yiLq0AZzZ02ysWvqF1Lqw6jF34hqEM7grUK4lVI04mxVaMk d4YlLzOiUPl27Kb4grGcH6FCmiKhvUKo891zxaJPT18fl6Rg6PMHxcUzh5OczadX/YxPa7Cik0IU 74gDgF00jMhKo3PWo9+e2uRc8zh9wPvJ17lYXMh4kFIdYuBZq/+NIFo1G6cSqx08cD3AnWrpSe2s UOAupn9jDRCiIBMijBEliT9gOO/5ML7i9zsJfrMbsm/56XoOzWG3MRs2P03Vk8kCXHvlmcp4t6P1 55829FjnLYMyN7iZMjSe3+HemPACIHhTwQNPK1qHRuezPLfs3aExxk1wY3G0GQzonRRI8bGkrBJW X9axRUciVsxeZbYrZ9mYJe9RuZImNBN+jdlrxO0/Yl22i+to4Wg5K0oFIE/SL02flXzVkaMhIsqj 4cSiSoTQE7VdOmEsem1SZG3P4+qDo8cF7Q//FGFlszdzbC4CfTnTug5XFFdJvpz24HKE/YEkqKbX csW95NsCbVdc/d7Em/P0alGeUXGBV+1MZtx6Y05UpemUAWJrnbKKoNFk8+84UXvVEveZYJuXdBxs wOxND9rOQqXy46T+SGxwxUSERxoQ53rX41jZjjqHkW36CBilVakOJynsYgj6vC/4nNsxn80cG4Sd oKc++SFAeMNZWm9/FC+w893y5hj6nqQq22y1HQSjEp+1t/HHIZZ49Z0sfy0hyFnFl63Yl6hIV+Af nWppvHGHrOX+QEvooEEDsSn8Qf6KWK2WmcuhM0NAsemNrfx6DncTqmb9P9ZtwE50fa7pergrbXcp M/TDP+otZSRfISGlqCv7cu/S7IRJvso37b5mJN7Djz3zbfCTOhlsTKaBjDAkMsQGx1h/6uP/SE4y r7CY1EBs+k14ifeP/fOGZPZb3NplxZ3lzq7IN1O+XDGBsN8qwgHUmZ1j1fiD97PMuB1GeMY3pOBA B5fGqrvD3bMC3KavixdynGmtHSscVfdz+5qwUGRUwKIWcPsna6mQUbTvPS8HE2vkFWf1SxTakco8 ayoyyGsnV5lc02rFBHCeCM5HMr8MSNIO1u1IKapev1gtKbbA0AeRSRpUzO0MUCxuet0/n3HpIRSy 2EI+dmoi6bkEmIuYJ9rsWrirySa36FgmVv2a9BoyYKMRhDSehvrw2p53h3kzXTd7IKiQxOgpmqx7 kHO16/gtcq5Z1BOV3Ef9KRSYvOzPC+0re5SdQ9i+2yl8ZK+0GMTIjNsCMW8talakSPgkgOB+l9e6 9vtFH91MuwBhJ+W9Cu4ixpwb/b5THY+JJrxdOtXRMAQgDun3s+NZvU5hx4J4I9c0N1jXoq1njdse jXPJRcxZxCgNuJwMcdSvlJlc/l3FMx9knm0k0QigRx1rEWqdhDBDrwBxzMDT+NwjsBleHF9eIKig wB7bFDcLp8sTW2o2zENN0uEdb11SE4vbuOCz5RVnu9T0AwI3AO2zP2bn4hZB8S76GKfLcXthYcIQ vC1YrumPBKhpdfMewSocwZZPcEofL0DiihoBYoPnas2EuMuF4r3U8mdguJenAV3cWw3EsKUXksBY W4jlLGURm3H3CQ4LEcBXim7pUdBhe3ULOKLJ6ceRFNG8+c9GAU9LLLbecwcLKRcSRSWxBbLeDI3+ jsOh0meO4+QDx9fjVyY/KUzZkPHxPEhqqZxxXzd0d3YlZqmBNXq+/DFSYNt6G/HLncyJrhwpVRZ0 8dINC61IVtqwPuF+dNPU1RghqcQfs25jnK1gubhYwg8GJnKUC7MfIZRI5xkMwVQnZGZnC/Qq5dOu 2Vi2/HihwTaSLnOB+w4JvMBV3oqdBl7WmsXG+T3Fm1sWRd71GFFrSo4eW5uTTZYShTNvUiPd0zwC k7BRAaqAuR7OjhnT/p19SaDd2UBbBiX+tUpUs2PehXXz0Y3bEs5o/1VPsENY98/Truq8R3EbdiRa Y07r1kHk5DcvSNSJhutNtKYKX5HR9O4vmx9vJe2g3xZVkbn59ujMLZBUJqtmMYVFzEVMnL1ENwII T/QyAVcYZZXlH/0aQdPqOOLuEaj1QYakWdjE7TG/14pb7OR0KNEDjyNpIDGgD6qdCZEm4oGSCTAP eHz5Dyj0jxr6MX00pXk5jTKXd8/F7gxWxXGS8AjsH6be8rhEkjU2Xhx4bZTExPF1YDE6AZCaWfWH TQZQBOwcumx4JC5+1lsjqBnscWKLSAVs5eEqb+LM/cM90kjKaP9jFrZ7mxa07VIJPMMnLMqQQ+VV s8XqUWRr5gvLGA5GpaaaFtJxshMfHljjlkd/UTBWw2BfYy3EXisWzvkUfHeeq3xj0Y5CqrN9s25j 06EtjTq/oXP1owCOpYefORBYzr5rrxlPSH9JB/SjmFgVxx8J82ehf4Mlzwj0AUR2bI3FDrj6B28q 619HWgDnweg5CoLHuqvu8+bRiHjv2otHDK5Kq7q46amruoGmb0MC9XPqzIczVpfQ0JEm6ZAGCaQR oS/5qT896WF1TffA/jt0bIKUU61OGyK5BFnPl1cSHBTPRwr6fy+8x+Gnx4HLiPRmIR1mdJy+9jFJ pQp7ktqsT0PYI3+Kq0YU3ePirXvcNWIZninZYDwBgMD0wSjYJUddk3a/heWJDQ9jJicm01ds1YDf mn8Z3oEkNpj482vOrRElykmRWiqH6OqTDTnlRrOTRBlINEwEEaAaVc+f9U9yVxk4qN37Cg5S/23P aPHYTimcfG7jqwFEHZnvtVe9daDfb37dEMYKF+netZz0iO6/joXz2IGRQLcqW7jeb65WCqKgRW1I mpqfTPfOFHvHlAkyH1dCAoM6073lQKrvxwcdXV6JpX+ESH3YadYUgcW2NQUjl0Y3nuMJ1aJdus39 nLeTdeBBDxpbWbCNqJnZLkrzeVKaR8BlX5Gxy+smxojj8FqY3Qg7czDrMmd7qk0QD4weJLljJb4y 6WYFl3QcPb3tVV+63cjtNuThzzPrDvWo8zgwJugI8TazFUov/9xw7TZN9AbcCcmYoYEJrMjv1Bq2 fJ/IOC9Pkg+EuqzXJdZtPtDW6fOXKaLYhraIubdTkodkOO2LtZADyreFbGkP3GuwSQB6c2I0UfuM Qpiu1mv9QaN1z7IQ+M02rmeWD3Mcl9oAkoNwmmRY/M88cC2mmmO9e81ZCUFwzg2YaKRRn9GuwHkg gayg6ZtqbFo5INZwzZemWci/IXbadIRJdUxWe+d4wQtMFiJvukiJDDoh02s5k+18rHqKxw91UUD3 l4unJ35q0uWi5jbugp1gQsV8o2Ohxk1cUm0VQruWErr1ipKLQllAgmamdivUFc94RvN+4DAhWz9p bW3C+UkwWK074VjviF+MhAUZrEqPApW9jDFVSmOqgfrPPgEu1EYRJ/aBfHI6cNirVYqiYlPWggIX AS1fyCd75iHeE5xQysved4+YbkvLoMCNPlTfeLhTOC/2j9KD9TS0+3c7dHOUWdocptJHlP0j/NUd ktas5DN+cNBk7PPYa9TyknenCInHW7ec0ZFTTe853sV6/bSgDXfL+RIniC3dnjAtfMmferqrB9j1 a8gvOT5kR8XCS7oXEAUKvg0Y2++GulPtG4EY7ABRMF/eIa/2VB4XSTLDvBS0HQWVNTxpYVm0eBFA RaqMgaNGHYGYWhnknngrKsUO7u0NgZCwAEHNaZj86YaRNS9lNQDwibU0+Ms6EgCJcyr4ocyhn4J/ 5LDM81+O6bu+7UlRPqNo5dopKEtRlX3FFZTFzuEx7HZ+0KvtPlV1oigs06k6mHZfLPDJdLMBRu1d wdKHP+uQXs8dp/JWz4sgcNpD1zdjKsk60UetLD5zaNixmEXR3STMEZlCf82n6IiNjrblF2ACoKG7 Ce1paLRFzpr/JXKgKxLPmanJHxWQbAXfgtedXG9uozFx3D0wOG0twqX74DgM/S1ThMqOidEbt2qq 8/HfV0Rn+kYZ0L0HjynY8IauobJMPMMFBMOwJiuY0mh9dv0QfQGb3GMl4Cii3uU+DCMagJWYJxzR FWjzTZ+WRK1ge9DslrEX71bzVZNzPPOrvn7U+EIQW0erNEo3KBqXOxx4bqXleQoWbTrVUe2pzORK ga1J22nGv4xun51CkeuCZWFcgbyjmnaUc5oL5K+2nEaVtS7tTUZSOXoQYwZyI/EqaFavehjMsOZs 3FlYYudmboPivTWBXt3STmhsNFYjn0wWd15mFCMEVQm+wjXatwIuf7NDQbe4aSvmgyvq46oO424E EzYkm2otTP5pg6u9MPbOLQsOmMPNZqzU+8Y/flMDUP2gwTJcWWlpEHjT51hqNXsQFvXgDH/3MSAf BppUa9+fUxh2fRAN4TRjKNYLdJgIyczMfamjkm5+gyK1crcKXHbxaWx0pcme4xC24g2xO6DW7CaA 2DVGw6yY8OJd8qns36Xf2PFDeykL84ZRbE816Rzbky+nGd37WjN5epbNNQNwrQo+VYaUAUhOF+yV pggWSmJtvpRak8SwSWPom0uWvJYwMWs1jxxC49Z/xdYrZcnP3DgZptYmQ7gu5xglNf9HDlokPQuL eupiIkKTo9+ej+NpXIdaMCDQL8X01ktMaNQNfW3KU/wD7kCSw0uHZe0m+fpdsLyCQIwed/9rF1En wdppRTDeBG5/zdeghgmK+rqalPNeAtgNJBJR1l8FTNhDOP9koSqw0vD/cMHlE8o3KAOPMK005JX+ grXXKFuBNCElkL2q/lpaDTJrlOTU0q4gvXkWNwj2SRa9T8ZwzcD7LWDnLB4uwNtudTOZ42QLczgl oopFlY2qjH2tvEVshd7yBRcoE8IBss8OxlCYKSC3h16EP5ato9bJYIcEuU+ArQUpqgi27cWlP3su Y5a2d+nGPuBFQDwy/KOg/I7eAws8KMi8Z+MpIlowURWqmX4fWNCLY+L6+wEBKlJNZ8HIfYVsNdxl KgtnOdbdb6oaJtgpbZjcpNnTUrSWxlXNhFWoA1hnN1kDAP9JQe7abCeslxGMZnBUxQU7LhmIqRP9 IXP3UP2ImHXXfGn7gv5Kc2+CAU4Ef1gdCNZZDmCgHumc3++k8SQA+lQ9wHQPPAObArdozgCfLQyd Xxbq+NrbCDtUceeRS4gfPIVWHKB/w9+5hr0xzDPxeIJku0YyVr2Dmh1MlqURKpSuOO9a1TmpHOEi AKYhsPNvq8pNADU9uYXN/UW/HdvvhWb/Ayyu29pTc85Y46uUTOyQWnulvy8BnKjnqDJSj64xoUY4 OO6f3aPSfz4m3QWqDjccn84nMjTdvT9BLGqxnsr9iaaokNXWrDeU3Ijf1cOizetsld/gzEE1oWVt VA9lZJ/nAADhY3553ubZUP8p11qcf7EsuRMvz9yS5nDQ5QovA6yrz3QzycjMtGVtWxnNvPJvLSHB GwbRFNJ4yf4GEfww8GjLoasiJiLJchTxh4TC2Q0FylElfmdsOINFfZL71TxkMykbVOmlOaaj5AsJ grRw8VFBAw/7KCor2I6ploKMQLUial8KcZLmSlyCpfAYuExmhJ7jfHZStfZkVEGnOd1y+M49OJhP 02BdECu+T7/iSYDZdCQsFUP3Wa4tSCBb9SloMsX/aBOAnpJ3x1zVjwYpIin5OcTEPao+MdoiO9Xo FEb+5xzjDf65nimAOLWbf9DyLulThQKa/4lr+aKBtOj1nxRTSGpyX+GMhwrIWZs+futwnpujaY2/ 5LASHnU/6S0S6C+BDSYHFbdTU3dxa8MfNTsCI6AEoAZrbiJjU8/eiA8/i0UGYrNvEO7XaVJRbHiZ mR+bwe4g89QR5EIGzNrJdiMwizzfka5V44Iyj72tzR/77dda7TMzDQzUFRaHjLOVmim29oyOA9vQ sRvv8VHwcRgeVCPOAkWqzZqxqkd7/IQrz3nRuZBZIt2nMNwGPzOkDFuKWewoKihXjYCCZi0xE5NT Scbei8ut6A61HPSTOq8nViZ3uJo01ViWwfnQOiCNn3GrVMX8NFrBq15AuoLSQiQKSWyDb0iftIrJ GyxXmY7+vCdWwwjeS0jL++2edHnnn3fnK3FPy8JXYAL4FeKHuKUKxbee5sG0rZAQho0cG+oMBWtN 7S/khqS1lmfgqhs+HeH9rrkz4ff7jmuqVoe/j6mPUmjjS8DlhfO0AtcKYPO6kylWy54GKKkTSyJy Qtq3xWwcRruVW6HjEVxm6hNDcuyL1NxsdY9DvZcje9/d2Unw7GHL5W6Q9CDdXTjyVj9IQpgPRr0z L/K0wa0qGyWhrpyC8Y26jRwdGQ8BkxBVc9/XoRWWkm+yuiTpEwa0dwmF87RtU/3Phe7vfe+g+njD MqNJaIKOnvcZRyq58lH87zDWazOZi2QF9p74UN9wT+Hj+rPjFJpo/nlOo4vAlJNWxK+ESi5uhgza tss1unpYrN38CaGSMFtEsTQL9Yls64wKdulOKOQ4EzXnfpuWf6Y0EfUPI9f0Pshv3m8IDoQzROOg M8GBPHGAiSaDFCk0Be65nR58OmN0EVfxL3d1z0UMj9iUSge1xY2+JOAIQkyMjldTe0ixjeebMPFk GobWwZIaiji9SD1PO0NMHeuW4C0m7b+85tS9fDSaook5aQCiKjvm6fahPnhu+SxQTyRMpi5Bohl1 9TnANSG+wFMsZF7Po82NSP76XKSdoorrkrmQ8vMz8PVuB2SJiRWXv88VifU2MsgdKpHzE8TrGvMc fWwDST+YAO3rHO8H1ZZew0JRMuMjdLAZ47hTUVaEz79ZStpvFdZf1tU/YWQsYZ3LNc3ASwUUGW9j FSqVFcgdJrCGSgvEdOS/AvN5I22spEQ4ok641WLSA7DbUa1XLZETMqGIamTolM15P13brm5JZMrH 6Hs8qoed742FgtY+ngWzM8LzIHP+UW0joEkVfYwo4V5yaTLRGtTFjxs+DJP/zO8L3WkiQmMuZHy4 HXhB6BZZ/N/k3j18gl+4Zxtjf1Wu+r99WXbfks4yuLSVp2sqdqx8mGkJov2eNv+VlKEXHI2SwN6g BKjcfXDJuYE/ `protect end_protected
gpl-2.0
46c5c8aeddd946e1e48a808f8cd03768
0.927753
1.907819
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/ext_mult.vhd
8
22,040
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qw1H1nJ5mJjXzz7f7Yc0xjBbA8MS7ye4nX++2h2eVAHkQ3R9yCffsCQkawySeaIznezleoOTEzTa A+mV2D30WQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EAiIz0u/HYZtCL+fWIiUZP7uURWFib0TFix8M/mbqnbtzxCDJfbFCoRbj+OkohmRw8nsvKSQ1s0L sSsiNXRGDxUF1SWWHpPtxWTeGhmtqQd72oLCOAEs4W2vwQZvhqwIsgsqWHABvcu2aR7SUHtHTVWQ r9Kt+elOj4MBqwrCbPU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BastiCC+Ozv3eWmuK9aVNV4miX1sfSVrPe83hknjc7TxaOwuU+/r4VKnbxzimVGqkS1Glbi/eshA YN2CQsIqg9EM0FuZswbQd7ngKSvlq9fZ63R64hTSih44bCqIePb2VQSPo0z9M8SB9AtB83ThNstU YeOIGu1nkG6vlcINnEEdvM0kmSHCP0YPvv8iLGXTOHMiEJI/u4+6xWR33jyQ5eRQk1R8V6Ftz3Zp TD2zf6k+htyY/KMtZg8Zt3Y3esNDjY8P3IP68Q/+W7+XXbadn2mwHRA5uQOHk+chOHCnlZwgZ8xo M0nop3k+uhwr+amYANS8FMiAqfnGteM9PXJ15g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tMm7DWoLC8oVATJZo8gFhyMc3/evZu+XD6wO1wHFjWSw6NAk9IbWSxMW6FigpX3hTf5FryYnQW+o eD9EgdS+Ps+tL5ewNrDdFGNP9001eAAESwIdIXmRJ/tv1mS7r0KyxfzYjTXvjgkUlpKQk34swouw PVGKQsoVXQ+JN175KQE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nRrFEHKKAI6S09GBluHeS+CVv4jeNlMCFh8vUZOJliLt5q8O/ttrNQIdLE3lsb0GMSsPLtlV5Bzk 097RsVoCtjuoRNqntp2nlzqt6M067fUwjM1Tvtiwo9FZ85vugGpScKbr2nmbxWI6faLOikLKD878 Rn+3Hxu5dIz/6qdhNwkk3SIMaaOs+EkbbgjD5wKO3u5kGCHdsX2Y3kLvUxJoxd1xyW280LbXbjkC WBCjqV9a/S5qvmwj6ITLWl1xmqpDrXEH0jlbm4taKMultR5QBV/8+TR+F90VOFKw9055AjhLSknl CUBgnnmyyLV3VgDH+5vGbsf+5m4wPke4aBaimw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14576) `protect data_block pVM1FKwDrtVPaDaQMl3wu8kgXTNDP+roYCHVIo2PuG1KCbirQuXlrMLyvbI+WxB7etVnmi5koEuc sP3Uk5k87jYWZ5HhGA9OvgMbddAUxCUNrKY987Wijvox5Yiki7HpsLGOffs9l+Y9ALVzPyUx4+HG pAxTlIZ3CykLnGHOPVq/vXH6zlkCq831vZEuHdNWUk2wQO7ynl6zcXHKb208NoWuR4HG2QD7mES+ 09+16PlKPCp3qFWFxucts+LZXtVhqXIFJ2FQ5/Q5BOG+zNuvzJxHvVcS7/knuERIXm5Hvl5ScYiy G4Qf9NTF+Rnl0P7nU1M8vnpx/bsqXeGZLewJBDlqbWs3lQEC7jcC8cw8HEj21prVDSUCrNcTNtda YeN3PayzmymH64K1zx2k4Ou112r/0UlDKLsXvWH1ZgFw18kXgSUiU65LgRJdEplYDjrSCm6hkewK IxqxgSppdJbx0/U0avXFi0vxMEzXuEv/289AQcaCbUJ9ajaZLM5nwQRFdd0awaGmpiYcpFWPEbas rrCpseNWKv5Qrhj2WOgTPn3OetgsKDX/7v0GFkSwHMFIgPQKNf03pwuedWUuJc+kzIVFH7Lz55WV n6uclFvo4A8kyI7n2zhdYV9exQNZZPDC6ffMNTA1Nyv4aLClf1cEWbLGFTLKyKdf7EeLxQYFlTqM kG04dMop2mORlWAliXodPjYKE2nciezc1J4d4xBxG2Hosg3svFirlnTKUdBaMYP4s2QxyxjixRRC qc81L39eURAM3gYPUx42bgqYfafQ56f9xezSsACGD48RSDuYPjuxlotQ17uXwi8WmXV8qprVd7Y/ al0lfcxup2psfKU4GUQroYd65QY1n7Dkv5l3gOU7F3lZgKoOYJW7dBUkb5eWPEUf7+UiY3k4dMF2 AKRmj5oPLIR4UYwMjawarfT1Jq/ECgjPwZjb3mZtxFNCUgfr3X6N5hU+5YgkjmYR2koix6mk1e2l wt9kfFxu+gEDmBHTgcu53vEpcDS9Z0pZoy2htLawazOLA01IcDRsHq5rCI04WOuJenYBU9cnywkC +vrBb+18efeaho3dZrx/o9O09VnQAKUsS8XRB6zmAHrs+X1/oQDXeEsfWcfcq11pNDIj1Mpw69td 0DNpvmTb1Hp9ly0z/N3hXM7PrDFsjndrv6yi4m0DUMZoUtk01BsB/2+sH4mc0/3ypVrWQktuhMLR jol+8Q/h5j4emnyWkB/CU7A6WNwMXTRXAeJzEcJ6TpJZ0iuysGzcr9giXlufC5IzfR1OyXcRHFPX tWyZFc2tceyIj8EJS2Fb3JUBDZa9f+Dukd3m1HkLMIPnC8Bk9P1LaVisbB6By4EMReD92fif4roF XdndAFshB3YE679KT7UsXTlUdA8wizIDkiv6Fx1dkXutAqBDrSqLp58F18/FtZMzqDqz1J6lHYgp YIvX6Et8M65SpgidFUCWjz5ZHFqEi8dinkSkr2hbkoeLosNELCj+r5xemt7UspUAKgGnHEXVt4qQ xbbZiwHbN154aQN9prni2mpPW+1MD2suNvh52BpUr+TWDozJg0oW5bFaFRBi2224JZvDagL4jaCL bDngivMxDIJrIFsa7+qyY5BvLNI0zNvuwlebFo9Eo9UrEbHByGJOjUpF2JUDqOgKYyMzs1vyXGhw MAMBO9cMX1ZHBbTpyTliosRfG14+pizY66AmGHLJ5M171QyNGLdqf69x+OPIC01bekTbDKzPMUnr baq2Se/eNTwKYM2RxjHQf2EYpJKlHhNpD4VMg5jIKymK7S9oNh0o+RZvmtG0EZEw/2XkGhrRtMLF jv5oZhgbgCu+sRdWCfZHTEOjlHQSENnnmE8PDCavMk2tqfa4wFJ+6m25ofj9uQJh5Y7gKqIDxv4h xSuq1NnkLjiJO52V2fzM4DZynhUAyLTzAcmZ3J/qvonqxC95FU25NlnTKAlRyj3IMc7llsfLzUx1 /WbvuTtuArlC3gxMNenWOz9lYrjuqb4XHX5zDRb0iVOGxViOGVALHdPmtZMIXm6BeIykyeNHXNnt OWHowv3rs0q0YOTUja7NMfTLnyqsvOqwTBWH4qCYn85jmimO64Q9jYS6KYlEOGJyYa+OfIFCgFHl MSwR+rNOZO05HPsBP7IagYq3PzE7Po4lBFgCojeUFB5MxMHESZ0nOH9/Lh/7u6dDQPVdu3FQaDoB noin/dHjTn/n+nFN5/ER1bbi8cTEfXsMIO9Cfi6KT6uYDBouMAeWEEfd2VmEfr5yrClgAemKnWoq xut93RGJ/WZkK7otJ8unkrD7Jkubt9XnXsValvyYdhc6Kn9mu1ksKFQoLwddu7/t/cgSceMwsz1s ToDreTjhCS3M2pJhlQRu5KpjEAuNZXYfgKw4Y6oZZFMFQ7UBZLnAa8B5pli10GCipmxY2tB6FTIf wUTLKb2oHWR9bsFnRKr5ullabfYHsqn/soaD1LFEwHHjTrYU1IQzHh29Je2TslPCB0FVm/I2yLoj 0acQfnROXJquJmmxU5bG6MB0y5PlOqKJElbaueXCp68bZsW4wROcvOKtAIPNd78uLJ7takBpcJ3b /lSFkNAdtIdPcHYFcu5WkEGRCXl63t3rstuOERZjflF/WjfcXW1v1xT9454LsTw09DXR+iKFqFiV 24DGK7EJTlH3w7VoElZtQFGuSPo1TawlRGZU17vw/sD2yKr4+LO6qRzl1WPomFNU5WJA0TNjSCJb 0NHcaV5k6x+vhDdkPMC5vnffSfY9ZLsdMR+B5+U0N3M38IcrjN/81+UcH6ISOtOedQbCD6ntcTye rYxLqeAEb2fQMMRoS4/S4jcHyIgUVLIAj3NOUat6r9SZa2AUzT6jED4+o6lIvYiykiZPAZD6HVZX ESsJk/9Kwf5Gbd/CvYDkXCIed9eVT1BEyePDh2IrWFudcAgpJtKlmyISxWUDISTLzHqr549ZO10J 3DVl8AcffcJHYHjfj/+ii/OYIqSUxOiPM+PHCTFDKAc5r8NNO5fwmMi6CohYJZ358motsZ3BGVgM tiLUvRQYE4o9ErRy7H/0oWvi14O7n9ahU4qcjAVmFKGOPHIxEuy2Ewpke+9CNOBw+hbZs4g9psjs Kjsb7yAy3X8e3HNQ1k36qEDlNMo5elnJQ/61WgTqmr2OkxCvanF87XKH0lL94BjwMKgK7CuL7ch7 nWt/lW9I+yzO2jqfpjwhBR+GHgVKqaX4aUBDVT6wU1tfG3hTGzRXZ+s1Kg4L2aRNEMZS5e0S7kHC YPOWyYr4jQM9fZXq8pQRYHnXYoMOXCthaW/k/NCD6LjvajCuMo/7CmZ+pQUxqLMhmaiEYN/CTMg3 dgxOzUvHyhXygQDyyHH6yt12AyJ4wIivYMI3vyFmqoXc5ORIwxl+eGqeZiXAFimWhTTNcKDr9eg+ xfkFP0NMwD5LaneKFDJ9iA7xJScn5kR0E5OeCZPixmCw8OuGZVEmnW97vSyadbTMwAY49sX6K8B6 kKra8rB7cVBg7NDLYFSFdOW/3j1XSB2aDJcMDdwZIFlZlvCSnFsKd1TCO8nyllYeiye272NfrK/Y TAnLQUJzuzh6pEldo29W2dmyIR6/rj+4PnyK26nIA4JNETzFBJgxVqjnxs5+i43nGz7gtQYLMZz9 YOy15orMq2J7sBtf+yXSnKLuzBAydAn1uVqkFMB55qiYVzUMV4UCd2+nexMopkHI8sxtRXQbkg48 5EoMAkyiAgBdbBY7yOHetXmKU0uza9SIygOADxZtO427d0BMDbN3U+jnYTarZ7BunNdfooZ4udss 2TC0i43D125ag6/POTpHLQ6aqkaMBc+bj/4mEoiuqOZfd0sqJBQeNOULImlUnXueCJiU8VoFPP+P GE/SiG6kqpE7H1JKPV3swEo2RiY5VUA8TdX8m77RkO0HZ9pYG2BwSNLI5K6FK/uFXhZR2Sxlntgp owmH69RScZO/4Bw7DscaV4jsq7JHrV3zuDxRYNJsSpNJGhzw/z8/iG56DnX+8R7xKehF1PUO3BAN 2jP8IPFGD+d78jYbU7HaEUlm8E3VcqwFk6zbwkoXD8r+/LBChy7gw/2gOxSuanPAV8LwBpOpf0Jt Swzr46i/CuHXrUBzABjz7t9m95qHjjAAiwfswGSvh7uYkq1GI9LStze0LPchNN270nAvGQxks2xb dRJ6fYyS+hSNhRF7gtp7YOUWdjXSLCFdWKYngzKTzC1ZrHLhs6SuZgsAOHmrCSzm+wHcv0UKDr1I V8T6e3H6kM9X7TkNtiI3WQhou5YTgELmEOqN9Ti0exix36adKQRNIKlwJZCyuetTeGSrprS32mvO /wNvfifZ42d77HmzLAv9DTT9UYFQOC4yiW3T6CEsWxsLAZbvtHI895CSqSyaw8guKrgLOgsoXQJP LYpeFyJBOHe2nEnYiK3Wa0SHJy93yyVx0tS01mvDH5d/tANhCG78yuao2FIYLbgHear4+dnOCDm5 jWBQ3qpg6SovcueOVtehuhTQVLEKmtXUYzpd7veaVNZJ8jq+Y636pTxwRlrb0SPZXmpq+iOxzAGi R8/TzXd9ZXrfQZsNSv7e563grVTwNKo36WB60MIMTpw6LVM8xZZEz4b2OQ7hhpoCmgP9ol07G1ij ZdQxttGPDC0l15NNnVNAKkubl7FOLGCj+xX1fHalAREUVqLkIyVdHWeixkgrAOgtyRQx7QLXt4Zn BvDgNFrih4RwsezvAbG9x+IiFWvYxElfdgZexBHX1V4E13tA3Ao+0RyOKN0vgrcnb4hMhmzhmnB6 9s7AOFNKIfmLJLZt2Vcz5Gi+lEl41dJH2sCEhTJhIAxhlyNIq6XOYJDFmozGAGA8s8kdzQxWDObR zPN7hI/uqXMVnjEQkEEaasHnksnKGlo8ggnNC5Cei+EL51Fy25iP4Jykg39QImZSi6bXA+uWgclm IkCGnc4AegUcwFyKqQS0W+Ey2CuXBcFIv+JdSUFagiySKikamQKsMUQ57dbxQBLTn1pR1Jpecnf+ Wpdn9j334NYghcd09C05F3O40a+XTRrAWoLAIlSzN8k0ejg/unSKuK02rChbh+Er+v/UFgMscHVb 1PkWwCHT/IHlvuDgDLYMSeGgl3RoLTYZiafGzxl93GlSN3cj+12rUrJDKQr1hG16nKfUpRJLsOH4 jbXGU+OXJQzgEbu5pPoGCZUqQ5IoT7DoMUNd6Y7u0TeY+rjW/PnswezEZnBm8r3obKd/jHALU5d5 QM+I/KZpIfjn6BNEmEi/2SzwUPaeb2EXDcBVTpbl81zeDo4i8FQuF5YOZyCYX7qOquqaP5Nhhnog 2uzRi2hA+k/VxKG2+1GOFnGHEGHnWqOFzwBGRukTsVuD5iw/8FbWKpe2fMGkdQx4hv5wGjhiQYoK PB0ftDeyNictu19EqbCG7frXJvWNdi2Q9i0CKB7Pluil9w7XzwTe2HcvsnXcf60qwSrhYhqidpLR fgb/buzUaBMSM6AsX6niM4b+1eLgkmL6nVq4KY2iMRx8RI5+Y1U8SdZZFCobDMMPOsU4UBBiM3tx dKlXOI7Q0CmvhaFrkWZkp3X7kkmjzmoXF+fTcL6hFQAxGjAZywRMT0mI/i94VwBUbHIGAEJr+M+M hqFQxX5sYSXVEoUuc/p7/aVnk8ZwoP56aFtMj/FDFT8qzb1lNKUZJovAS1GRhjfjZ3HmxibN9txv kR0cAbMIlE9OD3egf0SSQ9eqiw3XFnHSfJgR+MSUxnhcXSU2+GBwwmfYiynq6BQ+VZ6iqxGUR2+h v2+X/NJMHqzeUhK/BJyCoMwKicpBF95k4pkNFnPmtS9ZXC5BTl7+X8+33xCV7dxa2jB0jx5t/Clc XfPY5rhvuRT0T2wX0awLfAkViyPZxAsLcjByPEqrf3puseRI8984AjeLCA5dhEMd3u3kaSPigRmp +kaBpYoV7OzZMI3hd/H4F9UQWI601Ig/bN2xYHd8RCQqTBmkm9dWK8nlqozz1EroZHYumyEg7Zum jQcLOMpy+VR7ILFRM/mwivsU6sNXtr9iVfIVGxiH9P/MBnmnBDS4NbAuHCfkcxmDZDQfYO57A7dK SS3zfQR5GdEa09Qh8gPwiT5wI0vHS7EsOjsgZSZqVSFqwVWwpPK4mdTN1i99wn58U5TKPHLHY2J7 rT4AgEKQ5elind33jyA/XgnfvmcS4SzNQyWxDPc/FAY1GVWNnsRW+jpCeYOpbBsr846op40UG+Dw MMQ7xYWjq23A1qrIwSBTJLJG+ssV8rh8Hfe3n3FRkgAIEgjJ/IHnCm7sKdFE5N2/p/wb1+gKiNXl ZWBC4HeBFax3RScU0vzonJ2nG/wYw4Zwy6IdT+Vp8lV/B4WRYc2mozIMxl/cQgdbGTfbZFhisfkJ kjuH3untoaOuRyvd3RQYqlCTCoSMoyXoA/k0nxEPDSKUc+vSmd3DznGR2HqJAfykkj69TwCca2bW ZjvZeY66zAWmL1rBM1hd+Ezbm4/AVpM/MywVPakQDWQ26rJDUJcMWObRuxsM7iBCz8UUZvX42xJs /fOTyGJyc7nvPKCI7fwveio5q62JweN4rZKgGXK5Vvz1301eTYnr3FbOIZYKDGq3rOR4ZUxOIOlt a9Tda2onmiL2MxRtytSpqgAMv1I+Y15UxROKHwrMjLEah+Iik4dDrhZxDmVejXdVWT/WL5vbatm4 dQLcbCguIziwhc5bUkNIpY9eAc5EnuOeGle9OWELzxIzs0ch3qlsy5tOlcyECNp9NagcnDyhKEIu dGW4f6AIJGIg6rTCJTCuHtaF95c8+5CLGDzFEuElvoOcoY45QcpnBzF2HrQ2Mtlx3+kViNQDcECE bjKs5oNVhYPrNVJrVnVxTrxaaUcVU4lFTK3koQYiJxvDfUu8QPCEknvEJrvGXBAZEsentON1OR7w hmu2g7qSHxggvG+iMs/Y/cWkif/k9QO/FUd+/w7LPIZm0tpd/dOamLzDqSoLhect5fMT5F6cN4d5 y3XdKid5sxdK9HhU1+3lTCVzzwB9JSy1w76bfxsNlMyJkXdRD5n/Yu4ujazgxF7rdBNVE178tCCp ba4lwPz3qWEPxxj3Z6czzI2q1ORJoi+k9g56HupoKWz3B417PIQqgVfxT3fvYRatohBTa2qeUaeY leLxKUkvIWQT72zINhOZFq49WjxnBIxutivmlSrLy9RRrbLAvXQrPm0Cju4lXd9GuKuGO0jP5B7G YBzyPbECDvxS11Gm8RVhsOACw757TC7uLSSTNN0L33nCSawbKKtbs5jU6N1nR4tlh/4ZgUYyeIGG 1NEbhnwUL+C7FtRUAasdqzzGqRxxYktF0QHreat+DdXq1zqGZuDDcFCq97Mi4XKT8LXgq8abkh1u hHkTBpKxn5P0aWfHmOWsWBhC5FgwRcIpUe+9TGkcXcI5G+ogHhhqT2d7HCvkPSMGtXyHEfWEUEkV wbPSJXkk1l3ZcfTZj9zz6GR9cVQiu6hm03dmWqVgTD6+vlNtTJ4xKwiv4OxWC5oJk/vQnV7rlWEM y0zam/Dhd4QTfp5Y0kh9litPeRH9rH7++JA6r1I0UCjIT2We7+hgLvTPGqehmdf1m1aJJ2YAMFU6 PdX63Pc1+FkBVt/tCofgecNGzKX6NVc2DYHsPvSYF3IyVnFUV+QFx1f9hBpThwoViUdOQVdLS+UL uon/FKbVkf8ok4tSMwzm6IDQZiz/u8b09U1I4yDw6Y+uuwRQMfqEuGaGK/URH+y1PeBfnZbKMaMp z9pR7WZGUfHU4XhcCQJeSDNs390Gej4NZ6UVZg+fdKDE6ATdQCru0BgKbQ/+TGAGugHZnZy7Lcbp ryTfpwH0gfxWWtzBRc+M1JD29jP0IVSJIx4d7I1uqzkR0cXux3Icv2jG/yEXUsYHyEIWnMxTYQWC NQdg1ooP6ByfMVr7aR+LT9Rv3tf44ZEqFzol8PmSOPaJrisenjng1cfesUJXuDK4WZpn97yvEHsl uAUTu1+WhTl6uwZNPAvo66usSFdIwroxG3kIHIS8s7hsQ4EyXKj8caStmR4BvS/Bf8LCdcnUlWU2 67nhcM/+a3EiAhgyAD9S7qNj54sJOg2aNMzD6+hGQLZ/xquVGAs1tHoftP57qxxWIpnXyGaiWAF3 Hw3GdG+h9h419xMBReumNTLhBmRSt5kEIwfBQPHga0KSQ5hDSOWlonD8ma9syRbgkbUwJ4qOiZW7 PrTJWfXo+ZNrHiyWk//2HYMp2ryJFkuXeDLeIdzRbK2ygyRrbdyHsViFN1zY4Sn1j0mQVEBw+NlU x1Wol9GX3twkXsGQohOAi9XA9K5CsJ9J07Uf7r2gm+PptUOLLOuLivo97kqZXo/AIrGsonPmlQg8 Ux2F2drKu9YTgkq2ThwNkOS9UlzcXwP1nJ/aVNaOjziMmdAaemahGaln0ZEy7xIDayjz9XyWMqWY gdf/geZ9AC/xCNVpQNA18ZNMj19sBIPOBs18JJmAyr3WB1V9eznaubt+IC8/41hCyJRNpwKrYvec qhjsiU//RM/F/SgNyEGH+kpNbb+492hAc26u2wx3BVVGofqV+RntloU0mqBxkGEI2odrppjdwdxp yQUrbx//27Pe3vsvV8zSd20JxgcRWvgIJlKJdhQrmttuvH6rIvDjRJ7l/ep+1KJN0HpEOPdYJj2Q +aDjwqm5nIh+Cki/UUfhBhea1DhB/3OjbmHJqo1/X+PviJ3ySZX4paflfBxZjxvXqN51vhuopxxF MD4jce7OZOLPTVXbRccN8a54zwGVBJ6cCVU9n92dRzW4i6RBksGIZYG2xq9IBo9tQhjMme1T4ABE mq2kdBd1Um/NLQZ8R7K8f7/RULwceeTxDLd0EeZkbbKmhpRnQUadqfdU2E48KDmQljQfwnJxGj19 1meEYRDtGzTNHnUUxLU1VUKdL5W/sflORe7Ao7mqOTlTfJ67YAx84RpXdAXaB14TLR5qCUy0BYcn wYPw7wYFSnEBQszznxd0fPYEM9/yzYOPF/z9edSZ2ADzTg7WfqSsQ0SsqX26B1BQ6y0RsGKNsy/W PqbT1gmckKN2Yms3SUAzU3U65oupZZoSs2K/XllVrBCDw7nXD2aLlJP9DTeFQhC9YdHjezhMfD6z ZR6+YNNpjyuTHVpfHGtK3uSXIvs8vx4bT4ZauteZwOq4pqu19z25qpZgXSYOo7C6e/9djkw4ra17 CjTe/r+ubUATuWhgcqjY2/sR+KoVUgi++lpAYmMImrA57KtRWW3yXcPP8fTOnH3265vVPpoEQF+a eNiLqZ1MNHFlLB4n8NSuNZ4cNnHHjsK4yqsQV2Ziue9Koi8zknje89g3KR2/Yh+yQOpsAmpCwynG jaQvVYpOxgCpGnVr7gpSEr71NtLA0PfqpCBsF4G7ED4T6Nvq3J8xiK8g8fW7XY9nJxG9k5sOfTkF r5HNyCMkalefsEVrj3xB5l8i42QiOiQtLwEGqIAItzB19dn99rWUcQ03f+aHz89bPBXNYSq3Dz1l rBAG3XMPeHXufogrROws7dnXJrdy7sUa5oI+brL+vMdYYqe/bdVJrLUsCXcw3++8TLpUXfc4rNFf adoujwP1VD1qUlwYersu01w0lfwWso+7Kof/yhV10UZgIpHT8xxQJs8Cg9uDX40YSSOLXND6WSCS IgN8ZgTWBgZobM71vYoMBljMqC9LbfULMprxj289B3gmf6uJidXSQfZ5VEZhYiIYYUrAgx6PCnL9 R7iQJC1tuUXTK6sDLL5rE93fmnu2XlpSqqrEUeRJbX/2kYq3yBT0xX+38P/arZpz7RdavhuhBI9Q IsO0QmZ9txYa+DQkFNWWGKj4ihfqRbxz6Nd/tFFWpC+yLlyi2lRqkvk4N/vXcwcQNImWN/kz5o9+ n9KuJLa2ojANS2FVk+0/xFjiH6DfBIPoJFUmQ1RP0SSDHH16ZEP4dc1bBakuEPvQiN1RzmyHS3jz EEIX8x7Sz5kQceGpM9j/2p/8xeOSTZ/r3ynRQM1ZkDMBdBZg4+1f81wGMVCcL0nTp97lGgMq37yy VCW5OEXLwUOIntRTMcOGQ1267q9qN+36Nk2JAIMwU0FPptDd+oFg66pXgbx8nKHxDvYnrAVOaBO+ wYdbxpIZJw11Op6DJ9LQTHgqe+aC2MVChI5wlukroLJlrNo0oAhJ7lIKVTqiHbKaVenNo17IJ5tR YKZLc5PcOA87I0/+skRNCdeFjEiCX7R0p7eQm3H9kpWL8uyzGqBg+sbXu+SXN3yaV7O+kDVKAEbx uAlScYoamQ1de2TYBkaDM2jqGGly49bGjMJ/W3LBZRH2R7+MeNfrh4nef6TBANeDA1TQXrcAbK/7 hvvff3qWLSvv6eyFTvh98QrhcjASKnhJQvaz1EIABIXM24OotdHJ3JQrKlH48z/sHjfzfOpT39ny /zDPpvRDq3qjOkP61WYKJ3vllM0IxQC2+tPOF1bqEY3tUATfM4OHNC7RjfWwowv7RVSwSqFetEOd WkAlWEKCC2y9tjr/XBNA1rEc6ym53H9SnqfqdMEWfKDJCOZ3TGcGyCO1gV19inEwV4sS4tT8JTUK fzpxXvoAAcCPI9IRhCjJDtUr8Xa+8K7+foadBDv7NIZk30Fb9InUdubAdRvaak1UqRqnQyHSKCjD +o0e/+aQsri+dHrh0xSphzIMF4DovnHsz6/g5rEF0rHzYP+BDSQhAhvDyCl3wV1/wsaaRuX6YSzM 3kQlpfgMmjRgOCPkP3Mio01U8i9UCyLHM+BvAQ1FWI7tJySPNsIA87rysVwoq1B8DzRNJr8N043X NmS+Uu5Q9zM6qW0Cw7wlb0aYQXZ0cjAcvuq8N6doukdV2y1XIma5W2Arzga3upBLUmVAY94Ec1GP CxWo/CFESNIdwzcgFgZ1QhaXohs1m65t89dVLYztTs9/2vYRWlyBgGmcP7AckwSDu9x4AScAuUTF UnuddHC87FB7QjnsJ3c4FTCPGLRjHJ1nedA37FqcQxn+3K5XVkLMkTuQ0LO1fjfN1X2e9b+MEkIA xU8c8aETGMGCHBa5FWyJvm4CYMXHbMgw5mpZzJqBW709R1zTbz3Jl2G6GyGVQb0hye7zRiBUtt9X LXfPFUR4fhpWtwYKwQzoEFT0bzJKNb/e0CbOOkNsZFF2NtK8UAyxop4KbO/fQ8wlfpD0FE1/EXv7 WkglE3K8EzsZAwTp2ggKQOrZB/lej0WzEJigc0wkkH23zlQFc7sm+AI6erEh9Z7A233mLzu5C6o+ NVYKdzM5n3QrtlhieEOOA5wfpQ9Q6s/FU0lKGtmJkSwuoeMhxgkQcd9PBixM8Bn0QzEc1YxPrDOj e6BSpvCt6/R1wHKbMWvSHWwUBQ2VKxS0x03IPn5xN2CVSGA1/fdjW1far00EDizpAOBnsegM6mQs gZcsXZQFnmmCatMbXKQSDl4fpqyAwawQVGIzn4p+WEiRMf8MtNziDrv+MlczMKGK7d4czGhov6+w WTXYG0POfUwisA6jRvx05fT+mLui5NIwxIj5LbF4yGqo7OxC8pBry6xMEtFWPL9xEG2Y2FZ6I5D5 3MZ5CHerQUW2Sy9A9obtkuvN+sJw9LW8ca1Zo2xuD8dTvK4PXRZ1MS2aNozz4JQ5varsLkM7/hLs 6vI9LBdJMqYj0R2yX7f+9mDVkVYGArPLmWnmFl4QIy4LYo6DH1AnhHNoxr4H+HfaSIATbM2fczti paCIW5BEFXHI47gozVe7DR+W9c27tiT9yXnW0UIIIQlAS7LBSisxW2W3C8oCJxRFWIlcHRQZvrWu UzqsUEAgM0O+zHXe+9Z/gwvbQW8UcToy1xZGz2RPAS0zPpQRPH4/dfwAvSOxUKCA30FarFEhP+Rz 3dcwgoOJJ/x5OSmON08R2YeqGg7qsTqD8ZlfMMJsEBrEbXqKfhpk0aYcaR571/vUk0pFr51JD9Tq xok30vvmQa3K8p/buLdTmRjmuGreJPRlu7dxafS2BeHvCA6/C8Ajx2fwVg90mVlywfuic2dhAunR YkdzevB9URutA+hiItZp8YvNqeCagQ2Sv7JbNDwhfsIOQ03Q2cJaU06WtujJ4+fIKb4GzDwK7K+P 1TvS0xcVEMWqQvWQqzVdrsenQdE9cVClnzPV5cbvVFOSNhfIMv0kL4eh5KW6wfbP+gN76ZX5Z62T nV1vO6n0bsS6YnYuWa/P2DTm5mAyx6n0CaDUG+ZXZMeAY0pLgFkYLhRteFPRXjmk4iXAMreTYWUs QkoLwYcsVZsT8aJVMLA2c2IcLsD9rAZanbfrizi+p5QWpZ42tP7ubP1rBoBHA+Ff393ic1lflU8+ TS1gjPuBQlX5Q9noxHg5MQp8tx8RYOXvgWlDMs+2952LgXx41tOIgOANkJi32Q+EKMfmG/vtgZLo 0WZRjHrczpUiKvxW2Xk4F9SlDFH54q9rKm8Kvfx2yV/9BMVMfNrXuAApsUlUBOc2Dc53Uhk0/jbc hnp6MkBkfwP5x4NT8AWLT3il8Tu+r8KKXL2XnHdNEdnlSuBwqx0xuNf48RHj6GU9WpozmtFF4AZp JJ4+4GzRVdjWG7bAFi+TOZqlNSTwiL2UtdCyQ4Ji9VKf7KdEF+IoX03pbc3+M1nxbEUHu3L+Mdyg MFYwJmd81zHHAx1TL5CZtiUfE+95c6e7+ZI8lLSV5hgzH5QdR60kOYcUfYD+uZhdJ+8afc3HC9Zx 2eB33mBHIRmQ4G32W1NWL6NaL6g8BNSUbuRqsnSSd8eMtLMbmgsV660VaRW4yD/Du+Uw3QPAFQSd nAW6RnRNF8fu+jSUxqCd9sF7t255O3k8cPBiqy7SHgtTUdINSlqRM0SALZiQskuAvX+T8wyKYzXq 4mM+dYTjQdUTDa3O+1fRC+ROV39Ptu8LveWdTgHHwPCKZ8ZaDuhjU/D+Wm0FSGfdIhkW0FhyPLnl Y80pGlgoYG7kCzPEu3JSdEsWm8aArl95PElCTHhBfjMEBtOnuge8lDVSuSbfjJGV8ED+2c6o0efI FlqxOSEZtvONOrjBG8U3EtKT3Y5/OV6mHwhRMsq0uVqAr1grs21+OUjReM7+rV1m0SQHkQwBscSo 41LzzcanUtKRy+DM2SGl097pUmC0sfLPEeHxL0usGmRbyrbIupwZORZAo22LqHN6pXdIac/g7+ww B5py06L1nj/8PQ+dWDXtL3wThPUwQbbwN4JtLyYxXJS+PO8kD1usTqGGzMWJGd3uxX70PqLVqKZZ lArwuY5npIKhW0BVQ0KBx/4HjQ0hqCjuxgFfSE5w5I3YM1FA+LKz+8Wfao+HC2z4KLzIM/3oIUdK iAq8sgSJFtzGqgROSI0LM6WYoJW/XjLCaKKbX6pZYjnDZ1XHoxPRoUzZcfn5RPdz1dVl7i5FyE2F 1HPDGAP8wAt8ZNtpWWCiUyI8BbAlkwAyEgbk6prOpnlHsQ+clXjxBhcflbXGa57j1JAG9n4Yf+sw y0NKeCrWiftqsXiZRkJIGZH2z3xOnyn1pr9UPSvczwfkz4EayoE8wi4E4LcGNXHbTkMOyceutUkf +WpLgn4kO70tU3dTQcrZs612HIlNOvjTAB7Af4f1fnChwSS148KaYv96JxOPQnN0Ph1dGBG1uhoS bRuUh8LC3j/2K241VUR7VvbvDGwUB5bndneLwX1GZ08ICOgLL5nvkk5MvnTjPHcnavtZ8DR30Pyh iX7n4oeB2rET5K6U5IcqNFfUVl2w25/yuDFh+/pKj3T+QuCGdqYGX757J6Y2MWylHYxXO+NJNT61 orwkl1Uo0BXH6uvvNDA3wuyZLbKdVT9PJmemNTfxcz44c/shiT4iJ1XS+OqAgQlDV1OXrmXYSIIm yte9BOQa8cD3nOr7idrEIGJho8Yn4JBw2qUP7N69LhlwfAuAJqMHXkDDLc1TpGa5E9uBoxtr4zak oEATNl8GE5efzviOF9w5YxvUZDtMxWjriQkEbmVOeVZZ/wKl6DXnfgwhkBD+yrLsn6jga1Y2XGkL IILxLJ8jMzQGEKRWVEXz8P9j0wkggLzVYxVVE55C0HkJaG46RKbmYrrOWsqa/enmNPbsxkvlzVnm F93YaIIeIuEhg4i7gSTckcZw30o2E6q1YlqSRfD3C2Rn35GjBEq9KpEtWTR+QVToECDuLeT19BIJ Q7fpsZBtH3X5PpfL9isplI1e46i5UC540Bkg4NqF5vJCsmuU6JS8BaB2uVIt3JxwHD69WTSHIuRN Q+PJ3FHjcUDbLegCpL/2uMdwTtZSEGCx14W1//57gA0UN78jnqbQtbyWLlCa4doYAfssvdpwPbM5 LSLQvej3sXgd96UBn/p4HhY3++FKjMl/fQ+HdCez9qPsgt7rEjVGxiD4v3qrZdIG/EfZOiTP41LP u0QafNycf3c8NURjI2enCHAClUJNghDXdS3VMGtUAR7kMFGO5V9HiP8oLjZMZV5YjBzjjuFH9FtH 5hXeGVOjCYEf8ahrKnmvyWnNuUUiuHvehDmElJJ2ArlpqLaOR2mPB1pPfXOxgLN3Upyum9rlBCyI 0Lm6oCDUNcyNWj+6dJKAjtTVAisJaNQF/LpUsEOszhoxHzjeSU5jMmJ0YWvSOO4a1FIXQ0sa6PWK IfYGPuizxek7ANJL/HW0ZG+qSOa5SXsFEtZYATGqFVwg6VbGf/SEBSPQ7kJUVL0F82bGGPVIxKBo hOocgfafhMiWqNv9s1uEaEofwVWvabohUheD3YAJ5fa9awM2FANGsDIn2spS2YfVm9sVR2SJ7vVy 0PH4Vz+o+XRSIUZoE/Dmr0PBGOIswph9S6DTqFdmGxIMYZEBGXJezHrQTQWMIwjiQHdEMHDJgGw3 BxdKVK3QPWtPOpxIyQWvxClRrWm9bEhlbhPJInYMSHfyQpik3HsnbhOwG3k7wp/KBVFzcpXx+bmB ZUmF8xiSbmXKO9DFUrkT8iNfleEycUjy5JYqWZt4kgoxpw8s3V+Gfadnb8JfggDzLtuNvf1im6aa OaAlSAaCcVcrzBWPRm8wus/q9nSi18Cs70WY8xygwKeE2iOHt+6j/3zprNjfiGBXgWHT4kXxAzAG kkuQhz3s12Fgksa6wBK0Y/3OoWV/jiGZOQbEa5G6+dzC/geAYcDb0+qw2SpAKZuBCoRLr96qvDRw GQj+PrEecWT5aG2Ah8aNjmsa+iwUb9VVjyTdao9heFXnrN8XGXnlCg29aT/5i145Hr0By2ycvHOy PRBcOs0KPdO6AyX228IK9JPYoKLJUJ0QCvubXBybQCCrExna1MNJUrrifweaS0DcE8SO4Gh1Koat FByyFmztNZRQp/b+5dLI18gErBmisZ01bmez/VgHT8zzsukgXiuCmLIoRdsQjxGbMp3ImT0qMtCE DQEF1CCAiKZMSL6IBPYBZ1xw0F7HHXCw6OCoaFJLHkRjRKynwfabLo42gzK7nVHmqakuo6ZPThxc 5aYXEaRxGntiNJ8+N8c106ordnmkflUjS8+xcEPyZHzaWMo0/p6nMqK6T2D0kraZx8+5VwBrQvlq J3PmTCKdCl3FKgkrYVrlSJdt0D1agjO+Myqmvb9ZOORAnlersHfXG9s0xXwg08F9n93qFNCGPu4L 7tlQtra5f60rezZbVd2cNgj/FtV6thc69AUqvuoRHulE/GCt/M/6KaNWZZ+muR/kr90EzQwZBCDK aacMx3w+bJm4VelbsHZp4lNfAqUExk78LQDOIClkp2dXoCQq9kb1zZpvu/jX72PApxfCVFhn8OIj MhW48248vvDTnSjqY2CrreUJy/AjJCpbahvwldi67BJ/Tpz6R7bW5nTi/Kkc5TbbZepGz0VFsUk9 t0c9DBNpFAtlPxep01B9CPgcqj+R39CfUURTfMlUGGgEAdxpcI2PM40Ou0bTvgU0DNvcpOhZumWp Yb0f0hAxHXY2RWlHMqPkQ0Slj0Do2DXIM9sc0Rnoq7q7Hbkvd0oSKtfNMJH/GYFQzzQM/XqO01w3 Qa1S+rKKIwxnhovwOX2wNcbHhjZiTAS8bQ12A5NE8bTqeXqli0qbRpkkSKLVquiBp5gEwekfYYwQ KXA+aOQXcgRzfErEND/Xx51ANzmrBhc3rdMCEOw9PbjFKx+NULdJC08ytf/U/fcVObJDr0MZ6OqU 6Y6YqXsMCHpfQ63yBe7/vbX0faqmCSxnzVsq5EtMxKTtel7FIGyzd1gck9tWvQQcDbmbwvt54t9C ZfOgrL43nUz0VjgGLkUOuBe6vvCtnDzEXBfP3dkOcfhgUbrt2224OoEO2pVo6PYFMXCE9/u+gFgO OA6iBzGePlZllXDqvZ2c6VrKyiQatKY3x9sXgzx4H3HHe2Ct3S6jUsmfEIMQ+RrLEMU3Exuk8BIu o+MPxvG0ywvv1ihdesEv1g20yfD+xjn9trHQawrIH1o7qPQ8OPaSd+iK9hLc5Q0JL8FqS69dPAOe Nt5/C+jrk4qR7B5qDFji9/r3GaV2LEyEbCTaZrXS/s8n73Iu+BuS860mbfVvpTvP44fudCso/Jtp gfMBmJye464Hi0IAax4jJR+CsbInaPRr6MOjk3aVBnylZXj0ECQN67zsT+8BSnjAmEK83WnGYGOy MvRFBioC7Z0HSg86/ccKbpVA01oMjjcjj14U0wPH1dCSc2PNA+NMBlSNLZPaV7X3mIVJ/rZosd+/ j4wqiM/nmqfsTZ0bP+Jj05Hz9rBJPOfghsq/j5eynzu6kf3fhoNBY8Xls31L8Q51yybjVPL1xUVJ WhVy3qZPdCW07DdoaW/HyadZZZU+YaIQEUjtVqYdDaH6f3WsfUdlxgI8/0Zri0OIau8sLGwfnrem g2cng36kiD4eaP+alWlpZOOrjY98R0GA6zmDXBz6yjyBQOYzPTc/H+ziFnhZoRszq5w2e3ki8JLR wTDGC96VVkofT6XpCIZRP62X7BchQ3tMsqpStyeO/BzbnhOa8L9TjiSPHscilulbPx8h9IjG6w5M Is0VteR9npo5ubzos13aTFlo53onS5+DKo0NLSHohoGa6f5o+CfnRqjam7BrV0vLDlvPsYgaM0mP WmaxB2NagAnuKbAv158IzqFrQqjhaXDyiYI2EaZpXQRspuETW9EYgVASR4WsUme5ZphSS7dlvBl/ wEVBUaXOoNsOhP25uj1Kl6MwXts1sb+fdi1KgfSZPEcYlh0UmrDA2j0Q9MIdaiwMKy0p++25PAIH QDCBUkRhqm1CEkzKaNubVGGknNk2nteYsGhdNPrjOr2b58dC3DM0f5IVgLJiCoeewZpdm5YpY9CK rs5sc2dthQDOuiQU+lwtyf9BZx/csxrj8rycpnxy35Val1MomlDev91TGeB5CpzdzCiMG/JXNhD8 rV+0c7953MSA+YF9eb/EnElhuCVt9yJidv96PAmgQz8yWvMTUnyjPbIpEH9B5QgMbGwJAk+UXw2V 3bbowrjBj9hf3eK/+/OhibgC/cSMIQAz/tK3OnmwfZC+LH4aZbSeDf4xW6MvSi2AHTAKl4kHPP/y O4cA1Ct2TD0V+QfEd4i3FZ5GuPLFOZ0DOynQ29Nj5p31lTmZosJzQBGfyP5g4emrURpl0rggApF5 wks+w0xh5QFGxChX0K0/i5B7b5eBTvcXm/kGIwFK3oWUg/c9JcNAPnF/VhV6qsB7d42C7WNRdUAh MgqbfnCSAWUuIYwFGz7kdbngGifJj5Ts2+sY70ZGTg1OHSR1EQ5pK3ScGDFDz2/8J2mIIPZn35va kZfCQVcIqHoJ6R389NEsZxtAkOm3mSdl83k8GEy51/F7Is3uIqKGaELkjFt8AtXbZFsTkJVHnjyT gL2ciH2EvTy6A0w9OyLJOObdZV6ZpzyWabzGkN6E/D9lggUegjfO/oubc1BXPzE3I5MYX6cQRGyO qlkoi0TCVO2Yge2t8cDx1FArkkM817AL8B0euaWwL81tnzwhHBUBpmeNniPuseEWCWbHtU1sTwjB SCeloJLgq9h55aAvQHOlwumhj9pLMgO/fvfeKQMZXv1wlAxiEDBicVEBHpDT2mt5TrHtYHngnqRD sYu8m7/LmIZGDBEUVgnOQ6U9/rebJJJHWQ8nOvQYMhb5FQTVbnAab1uJEh+uHiPeUa/kLGGSXz5e z1v59yXA1nnVR9hwYqkgg8FUL8h9avpDh6Nm+CxUqTCcCBu9g+qDrdbTZ6pPJ7a5BRrzR7QZoCK6 VCTNXokSbxA5r5vKmQ8mb+tIPjEe6PSjDUYb+xvvMmh4yWPIh0t5W+I9EzZJJIL6+1hJLmm/KFGh KI7SQB5Tpt9raYojlXlmb2fLs8jGIBp3LIqXUT3rOvAeU5Cbt1hYAIooclppSeDFWVBpnRAO30LK ltRE03AKiZYK3uo4KJmJORgi7rRI003AeONxspSKUeucrzDw9YZZzY/L2f2rByTjA6R7+cpTpmYp EyYiB5fZsSfT7sQuLW2tY5JxSpcGr+9qTRqmT4pIqB4pGxDBQKiPzR3apU11hgCx4FUJl6ZnOIKN AGA6PXAfdJfewPZe2hNaAMJ/SfPyJS+BeifxwwWtLGNeAaw/FIfhDDbEzfad9zuy+WKv87S8eWT2 qTLxR0MwtqLMhIarYPIht7S9JJCo/wAjLGD9rHVBNVtCRlkn0iddz0ZNcp0GX9Iu9sWjALe++132 nSrKps1yTgvq4QvkZ3lFqmwEeoKlhqaJU/PtK2NyDBHpqcNisd3wKDC793UGHgZ9hcE1aGuoq0DL obD1Ivuu+ek7lpSiwIkGvjYQcWk5gmnV8g2tlL+xtxyBoAcB3NLLaOeW0ZWa9QRPZwHTA+pp6EQ1 fBrauTczxnlxQbpdagDYr4Rf90a/LqG9Z8FiM+81DXpkf1DId5eI78kwje01bRN2mYkHdN2o+pgR BRdzlmU21DW9dyAtk1kLXvUV4C+y6i6x6V3yBPda5ajW+m7WU9ASJKcAAKgTZ6Ts8PqJmFR2O6eI 4V7F/NUXwEIhOfbe0HilLUuU2j4jySEcFU3TZrQ1sB8WTY4d/61zVLmY1UPc/zEoZ8vFvm+c9riR C3ArP4jNEs1UJZE+0pNLCknjOfqWDxshdLZjxj7VNchUFkV/Am2dOYpfp0p1NVzb81z2MoO1gAVl 8IM/EdSAO6u5+CO/ScrXZezEK7ejfBzvLqgfr8rcilzkxnZRuwHnMF5RD/vtMpVWuWCU5gJqWUeR QtQ/dH+pLWcOp7GXTWWxJ3UIjnyfcystTKA3e/+iC5DkdS3HYExhoryfiLUU8hDYPII3k2qsliQs NBP+uxuXxSA9JvX4Ri+at64BbCyxzzPibaMEmz4PymLSeSvCndVcfYXtYveWZQOwW4W9JsFgfTmJ 1s8nmr2W8Vhpmg0MWchVhsOl8tX2U9N8glYPpW1S+CrS4sZoa1drSDEGTSCOy4fYf4QDK12AGnsG eqbxBjJq/cdO4Uzh4r2VDn98oBQMc+4okNlqHW/hlFpF0QfEB1MjLnQ= `protect end_protected
gpl-2.0
504c44b0143867a9e9ada4f97431b91b
0.941425
1.853814
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_v6_0_comp.vhd
2
12,982
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iI53ab1oc5hoyC0PH+MEeRC1wIXEdibF5KHUZHQiKs2RrBGvxRGnu4BqzeaKqKtbYu0R/21+L3J/ fNJac6/tqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PlIGr+Yx6Wzcn64/CkXf96uNFHtuVGHuEgLaY3kVWJ9LmoLapVtf8BtwZidxT0QbU2htR4RzGR8X Zz5sTD0uqbLJHb0oT7BXrHqqz/5yo4WYkAyoitelYAmzUGv1MdxlcpdD8GRto9fxofG4WDkzX3n/ yMqbpHgb9wSYvGYJexk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block zvFH5R6xDV3TGbSKd2vii67dTR2ZQB4jEnRvLuI4F3jJ1w0h2YyB3k0kZOxt7k+bNZ23MeisLyMn YtLq23G7lhT3LkfWuOEj3GFkVUQmHdwqbUeOjBx0ind5niw45ZB0ucVaOs3rYIkgwaqM7AmuAL3Q jQ+1lCfz6UipuL2bMm8aK8qWB71iTNSM7F9HsP5l+6YRPi6U7KoQLx4pEO/zkxzVmAK2Lts4WDUS ScLpFB+Yz3wcAAXdR6ieew4vK0MwfQer0yJ/rj20Q5/kQMcmMfdXos4baonT1D1iWY4VlV3U+rm6 k+3QXDuncdNUeMCoL2CZnxKyx9IGTnEGhJjyXw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IUo5MP8OqD5MDXQL4j3G/4fiZtRV4f3Ufepirw810owpD+HiAbeefC9yCLsioK9C10XmBorVvNIP t16RUHPzzro6fF582tzBsaw4isswHwBLs9bvpNc87rTk3MdCwvXBzOgY6JnG0zug5ay5ozwjantm cHgl4jKqe0OjYWgNfd8= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block igalWu2aPtKtwOGRL6VDciGdryNexAtYicAaz7rfUI0hhz3UMqU3IAbPNjVZR80lz68OTRAnlhst b9inGTr8aDoWuWtzBXUdbk6x3dTsch3crI/il7tb/w/VfrL+D56M7tlqJyOWNky/CQMzm7Rbfi/1 /YVxZSaHxyUahviCcv6R9w3hGuJGyWCU+UZyP2P7K539SI7RCkxk6laK0QhRQUewLemWqzcWQGMS 00IgaHyPsQhUze7rJlaGTJl8DxGj7bkG2CBvY+5azCSDUeerwYwt+l1IkIpt5Vl1v9REsMhLmWpT LPaf/xqITbxs0A7NsDcLNFPktrpjkxiCo0WUFw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7872) `protect data_block gmo8I4O8vwfceqnPVywul2+NnZyHNph13N8bJteAn3r34ErR7obHM24GPrdmP6mA4fWy74WCobLh gv0m6UmWdpvTL402Q6fCGgAohpNWH1JkcA0SxmxfNDlRLN55BihHy5qRHS4lEE03HN1jzsoF5pqP UP3I56EBJOdaAY7bPOuOaS29g70rAWDR59+f7VZA313WUae3MupPCtrlpREMPLViA6ihgHqkOyCi 9++pkZz6GIALI3lXB9bHNnk6pHPAZazcrr+xMmBUxB8ijRH/SXBf00Rs/Ofduggao9ItTxAsPzqv Q3rmyWl7+Ge8pkr5hWOjJi4RE1VNbBb9Gq4XE8wcIUQ+L3SYZ2NngLYHnHlcFYJgkzD7gfj/QAXP A28ZjL3/2angHpSbTsWXcNPFdOx6b1GxGMf82z3drDS6bSWYRuooYJyClwh0KnlIxhnGDWuwZ/OZ hVKvaYoik90BbiiLA/N0J4QH/WHsoFkOcgQs3SzAFZ8pWmgIOFE8nduInRRSYttIzk3ywXD9zO+r Ds5ZfnGNn+9PcXEG8vLQiQNi5ihRKbS1JIg4K0GlBNULI5cNOe8LOAdTCiUUhiCDcWTS2TKksmrd qqLS3rCN7orURQVGzfs/lGaGvviWj5ymUejFYMN0k0Te+PJLrHvLqKFFtdzeKOT7vFGe5pWibfA9 pKkab6e5rieySIVjPM2dnkOpBsLdYX7Us4ZN+Toos97BUjusqVxk8mcqehrOzjszXX2wjjq9GLH/ 76nTtql36udoWvEjjQFYrNriocoWSrkZBX8UfN7YV8/nCH52b1uOydjh2AQHzpSdkTpwbAbanx2A DRDBdFC4IexIurZPPdTaSi802lITBVo2ILJ0Sa0mkDJpre5BdbMWBVcFd2p23pBLCQRnoMzlMQqz EVKwlpShBB99TRd0nv7zuvDCQ8gy04914DpTW2gMjH3XAL9wYqDQbt2FnevdjsO7Aj9Rh9tZ2WZf sz0VzjX1Y2VOpEn5uAHGJlPgvK0Rr/AxLjC85TT6ZtovD9U2yUGycrfN5frgbD8KWcJuB6LtM5fd 2OzIRELsdtUC6BI6qfMojutf91ErNeYOXFQoHS1u6jLz6tU/QrXvep+ZtUqcig8DGTcPEgzgPpi4 vZrQYtdsuxVCxL7w8qLaDqHIHGCgbAqf8V+i18VvNvm995G8E2cJHjrkBJMgYmnyzhjm1pCtwq1B +Ve2lrbPsHJZ+iNWaOChNwdZIWxeu2vaB9XIlkwgVXWXAQ2vG6KvaihR3VWQIxQwDrXXBmTgngMM gQxuFa7rieTTqVxJL/7T3sZH2mmq9QkrL0aJgCL2q6+s04N/JJJq8iVP00sVi5YWF5KbzE8xRrdL bL5CKQxwHz0kzpsVsLx7CAYr/iDI65yNEE6h3x+CeXXuL/D7G4Jlg8ODj/VPjsm/LnLD7/XtWpUn oVDEZxOlyTVb07sqDOaNnMMksXjZcmecApZcKJQorQPdXgP5VM3HYoN4Dw/UqZY1tvk9iSOhoUwk nt7/2KqNFobrbG988q9pgxo4hRLadeFbPUoqJXdpo8cKwpstPfHa/hAAkJcC2eqZplBTAB/yJreF D2r10xtQNcz98JSxiZ8MDsJoGIAxOp8A1TmuqtAKcTm41i9GSnqrh3H71LIJ/SxOObXHkLOd1sp9 XdGruwTr8E6TJMs5fNNTLr8G2fG+1vyy/aEJmtX3CBZJNRLIQrv7XyDsHG1+HCIxHCSZs/DI9Tzu tv5iHDpthGaFnXVHYY4KS+tmV0shbbZgttlkEXcwi5cYc3OxfjEbc+tP8OwHmlEwL3sfKIfIHa+F ACBHnKXK1R8FvAQ4FKKY8uUaQmS2SWixvTKDWP40BB3KkW1pYEvIlP8orYGx3KLqPATdc3yJIdp0 KXxw+hk4Wb07UmSBaSpTD0DwKputNfWn89zU9OmFebSmqh4ZHKxQ2Tqiw7lh6yDoNLwPTM1XAKNX Aojb606367g5n/k7+wNJaB/sgB0uA4R75X1DDsYm8f448birlM9LnXR9Zwrk0Gt1e1UQNMTgETfW s4jvsAO2xQZpyMlkTYCE7h3g/LPB0Tnl2W1RmuvlZmCHVkzpS4DnUKJk4QNyxOCdd5j9qCe0WRMO Hs8PMnzgtb9zvh7ektuFYjoflPzvSFvjepdR0iiaR+bKMznUuz4a+u3FJ9mocz1xJzB13Rn5Emml q/ynZ0BeXAKL8fkRRL5Bv3IFzBTTy0roJP+hHClv9Fy5nlxooKkQUNDRWKwJ/o1fZtweuXEW7heD nzD68Q41/oYvHXU41qti6m4IRGXbB1XBPnYv9SJBUno7n0XjefPRZ12nNN+O3yRnvB+XCbOC/klY OAyLd1qe91xkSkk8FtMw72LQL62hhrxHLQpSl5gUH9xmBRYy8aLdAHu4l0sEYHWnbFhlRsp3tB76 3lsITyWqq4S/folPI11sqTRRohbCGfHelhRmIbHPnF+X9NeCsk0cVuOqTLXmsmsm5DBkyh0BUk89 d+57/ZSUEbJL0VpByZDQmS6SSG6Ew4lx9SAGsqbZE0mYhA6Ygs4SvV3H/mXtKH+LTAvIvwuXvZzR C7myNVVMsaqdsqvPxN6LA5Y9+hKroo4GLyJLw1mU3MV9NtyZ5zNridGXJJA+SpVA+IcZCzDELmvn JWdrph+cVZg2Iwlslw81maoqErJ1Cf2N1ZQlQpqYVD6ynEwCg734+3/WwPspRaiH7DuWwfLnmS98 iUHIDzwR6+jLu23/N0TOINnyWc/hUEnF9ikRw1EvDszU85t3l3hHnrvBJQyrAaLc3KQa1pdja2h/ GvLRm+qF1i21mESVeOc3+vjvt3hX7WMIm6+IoTHmGbd9e9MnbrC1e2AsgKD7VYT5yiXMuVMe3Pjy wZPsW8uY9CZJeGkEMVQVKb/8I8+TOAuOS7gm5dzP9UHmsWg9SgYwUNsfMSwJK/FMYeWLN38hShba xzRDuF9zOkf79A5ZK2zlKOfmw7p8pM/Bi6YluB65hExQDZCp592V0KIvvKQXa0NS2Mh0FVfpZ62j lqwpcXEbXPef838fr1YwewYfem4sjoD3EAd+Hc+m/Cu1wrmsviaomofV3RxRBueuk2WwMRMQ/Xv+ 0CFfIgALYmcZYfhDOuYqdzDqroAgoqKHZG/rVupC44S/7B+2A/DxHYaXw8d06+Xou/H2qUix6QgO BljP7nTU8qa5d0TtyNg8ftjKMmiMnBFx+W9ILLYQog9oKdt3+HhNUMfTjswA+Pgj2XP2Y5488DXC x2cCB2Tj8tJsC4eV/Pz24TzdQxgUseNCdlbXu1JLTcEWQ7aTGic3HQ9lCtUwyIqI967vsRfmrmv5 9EgzUBvCP2zk6aRuTV/jom05VU/37RsGjHzcSvy0WhU0w66UzmbVVNHdw+uph+FGUU82eZf18iTM OCvg6sElaCBPmqvfxB1MAm9MqDI3ciO1m+btlq2Hyl47YaZJ1AaEzfqaKxn7rgtKyoNeYBQhkmsa 2zw2JPEKMjsMFIyYMq7fXwxvm3vP2LZlKd5gsFJyXS8/CPcTNmPXpkgsIo7LLKjJ21mkVgvH7dOF V58iPTo6gGU/h/8BfeDuUjiPGhbY4KtOL4rrmxz/4fCxFJOMFZe6YvDHiT5nDf7qbbaEbLIPiD2J jYbIb04YfDaH92LgZPOdj9IuTlxsNfwKHWiR9R9b+7XaIzXTKSmhisohuvk4+gBdci7BzPToIxbW Mt1J8WdldtikxAE6+O83N0+uI3tNJDG+ZGqnA4pSVxvbNYIVrCfgKs+1p3O5UWLwmJexfcyMPxRT Mo29SASh3gNol9Ujs2N7dkB9topTvOODVPWFON33jKEovSP15/C6Pd+bvQRVs71GA8M5orAyU5qN Y9WAfCTXr6LxjDtH4Wa/LGF/INkmpc8eZengyXQFL/i1IQ+/g4MbnMLdLfB/3jFzybhmLy/bPsdJ w+n2K15PP4n472XHsYllusma2Uj8vWd8eU8KPUgYUpqZF8IJnltfudwdRu6eVhTloajEcmH2TjLp xS8tRh9HJOBmX4TGO/JxGkQ1/jlUR1FQsRybyLPplOoZeWKUT3rjSPIaDpREwqff5KUDzZQ822NJ I+bMn7hY/NcRsQYWmZy2ZSqQODTE4URcCgsy/2mGCGuS/xAp1EmuZZHsNKtuHiN1qlmoiXAmiJAg oGa4OWI0xrVinc0yh4KrdlXVEi1WxG9R0ItfwiyTD3yg0Z00FYAMb4Cm45nlUFA0NRKLS4K+vXBl e/UsKfFAKrh6NLEuE816mHC3VQXXg1vUejmBHexDTPoLcwr0qC48tQPG4zIzZmirm0iGh1ZBPH+2 khH59lH6Aa0nWGABZDsAM+4OJtPmcyWSnXITMMSNgpzal4fJfMWg1OpcRf/jaYJbtRpmkG+3fTJf Z1cHNSncTl6TZjFaBD1DvqrXGFnNls7DxtJQxrsUITQhL4JAdI94iGqtR5rmCQ+IxWMqPfXFFl2h eFOgsSbrlbjvChLw/pGwepgi+PC7FOOr4u1nnDRdV4YkYtsTQeY7RVUPWnjAwrzrjqcW2PDCDzn2 Z4vQCqG6h+zzBI1E/hp/HaMh16h+JI47cLSFZTH8ptierkVRrlTAx/kyxvsxd/OfLkMHejfwqiXe 1n/9nI8L3OJA2K04dCcS/eSOu94pzcoxqDKIE7AtXL2DFU1n2ZOvCwcRW4KCY+WDQ3YPhf8SAB8/ 4rC3LjFHwwmJuFXysrFkQOgaNvsz7ylAG3TIpcH4CEcPTIP9WE4npny4ptrL1P3sk1Fi84UC/f8Z FG6/hrs86p9OrEeew/2HtGu9gfgq5gpb2nBEam/thSR8aE9q80Ii9fvDSJuObxIDt41hxPPZu7+q YnAoTkaVzI50pIMAfedDSxmIvwzopka5F9C2YNyJq2Ob4PrO67nqNxFYjIRSACPfkdYv/H5Xy6Sg 2zGejncHdO2820uvk2EO/ywH8Vx/SUfpFco68dB+V31kpamWgY/728jJQ9Z7p/ggKzTUxdjyuPvw Pr3afUQjbZ7tmgHBWkLG4T6NXA/80Y8N33GRNWgkXrUP8QbwamzSWOhTM16vimuIiK3PO/8+DMJm TUWsfFnjB5sHOhJjiRA2LkZaOIA27LLSx3d78Yv7pjIm+KvgLHYXtSoX9kSdv+YS+TqxS1kp75yW MXa/nY0ltJROkzJvFSqcNwnI2etNoWbM21wW1rlpwucb4gKlAI0IXrDXF1X5+r7o5KzFu/kO2xsk DX2eEMKsIirT5d6dGcMFa1TAlJtCyYMU//lrmOpGZoymKwBucODp7pk4+KPsdxoUCAiGu1Aaj058 rX94+XWmEyrL+vP2P7HKrtyGwMpRieJsF0hQ/WIStvrgqw3Z7oHoCadJB8BuZ48Y7OoifjMgSpzj qEdNUnxx7bjxWc5qgSkfQ4eH88QWowkheN3cOeRCPorOC3IogF5dm1jMMKgH49BfzapVduZj2ZDN eMMWx7D4y/QyK9oaZRew/psdpKxOZKVkmeITg0LXhyv/WC4tToxXpl969HIC/0wwueGnGHWaIaCL Oi8jeUsemuigfXjh+cOOs6PpcqeE8R3Icul1QOuI31sxH88JHMmbwKZZZ4W5l7kS180wtIIZLUkI oIaa15cGtGB5ebcimojTul/FO+2uUQZEcmUBheNw3zPNJ9D9LPzvLEhhcLSjQJQLAINAAlhpH9yn n3zqP7zaIn+DwJUoIuLbXdpQ12azeXs2+CiVqH0fRrZNz4OwZUkYuqWiJx0UdsvEyomIbDk45hXK TDqPBuBL/eOldmGI59aOuk2Eh3A7AyipQj2HPUdbxOyVNxILm4T7M+4QnuFt3a8nIx4Nl2Lh6lBu lqgfLSRPvo/vEtk1yBiH+BHCAJ8MmaBA8/qSkrWsHjTPGlZfOqmKBbNNrikzV0oZdULXgbz7Binm ZerpUrgsUZu+dyu80hlIGok+J3v4Ee6o8LBVpgBO845SGdKgzNDGu5R6qzeKVkiWGQKYHskFuRCm Q6ZIJjOxx/J9cMHcD3NbIQrVW8PGDsns2p32l0SMJJsKWKPMKh2xoyGEF3SP+cecpym4lPj8xvOU LO+FsPriaOWRPRDSlT0dkFCXSMndEEQP27aa4+WUPUSy9RqMviW0dkp3osMWPfQnu42E6YEAMmvQ oKjj9OrRLnSCaqqwi5ObEants9rUxTh/X/mg/9JLqcBWthPRKOJ/218ISIi6kpPfxQjHWXsHkSjB sGEYloFs8C8SoUl8RBOAvJJadwA8LzuKNgqYFguCsXXwf1EHc4b4Sms9/xUZpcDCqS9JKTEemamK 1ibVEVQFzFPZYWPxgqkL2Coarty52kuCIoggSbhzC7l7b3rOyE2Qz29M8R/q0I4zAgS7RmqL7i5O lHm2/7kw0GSwpZhnNwj3JlmhEiNvvlyHIRr1zTWh9MmE+vtwhH2USIcQB0SfX0v/6bw6fxG/zmbn BKe55h8Ho0YKjUCBmsuqkzXWDyWuYHZWkgOG500j6v19r/faRxC3vsZ573D4trHvnhKWNiOfBjUM jxNJ3NsDf1SXGWdFc8p+zR8rxxGr8/Ip4JAIxXdGCUpMGeVW56U+KW4dougq28ehf6ZBOC2P6fNU HiTWAdQpPotVSA56EG9mKY2v9ig+njLHeK3YG4JF1xF/MyUg0A8VmbicM+lde0ErlldjYWyOqObL Er+Jmvo8+67At9MzKaPfoJkzQc/7JQ5Y0gMq0LnIbTCXiRdwgJ/Pj4yaGs8COpr3zpvJ2W1ZbXva I9Wf2IzPQ2GAHsD4Jwr9zpCG8VNBKUf4tYXTwUEog2AXuC/zNu98JtoQkb2uL07h+I3gY2y5ofht ff7q9z/5Dn1yJwNQB0bXDsEJuvKGAkC+UJJW4+TzYLOT8ccqj48x7pHdFpMxjIWysHCCzaKSkwb+ bg0HWq8AcTetgJtSnOPwTuYPJIC29gySZanYYTGOIhHHARhrtS+eMfos/CCFEnGcTdDi8NsSEU40 f0wy+jfdmQ8MCPJsiXj/LR1Em0teO/R3h8Tkz7wdFi81QNa3Rohy608V7vTyImj3itO7jvqlENu/ v0CKJUSPOi/8yJBFskXSsasQSY/nX48QxVk8a0mdTZ0hMnUQ3rhOVjhXbG0w4MI8EMMZ/odUIacv bxQSNUoYrGmgMDJSNrh7UIs8y3+tNhYWDlWBlLvN5LgxHNmxBBfMGvddfMbpARrA1TF6X6ULFc1u GWdc76eBPKovc9/OhIbxknITUg4YcloI4OUn9peuzFXZyPe1blGrLAfVdMS3GFtjiXnhvf1zos4d bH9DjWPrx643i3zCuDGwoQg3O45nm9Bd1dyXh1nIpZ2p5eZ/pbPtVI5JH/6v6u6lLBdwZUuVicIf Kqq+XhK8PMgjOPwXdGsbSCYGz7CRebOuDeJ/mzUs1d2hgXBd+EPve+U96eFe5m4ipa3m1d8fjSww Dfdd80swPIqMfOEljwjN2JsNhIMYIufivwfZQn/+JRIRV+2nmjYMvBA5l1KRDqPZ+fpaoYwTPvgE CGjhg7SItHkOqIkLwCzgcszRBuSQi4mSPmMD3GcSkI9HZb+NOwfpe01kNoptUwHwbe53ax6COY4X qHIBEf8JziiCjuz08971d8YpJ3hXlzSz90F8vox6DMr80VXcABo5a+263W1D0mIf0VuEBe7eycZ/ TRHuXG2kXOlds0y1vdGGSLxJyMeyGgpoAPbipSazmK9T4jNHiyPTIFViuF9soWaySu5xpjjnsFcU AnEXBIPGwNmtPAmbpOCCWNBy0gsi/A3QtPt7GBLfwCfKS31RM6lIXCPM8ie6lwIfotY9+3VHgPk0 82YQcowU6f6H0jgf/GZL+qM101OUuQSbx1ijHk1X/s+MLrlndJEgMMVAR288LAA8SrigtcWAUX3v Cbdkccc3L9Brm4F6JqRW1w/41G0+GhFVRhOzIqNlOEE/2oQZdD6Yr5vYhwWDe1qfGBMhw6/G4X5n LNicuYxdMPdXmKBP9nqM+eVTA5DsjafhzLSSTJoZSskC6yBfAZP86myd8iwN2/OHZlSniLjh3zvy DOrVxaqqif+isnr2wpa2IpkuxxQ2DwYsZQPufZ9I0B7tx8OZXN5pSfAAoxT9b0cZ8Qm1gomzuSps tsBl1rrSF6Iv0uVR/vZ5WokAG8cvECLq6cP1tZjRrua9RyR100BH3hhUm3QibG0UYTQV5u3+lWV0 tl3aRS37Xt9o31rTfD6pzpfwBPwhlReugHmy15WOjqI7DpglJOB/xqh5SMO09oX/Qj0sA7sII6CC 8+5k2OepnJ2AYIVPO4+m+EgBrw4CxuEjqfu6cHszAu0eM+0C6D6771b7vVqkYve7e3UcfjyGNsRt q76wOmQ1JggqObegkWmnb9za2tLH3YkePidi4KxwzW9hKrCGYLMmFV+kgWT0PwPnT2BiBNnvk0JJ 9AvDCzk5CZMjfVYdeEnP8N+pRqNujnxp5GyMAR0HuKMl7gqmX/sE2+FmFq4q+XToc3DkxFHsixPA +sMcBhY9ta1qN32bYFmhQNgl6zwfuOtx2DaZE1KAEE+Xw63BTKfKXy6QEhD737+5FLAt3nNtuoDh YyoHOHYYT+Y83xfrkd4TTQPvM8h9bi2qTPHrhSdAd9pm3lZjE5ApMeKcSxvB624crMAA3FMu2kHp Ch6e5U6VetKGm2y9VPzLcfUZoHyey2EtQoXtUSyQa6XC+wZAJgmfPVDYGEgdmN6wfVvG5i4AEABv KqATj9vmNirG348YpRnKakKRSMx1B3KRBwepqdCkGnmStQz09DP21XLevQlp8vcsfjlPyu2uTtma 9d2RWkGvQfy7WZW0tNq0GCArj1wVtELbpf+hg2RXvL9s2SdVxW4uZUtt19IoHLH0QloEohHCremm xbPhifb6hLS4rYp6EBI4gLPzdmYGYwDSfw6IDyVzMmnFNgnGwqf+zP4hMY238A7DV3VgtJ+SsGU7 v5f1dPIFu4lDPgt6mQ/qyVNSYY1ut/QyLw6irYczMz3bqJXxKaA/U1Uh7+4cEtfewrC4EUngtfeU pZP5QD/iheuJjIrttye9XmrpdJSX65bmZiklnWc4MBWOpCu1tB4OOcen75kGjzjLVK1QtOt1Dy1M KxJLzzwHo0TWR0Gov9zNql8MNBIRdGRKiuX9zIGeU0pxG6OxHI4bsZc85t1tq7OcJgRcWvT9bGM3 4WP+TG4KGOEj6+Nos5C7NcC24XLzDSm+3XhxXO6VDptpWyLXtYcEbjDFBZRG/M7e4f2bNUyzSKXY QbQdBvYX/IXcFbNLPrvjVWtJUdQhZfGxN+7QYVfrywgD/vZAUlDg8RdDwMi7WgzTLHN2drOaNY2F aEAImnct/5HYXcAPAS+73Uhr3tiLRfmbbjW5Y0ZPAj/emqPvx3DcL+oWMaT+jLG0HR26tigGvmos 5kcwP/rSDdPRrP5AwQUrPx7aFXv+W+vyhwSmSSAQLBnnhrU0kV3/xcqX9C2hZtfyKs4c91Lzg8+l hjYhdqn+a789IMzrU9VEEXmA5/S6sNvG97oyDugJWr+pjTwItIZCPLCAL0VwYi7yivQebtms5zPR 5D5cB9lGUs0BBlHQVkbnobk1+tmu1fUA1r7hyH7rJkEb/4PxX4WouUjDUAL8asSnC623PZlNuc0o z/GIWxDL7LDoRoGbVXXshZv7oOd2HFt3KY/xorNMOpXMvQincDwamGvg5mmR4hym3BWje7svYYqf 7mKhBcHh/PSev02wKjD65ZTKB6m4cjlLqCMj4Zr/6MRNv6P6osovfNU8TJKne753Tkxo5wKdNfZ0 kB6cHRujkNRVBe5E35TAnb9Cw5WMmzBJLguAMozlslJjrO8pdaF9blRBh9tnbjBhFjL8om0LZ9LH oZTOAA1M3fmc+wvtbAc+QSmCOUA581jh9LpizgrvaEsbpryFU7TEoVx2DtclpAOeOr++A/fcv4Yt gb7qbWYTo3fQiK0cKm6cbnbKVYFlzVvWsWzcF2TclapK8WYVeaPRT24Csc8VaF7dH1ywHHg3L6Tf fMkaRUbGuqUxa4ewv5nxyuln88JLkfC3oHh49wvRC6iHRRRCsFF3ed5i5+dRgoPlxGk7m42Suwbz 3OyNq8O1qM3P1gvhVr88oQvp94ywmstI7ap3lxgnV7JfBdux17I1b5V7PM4Odz6HX52FTYzW1C+L iryafMsadnrxXx3JY1H4K3tdsSPNPdqvTSJToKhE6vYlPPTh+oWRJKdZr6AkAYynJSTSUWNXziuN c8w7LGSjuat707lT0/0aVqlXblBsNRIxzlNVWiIHyxY6K/e2Brq2NvEc1VV3IqsgE30dtnzT7DTA vC2pqcAlqiDo49P25v9/xJJTG8jKiIpLRIDmt3yK5dYVpYBL7yNJbYElSuf9fIvtC98VQs2NXmmY t2U1X4QypzN7k777zHBOBqrCsygusSxb4EHKbWemcRzrwucBFPVYK1xzwYAPMiT7Qg66ZW6NKCoi BFRy8bts `protect end_protected
gpl-2.0
411666532b269383a12b9bac2eea27c4
0.932599
1.881995
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/ipcore_dir/Instr_Mem/example_design/Instr_Mem_prod.vhd
5
10,082
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: Instr_Mem_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 0 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : Instr_Mem.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : READ_FIRST -- C_WRITE_WIDTH_A : 16 -- C_READ_WIDTH_A : 16 -- C_WRITE_DEPTH_A : 32 -- C_READ_DEPTH_A : 32 -- C_ADDRA_WIDTH : 5 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 16 -- C_READ_WIDTH_B : 16 -- C_WRITE_DEPTH_B : 32 -- C_READ_DEPTH_B : 32 -- C_ADDRB_WIDTH : 5 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY Instr_Mem_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END Instr_Mem_prod; ARCHITECTURE xilinx OF Instr_Mem_prod IS COMPONENT Instr_Mem_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : Instr_Mem_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
gpl-3.0
e3ad5cf5b2b6e95fc0949ecefd671494
0.492958
3.826186
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/fir_lp_54kHz/fir_compiler_v7_1/hdl/polyphase_decimation.vhd
8
380,184
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hEhCD3nlg+bQ2JUFsflTYfMuMHcMhCc+PxvDI4liMv8SeFmfqG/J4wipil12LudqSjTKXd55JVdD mPp6GhQW7A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S8K1W6zosdSW23kP3uI2fJndarfcvAQ5CUxNJCzvZmW3GvdSy2OTQfSxNB2ffMGqyUrVanGqEKya L4EVVXTZqz2y/FCg/Dy2Jwn6zsms3hxdECHEkYDneTPXbsxeLOmxv9JALJ9A5mpuS018HF1oIgjY RPnpNUI5eD9nKvpL+XU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hzL2yYHe1udlTpayhBruOgjJBen+SyX5HCKT8t4SLG7480QdccE8ICKcT2/UFGMUzSWwe+kefNd6 vxPOduzO/dNGWlQkczknCbXNrgRLilOQEievI8lDnysMMt1zwDuaIPJt5UyYtxt4RJSoe+su6I17 8Y2N8Df3B3jVtH/4Z4XQ+lOZDukgIYKEOPjjqY73+g7U2aPHUQXaDwkDo0xUtF0eMOUMfgY3n7iX hW5DBRQTWLpNBz2wYaNlvGhls9JoTCo4w4IODD58XsktZPaectOrDNJTyy6YRl7jR8qwWbpvGDsP KuPXYH6oakSYVb7u7IRg/LalSjJS+TDgrNgx/g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zjZS6/m7nLJKpi0H3YDk5BlomYC8UdKJm1zjT8QjiUjr0QlBzNA5Mhc/7Z3B4iDQEkaogYr4U63k 5pR3m5+dsx9lSmCpusnVN5m9xBZ4vgyucTPXgyIF81Da2s7Gxv3a1g+CxEDcVVgl7akj04l+wpMM p3hONyyiOQkhNa2zYoQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mV82NgzgUYzp2ZtigqteFjPcekyYeRrWnFaOiR8vHB7cJcZxyQ9T46TzLi7AvMtV/EkzTUBgfzfZ UXZ8qb2M66iWL4EAeFEFEBSmLrFkLhSQQIuO64peuyxQPJBNd8K8bgzx6SyYElqpi6jcRgZTCJLC pQA9/NGTqCeJigvT+iZ4VL3nOvc8yc9R7f+fMDY2T7PC90ceGTzT+DhNvmQm+y/LdxHeR1qMJyYd ApceoSZJb/sWKc0Phva8wp3aVL4YOHNTuIYfhBVgavfHdUgrJmUENtSr+aDC4MwVWqHtDIBabBEQ p4Al//L37zC0ekC7MxMpvJrSR0lDx8ly3Qyerg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 279696) `protect data_block znxAJ3cXOk6F4iPZj7wqAqyKvKzixK9lLfYo7GAjuDGKOWLPNCVgnybQ083d99KKi5p0cNlMm406 C3f+Muq37uzZ5FYQNF52QVAhtGlNabi17584nDHCIDAA9S8FjIMNSOdzJtB/IcxA93Q4P6Aave2k h54mjv96d57cmnJyp1fwOPM3PD0TE6rnDFzcaLt2LPyJq1EBy/s2gm4ptDdEZFWLzq+vCiCuIQKi 6+t+Y3JOwhPFiCB945UURg7PZuQ0WStDK62xXyN1MRgqyIbIfrkjT8WeHXtj7udJrglqqsMNilK/ tqTkSW2KvEQCb6j9RG7swgzqZsBI0h7lUQPWd7iLVxeJqhSS5TfA5pnClJeDGRepU5BBwDl1tRCh vArha3KjjYjKbMhwcZ35HtPPKBuSuMLrmQhwiqi1j2RCY+rtFknN7kBGxTUrtd/c8sQwcHzVpbN7 KL7lx+ZJ4yD66FE84Z6igbGvsGE2ba2duYMwhJc+igEZboIrVnXuLkxhVK1bRS2TrsR19H8EJjBl 0WyiQnANBfJ2SMsUzAV31eeYulIh9cP145BCAPTi+0I/donb4hDfA0q+q5N6e2VmSIrkT+/so+zQ m08DfAgzb/yxxU9NyQ8NQEii2BOQTa/o1VygDxbfi4zzvvjCs3Gwlk7WABHQlK/jBmLPgQTmiuAK NhMRMuyRLmzm5Fl1wzgfrtfLTTWN9L9dVQpgUFFAQLc8ebwoI/vFbJ6lRYHxZQc8JDMGJrKbdFfo HkrSr9FXz7Wl7PowPTZGq/x2H7YjdOYQJcPt2UtEAFcnxTnaGPp3gVDlR2EhLf8w9I0BUytsQfh3 j7UUeeRhHJjZc+DvfCXqm+8C2Xto1iwDhfIR/qY2WtCEv2fx9vWE+VBpLvtLllOk9/A9qGWFGm5f Yk0odwdb/gFmmOn38dk7ER3v5yvj4EpautU9c/FeuCi7ncajessfcTMyZ2NfbZQnHADgJ1Eo3+Cb XsO7RS+Scl1VbRJ9irGdso1IfJXO+pgRZJPGDqkjpYOTkADxi3BS4l2TzFJiBkHCiVEZBI91fone 3APYNaGuJOaGCXH5oqz+hcR4E6jSdVZfRStHrBMGuYdaXKdhYYnQupC5hoXfuhcUiXhgJAAK1c7i PHGuMEDGTAWGcYlcMbXGPXmIaSBNhiRghtlVVR3DrZmiudkMlTG9qFu/LBLmTi6HA2NcUSGEVGKL aOgYPc+E/5gguPJH8EBKmJv5/LQqo9udQITws7iVZsi9kApUaTNM6rSxieWBimkETmFYJl4Vh/Jj tMgrsvqdFBGZ9XpAQzsWgeanM+cpeTN+PIVs9VpJCj3nGXQVO8fzXwnhxgW7J+LFQw72TO0FSlL9 ywdKN7L+aOKhqT0rzdcYbtdedg+kPMRlrDLsxPYfUshzBw9+ELB6jECpW2ycgN58vi+yEgA4Yel2 cTcyvDJM2ZC5NDBS368YI5W/MS3+jMu0n+dy//rIoZbYR8BWCwF3AcyIfWAfnmz2eViM8u4A1yno /ctucMNcNh71MzM5BKY3sCj6KRbOIet8AmELmwlrq2pwUUXbyEBgMku8JznTG5x1sj+KSAL1drGc rgS+iLY/lWLqAnkjF4ZJZpc/sLHhq9PhTHiqxCI4AD2VE4kKbRetUp0NNUNFWkEZMmYplSRvC0GM YqM7xPtoMEd4TbrpYn1iD26vi5zIarjW6tBL1Al5oAqYtXM6lgxZW4hgzkK1F8z6GXNYS+pXKR9I yZKCJl5DneHvjidgZSiw1t/KMftW9XyinFsInsoBvp2a545Z23tm7VkT70bLPh+ZzT/R4+2uQpiW Bv6SEqW8cOvbYSTcfEwSBtH3wcVwlSbzRQkZzg976GHGbhmRJv2mu+30mxO8vEHw7XFbzlneR0or boiTCmehIEFRhQ/nVbgSUOBYW6KXYr8n4nQkZ/sghM4UVmx2Y2gCJ3pI0qWgXvuhb7iZfY0PUrqL hudAR1k0a9eyGDAi7ngmLaVQMASHgCI8hb41isYKlCNZb8qEL1MyMT94GWg8+d98C3bwdPwK/E92 3eMz7Wyi1cA7GNo+PIODYsAyLfs+Omaoda7aT4fLaQ9CNFAT2dY5MYbKlGer+7Hp/MjQ5wIfc0MO vCcDpMB3eERg8cJSOFTFxOEtaf0Bau3XPEq+nISxmTGWDWW8hMTtDVpfIh5iBft9XjA0S5TrrNrn TB0e77sO9Gp9vY3D7S93TPJCZRwosWNeeH4fCnxZlyyPHv+uuORG4GtbU557BqVCw8IPuEVDaGqz 7W4trk+nLQQjYOtjJUsdLoYJT6a6u5KAm9MSpVStXsy0VToYXsPhd8o5QChe1ve7VBg7WJn5Hu89 nsbOPSkcKw4UpQ1/aM3RF4CKSEwcli9QfFDgenrD5vtKcXG+owlJDgu5h3jks9Ns29RGPcUaL4Dj 096oKPD4Te+jM2eS6M6NXi5Koa/75lDBRZCmWJeutdZaw6Usrps2YavsqtMIuL8SIPki9vk/vfdE X5kx9CmvvMfq7xBjf6Scbmw6Xtnuu7TtDy+tIyfQMtUV0v3Dot3qxRyj0e7ejMwSdlfSU6aCjlVB uq/WwR9T4Gupn88cCROIdrfxxLI1TyqWG6tIzQ06iCnohShtChavYKlqrXltu8sU6xvpbQ7uH1TI RsmFUBJTus+zW49CBhaaySEqdwOzddrGp54D3YrbuVDigpxBxaWUukgw/kH/GGGUmAgoaEujBNxH d3NzCuCv1rGobGAPo/zlLooTOPf/FcbLNKljQtncUbmw9obxiGQAFPW0AdsvgXIsNhz7xImcH4eF VlR6T8xTffRjU0Gl7X4gHlts/p7IKNSHu6/r4f8rmmGzJvZrKN3M72go5IK3U+Jrza/X8ld+7jb0 AR2OKvS7v/p1q+uBaihwJ2XdeB9ioSmykAV7oQjBeZBDcWCEwHhOmDqxrJIlVDiqQ+v+vbOCM7DF kBIUbtI2YHm7P2QCx8uZoMAxSy6gwp9kCqireNUgwuoMBjz4vu1QzOejmi52GiEEw3yo21l4apw6 hXT84Z84IMaBjkcXHxfM5AEeZ4nFK/690k++Vi1gEDQ/wCmBaaowG6rwHFL4Z7nB0Yqz9/5gkK4S dp/ZOan2aM52CQRE8KJpJbJG8XtNg4CzX8Z36Tl2oxuB7fn/YgkQb3W6pvxyoYDvtGZb1lF7MHM1 r2BHUfROFg1i2z7CcEpfSPHtxiLZNj+Cj9S6imn8PRB85ZkOsaMIcfdJ/tf2FYtgM5P+K4hVe2E5 MeVdzZknnXZ6iJ3AMxlSJdpz42rxZDbTAj3akzGy/jzokUsS30lPw40Zs7+5JPf0tumDeh4Bh397 4O6g4cRl5EfxZoIWGZb4Fpn2NTOrVK0wSoMUDVxA0hZPRUtUr23Leg6r1LC+8Ke+oL1jaDbRblbY hRrAMdR+o68GF8xc0xfth2Kz7KLFxZX8tFRSkxpJepFGo7GxqunsMgYfLx+RhD350BPC+4MmiyTK ZH9MAPr0GC8xEgM2yqFTbunjJTnKza++0WH0ltG+8kLsiZNhZe0dJrs6sfoHbF8HTv4aIkbkjO9M JSKZ68/FlLbsXesk/ImfA+fetxQrYZWXbkVMkOsaU4AGl67/MaGDh/HbIgcVqM9/jCgD6xbe4YX7 4sc9zDu4Enc48vmLL1EUtgUvblHY0G7BdWuXFKII4mlIfaLXVTEKSszrGJVbWpzx+QiyI81U+uLL koDIpRNzYhq3V2cHuPo+DRxn7I4sf/H90e/a55zwkebYsSRihOSTZOoPWofzmisNKZe540Sr6Whb F1gjtA6wER1M00o/7Ne6DtraeQOuPY9PiTmVco3zSYGB52SMRQ/iSGAZZCN7a4o1jDYdhWALk7Ps e+Fe8RpFOkDjeUz/wTNbaImfa+l1OP2fx4dhc370zQVFVbWiIDy1KuzMSYq4nbywwAkA3o0ff9e5 Zbye9feD09fJPgXgx7yEvBr1Fws8SMQcwBEltJT1E+aYOn06eLoVcaizFTkK+rV+8z9FCdoFaOco CzQAQqRPGLm5Q38bltgmEX7xxMBbJ1thKX0TQQvOkVqAvfL4CXvJWiT6B0Ufb0WPMUJfft2kmDEv 49YwJDsSMmHTg6MM/Q9br6zVFUchPnb6pp7Tk/Z1I7Nk93B16VHxdkrEaR4HN54TsGMrX3ohzRsU qRxdv8TwhZaL+YrigvDlQUBElHaLeNucg3wZOBt81VDlEAwyU3X7x2ESoM2orbQKe0z7sCjgoCwN OpDB9YhpQwqXolsyDZKWom5GO1ZHUg3/5qg7IKe5hQCbKWjajKGyKsOnYgs4yYrBj6bv/5LZaQk1 L4wASHiwLQeT9MhuJisqA9p4daJ8bmt+aSuDbsNEx900whG89XyQ8UrD+wxwQCF723ALCPYY6249 vxY+cn+LjXFZFOIx+24j+konH3mvEE7vmh1VHg+tKE1sJXlkKwhkaIKqcxKH7UJNNsAdNCmCsBnC Nx3WIX6wis5G7/rs2hxPaSbKqrOy1o+ZY/WhiDPp5KBhM0AyQuVLtHT1ad3kNXqtnzuQftnjObX3 KlJMBFRldZKGzdPwAqVThKI0Y5SGl3Z7UE0kX366piG1wUs+njgcrOlGpqZ/XpoJEItI6sLisSxp VG6o0uL6s0vsPHm4enuHQKRw3N9SaFpCJTTQYmTleAkkOLJc/MFQ991vlOTMCR6wwSHfHAldJXvj NxS0KdxfWSNBIRY2pYVASy/mIFeli49RHeoxcszeMUGwovLrizSeb168D9xiJy57KrxncV1FmY7t Hy0zZjQvVu73dh4910AiIVfI+VXD5fPbyF5G77pgBtKG6nWDnFij64I3eWqQlvAwaCA+gyzfkvyA QmmsNMKq0A+SYUqbMg0V4TOvNTjF5NPov4CxIagwebJ3/iEuOYQhsBVh1GcQmOjZXJd0G2aznMNV t5bodVSdbjFj2o5fhBL7RATcbqK5+jecya5e3AtRv+bLKDFZY9A5HJCqRuM6FWSNcnWH9mtFCSn0 JEfGZBWVHZqJOdyRFvpjG9WZlHDr9vW8KYhUNSEXtvk2p5whcfz70rlr0bygrDLftRXcpIay9z+G 6KTQg20Nm0OTcJ5/sTueWpSLR1jwLzcu5XorZhcW3i0nWSJ20AYKQi2jcbhinE1wdRCvN84nGFT4 4/swg/BHkMoir3+2Mr/4zCzU3mshLmDVTq4yZ+zNoaXYjm4otvgrKge6O3Z5d4tCkWisbaF84alb jvdjBDBfBI+ePJC4YSxf7go0bSlOpvRe0QovsseoShDBmW7FpnZWoaXL516WkVVBHMraKxWpeipN dL0KU4dZVTTZId6UbakPf9d30dcY4nMwG4txzcFxm25+ECqL1F6hZgpfdvQTV3nclYB+fgBT3u59 jhI9ZL+H/S+8RQvOQM1+E4eAmNb1d5KNkJRPDYYGIkQ1g5wzyeiOMBc/NGQ/1BFz9P2hMoSmLLOd zZETwXcf5CjjPz6BkV6jkByNI7Xt8YM887Yps7lOpKOikmdBuRR0c3Xa8msr9YiwErk38yK60Kcj bsZhTsq3n5pzMGnXlb2bwoTp+2VgIW66bCsJQlUvkJjBb/nG+pstIR1zBN4CvJL6xaq2Pyal2Zpf 8pRBmp3wUiVyIGGDG0E6Pg0fgjpGrTYfzHoGZjQgHEcmzdKQYw6SwVO9nRI4Ntxf5NuCBEv2LCnl 6xiMk7v3qy622ba7cpNAe+XeNCqRwyyetKxU578iCiVSQ7dgjii1WLmHP/uij5FfoCGeT5Qe9Ry3 +GALyENKRj/8WLYE5RO9BDuwAr2QbJ4LLvyA1WAGf9HHj44TpafpvmwDUGyJwEKVB3taX5QGrqgv bUPqtSGl/oyztAL2xIibz+2ozWzfCCeRQ+hFn1wanrYts+nwMGvvUAb3Q4gkcbV9eafOSJNuuwW6 gjgyPM3FLkb7rjIIXDFY/BXdMau8EW7WGw6cfUH0ur7Bcq0x3zL9U01WATIPRSMdPwoyKuPxxAJW 4g/PtxLq63mk3V2U8EwiwMOsD8gsFIqrSSwCCw4zX7o62UCsb0leXCXjABENKYhKIP9NpUpBaCxs 96OWs6ZZJtbSULuKJ1wx4fl/gth8k302f/UD/e6QUieAaaFw6UIAC5McQBUHxmkzGkOwPdNJu7Z0 hyZ97JVyxQWc83DzCD1SGwx34nudfN7RtHJmEUGpdd1mVXtE+cCFlPyHNZ23C18UgzcPM8AdgzPk y6wnwv06d43OR5upCiNh4a9M5M6PsEJfv96oQjFdcLpWVrSz4rxXAS48w8c0jsWyJybn2EEzE60E M+jHb3g/0KR5LzYJEWV6wYYODN/H5lmwfgQzvSGVBHFQZRss1Fb2+zrLO6Ukp4RDhUUot8bipNPQ Q4QyqbMR4r9eIMLxtKOfYvhZu3dZXJMI9ez4+zec3g2YMgoGYzrghPdia9fyoFya7XWFg7W5zYQG 2XDsKtlkY0maYxRZTsmmDTv/XpjfV6CNu8TbqZAywknGVlIfl5BiRLlOvrSBwHSlOM7Tni1PcnPJ MbLBUz321GH/9QWcVW/eBMUb0R+DV29PPpIcXipacVovahYEmz6BIovsO5DyVE2iSHCYC+T+hbu0 HFTUiToh9qeBUcVJF5yL1Lx6e3L+9QTMz1RzBFnGh7B/I4ENORm1OBVSin2ar2RKvbRADYYdwwd9 b4Z/5txVaHLljn71k2j8FvEJo2Dco5jz5DZumygD5SJGj6USCaUdYkvvbwjJSHgvEKiaSbbd3C9Q 8TqrZt4o9Ld5X+mrW90wbIlVlMhHbnHz09mxbasgtvs8hgdd7lh5pjQP4UAUU4thK8C8lQ4Fx7wp +Gmpth6ve509XxwXMRXLGMdHbP0ac35gaXW+PZ0p7ZsshZbpXExqr1JtX0TWfmjPFjzPfylJfs6p QNr+SlfO53WdBjWqhhvEhVRfBydZJajtQlovCHPwVohFyNA8Fsa1+uCo+EHQU89CIaEwRGaAZtwT S8I90bT5RIfOVj4eL/nVnivXLLkrvDuFAVnkscaTYwn/ujvqm8ZpsN9ptTHJ57wziQwLH1uVTbrq w666bYwo/TNjVfrcXoUo+8qzmYqNew/cCw1PVlz0Q8K68UO9MejXHFHDUm/9n7oqJbkY6skpgS03 cuDxGj7MFakrxLBFvuN+1MD5ygtqZcy1FxXyP3fQbhRLxMh3GLWBJ/edn1/jtKccR92JE9XeFh6S eR+1xADQP0SvKvVJLKa6tvur1c+zj9mvGQ2FZZcBWWFl8f4X+GWhxmhxsFB66ygQ1wgUQb3zXc+f yJlqvQPOnr7BVn98R+O1TT80XlT5/nhP9UhmTVHXpuVgy8SF0WsFQqLN5lSpG5OibQcER1tk+39K Nanfxj5Bcf8lkrR7TAS4P+t2dbqIccpt9lT+PmgS6peQ8vXz0Blxn1SfooTYPZoKLutoO4gN07eW j+oTloYRlk7xPileQ1mpr/CQwQROQ8rh+qjZMOYA8PxCqQ2kE0XOlP2TEGW9hHFwrBRh865Rkpzi mzAhqoIXUKjGUG+O2RxhG5NJLe7apJ5pyaBxLF2cH7ntxT9coWEOHZeZL27greUCVRmwTvcF2uEU bVReqnIVrJQDiRfKpjcLfBesoTy8K4BRZUnJrazkiW3p/Do0faNWc7kkvuvhytZaeZZGG7+ViqZp r8sxW1GzcImmZMLtG7w26VMg4o2daya/wGjJkcavWe7yDBVw1fd9EyRcympe5u+AuijICmCQQVrV KnyTg4tbo3DZn2+4W4MDzHdQjcXtH4WkSO/f54pDkARAPQZ5/fi/gkpPNALp/yXVQ3JJ8ID8CWLR /mplV8IoLnaNcXoHvid3Gzi7J69qovg5syKI03f91Ogzcx62viM8+ypY9OvOL7OAfRfHupqLHUeS G/MCUGiMqb0q/V4PO+IEg/wMLNnp1yul2AMJ7h+5J0fINYTP0mHVWLoA+jKuYI19YJX3dF4O0efS lYx9+VYJ5b2uaOW+cxNDu733DK7n/dbtHI3qMjyOikscfFSUnFEbwMqzJVBmKpVZes5GYBJxHNFG PEcsFL3t3kdlTRf0/usrICDTf5o81mSwaEH8Zlv+2LHOSeccgQQk94CBcBeDqKlsfORg5FZAHugB cFD80p0J5xbX+TDsUV7b0gikBrNfM2BARIPZRtKd139ggo98du4l4pjhfWygmupf+7nNLtmByM3B Xv9aXUZ1682quhyRSCIcAhoRDCv1Af39GVGB1SmceTIQVA8bF2dCUhG8kZU4DAq6xXZLx64htUOQ f+5DevF9va/l/cMJaTNh13jrYCU0nz/Wuwzmc9hsvNnsk3sFQCHObusTdTfxUJOzJgHOUG+4IAu7 1WsuBaqAxkdeFemJDeYGZ3fFN9kpYtpCQYL5WJupjmMH66LcQBbO1Ao5rapJk/KhLlIRje8gcZXq 4j1zWtB2OUXe6rndnZaqImFhIv++Jr3IRcPEkfLu6GOZnCFdO5eb5sjM41JPXQ+5l3ZxHLy8w2lG rcqXFqzN234DRGr6dFr9BseTLRmQLEePLX7aSL9PX3jKp9K6cHvcky7s98SsqC65HxUvRG4Fxiw6 aXty1pZn2gs8+OEoeXUCpbYoAxzd+6dddgh+accu46Pf19aCjzjTwLm0zLw/rVmVJXK8gJCOUN3a Beu+KGhzgrsqCPincYSboyD8bySgndRB6PZcTuje9VSH+PTUn314gF6YB+//IHSWAQWVETpyWFse JNZiVYcXCtj+868XJOMWGnZU0D8vvSBkc3nT/qo5+nR5eqBw1Ww64zHlHNxJGqbDqf0gMDPItjBU XibDTy8dFVEKg96kp9qwZO1ijbTA/MoMBodATnxgRYc8Nhm7iOFFfq5HmrxjTqcWIiQlBwmWPXI9 SoV5G/wVao/Ex4wD5dZvrOhlr3ITKXBiVt8sj7cow2qAox4dz1zWstOfGRcyPZVHDssbXYXA9twd pDr2iB5WIqC+Q22WHbVoSTCH00wgaonExQLh9MIfCITK/w3grSN61Nk21VQAShqLQJBo7AlyYp65 cBnGeyB5kx5WPaovzpGvZEEatYLNdljRpwAiam6WI0NmyBNGbv8PDxdqR8wKH64I1Lv2nzsejzK1 K8srm9g5j0BPWudKzDwVtUlkXc2X2ys4dM0+V7lo62RMRAgMKYJ8uCPw3isQbn3umiRIfAQdhFGX NCT8tDRHduhM/x2av2F15WXpokSL0jyXU/aLHHGfsF+8CkVzFcMHWN/xWOTAY0Yye98/nzfez8WV 5DKsAst9uEoLqAtPAuheEXPn6pLQyceWTZldQridIRw2IiyCyubvyq5DqjSHWgd20+OQwU/eoGE1 SXjKUWoD2tnY8zKM5Ag0A3XETktJU8asRe/0Pz6Lu8lDlRZjMTuQh9gj+M9uG0z1X60UOrTSWvjA GLd6svCaArgh4PGllhUEWlZXv2ojrjMzzyruEp6b9rnA7N7qTfsJtT+lO9/BaFH7nQiDigp7caSV zWjBLwmcwdSKSzHgI7YDOkJiZ4GchcXXafK6PCVXWU9QvobjsAs4JO5uYdPWPnLLxn74duJmy+NN h2nL+bXJ0ILr48P08OGtbbuAF6Y6MUDPvP2Ugm13sjXOAdhki9d4dfuLXAFyYQpqeRBxO1uu+A4n Lklg95wE1FA3j+Mv+YZZAOQ/GaTXl1cxnUayWbXSD5CHacNNsuKFUILmEXldaNemfQqNt8W7HYYg iLAxTAUb07N3LlIFVt0nttUlR0tcZMTpiMQZg/fR3u847X47UH/hLYrlneW92rA8dEkgVoTN3KGu tEGZoiIZ8coTHKJG0O/Dube52W/HKUvBNgqjjkLZ9x9Rx7EMw8YkVLcGntrOPxwzCgdd9jmyXlrd SlxKp1L+ODCDr6V3lhBmvNFOg5utaFCJjYLeekzSPtynvjLmCACMoAiWdEIsyVHmdJNhByBHlfSk 5SFalcEan5uMjn3gE6EEnptS7F58WdRsAa1vcrGO8IGDF5i9CNWLTm/h2SRTDjBBGsj1uK+cJGrj xFUKaukRqCY7oORCQUG0QOXCFDCpzj0Bw798l+R09GpumnRpCensyvN+IWvqwWmN5cXja1V0eNzm gYsSjrj4YrKOYFCKNB4HfRR4aWphuwk0SdfkwP7keJPI8WowyT3sv1T29mG6ERoDSEuhhnBp/SIN FLdoa+BrBbA1wsUqVj+UMHk90NYzuXZND4pUrZM6wwPTkKE18OTsQ4qBiuB9dSOdlkaX5iWJ2vjI Z3YeBm0nnPreo6qaBwnXEiJ1ceyC5+I0Qwbz5sPRb9TAlHG69lr7E1+atlCNggBLa4NXJuC8aPZ7 SPTEtaMJ9iyLnWxaNEQFC/uUcOIQAgxwOv9caFocl7guF2hosJLSNQIQ/h1ylWjg5lxjvnfOK+Eh Gu9aBI20VzdJf2b2yFMulo4wkymYJtl39ZiwCZdHmRakQyM9te2jCqoCgq71gGIYqfaCtB4F1/+J tbdrmcs+m1OD/maJZl29p3fnCtmavhNh1EeZ9/JcYwuZn3AGrkmO6ILyfGmkb5mJtmbXu38VFTrE YlY+irYSNooNzLhvjFVXpHBZeKr7yTzkZ8An0wAXYlOvim1tCKNpyWRQTrJbpORTnJyVTZ2LGyY1 7emzjriqgd/XegZUpclP7XYemwdnJkgFX2t2kt8CX35b2BpNndNc6O7YBzWMwMwIEgyYFoRS9BcY XaAH9PSxU9zbQEEKC8xGcK+12tA6hkTIAqwf704ByRZp73GGpdxWSrs5BODxsAUK0qlg+31y5bty 7vkHXIkcWLb/g8AuIsAgeIs8TS2xscwneFe2g3D0Y2E8FBxHkgKWglAp7EX2slnQVm+CEHdFbIWK gG57wI/+NWmCUlhirUJkLdewp0n0pm0+8sfjp0JGPHW7CbNzFNK6neuv9qUAPJ2v0U4d8nEEfY1W vpyaS3JY6gG5rAI8AZYOXJlehNhzzNLPYGpJkA8HB3ITmALyTPSA9Ar6T9/ZOPyrvWA/71HVDLO8 phVjHPHP9FiYn3V8DCgjjChckwTp3JNGqkgZvKVfgOme0LFSdJmSCQZdGwEeJp+fAQ7AbxfN1Rom Lz5edxf5Flb0rN0WxXCm9srHDP2twFH9E4LcgqF0Z5CYFxp8IbMmG/gX0vJpnJUKxGwdFg53cJ+X azbFD9AcHi6ouK3A1YLVaMthauMtUxE2iUpCgCCfpLxkSdTvZ0u5MMU8NlQvS2ZZ0JdkHCEHBDWC jx/LAeeX3vmlKmWUnQBQ2dm6/wty4PR838MqVyNLDDmOrL5EqKwIjPUBFTZyZk7mr9w3qeiY7av4 XkoF2nkdz2fcEznz5Ape44YP9fyjWsLHX1HlOEB0ntqRCRQcl78dtq7DKlrc1UorjidVZIPiNosL yf+ucLuJnvkwW3jtyTSW5raItdCVYX0uCPEbJCGR0dXzIuJoipCIFu9vgVJKiYcoQZxc9W5tUVIH GKT9MkDVNp/Gg1lxd/PVEug+7FBJv39WZ5Rs5e/iPkpUnmGLrkY9eZpy+bZhxoqmNLLs4KItimds PGwmAzCnNffKIBCTQhDbXJlJ3KKGuhTxzb9JJcpDAxmw6ILUFVe2nejyj3V954XzVynVx/1eySyF yfbVupxCoPEn/8M5DkE4Lo4gnWdq9hLMzb3OikCZ5dcIrBSlRNo4v+dM6sj0HnEvQPpHv8ngRLG1 4PRlnXa1OKcnTVJ2Mcw+kJxk49ATG4gb1UqNc/nHfFthk2tr/u1geuFdg+IZGaS8ZlsmtAdNrfnd i1Wxw8I/YuTIhysh+x1e6TdJF5AYULNh4sApxbZq1T+m6V6GS85J9/kQeVq15U2G4tlKuLeMgYqx 1+G1wDLusqUpyVcdV2r1aTnOfRnjQ6wDAO3YF1IJ/oTNWghbaJqqJUGHqKUmDCpKyz309CVFtnTC EhuW+AmXDODrEyB4IkucsqDv2PxkFDZFCGdrIs/gVNmYux4cqHtOhVd7Hzk7b3KRAOwTd5DyyGcZ eYlRNKbjMuUoQPMZVZMtgjgZA6ShIP2nS7EoBUKHTxbM2Wxv6FSc5QbGS/ZdMpiM+TnX9SvKQ9TV 4Rh05clAkzFZiDLIxqO6OlisHh2jIsCX5z3zw++42cIIKqu1Dzp+4H8nmgi2rGHiM54VsETTi9FF tAKTQ0fSPBMwc8vbxx/ZBfGnTe1tevh+xv9KZSnvB6dF36xA4FnbHPujAl1ZPDRWxwPu6Bpy3w3W ffsCbZuT56JfU1zvmtEQu1Mi+7A0GuHX10OfoQTuRis718AAoIe6N3XR/blgsrkPxjfQsBUKtm5d cxVUyrPztUOvS17M7tBWw3/If4cGh9IXgs8d6CApWwZXq2UMcfQIbEg3Y9C31qnMqF9CzpCd9REg aEn2MzoIkGKqOKU3C9KoCvg2Jk9DYB53wdozx1tbFn4sa14rOEl6/2kghDjrctqI+FG4YV4YNc8B e+ADCgZJoZjcYk1qbAu54frUQ2zT5Dg/lgruvpuFyDnUJ14lG+CL2UNtRd523dPAltfSmslQuzy3 4Kqa8qsr+QQzxLFFlamAd6zcIWxzTzD4v9tQNrwiVHsOamCS6g4QlojNc4kh+UvXVhh0AqXsotx0 SgdBV30CwjJ/B1BS4a59mxXTiLLsuRRCG4sS6MfAkpxDllOA1IwQycIKfjYUMFL4sZn07TRGu714 FkwPa0s8MXcgk3heyVmar78ghBPz2KfP7eZcaw2SVBSy2crDL8R1UdZrziCazjtu2fs3zLoEpfLp hJUeXhxFseW8T842dGUL2eI/qnvdoljWVqpkrGL+SLbarRL4bbDEe8P/+yvGX71Wy5hiPn91PlsM XDSWMIBJqiuISf47xYEoGfZCSgicDuiUKoWhgR71wd9FXpLas8IeML6EKJ8h+sOwHMiKDAgBJR60 /eRlciTkxQ2VPIWW5ll8XMYyXGLbDqqkjiB2qZJtIe4k4v6DwFeAoHhP28K6oG1Zzw70Z8g+CmFw ynRAjTpIDzbbC6HzXyAfdrTPfMOvBDGm7hGiLbyTYYEMxpNGFj6w7xrlzcqIXQ0QckxQGNwo8umF X7BSVsTaqC+1nTxKBz6yOUHTN3ZEfgx6PbK3FdGn89WvC4JbCM3RrpSw0O3DnpyrlgzTark9lxYa dRSwaf8iYQNwBSR9NkKk/5NYyxBGltLeQOTCeKEixPL2jIqcbOe62W658wH4pqHvLEg4oGQX7OWF LIVp4+J9UYRFS0R5wKJnmJ5rVSSRdeE8LpB7Dpg0IwmCKI+QFf7vZvXDdAJHzn1QAorR5dZAwuAs ydQ9IRpzkJNCVdjX/+5CzklVtV1vzRKrSebYaPDRwJqEB85R3fG7Ta1whFfTmpJSUJQyriJM2Ntb IlFuoizerPKogvmFy5tCxwvmx+isM8b0CwumcS4wSDLV5rPeg7tXtqBHI9Ka4/MvaQznf07QNhCc c7iojXHiSZcTNmIlrrmv/iwXxYHHz/E2KL/m3fOh7GOwuX9A9DeLP7lJdSl2ECxYt0X+H+8UhxIn 7nDoEZ2WF0g2ruaIw/BlWR4TU4LSgJ4JA6olmw/oXH07AHu+8dtCoqeykYLJBatn6/YXC7Sozb7P x9RDbcdZShf08mkAH67qx/YLL22uRPs1mWEf/wlMcxoWBWNvB4J+gUyyG5JiGWdjV00cmq5VlMSx NA//9uqdluyNG6HCRZeecv7HYdtlpX7YGZtKN5k1VwlCwteXbw8UJyW4TsI+unOsxzlaqXoNYGUx VmuWV/nz3KV6AFnCarRS1LUGgcP5TsbV0ZudoJipizuXfrEsvcnsL6cIISAr+5Tzs4550Gq19uu1 Etq04fQj/uLPJK50BmWEDGUgHbeLgdcCk/RIazREX4hDFoGbJZwTlhFFE6dwc8ijSVHWmnpIIq5c 3SFMg7QhapbJ2tQQPYyIO4cmiuDxWtQXxT3LhUy6LzVfOR4kpzGEi76KSQZbnnGNTPE+IN9PGVdI d7srcSRPjmNpFsx1UON+1qOr7ClojD3ERDAc5MkjRlyLeuOm52rBxeGqCWmxFfbmaYC7i2o+QknY /sRyRJ22pw1O534ql0s38Fg7etEDUrcyczMVBo4Vz4ja/j7Rr5FKFti5VeXri9Q16WnGoKq9tydQ ivcxR2u0/mKLYd0Ee61s8jprWK2vvfXy2zj0eSm1f28FU3+gaMvIUUoqnxAVEeCkUNhcfQ89VvBv L2Xfev3XYW8HI33H2DyV4ZZx0S3RD9dD6VtLTicNJYNvWbZzBC7Q1B1kdlxzqmcKWHtfsvqwYfrn UBrjn5svAy9wcYccom8e6u6hNY1NFR1ZJmPUdZcDNzYayKCnB3rxqNHu8dKBtPH8CMZmneBrtXVE 3B8QrrkkOfLpxWjnCq7C43496/Q2dfKx0aacKUjJ2rnnBSmtTeRCJCtMncrAtj8vWOGDRdKLPfk4 lvE1/8HHK5dWqtBu22NhQ6uSE/5uzGNRATNWwbMwg7Kr7uMlPja82yvhThLcWVPkdOyW12b3I5Ie XKBIQpJLH+k8vZtCtnfTuf0JOa+ZBFHIcoAGLWJmvrN3n0gbvPDHEGvqbd1I/yLjaKvpNnZ+3d3E XT04eAy8REYnPd+jYt+BbSXyMay7aoZ6jWbSvtSMQxR2FLRjqml00Hr5irkQ9q+EwV1xVUH1HWOv s6dF97UJPP1Xps0BxXF2kAAxhnMm0MIq6lLCN4ja42jsheyxR9QIkzvdvfTTJE91S/XI29PkipZ/ /MVtw4Mt0xwohpkYld7OYdzmBsuhISyEXVuvDPzJC017S2QW4+T777gpKVSONMszwDXmh1KMF4W5 N8uK+RxgQvgotW2qUIPNSSJM/6V/wWvRVBX3of1wVj2ekcsb+nGUtWF5Xjx0ZyYqFhYChcTkWbNq eeaq6eTDKukV9/B3WpZqHWebzCIvmsMRuZr4m9MXJkJ0nkSTwJcOa0Qam/uc8ggLSiI7pIqi199/ jgbB1UVafmjiDQSgDR/7iEGe2kAOXQyhsZf23AdZ55gTefzHz4h5TfkzlF2NT7z/FMGdogxYJRQH qGwv8AN6R2nc4NPqnV0Jg+tg6GZKfjK9N/q1wXqbZZgEii4SsXL+u3cIk8NZkmgtq6niiXB83fEC NNCVVda84KmFEYQJpFlmrVAKculJgytrLY1x5GRXvVAJVx424hqwv7muMmeGryzwhbW8xaW+77ut Mw6Q5DwZmR2PpDP+cDGMibEp+UQeomXM4Yg04IyArB22PDF/t+pcAS2QOZwI/w4rYyYviPP8Jw0U 7M4aGqeg9yY6UuYU1wUkGYhlHIqT3BHFH2hRvTbZ0wkAK0Iz9JQnJAym9OC8U87N5BU4vtuRsh61 5ARYeD9mc9CDGHYxz0MygsdH1ud5er/ontrV5+WdRGB8V2U+8Fx96LVcwjes0XhDfknGL8lgEQEU Vy6aC0pJ2EUGqWmQKyi2tvtUhCR6rQaTJN9j9TKFbNY6nsYCWaAKjsLDBtOMowBVCJNIOoGtrH03 dVM3aVKoqr1H545uKzo+jGXiR7oS/YijJ6LOMdSYtK2VtgOGIcvS+Zb1Dty6qZquAYOMgQ6xx7hw C8Kzhtk0uEX+uDsKqQbpDGlALjxDiXinLIUZ3mzN8hEI/8ubCn2MSKQikXMnY1+LuIXzlNdAR5tV 95bnv6Styi0MkmpgbLjv03D6AjxtZw7Ur1vFDhOg4H/fJeJXSnYijKgU0FHStMVciqq7teD+Qcvd h8cm7oe7pimZjTGumHyzuE/H2o+tdZ11Dp+ADzGKoqucfIQXUV30dbDfPZqB1DjwQa73Vk6oWFV2 K9e+Pqhd22q6GjhsbzfspPvuN8f4XJ7bTzQVuttOsWhG7l+j0j67IBTmYBy70gqi9PrnDbxK0SnR rQCety+iJrG92OWKcNhhin9JczHTKgI9Kfu0fwrKLdriR1N6eCJ1c+DqRn6Eq8Ro8REM/CE8WXSK 3jdQb68/o1pbNyc2eTNubnzBSWOw1bJ99JIWPZngn7w98kEibw2ZtaDawq3bB0CTv2Ti/XO8oUeA L0VyZt51uiVYJFCMKVzpvBvX5aVEp3e9QjQb7XZx7XI4zu0KRw08JopN+zogN7/jxwhg1VgqokEB kmyXHLq1seOOmdAXYbGDqsfzjTuLZk9fSmgOSzLmJ2SXiWXPc7L+Yo0yFzv4PmythtQ2E6iSQEqv c86XJraYsDIJerUPhMij5Fzm0JK8rwBocDPfntZoa3ELRsP+mdLwoyqXojWU2xOmA3xpGnMkK5K3 JhAHmfljrzEL57jXmwGTPAYgqtFaXZG9Yog6Rs/GnBqJ9yuey7pF0SEcBC2wpXqnpnaKCktUIQ2x E/0FrOAga9OJxrWn/+DXaamjgBsU9l5oydeC3WuNE0/9z6/efgeMmesw1eSS+uxYn9akcxNOqW03 sLLNpAgNrQNcvSOc94euesFubDEtQb7DICMDapX4QNhrDe3YhQgeIX9LA8y8/an775mF6NVvzotZ rRlXDGFr9KMDoSb8ayHMR2bNAMhOHAFRNVDT8tAEINnaGjhPsc+p3Tr/9q8ftgYJVQPT4s5NULkZ VgQAogbjzhBlYtJTZSw8+XKi0f55P1Ixjv5GlXhsifw+ezv0PIw3j1sZysXS0gvqPwLatr1c0lpW 3fCe+lv81ofYShtrlUZrW6XNZAuRh1tCWPZTEIcZndfuB7ZbHNg0vKKjYxX5EVPePgsQKsrHOsVC tTfl6iXAIBQPNV6xxo7bR9X+zEa1/xu2tYtZxUr7CyA3Hh3JByeNyGt7dht0/un62S0YRUrGzpnO IRHXy+LY5zuBCRGOcWsuPVGBmph15OfvIckX8mWho1ZTSawW7usSvpiLgF/i1Zbz2zhvQlNzb+d6 QM3GGe2Cd/fCb1dBdncF/pmgNpGhL68GNRDN9NXVEIU56huU28KUe3QXr9w+RUbT38Zzj4iHzQLz CKi399ojTh3JZnPAMF8qLNj+jIdHDgDl4VIHrr8QVVg6LGZhMwePIBlrlNWvJ4WfQGet2BNzfq1E T63S8N/FUE9TTatmWTb/Ie70bJfCMDRGOnRtbrdJhn5loID0QiHvf44Pg/HrvWbSorPMQ2ZBtLBn PJ2KB48KpDxUBbH35sebCNVc6l53CPDRB3SHSlYcoEGc4lYgCxATUBANtqocQ/CtPrCQ3N/fXuD1 srWaRi7MJ4TdmtxesNUbhEmmsXpQDp38/BPzDKxKFyQysMwpKzxb9eM15wWoVQk+TUKTVBC6cFyh rUUP8uwf7nLCr7oOMNoEjiAkSbg9g3547qK2OhpZ39KG5rdOlNNGse+VtE1KMC75D0dwTICcyUg0 m7krHy2iabuteyHaft0xo/i84lbU7ntw9/1onlBPHuxgzAxZ+rhXvCxq6z6MXmigfSMt5XgZXUKn p5b1Jj0sEcJgQawa9FM67KgqiZOM8DwqkrSqIT0mqREcyWL0D+Tb42uogFbk24pWCQSja/8tNfED BxBigisokvMYDCXySAhppVkbzfvj14J5dmv9ctxlz+7560ksZGcgpbX0kJUSQOw4LGT91ZpUJ6M7 ziUZcAq5bq9aHRq28TQ2Zj+3g2K5jCPVFScRf+B+SHh4tYtIHB2h21oBrFc6bptEbkCi//OayAAd x63I8IWjLhiQ3FxgyWkjrloxQQcNkyJvF5kJmFTyEwcvuhVtM8H4sYxo9eVCVDHodl3ANqqw5oN1 BBntP725X24YOBAYOadQhw7WFCrcWmNLPxwOf+NfHfG9xZxb7wVoErv4CIWXYqk8OOTFWfR1v2Uu nCbV8Ucf5K1Yc7RYuXAEUTABjR4pqz4FAQU4sWIJHYbSfNSgsaAHnS/x/lqfuPmi9hz4R+OtkVE8 adS+HFz5R0I8avSCGbVuzoCM2LqGQA2U2UuszQ3Y1Gq6MKE1DIYuh+BXNtskhvyHRgJ/GDMzrcXy 3I7bEFARgEFfeb1zCpVCj9R/eo6X6u+XIAjP9jFTeONzxHoiofQXCHBg/BB8u9qSEypbUbj34O2S 52kbmiUnfLJoiF/dBTvS1ilQrdbmLvxDLJKvPsTeIYHC042yKPBsxVKBdBYijvbhYJcN3kqOOfZq Ju2QMOroVZVyavr7Jzv2IqcRjr81EEVS8Qjt6gAeJgUHhq41IM3q2dlHyYutPI1RDDxDzI6k5sEx m8wxJyvRquInPTrafLkrKAD40hMrgLyEf3Zk3FaTDi3FrVfrJpBDY4nNy050EjzAzk/vdUyqI5zc kMjy63BGVOZ1HFkBRruT4uN5ChBi4gR9htpxKb24BkT1mDVS45jB1CL2+yzB4K08/OnCqzICZPdC 5dX3h+E4eNxdoS2fFSgFPYUq9KcMHKl4bajYb2by8JNhPIYDwTvkhNCIk3h17gKNG4UcijqZS73j bmEv3F0dGoL+cjsyYWrzHmvvYW3NgQQYB9lT1jsqznDWBxcQSs5Vt1v+w9Buo/pDBzqEXjQoyEpA SEmiI+0B/w6OlYQAShJl8Gzm7uTE41/Tg36z1X7Z7MdhdFdJ466w8DiKb7pD/eBjVJMKtQ3U0JH9 h9o9lAoZOE1xjm7/RnWLilcKhri8xKJSmlUU/3d80Lj/LxTu+7Ki7ubFNG3pn1l5u751fHijA8Xc jEJpkgTmIMNWdHjSlfDQOGncF4THTowFJjzyoEv5Zvo89irc+81Lmhv5UUWAZOOM8mnd7r9vUyxx gXdL2+n+Leh3GW/Lz0jtf0MheAPBxzNn9iRwTnxXNWWBzpMWVEvStJmF5/INGFnxLMRdRAbCTzNW bn69VLQNXL8SHsudisKqDTbO67BdxG7S5JeW/3GHYG+IJ17zlMroKGUMBwbld3+OYNvBAhmRYCTZ 26BJTln1pN4jWAO29JsTPaRCmNP9un0cAp2O2GiCwbHmwEshO3jhJC38pjZpbj1fus5chGOVQJwi ZQgoSgQbBhYzYOodfoMMd3cf1n5ZCA9AT0dGKHMzqNErCy7KvC9aITk7S+wdbasaS8V/nOeUWNCK mdI2bUDUC0NMABOnZpS2PRsIzSiYxwsCI2Euea7nzsSBN/TL0Wo6RPY2fLD+6Wfi1JUD6BZ4WtoB 9GAwmRe7/t/LjM/mJRGJuKZjQLyHJfIQW1qSxeMKOPer+5KN+V3CJjbFhGt3l9lfnkTYgt8r/lC0 7CllGuG4I1398uGH5kJnFg2LGpYS19b8QSDDfpVt4b+FCUMb1G4UqBoqnJZajAeUUw6ovMEEMenz lzpMAWeHRsvHstqEbPj6nLdSr+eSGhO9aUrjlHOq6yWhXwOvSLMwIoWkckcOwiteAuzRXv41Gn5h tNeUrAFTdQljd/Q9DfPR3FZzjNQM3xYsAcy+mogj1w/MDV85RE1f2g8PoWLxIcJHa0MEYIjhvuer AC+x3b5X6C5oV4lDCHck5oFQwgmNIqr3+BqOWZMvPClSj4SHwFIeDtme777m7Tzp21OfNgXwohA9 vwzdbl3Tg8mohsMFs8FJ7nyhOq4F0+pkyVhxcExQhpphRyU9udiN0iN1GLqR0tvmzg9V6KqdHa49 9UlxrdjMrPm88kSMz8S1AT203Cu5WObIn7ktBmRT8Z0cZrW1bet/ei0NvSq96Zojt6FeT048KXmZ hl6WZrgWE+J+A0HFCdHWgK/oq+7C5yVNdB7eRu04IRgtMgf9rkyvPRn50XvSvnyXz8jIuN11NWyC PhykYLHqVMPthnT8C7j8DKrzfwUMUjBcC7kW2tAexyNXGIIjABZNnLhZLvKmOsh19dRlPKGvo15o 7fhsYP5oCKyJaAZ0xgKADogi7RzNCfnuAtR7MITKqbyfIg9CStsXAWhXbWfT1fCDb4B7ykWNmRd1 b+nZb6QYCHVJCP0db50+SURfU9akP5lAmd020jj8EcxiX/0f07sGRwcU+kCKCyp25n/9+KU20ZNY R6UXnVRoMhei9UrcDdyB8erSHw9G8Meyt0RGo5eE/XnwWVwo8YlLc62xhQ1reB3bpHBAQG2ZIsfO PFQ4r9RrCtbUMEjaOm5xdHkwmhNBaE1BPHEFpOV74pkxVUHAcbGW3zsvp7rAm3RWZG/Qgh+6uiv+ xtmGgKEBOpd9lHKnzkwBGLkNBnH4XW0L/S3EARhilWXgDFwKZTfFEbMELP6N9cr9rLQHU0MKdtq9 rnNTDVwISJfSKIKjcUdEYgOTP1mDUMLq+LU4JY1VGuZCTVwcatKPxL8ViqejNQfCwjl9dMk/IpF5 /M2Cw/WMh665GKqTQB4kGkenphCp5oQRVEKOVTZDirwDvVfFC5af5dEYY+KCQxiR+FuRtPHXvwGM YU/7fMJv/YrAYbZxZPeJ4qG9nikI+3WAD7HkxIdMIUiAMEQnX8BboeVzlHpo5HPlmqIx0kmjl9Mn zsqGrj/Hc7EOHFvfZDurSpQim4wUiJzimvH6MYq2dY4YOqIKbc7llJgRBnVDx15n2f7SmVzl3os4 uzqMXn1BbBpeoG6HOD3U/28nDgn3nfdsyCkxQws648cW/nqeAFtPB8cDYdHrDi4Nx8V0k04Rm1jk X89QalOPhiCYM7O30ZUtDUOtBobveBdKZsfonE8Z8uhCZ9XWMxNKoI+dJQgNewZTcg7bXTmbXHd2 M38Fzgk/cJGr9DduBIgGXNKa1z3oPTTlv2UH+Crx5knYq3iDciAUBVfcJkGNf8BYrF+nrW2aE3Sw JnUzHoJlIoS7zdxq12qQo5OmgtdwxCJyMzfBpEjwYQieWmkFPdQ+rPHnnWR9IwuXCf0ZL1t5W/YM MTpwNAiXoHGKe6lNX33i0xMI91qSfSPmeWEC9smh1zrQAqLakDSs1a0OGgO3XCaRecyevi8cjjeT ZJZFbEiOndWkWV8s7Uup2U1aIVv/pOChyVPiAGY9vQznCQ0W1QSQV0IgWDaN6FC9kIoe3cGLr+ua Kfbk/FqXwNQrO8jL85QZCxdqRqPurd1PANcecKpsMvnTY8gr7MGmEfpITujdHU4DkW91Ht3d8F53 qfSQW61phwtPzvIPdJj9xNlY8PrQj+CAuno9Ax2TccPbaYjSLIC2C1Hz06j4s9rCVu6mpliAqpUD z2orkYDaIljWyFOpE/sY3BOB1S3UahHJDW4eu6XauMpwgTd4H1OIrPt3FkgyXbEsWWiv0WGkDi7q uoaALDMAH6AAq9sxIO8q2AC5axFxe0MTgovlLY8euczo3wdsO5ESQp9WeqqE1AtzRgA8jQxhJy9V oByRdTHl7Jd7cNH8DCiuTDVejHqktl/BJXUgjWLNk46KGPviD1u0C5oBpaA1zr/Ktv5bzLxYLzcW 9ztz9ytBIINCIlOCjVb4atOJP4GoW4VQ2SFvq44W4cU1KS4A7bqAiL4jg93Y6zn9//ryq52CbwNj En6XIvUrj5IkKDT7WwYbaEGigxxICQt33ORztSqiFe3c0uNb2QnATTDUOlUD+SUUcJ+2Tq7CEHLM Ht71PZqKhOAjaQMpU0mu+W75GAiwIkPLPHx/YAxoaryeE1G2xQTokw61hBIcvq/d1VfQbi9RzBNb CPagHerlSchqbVeVYwvBFEtjOrUAUGsOGVwxwAP0xHJ+LArDCEGXqnz7n9UzezTbJWwrTpMOCUXM Q03R9RqwIqNYHG9fxCzYFGU8Kc8z6S+Xwfg8CDShy3qvE1R/t/6pfj73r35mOTh2jA82CVhOBWaW q+cPjJfCG2l2DJTfEGDdiYfu1GxO8XKOG3ezwCF2w+gzUky3f35yatZD3Y6oPj8JEw714JeQuwiS EezFaI99vy4ajylTxtlQ12+NFQ6DvNppSR8BGcSwSwIcEMak+D7qLg2PtYnca1dR1QgL3aBfc6oT 0LvBPVUzYF0eIz0QTOj7TNcUJVywpyLyWJt9zQakOJg0kCX6/oYq/kCBzNdLLZJ8folONSUPXpCH jfaNzXRp58NNoeLSc9BMfPZRUB9uZ1m8s0mvQh2WJft6izkplmxtwxn/XKJ3WzDzXIbeSR6N0yl6 crWD+F2CzX6XKh/+9+CS5QRd8JLAJKMvDsgzVUf8rJEPO90CyhHsysoSrVUryGlZE/jveD/A4AUZ ATBls4txdnWtg9HULITq2Xs9JO6VSDELa+L2e2p37v18pWcA7tZer6YqbwS/7h/tEhhsVgcLyDev xp3xjhsFrloErDwSS496TQRqcrC2NtMgERQHYpKCHkwJk3B4VcP4KXs4qvNuhmzdOdfyT+1wBdcz LGk7Pp5kXDuAZDNr5V2YXl7OCc7FJ3mfmbj6nmsmDZJJd+8cMpyqftiMKLL2rfrKkW1rME5CWDmr 2AT/nt2vGkzyCCi2geKXK/gJaAoVuzd3/T+gEtQckooadCXgr+qzlJ/x0qxgqxPET7Efce+PA1k0 LmAElr0uTHT4QEzP0mrv/SvqKwCcG3a3dTGHLxHwLmGegvxbIzhM1aqpoIuabS67X0+3Bxw+FVwh ubGEcU00UCrN29dQWjHGFZeiVojsC9gdINazjJw3OQPnacw5WW+UGGFKAt+acg71PmFxcNhzenVM YqZGCFRXaseis/73SZFU4unR9EikjEgX30bzVKLH4QsvcW/1WwRZSAVF//lZT1AWzpRIGBOEI7/B M5aHtw/HdVWW04LAPJYcJuHe+aQFWLdhUMq6+wTF+qUET6VnD3rrxNvGCA6WePOtalmdRZOyLePJ OsXp834w2JNzxU1idBOCXNCCLyYyyq7F4MBtH0xiiqzNyyooF3JKDobfDrFFrdfPDXrGxjOAlEEn 3kfKc9zsMkLES8XouOvesKPYbllIl+CWUPMT5Ac8Hz6KHwrfBqAYaTWGr9/75GLiMNEX7ArJ3PC0 3WNJlZIaleuVSjkZMkyorINxoAtXtxSPw4nPn24qchuc4rkF6PQUc5nuf5F2zTUWtYIA46rPyPFq /18DinKTQTCupFhDTMJsXltlzEoALQqjFYjpEmWVGHcRReMa8adgaKwIrlqPyu4+InkZ5qyHqFpU 7sO6zx3idHqpC1ioypMk9Z4Nq6KcB4yz/O4FF3VHcwYoRISu7rSPozpRUjUqK5M+rPnfko9zackk SM8ibqxuzL0+3fa4ms3fR5PFDzHuyUvCtyYYRI5UcAHnZATeZ6exwBfYWu0IwFT/LcuWMD+pWxZW 8/jmIkQn0SvA1TXRh3ouoMuwzrPEnyiLEEzjfO6jVtnzB1CVSuweXTKGrtISlfoTV3zUaazYQkOf v9BBEw6l0TTomt8ksGInSFwbh2PP/W9NISCqIHY/tfO3tlycayc1j+i/lhTP01YUiw3fjCBx67g4 6noTWF4glfcEwoaNnrPBsNCdd3PBEqgQJh/HI8Eh7jyKu3gEFpKcydv2Ve0Q2BtaMWgpAdfRhEQd tdh5exsFPORNSY8+QKXnNdDiuxkuz1Py5887ugMQzb6xHqfY4nHvPtBGm2k5A85BkLadPpqAcAzC t0RWrGy0odtWAQTd2bj6o6THQfxhLXUjxsav7zgBaCo5PGeJeF8etqI4b4FuyHNTQo+kqTnvpElW JR/bXviFXXFrFPQuDPZ5pT3VO8F+JVOPzmQkmgCY6RGgQ1BJEZbc8IMTRXAc+z5FGqLSbRhK7dhJ QeKsaA9kZ97g0rUhiM69N7uU8tZZI8ZyQhYMdj4ea+jDJzamtET0bNpCtCJrtTEM6DaoOyYerkK3 txAz9hYO1IRNZwrS4Wh5HZROnAd3N+wwhOGGk0G2IrYnYKPjDerQYHWaHcg3E0dZwjhiDgyymKXB OKPOSuWvh397hQ9NdLOA5itPcXkFCWENmtvhAbBrxynkjngwUq6Eswd6yretns5HBDoqAdxrfA0N lnFThFA0myD8Ft1mAuDas/iHr1HiO3GkX0OvzbGV/ArOvx9e0j9MfQIKg/I6ODqfOxAruon447Sn ypQcnkplZUx+obkr2sVDoSLTLlTYDqW0pgHkPjS6IFflmUNjFJCDqxWij+1Si9kK7T0+MrAbBTa/ jexS0a05pkOGmbgX5jDGh/EVpUf35fnYNRoeZS+S40+eqnnq5OAO4n9AbXCi0ihqrljppQ1S/6yg w67xxJQr5WWduLqqyGq64OKSXTUsdTvLCDVbNs5K4EB15a3QTJ5fbjGBHY1PJdsJhzHeytgf0UMK cGGG1r8eZ308vH+qWOUqUN7gxP2+c2ST2WuuKDyu7s7qbf9cgJlWqy1crMl2XIDgLb8rO0h+AJwu A+NWBdyVshFQBtT586wRSXpC9Bc3LDiIA8b9mJYep1ttjHxMYATNANjGwdVKmNuGnq4KTXoaBxfZ 7i/qfw/KN3WBUlTb3WikGLFyJ2SN8I0DWTaU+vnIqynCJQK54py2Fg2FdbUuNBa1O7NF3bHKaQss av3Y5PHFlmcuMWL8FMpq9r0BlAlXOxFpi/QMo4wG/51usEa+NZLLf5W03HSNpAkQF2eNB6NhBPOy pibPJ6MElv7akqZDKRQNf0OUaOQ6j2bThZTrAledkw2p/I1FIv4dE60ykiPs1iVWN3+88MWQw/hH 5JzibJ52J7ttsAbZ8YqeYfwyZsM4GYLuhebafgXR7epca5qAipTXB6J5bIf7fe7SErDcBu9mGVrT Gonsrd9rXw6pvdOIQQkI+Vl2HkkEs0wv/mfIR2pmSRbOeOqOE74JQLVuV1/fBan4CwyGZ9kk4j6V GXNfgUEbkORYkTO9FEncoDBUan+zSqPP0py3Y4Xj2sOvQ6LorVa1EDUt3v2R3WoEFddy6qzcF3tj LHBy5ZdP7BXl706YyGcwy6/y4SU6JwDAdsqktE3u1Kttp5trDtUqL1c3c2Oqx4Cut3XfYWTRh3kk zpQpIxrd+c+9Q55qAH1j3O+S8Jiip2ZcWYaBM7USmtREn4+k6DZ+YsfCEp8RRuYRIqCEvi/q8cvS w+HdBFuf4MxToHhMlrrUt51r/iDQwE5z7HcQvMk5bm3g4PeGNKw1Q6Z06gJUAn0zSkHnHZgmAYQf e+jLbiA8Ooi96lVPgH/qLvnpjqAgIYvD+RHfg3Zux+BcOhUhLucEUX2a7oLjYUOQCtolbr05VLdx 7N6sopMKlUvIu73uoBWznV2IgaEkW7XVme2/DjeDWdXE+8dEsD4u9BNOKDKSTVktN8MV8+iHq8O/ 5IFYTD977mafuZzpH4U18x8rZHTLs9s9sClMnizbzpKEVx8tGwyJyJKGG2fU8qQFKPH/SxSBMnEB nsENQ7s54gdWMhCeP2lzz7Z8E3W66eqYiW/2ht/n0DxNp0YiDQC5+w/BiKH1LUV67Ozl1Ql7Cq0E L/Xv0sc+x0s2SUCE9a9kYTwieuqEGpR5TkGQ9tEEabkEgwnfvPcS+yWDMx4Rr6jCIfXitMvUj/Qe sXicy/HTozbOhdPosdIYreuZQeZ/UInfk9ddqYBdXx0vBBToWd2wczNnhES5GnDvt+xM6NKlbTU5 g4o5coq9/iPF52bhX9fL935e2IFX7RXFossbSfqQzFdxTqbJx8LM6tCo86J1FtAW97bqEAaDzeAI 5RCVJ1QV0oRLqakrsoa/+6LsIH1yjormMs1hHuxUbPbedR+e1a/lWtW4o9O0sNuSzSsphz8FVMrj G34gUkUxMZTCpEid+ue0z89gtTs8gvdLSUMCAhRh5jCOD8Prw9QIF4jIzLRL/X+m0VgO4oft8CNo 1qozdNweOFy54A5mDGJKVZCYVqHLwasfE0/BPPIpT8IH5GNC9o6wtVzYytfPn+DMGN+8brauctCR 1Ak4lLP3iRsanrIK0pBTcZwJJIwMV4RBDcreyP1tS0F4t3av9VpOos+LnHD6Ge2uYMR9nk4AX8tO LnVbBUH6S6Yo4Nq+0wnBbgVXJv798b492J8IlSjLH9nUS2PXbRQZ98RLr3oRLdT8BrP39BWKi6bS 0tybcg7EylZuuiCbL0+1pCdd4VoDcarkpiJvWoiNBhe2M7puXB1D3Zgeb5J/N9aB7rKwhvpkOSV8 WI325matcitUVQzOENIr5Bgl5jQF5c+2ozj4R8QaM0YmsbJzXT5aa0+HYsNoaIvBS2D/R82StNmf CNDzc/LbSXC+1/s1jmRZHpY0rzk6i4F0GnR+SWR0vxysrr8Tnh6yHXMxLC8fDxdlUGMqGoFntcd4 5tGNEV3Ch5cc+2zdbLqRXBlFFa5fiBdU6pWXtAhVvBu8AI3jSMgc8VKoglrl+SowKfQQAiEX1EQq GaJqIRtOg2a5tVTnBxfjQsWM6ar3VCkRL9yfFT4J6oQnk22C0FDdehSwbQM8OQbfRZbRGgN4Ri1L 3pc6VSin2APZ2MWfQVZawwEwGP0dFdovDGRGnexX/8DcxoTl33cm2NFLAb94ARcPazFrfM3dNKXA dIlMAgzGhqIXcFZ8UGlMoj/QCTLiwJHc7jNikW+QJ68wi+VBmnKqi5+zH1mPwFZCGHviBo1FkLfo fUpk15HESpR2etoUt7knYphqU7sQaLPb1tEZFxeI8wmiMCCl3ipuGkRfDHlN8kwwY3B79LoT5x/U XNjS4D5SELJnTJ55IPEp0KLMXVhdQRuHemTdFuYo2LwucZ3cVs9O5ycX6362f2uF6srH5lAIaTIB VVzk4R1GtRPsBZXBfHhxLX8dgSOC03KlNI/F/50KEg0KKbe+Ab8D/FeHMvez9meCDOlGfPLJufnY SuGnbTPIQ0wsfrte9PL9+ECKYHJmDvw+xTF9ATBhOwhvCe8aMK0wdO3yCu+wvK25SXX41oL4zA8+ DQc6tSBTuDnEtQSkG4CPeatkgtGYbuEBhCq/AmiqYhsKrOcG1FQX88LzZnGFDRp4eOZRSZxw/Rmz /LkOG3OYIkBO+AHGUYS6PQheUXSBjkNMpzAoABNeuuQL8adsMAhS9bIbdu9vhhQAlnHoumT7RrWz h2QCMLGVUh7tpOMzYvGDpN+dlhXBmC+CWcDHYXoK+qoAuloWq9WWrbKo+DsxGIPxMZ/mtuL36Qt1 J3paquE9G04A4gk6YGq+ZYuogMIirLH3CxpZ3FufBlltgFfgpKJRSvXra60cOMkf1O2KO2/upc8h BmB4zivYfxlri2WdOJotXnzLETfQmjQebFWhb5qr0HVtx80lJKEk1QTqmJGZdRmKa7wbYFZCsygq GZ470mGSmoc/WlsNIdIw1bSU7AN8VqijE2TImU/Oj5yIRPQn4dfCoxGhtCrNev7KqvcnTwenBQH4 kfm1dgpwN/fISA3nyHZfyG+Y6k37fgD58S9nL63Mv6KYes1UFPrTbU5zZauLYISI4ESXe+D8kLDt bvyMH+t3x4y6niabY0bKhNljn1oEX1fRzIHe5X6FkKKxoX2Tb6EAw6Gyoemzn+nvGdgUgu75iSGz EnKagmzFVu5Xa/XvcHMq/pEi42upJ2dMk9yGWlND5gwMrOU7jrSa2fednR22d+92NJrCuKN6vtaX cVlOPTfUdMjoEoXcCgMygViJHMpAoSTjQMi/IcD8mO0dE4dOEcOj8TQbnnQkUk27kIscxw+V9dbB NZXKVBkAi4LEv0pxOR9yInUGiNECt9jGSV42zlySTnaVVxwE/5eERVf/pQMM2mW7/NkRG2K8pcPd bsDbDTOHqGjwCa7bNXGzaXhqDPcs+TAe6DyHVfj/A3keUCQdxy9GZhlFDIQIeYkIb+QkVVwVZ7t2 SYJL500oWTF0Vha7F0lInksFQwwBQLU8dZ2dF8HET/ZWTp2J4fVL0E+s26Aq9fyK7IQgG79Pgcur j4r9Wa+Y1LP0ffne9gX5L9be/ExLeIV261fGMSRfa0/Z2V9IS4GND8pvDGj+Y8lMUz6lHoh1prMB xAybJthmD5B9+HIc3JVkkT99uuDCHvrAWkOPYBb3tCKg+b1RyFTabec1G76mtl5HJnVbQu5Omjwn 3tqD2PL/NjiAbFkgO+2raqhnOSI2JOEOdQkMJbcoYn3dP5tHgjWsN6DgiJNbOZCW4MRe3fFB9PrC oFH8hhe9GcYm0oaY38HkFoGg+kj+/vDWgbDJX6SOI8Dile+LyFpbDKFYg36sbAidTa3oyn6UMUlr ypknJGQyEpA4WwJvx9O7/FhZbHZlDGnF4c7IPSOHj0W4yzC3gqq6B7wfnAQsTEJSyVIqoZ7e7S/j i1dYaL4ok4J8gmLQ29Gd6Jf4K3IB5tfqUqri/Y9OY+HhHg+EnzhxptUaSXwXH4EhwwhAbQxrBSvZ FKptN8GerNqNHuL/dLOEq2QZPHCsdOfR4O/kb5RyFm7yGLrwYQHu2p4Mbf53ExOqABIa+rpqWhHL AMxxxaf+ROOL6YhShlQL2KD4tGUJc3k0/Qbf4wHfz4bQIjQiQfngqKw2Y6h5sctxI+el3JKa97GE 70eFgPV812JAHfGhAJdc01zYUwFQijCYHxyXTS4BNATH1FNk+fzrMqxSStsTVNO23A6255CtNrgN VDpQIsyqvjyIvV9rh8j87NaWVYyNkv9+y19SUrQ4Jrm96yX3C6q3Y4khOsIy+zbn5g3H16pXAUwv vp8tWjJQVE6uI5fMA5C+/RCmEOME9HyXjHJNngbldEbL4dyC1crvPOLAyLyBlpPFkfRMZbvoPRhr xh8qrF09q4yuua7jyoVSrl4FDlYxeUzHUdL+vD5vP+13NhWtQ1P6qtdIxAa3CDkzdRGPujCdT+P+ Gj2w1N8E2kkvgG+4aFJWMaWSjEfLCzEgQUKY4l8bn576uMxlfVQ2rVYr20VyuMgUyfzJPO6juGjD +6arO6uVjRi1Df0lF/roZjawP2iLzaMwgCewRZroMYDfx61EwUQ1eZELjqCEzRA1iqzqJL37tyVm 8WTcXL1N+ynz3v0nOvfUngmlANSfC6Sr11v5bVsrfxDwb+yYM2ALjrgXpIC0kkbMijYas+vz7Z2W ytZErifoWAUpWdCvmz1MaMiQgL1QXOmTXoeRr1qCP9gsIbZhEDCs62AQbNNdn/D1NF6JIaO7a6q2 Q2Hah7WFU5n82Wgoia5E6/p6WXBKdeFQngKOLXaHOi4lhqI+5tnrBeam+IxSycErFYxF+8rre61P Vlfpt5nYWVBuR3DgL2OmurliEEOppK+YOk4jaika54OS8GNhpay+N1sKRPS+zrpS5GXZxbU9b6Zf s9n6efRVOgnoZRL1qfURJfzslw+ek34h34cUknCI30IKknbyf6FykrHyzazkezmuG6rOFGzpFIA+ XZEbg/oh2KJnswAdpomPgKr2ds/aML/zHs2b5iDE9jBkCIltAkJDsP2vL8vDTxYlrQpVctgF+6BW ape49YuPGPehuIEzkowTOo7QshINPW0YQHC9D8vCi+YYJOqMYPLBPs7/6fO29ki7vbehsIy3bOFF ZKFS08FrVXLUaoOYUzol+Mh50UUtLoCcASQR2nTOT/QzTdHNx9zmQiHh9xuGScu37hF2m61MNB4A MX/S/E4IILRFwNJY0/oXMMWZRjLgXfa9Ye7RGDA5NxR1+kwyPpmEQmrluZ1PGne7ewgeGHoh09W+ YXBZU0sH3ZVGwgd2PmtViSogWSUS3IXYIM1T+8Rn3YyEFsK5QQkuTd/c+aQbqw4mVG7SfuW1vI1u py4w5s64OygKb6vlXO1KJO7Bb1doccpeJ1T5GtkAOcHKIG5Dth1fOVQUb5uJh8lZ04P10ylf/ymS T9bw6kgP9QhUG7YwFGqtTuZ1d/rdb6gqu+YUF/+ttTJBf+qNuWE7dXnu0/ij0LEcwh1W3PiJM3mE 4Kuz3f3u10fHqQpzlPsF7hp/7aIGTVqLr7BBnQXGNvaINxP9Dp3aYvT334T5oNnW0vZt7YeW2jcO /m/jLWq6hsYHNVd/1H4TQzk9trrhOWAIbpEXpf5vT1UkLXrDcL6F/QGVPmhUVF9gM8TE+A83ce/q HFLlXUZhzpsRSOwkJYJZXn7ZDVpNmSn5AI71F0fs0ce39XDelDNFgDpzcrtdWnxjkJCd5QkPkHCR JJPEYPOR8Aig0V+E0mN3gKEwN69CjyOSI+a1odEmc6zqBCeumBca0MvwWDtZVx2ROOob9og+6ZqD /RN+BeMxGmob8TELovBCtmoXy5g6mk+qa0IEZ4Z8F5a5Y6ngSQS+fkH4jBBWaRiZUBRodSgM+VhA ZPpY3J4e6bAUPhCS/Dy8KOWu6d1yc6Gg1njdtiRIx0VllIDYPEjkJp9rJVF/CoWoXINAOt4ce++b oDrzSsGFiN924CSxCNu7Z63hktqis/Vrq3tlQNdLUxWqLHHFGb7kxHJnoHNo5UB6AWEBomO/z+oV wzCao5nChU5MaQ5CSweDmf3J0h1tNloIruouaIDquM91V0Vdd4DbIbBgq8PXdWnCTAWaTRfgy6VB XeQGL2n8BydYsWz7a3i+q/C3CU9/1vjE3aE9lwRH/8dYa/GT3jZMXuf/VuW9N4WmJ1JzpVBZRzo9 L6TEhbfdSkZwbPAEVeXwfN9n88MHjevubgdjU7UdTtjSo61PQPY+I+pq6Jq6x0RG/5XVYitQ5rd2 xkxCv6JShbrQ2L31Lza+C3u31ZhIN3G12RmTx9ypSpi927keTCrZtYHcpsLz1VDO7CJ1XT8FVANn 0s5z5or23bj2bM63psc48+qZAsbZwhbl6eWnixmm1Ql4Vaia3TnxXIc8r375mcGyJ1rBlvIkMBHA 0JQzCiS3U55EfXirXqW22ekxSZXsnTXpc5TQ4bpsxdVjVM1RmTgnilbcAbVjyJOhgpFuZOij/IwZ jT0eGoTQjqSn950tWYo6paWSlJIots5I+DgdwBqGpO1Jp2vVo4yaNfz1KEJYHe+Y43stI56DP1jD dZM8fRfU+0aWoFgLjW88GnhnQzUQOL4uBz3HVXxfgQd1jPTIgtCorBGHBUQws2fgPgcRqYLaFHCH uFhYAyPFa8y+7naQb3gvJY2Bl7zQGe4VCj8NGSMuZ0T6DPQypmjAWcjGsgD3U5EZ2UMRvh9jlb1D Z4WsF1xWTD7hg0kthFfGeTRQNvI9dvfHyZdL/7iBQ9utA2KXtpsYDB3ZW4TrL5hKkldxiVNzO+We AuOsCKx8dtBUDIQWTCLd2VWkT8e5EEPFrCiBhe5xtaF7nKd6c2SK11EgaTjkcH9wrRY+/f/mQpxE 8BWdugK/bzrH2z+Cbapv/wH0Wn7VmGq+d8MDwTgYVvnnS9C5/u4OM6Meod88X+wOMQPe/SxC2lJL 8mWi0yAQGvVscogPaohXwLTos1lsYrPobCEdkJ8qFLTfNrSIyD4H/Ey4wCLf4Rn7E4trGfzshxpd oCz3wjCczC+ynLPwagI2bZVUMyHOyxEHT2WTj/GebBUgPoXnaa16/xXsF54OXzhictXt1XYmOZYo P7kq6vnIX4sNHNuqwUPsNxymZo9VXMkKQ9h0JnLkKwUjXiPLRuKJtnWVovHcwm0/B1JIF79aHGMv 7XhIrYt4DsvTw7EO9sFyoTNJqZd34FyrLNkAZ5pjezI7qLyjkw9jz26Aon1Qs9U+gk9UuC7+Xhq1 xs8LTw6yxZ0kDaXs7kgvfmwWGhyROeehqf2YT+wr+0pamjSft8vsV7twS7jZe27TllH11nW69ZPi 6I6jwACwtcs17P6LckVb9+AJLQU6ws6etgEzEdVAm1uimEM/LbRbl4/SqVVU+YY86ie/WQg7qyLb VWIhOkFV3mrh/m0Iw3UfpVgqfyb3VyVRURvTe6BGv6wiSZw4GS387rbzW34uyH0cdv/WVu18CzTi s/uQBs4dAHq7Lz5/wrPSKpIvMm64iU57gsS4HRQOHqsYF9c9ByCVdVAKnJHqDWPUqh0RiVQDEqIn XDHl0cL52hjrWEqg3DB4Gu0gJT3qUSuRXvIR4Y6W3fyS6ptHGd8YqoIAZcnJUUVeF4rokK7m9bYI 2ZIlm2LOZi+GwL9s6rBzUKlqXU4qqVhkhWlEI5KmiBIKVQiP4FKn/vJp3cZIppJQQOoAqorDejCD lCMJWUHEthHVyOimbDN+JnDGP5BqB1YF/fnte9OcUNEx+c5/sjxD/l9EqD2fWF8OzZE7/l7eGnqT LV4ZTbJPNhj2OoirMoHooPDRB7hBqk0goAAReyN+rMoU99ewuRQJCGZgtjqWibf45BuuZ0I6oLrW B9DM3wcprt6BJ973R9bwipu6rvS3yLw3tVUx2CNrH2mGM+saq9rv9K27T6YZz7Ij0lXJwa+tN3X8 chju2ff1VEgUc8Vljw8v6Boap0yoWl4OjX1P+uQVkcd7vIhXOGNyil6xdKxaCzvB/oleFJnms5mj YfuuYM6XH/665ZMhILmGZcmQqB8FB2aypks4IaBAljShIqGe4biFoXQA8wa/7CDeUKnZsyZYyRs0 +tud3irMlG7h0eAHwx2xIBObxr7/lij2SIFM7zLmlA6NWu0y9eIUokg0zc966TNuej95o1wOCnjS qIR7fRoHMtow9QaBU7KHj2yI6Vg4KfobRiGh+M4fw7QLz/IPBNJkyzqzuAhIeHoWBosojI5qtTeF etnYX/OnyvSaQ84WMVfFyh8rizaEZkFQYzcM54i8valaVzb4080Js50UsUUTbnmAHFfDyRsjsCl+ xT1H6rqpQQEls/EerdpFhb3A7Zmm4DgwV0i6rnrZAWOODjk9UqDoXHxywJ3ceVOQ2pei+6QMq4/b v3ecBZLZJgUOvFipmkExq8VDGYCNJcRl0xInrj/oes0Ev/gghQY35Pe/eqjU2HoDMzNm+UYHb3Xp gaLMt07SrlvTxKTkdoiuiEGxQKBjPozpg8TetFxMyQdKOJ1qxDWTdp3Z0JVwBMIFuIHgZ992uUud z34B3Ejhs4DYV0HslyyYHwi7gw7zLPsYfPU09RlyulGVPPPnJBm9B+LdDvkrxmS5cKrWHtvqXDxI TvkdHX0GzL5707XmQ6LHYsf+JwhkyOiNmZFjq2HlnP80eHNa5DP+OnZbPPsqD60rAX5asxU+n3pu r24lRaJnTvvlcgXmFJlxOJlTBtSOGdDxHl609idoH4/EELj4qE/5QPfXttg3bm88j8TtYAEADQ7x dN6IswLxNLZqflz6KpNXlmCLXPR6PnM/ETIfNg2tu+E/+slMJ/uAJLTSe6DLzYigie8wJv2HmZdc Uy6zECxM5qUWkNKMOj42Uu+d8wd6eAHeCpkMH7j08gfhVAV7a/Q3RSe/PUXIpwa5seJLR5P+92yu Sp+c/q3ccNROA17yg8ipcCQ8WvdgmZSXbtroEmKMA+50Ta2ED6KHgsiHW960Pt9KBimcufHcU66T yIwmnPtwlL0ZEJcGjcWnqajyzIdAXMNEVV3hJJbRju6E8Nldg+ybCjndOSbTsgqeBjJNW/ye5UpB s9r73PI/YjekI6K3jedXLmBRdvO8ma0PJOg/gp/4nD8uWcYFOWlRQ8AfxrMbvrbBEolEYstFgGIl KO1jDFBsBxjBSA0SEtAhHMb4QviArSWW1efD9+omGFUvCae7gbXjoCvWvtx+XTCTZpL4iE7po7Jh vxfUyfVpmcNWcVqMu9vSt265oA/Z4w8494R5xlYhgftjbPf6J4CZITBRMmpKptPDQbua6nNUp3Ri cXfI3AhTG72f4ZsOVKuVLI4NkfhWcH1T0G6rXSh844CSgC7aGvdv03lA9N5aHBedH2+VYbjd4JHz hS1sAI/ZjzJjFGaS3YimkC+vhuOvHo7hE210GN4kNbJL3dTYGXkJ9Y3avq6WUIjRFimqpS+Er+vI xQoQxmwQuHpxycqvFrDpjuIjSsur03BIRt2Q3LwO0kvS7/TODg3Te1ncgiKZFWFZNaNS45gVMSth vGVzP1INYQwwltMDEXL+gmIMu0NRClvG4GnP/LS/aVJby9kZ6+3hvzVEP8alN3vqlMhtnZl+gxPF IR6w1sHs/QDtfsLLvf6sgDOKgOabQPYVWKuTK48HXMluNbOWzAIEQlxf+tp+EnFOhHudDroLzvv2 u5/dDqrK+D8HrgfpHgTvq/Gdr9rylKue6j7DACDKX/1fkmEEeZw7dAGW+ulG5h5zOtMi97yDJfOu HcVIdRzPmjLOjrGKPbB6hbvpsRa2+S7fDHlqGQDXK7pHBFWprPpTltIheVctzC17qp0is1jPXO3G XZ6tG5vT2sz57PdHPPdfHHKDELH0ajLkDWjsc93MI0wJaGt+yyqQ5+gPVSQJtfgqLvTEwi4nK0YP t3uumyilrCOOlsE93IwT8rO6u3xWvbHCjrtcxxbNRDzF0HY7V0ShX6toWRkiDuUup/rM4TPAnDeY iWARAqpbjpUnl0rvUzwyMbk/yswaXqFDtQAkkgTW0Xmhj7NJ5nXvEgjCUtw0hy6oKeNVLqkWZDrQ 5ZwE2vQ6JgbGtlPZVo0b6tcibm5KIxr/GnLBAosWPgnyh4JorSB1GbOqa2SXKEdqmFhXCoa1f+fT LK9oWOoptsD8CyU2mH/E/aEC1OYx3duKTBDbrxaX2HO2JOSO+uobysE7R9T3Ncthmtsi5r90X1G1 kQhnqtsqSO11esDnb7BExAO0W0/pFes3jGDadys7sds1LRY47iYY75yTDWfiEFLTPAmg3IRQtHKc ArQURfnqet/O93V7fkInMlUCvZ5N6JmM02WBYbltMUOiTUSmLtfgNY1rCEFYkwDVFIwiPFjx7hxs cjM/q45bB9G42ugjL/eYWGqpUj2ersnk022WsnajSp5KIM2IDsJwDBRVEJJ9CnnR9cohuqALClUa o1O4xqlnX6IfYnh4Y1IXeIi2ftPt34y+DmiOvYnSen6v7MQj09iVUUA2ZkCA0dgGUBOPPPUtpNTW 47fpVA5TZax7SU2eSEg1iKzTy+WGrFDcxABB06l8SPn89dVusYgbJgGUjxGfTchTsUd/F/dAH3Q5 akBrsMjWLd2vqq2ibXLu449x+lfRDWaRpVQdy+V5KMERi0TYkuDIBdfh1NiiS3KZwE9JiajIN7Fm 2DtnbU/sFnSfjdRwNSHzglAkcOee1SJpJK0/Pqti49OkddLywcA6GIaWGcKlxX6WVDa7VD2WwJQq pOIrXFhliG4vjgpLGechhdkU21n2gmSRz+SLDrtHGzMSiY+H5hwDv0RIWgv6lO7X0YJ9lXz7O1uh YxVNzmx9JJ2dv54w3zaYO+8nDcbHwKD/GBySxRzMhmVBBqka1ptN8oQVILpzGdSOUej1Wq4ps40W XDnanGM1cP0LFgn4LgtGbwYehQRiEP4OF7KgGRzKXUdIdI2TwOZ7m04bxD2+ph/2FOypMs4CjuMK OSXMP4p53fjdgi5pAh7Qsfv/YyhSFIg38osIt4V/Or1y0qJ+FGPA+NlifalI06D46NF7fbuSfltX AxA+eJT43kad+F97a4RL58BpygZM0MtMQJSjfdylIlwpx1xZU2N5T8hRPzpubEnrOHp4bujGCRDn qZlRLJfNinKg3jPw0OofqiIJAFDIS6aKqTQcGhXo3PdaHY+tvI9mVcnSHYZ2O/DjggiHMUJcvPkh QJdiR0FEGylkSA9f+RlNGb81NK+ujnarQKf7kSUh3088thPH4dMhwXqFA2n+klg+20/dQ/5SVPXT eZc32Zx6Blv5l54SURwmRtAlQWnEFUgyg7POQWdIjtpGHCKf6t753Hvb5UZrEuIDpNt0lwulOCxp dzLB1oHb9VjKlp4qVB2KrASx2FQxYqub3+402jZ9c+LQoj917yKLLA1Eaq1YR/73uSf/Q14IJwX0 aXctegL16qxzs2pwIVylLVqjoNWHUWZ7huo/5kadgB5fC3R7CupyopGRgiuSoWYTmQXZh9c4oDph SNkd+2oi8veMrCRMVz50tFO5CJ1FZ985DbJ4zyqfKWg8hAQaMNiNlMEbDXtj+0BJNlSGANQjbkdJ GQ8VkEBu7QhdsbfmbCAZh/tyBee9C+HEkjvM5+jOQyvN4Ok4LHB3GP0XB+HRzy3mR2Y/TDBgTkdG jQFba6ieqaNwiA+D77MlOrAjrB5Tj/N81i3wGzF+ugfEII18SzfPps50Jh/sxLSIetoSx0eXNqqZ A7Um0xGSsVLNnqBxcMY3Q4fC/2pMEvjscj/IqVt3KKtO9cdNB14zIgp+wOeE7Dfi0t0o4Qdt09AU gmiv0caEk0UTunJkIETcpbRN/aD9xiSY8wtTu5BG67ihpYFmlWoP3caarFSQZRdA01u5qSPuYFF5 LZDZ0yKzoWkyGMJXS+dQKawrj6O82dDgaIMjkjQqKEQ9lK8Yku7pUhAveFWxta+EeXCVIXPQWHjt xosPRhodeNtknq8bFsPSsQRBF+ZhlaSKjuLU2mZGhIBu3b1HCotUDJm/0/oGRLzlE3OzB/IiCF1L 3fQ5wL9muiCoXksg8xj1mSYnQ+hr3Ortt6Cf7991jG9l2nnXdKgjPVb+k8ByGEntcIeEnTnEfd85 2gNAx84oDDwk6YqxAZs0/sxvxa6NiqOsIF+Gv1Df7s+nArxtuZ69RxqFep045jKdWkT+2QglWrMt tAhBo0yDs12Px5x+Yc4J4f8DLLuPe+bTHMkKlF2KxQTAtd1SwwvCpT78QggxM1zJvlxqGjapGqY6 tPY/udIUDtvELV1inQUiEiIdIFZkok4mj4Vh1Pm15hmA+62jJPGXj7FoI8NSQTEH6/+BxxKYfSOR c1grxbRMkb9vqZHCxSoqZL63f9BRoflPoBKVHlWNCw6PJWXM+HtbR/Q/EUXEJOP2x5534WcXk/5n SG4EpEb19G1scdc9jXsxxdw1e4K5PItNo7rRxZAGiaQHaFI1P66vDL5f6J/Xu+MCVAAvrGjPJDw9 jWyH4HlVu9jjgFNcq3GKb5tUh+f1AqaUb9ztRZ2n8CSkoCTRhnK8zxi5Dn2XlFWJ1PQ6jiZ1K721 YOOyoCFIK3Z4q6+iPickIZlj0xf4rQsxkCSen9uGdeKs0YwWIegMMegvcJPG0UvVeWFFYGXIjTJU 3jX0tOLaQUKfegNdBRtipvOMon8hNqCprzKwNq2g6WzrNyW5en9mQwU5zNqpu8w82GVHdVyazvos DX9zWppHj4xHOmKKdT0T8FVtSPi7uP/HaTQ8BC0sBjnjbKBJpzhf1cNWvsQKLGOCru3IsX7IoJUw zFTSiuy2Nint22pM9AXs4rm+dDQKrffqn7E2INRLv3dZiYKIeHOYAyntFZ/UUHj1VyyUWd4pZHnG xaE5euhbWAV9Ad0w+zBq8oKoCBPUO798c1DsBpcYeitbwIu8jdp+Vf7c7Qsr78Ay05UzycAuaeZK OHe+Tu4aTmxuoekFhK+u6Z8J6qA0fmD24j9Jef9aQDxv8Jtr6aKfNNiPjk/1/yGx88hwviWQqbdX qXAmYrUxAbp3lm+KsAoxE2f/shs+406ayOWlqkURWIAH/M1gTVmPyJ9LgOni/PZpbQdbZrdFVlRj V6J+YvIAhliKcrtOlReUJyNYJWX8/T/TP2GmQctMQ+Tq+ksiK4Bd2OtHbWcupBBbAfh+Wn5Cdwlk tdJH8n1WRWsM2l4m/mz6p+16Ot2nmMEgqxFwU/5Htk/bBMsqrh0ReyyU8zFyNUlduv0zPrPIaI86 9EGSvCDn1OvYyz4le5H5EgyfjejNLk2rKel7MiE5mlNxs0YsQp8kev7VwCHB07pAEJoEn1jPu5ff LwONJAWuMvS6RBQuEPJHNj4xM7vTPYBsR722mSYyYhckyyB/vq3myRFkJmCGes9TBcGZY9qGfb6n Hm8IAQSLebp3Pe/lKSTOuDSlu3ZQ4vbNBY9WxMKt1NUmWrqnzduaaPzV8jd/a+nlHAT9k/iAo6kM dOtEtLVoxAMpgvj00g9MIfXu55g8KxA9CFFlAYy523PQ3rmx58zBrsmaeHec1roNNdq0VzQ++GuK UF+XwVL/K4UmP7q4NnQzZbA0SWseMUB2RqneuAhcOtBe9Ct49PBkQFkhFI0GQLD5NFUirzhEi+Zc MaZzzLc4DsgtL4isyLTBtG5bsu01oRBUbVlZUgC9P6CbrZ4xjIO/4q9JYDZYt7sgR7EdSP9Oo+Oj uUR9GDMd6uY/4447oJA5d/gW3RvIY/H8jxt49EYZ5FE0nDVOaY3bGW91a8whlmdfg9L+0OMRYy3J eDiJLk3o4ETT6JLDXM75Ro+ed1JuIsJ8yUlzmNzcNLQKwD5suyoW+sB7keIx8+7Geqv9tGby0nsz FD/JNGGUlyokaUhebsvbW2G63KDAEbVgUJ+YtCk4NzUUoFTZxafhjtoDnI5Rodk356n75kV1V3Xc RZk7YZzbSHIlx0WImcMt52xwZ6BD2r5ehYPFCem8WZZ49OGpE+JhIZV/WpDA1m9DCKya6GYJuZBY JNBtHieLitjP23gROegavSP7oZ2ijYghvEBZ39QQJw+w6I/s+D6vLkq/ROtxaKKrpksbxLwS8qCg TXA1XTuJav8k2yN1eO0X68QX9GweRXoia2t7aqsDAiItlrPC/XXZC+X8NZm35FIjnripXYgBxHOO Q/M9ylayUxZ0Jcq3Sw1LPEHoTcZf6V1yjLbBXXx/XvS+Yw9Fu69nHQMog0OylOfmyPd6EHP9yI0H KjjmACNe8KJodDRZdRqzbIXazFBkhZYdIV8RGLSY6x5/T//A1iKidtFkxBJj4q/fuyy9sTWDHF+5 auUpKwWZL5xezVmrz8cn3XB9O9K4EpHcS+SW/2plGOwRAibjPZM6if9xWA/Y7+GBIpJmNg39iTTw BFJd8LDMO2CKMJ8ejR332N27pvNcwrINIq9hKBfI5K9N06m3iHoCUXFXvSh0/avSqYRbir1UOVRb p2JGJsFSXdrXRcnnCdOlsjgJMnZUQ+3bwnqt0Rcv51zi5a1dev45WaNQ8oUePIXfy9RcwsGIoKdg dv/8nvFWnN+SRsgw3NrYk0QU62VOfgEXlulnwXahdrlxG3yt8PxiaHLQ4fAYVm8uDkeMWsaPjwoe XtzrDLQcj+4I6EbDLvRjAxUQeCp9D3NGy7oM9Uq3wZn2291vZXJWnxMXIlk6bnWbmB9sRvlH4TSc DtcV7+WdgT7HyyO3EeYcIN4jooCsF2B2vH0i8+919Oqc50Xk6jcXuNhncz+suIndPtjv0MqjfAbe yakO7DDzUFol0guA4Jg1yO5jAypVDdzeqNivGzKCACd7h4ufs5Z0miId5kBCwcSQlZ+7k5SUYYW8 02xjwJ39HXg1SRJR4e7h8YEk1hLw0XId/i2inxocwQhkneMAUuV3unnMI6XyHAwe7/6viBbXHs59 aofvVszMfCDZK0jE2SyNXzBwkKt3QRp1B3VgWrZDqZ+52NF17iHL3TRqN1mmXmqYyLiOJtxyD8o2 YEESaALo3qkwIsyYbOFt/8c8h3wZTCXadJC7Jb8QujzPeh3fcwgAion/XaGDOHhHPyfb/N+lS35D R7/YkM2bItDAQSaJjLeBlHyUK5Wx0wsM4zJsYzoIL5jsrekAlNFak6FIdnkh597GXeQobAmws3/W smlhZvLB1rP2ht3oVAyNHsbLVcnmgUYQ14KC1D8C/9l+OXy6j49T6MysUDY74lh8vVYwdn+dGKZ2 Zo7Skh5Hu/lhJVn1nlxERE4Ntr8Kq4xb2nD3SaQKvLpHc11fJYEzhYYf/QpVIBEOkzE4cZmecSY3 LGvcpygNeVYjivcARGO8SbrmPqDn8ecY6qSIAhD+Y3ZEHXL0eLSf/elsMNnqGdrWk/H7foBwT5m7 okKSvffLjypQA/k+CxEUvVKuMEZ0OYpemp8m40NGetIFjfhitjkazR7wWbLf9IjxkVAGthu/SJLY I32rmU827N+W6g/XbroDq2Zelk2sbGN+ntDjKh86zeyUqI98Egwqw0y9t624J55o36Iv89f7AIFH ZDSSlyOCUPQ4bZIkTTF9sHJ8rav5ksLVnmwluFdJ/at5sIatdY58XemN5RM97fnwfjrL2D3wODXT lCZ3DDcdo/5B2rxX9ZkvqMzHYIJ+YrkL28BanVsk4MXy1TW6CMUAJ5aTHrBf8AAtOsEsyipkQ7zU vGx5aayW4d0kur2JR9AC/oit4RWNQTAMcZu+E6J+O5BEFYLm025NnDYUBqOQ2CzSQX8Qx4l3xily bxwmC+5Gmj/M8ZgfNKD9F4wrA7aeY9F3F46A9DUN/YU/vRS5NQhwjo0mZzMhzrFgtN6kJHLPSCC3 Vd/OKcarZFCsYRIQTJHlEO8KGAY9aBzw3wUGPyaWsGDakYYYvhhIr8kBCuyXYozwhqqL7R9/c7yB zBBb9vh+OtCZLmLSSUDk4IVuHbVnipJ5AG7m5r3iDTMe7QIYf4DwGgLEaNXK+4Otxlrq44HOKmky 9kk15hjMHyYuAe8MdxpX3vBRMn9TPTS5vYTe+gDpQULUtercspWW3pKwuM17eOAT0o4bMZBKKAzG zwBFC2jsY/KzGeotrnY0twhbjtGVyNiq2+x5Uq6g1a0eqLCicKCf5zYURUFb1z52x4YQwVGUM8wI 310+hs4I6OZY+2R3nu92GzHrwyTUm6nKy1RaJnYC1QSwic4lqapndg021g+GYYnoIJjo3sn/DR9t jpgdSp1irEAcNS10i5M7VgnZyVZerUINOeT4qe3nQSEqrVjRAj1pwRIUXQwo8OM/f8eNyp+lvEG4 6MDj+v7IJuwpq98Mvuo3nQS9bSyPIpN2SlHYUMOcGDHnQ+2Apyc+5YPtXvs/Ar4+Pun4BIU8iUFe ftf3v40MXFNmkKqYAMvidVSRJcNXNQHXcXK1kcQ5U7CH1NsHAJgKQLZ2g8QQ3hn3vbT+LDft4dQx M+YlCcooAtliiUZc6UZo7PjndsN89PbZGssMfgbstgFsIVUnmBdhMJHizxfuPIhrJJ9gTMAuvI1L szYhcNxgT5ed/VVdGX1J4Bj/Mdq2yXV3Z6NoHHf5/3/rtJpY3xo/ZapTZMnccykCEyPeJFdMkAUb 0NFuSfnKVpCRMoiKngDsebNeJeVT4c9G7qJHa+NsbpHqWj0TL+39ZkEP7xtGLya+6UeAyKenxQ5I jB+30llT4M1geMj0qcDP+pI30AOTO4wqJNHt7SspAPRK24Whzz3A54GiiOxunyGkOzKkKdrGxZCM 7QKDJyjKZNtNSqFyFA/N+2OyfibUyew1x2c9UyFuT3d/N+DyBJZGg6JtOMOMyxsDazCa94ITjokZ tsisSSvKgrYFrNnf3wYN86C7Ywg1TwigWhkZuxqf4qJkllF0g3pgvy9mkqa51kRLqHvR5cYO9dko 7/pg868jO6nNdTWPWs10qo19v4BC7mdfUJhEY23vbCqfIFTbLzPdDJVFJKMSKI/SuB6aL0B/1Esa xYz5sPPKhgOz5ZPTQDq+ynxvTW8easG60+yplF415/BwKAwDNrcbTrx341mAzr/weuyS0cAz2sEa NFGlTh9pNbpLScSFjkoTAUtm174ghtC3/NCAGf5y+U+gdY+lzYFe5St1zu1JntNjAAhfIzNNv916 qTMqo4+063r/UhV6MPl25zzXbbUyfpZKOzQQ780Gdky68FjIaoN+kNIBXZOXVH126MTA1q9+vzm8 Xy0RIPd3EKgK9GBaEG71Chhng+l86AL5B+0Nm4MInl26vgAA1XqPn7m0wF4sGeba5QAeD+iU3tVn Gg8yjJNkUJ3A1sIP76MmRUBeSKegQKYi5Ewrt4P0XPts8v3oLPqv9OddICF1IgYlkLxmgnNCUy57 O6x5Ym1Yx1M08blsGWwKX9n9kntd6cbWh5zaRMxul84rB+n3ttw/T3Qr4KoevDkQq8gQR9P1ozaL 6eUxnEI6d0eX8rJZhXuRz0opLgY45kilFuC3Dl5c0kB6AoXaMOi7BsC//kHNR/3nnMp2KGnxrF7+ Gb+kai0dXZnJPPA7SkLVdojhtH5K1hCODH+EBBvqaw1ehp3pRHjEugqqYakncRcUM04NCE56PiFJ tdbRqxSdzHDX/jBT5Zn7mTlf3C3nbhnwIOIrBT5/OYmw5y4xcPhMquvI2ui6IBptGfevkexjMgyP slHH7PCU4Z+nqbNWBRnLeN319RYTv9VS7DM0lNhOThEDk3VnN5FCHxCuOqwcXUeB4b3GlDUKDX8U wUCzAFWeUKZeqlVVMB5CE3wq3Y6FY0U4pOWXQs6o0WLGGNyNt6Gp8+PSWO0ER0Tu6Q/2ktpuaeDd vS+XZTCJaibXv1pPgWAeFzD3VkUkH2Qf5/oP2+N27N0NZxphYSSfmQZ3/YUjfT4zc6+7Bk4Tmpzf k+ueoofBVdIC9MyE63EcRuiBfDLerW+gTecfHaARO+gPyqbDP5ZsLHrpUReAGnmmrsNmmermb1az VpcutcqplPDzrQ3/mg+iFIIsAijDu+S+zavnB/+DFqBUy2VxifQiQUFAjYISTJ+Ha9ckh3Py85yS T4PNA79gFhFZ8vY/vd39w3MxdlkZY+x/yLAy63PkJqLh7mybIVeaq50pJxg2f8iMTiAWFOA4jB3a /eqfAkHjM+xyCmodabrTdd9RDS/ikeUssOVWcRXcXGH8yIms6MHqhyfoRBTm+P32ywMiXnPVbuOi RYXUZnwlBaCleP71qjHCOZJevgnxsQ3iJFxBtQD2Fb6kgBo9iD8pWidaIyevgrEhG4OUPU002AJH p+j5AypGAWgbRa/qa41xXB8AyYR94tz8OyAGNJ8bVpyWRQ51afRuoLaMiV0wqmrjcMGG3OGIf2dr 7OLhDeIsVBEoe5gpOIeXvFPIWrKF7/g6+ZunhZQvRydSjnj3NCQX/H4kCdjFuN7HnVSlNZ68CBdH k1VNnxi5gAwxAHy9IGI5obUw/5sB8diAVRvikJvzsxeOWFHTHuHpwvPTL8MnHDSoAiQtk6UrcRNw 1wqgSWOVH5WZ2MDM9Kd9JcgnOw55YCMLVo/b5lACF/CGxwI6rECpe9tlu/ZF8lhK4LFevYtHg5H8 K3FdmbOqrmZSTsI1ejcyiEE+XHh+TpM8ZMGJzGBckHuQN94A7DzAST4bp3gsf+kZmIThGLjKQjI0 wQ50AlVi58d9L082z1yZPNenZengTRMRIxsMMlguqV6OyuCkDsFSeILSWUsiw9Ng3RiFj3hoHbC7 3/6QqM6QnwN37lVJhd4uYWriMJrNWojms9BxVR6akm40nraqJfe1k9bIxDT+am7vYlbsEjQo/oN0 jD8yXSGWq1qBawjaLr+UhMbRI1+6y16MnqWnGLm8EjQcOrGgqRD7v1EQD2O0qrUq0m/sP5B67nT3 cZEjHYf6vhULxttDPB8DU6Kd5qcj0Uyvde8T+dEb6RfvqpHrHjzswksTkG46KJgrqFv/sAvQuafP NhC6LRURPHflWl9EK+S8ZluUbv7z8TK8Hb57w5UZrRSdSnRL2p1OFN6+kbvdwQI+tUdEd5ULAfwP B4q1SI8GUOHvkHuS2HE+VZd/A6hpb0fSfCv7ayaQ768rsPyo041tZIGiaGD1afXdPpUT/nXlveMi xzYmJNvYdGWcW1ByhalN0VLZSAjAkeFQQ8D0wbtNFpw2oRNaqRZs3muQ0JpKAtLlePPut9Jvv5JH wC2qjZx5XUDHWu4chSsLqJIkHZsJ/V8iZc3dB3E3pHK2K+xwh5nTugf955GTF0yjfkLSuUU0FAX5 0ZrUg9yWS031JnCYSnBnX/8KB51Ppn4L36qeJu9PZki9YwnKYLaMw780N5rsQFK1KWVlIWb/hRnc 7iBMqxSZn2r/djiP9xgpGpIIIdMNlXxyROdfgV+RKGI+OzrNKaeiNHCVEy1esweKgLkXUhk+WpkZ BIPYdDDiOvE2PlMReJesLaNwktYHrc9eRcBF4RQ4p1GuOy1PQKYXnCte5Qesh/dKMocjd3xkCZCk IIe8gu5BzA/4FlUzBPvAdbmemZNWZRpkqYhwZ/uJ0GPJNGEw0uuoCoKs0BysHnarANDS/SuCzi+1 YPIz9jCzE5kLoJHAYZULbPcODMkzVwKRmHwPheL6aQqsYWI0FquwR8enbI0oEZuma0D2Eqd6uNWQ ZSJaRBHtNqE1oVYbAxBuhx7su31pBZ+T6hSZvFQKv4Ug8MSW2YMM/Fx6oF4R2Le7fMns4wU9UuZH h/IDrQCucCtPfEhR1KIMLrIu2YUe3CifRUO1AdDMZ4/wtUcx4P+wBv5CoFdjDKyd5ABe7ae6HLIk 8wJ5oyU0Ks/DI9el4r5joU44DlZ3xKIBsztv+orLBOCvsqc29zasALo0vfSe5PnUNOkmk7DNKP5a hlrt+/qzOEqDaKgOJ4h5V1MA2WmqLbMvnEkYx9ipkkjO9936AtFgo1UiiWyL8+2nvICaZC7iYA3s 9CX8MyEKmnRKHAg6w4rF3h/n+hCLoGSAx4cCz0JhkS/gCaEQ4NHTcT831V4mHJIcNjegot9vaJZH CCA6CtRONtWX5MEw/HIRxqn4AXBVZL49zon4+xamTlo5g5bwoUTYWLBgonMWJbjXvaLXaaM9VXVF 2slVzIg1hsFzHDFbiHKKccOFGrGWjP9DOwQANCqk1iHs3riapGsGpYhI98XvAXm7kLcF2yHUDLNy 3K746lbDpDBILPmkEjcQEjRx9/14S41Wo8hHP3Px5oAJKBj7jq5m5w7RyPbZUuMLWtcIQuNYh1F8 Qklr/bUQ9WsdGkvlv52lbruUu8Kauubg2FmNBM6ShuRrrFkHPMQXoNPQnQBteGfAl8O/nUzYU3ze T9q8e9/1SAD4w+OfL2Uluud85wnd7rM5I15sepGlIAvUZu244pYlGNaH0hBL1j4veGsi2ffReOWO BAhSixr3L2Jvo7U3xzOdPFaC61LXqP5VtoSIOeQnjXf/4rLatT1Y+/Dav9QqJsM+hMwpXQG79XCS ZtuXuJH7T9WML6QUQnT5wNkrb48cUP5N3uM/kJFm1g3gp7OJ2eLFEbUQQAmvHjEz4ArCq/HvW81Y eMLH0C263t8K7+z32TmQxJlaz7+We/L9xHzRnG7XfalM7puo5Oswzd5tcDnmjOK0aEaBSMVNtUKF a9cnBZkXD0VPG4JGO3Duzp/RWEAX1VoRi4P9zdNXPDDaKM4U0nIpiB/xHXLE35xdBze/ycbwcFs+ 0NotbTt8lBPKiQlnI/rjiT5qNoqd4t4bkREgNV/23NoKi3iTlNTMvWRRW5Ba0rxJlQJAfkfB1TV0 FmjhXX9knmbJz4Op0NCtYdy2rGdmsgjebP9lP6ff1WFkQ9GrbKuohCqXXHPyElQzw/1E/vAy1rtP 9T6J8vO4BpIyP+TmY6HZwx20JGJdNeDUoG4B9977CeDPkYXZC2ozP7RpzpmuYTSFGyEopB6e65ED BuPPFzJzMMLWuAfbjdnWCU0PG6idGEN/dn18sY/yTlagVq4x09u4N2y73QHHWhhriSBt/FcoWXBY EekDIVEST2WKObX+Lo+6dzxu1hF7ZDj1G3WBp2i0qHuUFpq9XAX1eXB3C3qXgw0UI9foNtarcHEp tkvTVhD8bhyh5SFWPiCcdjpHVkQ2jq/S1NaOaVWQcj1ijqHLqGAIxoRGJsEM/QHHKInxi1puG/Rw +ZKyPg/zZYf2dvirs5AjkX/XRvvf/RbhdMe0zeWhtM5wdr7X5XnMvfriLIKyPd9KsZFNz3Ob0XvX uhT/s4h1XfY+Z9RqlzgOTJm9a9drKk2T0vJN6A0TP8XtPpNYOdcVYeOcEdDNAp8tZWg5j4TK2qUT nSIeDVmf/yFIGj6MJwC6h3yH63JsiCJhxwa4yLFyWUYgBk6JKnXGVdmqSHDwu1J/qHjzAVWKk40m baubZK6Jt30ZJYvHcuHycaLFv7F+lM0E39bmpFGqBkZi3WL8IHeH2YwweW6umBj+7wyWAcF1WS3+ ApkBEArY6dQwdPpr+Mmem/81+fRPqCkugfx7zKndk+9BpvqZETsOES0J1eXZrytJhDcq3O5a5Rit LxTdBVgbYhSPlEgnXX8uugAbgGtNfeHlKBGR536LiIHFPsd3+1WhZzGznSAZ8/bak/nGmzTYM6V/ 6TsV8ZMYBWEkrq3RtiiSipGFE++JJ4l9unw6oS5x/cqRagI2AFpOGOc2pKH5wdkGW+t10oTmcRiq Iin5dLlErUxP3aCZZFhc3aEGLZKYgNXVWG14wWwr9l1+GxKoPWKCB5Ccms3cJsKv5qVBTey39nlW MEJO4Vo6aSEmeiMnFiv/Lm7BVRinpRvzd2HbbRpUtV0Sj62XGrATb7n/gA5oWEqDPnJRbO3Z/FCh hE/BzwJkoSLuKFbxWQMpGq4VqYCl44UFW2QuqfSpHZp6zjaYd16SDyqcmUBLKT3N89snY8ZsUpcC FcNNzDB0BmN+VGT7QICFgM/G+wWNYCuKR26Ux2hJIc60080GMqP/nGWfQXlSWqciR21hyybY0uMw J93IP2wX4UrdAkGRc3fPl23f0Z5F2Md2NSqu48IFobXeJc830yMafgflU7+CeYsXL2MfGQP96mYz tzr5R0ggo+RPqDcnwxdNxGHQDXBaQ/bLcY50AnOLcMbP3x1TDrX04YL8MP3yreZJvDld5CUt08Tn NoeU2a6FoDPhzQB2DZB3+0MLMNmv9wJ448PEdncbSeemE7CUgUsRx8VbyHfiu3FF5vfmIAPuvb1c jDYB+KWRGEHF+SrVb4vwpHm1kkJcNSqf7amzk3eiYy+B5PmlN91ruCk5THMuV6BEW4OgJT88vxr6 LHdY17f5ZxjdQ9QDnGPJ9VA+b/c/jFMBTTLExq/QsFfd/KPB7hsAtWdbhqufsKtHErbFs3y5BHfC zj1Y2CtIPq+pqwGY39QEWlKDiufFibKMsrv7bjmnrWE3cHWmnazi7wpc426FlHanjV0saisq+acl 9EqaZlUTatfgVJrk2jb+Nj03TIOmdujZ6UrPgvXo3kr5ohOm79YQPht3+QpkQeVONfbdit+rSHpG EJzwohQa/NvejSSk3IEDgVsYbKaGhRpORIt9UXvxumPJMi19G5maiLsUp92aM3+eVUbH+p1J0ZxB uejXcSqiYG6ZjDkYNWnJeSG9FUnq7NPA/cR5kEn/UPJTv2+rBZTeCJT0ibtWGiNmKySIbYGp2naP pDDJpukAXwSAfWKHEn5cvCLipu3Z1gTpv15L5yHyB77VE7tnTyvklHRYiA0rswM7jHC3KYTQ8Gnj vA7VxBO9dDUlH/nXYcLBPuROlenZmnSu6tjthrhlfk6KKctsNEkvL8gSDNsTkfGPxiU3WfBMl+c3 GT3Mr78kKK5hXPjQimaiTEi44sCtYXv53TVlLiwJyQ5yJ1Sqe/6Ekeq+JwXci3dTh8FSo07zk7zZ E5HWQ0Ca85NTcK5VjsVBPzqsI9uKmQO4sahOTDkgyxzTLZGjaMmwZKdMZ4lcIsDVCCUGqPM0BNkD 4+ZXlAGU4rkylQ3F92PpIU5AVh7/5+viH6VY3BjyztZr84mvr2M9H9RlowaFhzGVAw/hiDvT1wFy hURC0wg7JeUwoJDayu4p1FWStNK29j0f1Oa7gmTomTyeLr2iucEEqKBshTZ1wFhGOZq1Qw7juX2j 7B3rhbUQYSD1LaX2Bp17OOzuLSl2y0q87FOe9VqDaD9BRps5BO7/wSl5gGCiF7I7s5U+9/A5yBAJ rHGB8G/uxPyx09kXygAtm+eRxDLIMGiAKXDHvftO2mbhT/r7avFugZX/ryhtxcN+LFovCneThGvf dIPOcSNCv3qKFm3Jr1edFEnFEj95ITfCwjZUL3WnQ80UOvqTCkUgIJP/qjsPRl8PiqLOxOUD0ywc fouvUuypLK5LpmPlMk5jtpdyqchAwHOUmq7sQF/S+//FncKHO+6FtqzeWbf3p4RW1/a1AN+vSBfs JTL0fimuKzb9WJvW4zrp0pRDWfr6YImj9hz/ZKeuz6K1wq2wX1oLVjXMG4CpzNaYsdTUAbKFdEw1 0R/3STyIGZavTOQvDyXPFFp1q72oFA/kWV6rdf8TpPfkZhZwVguE+TON6Vy4eo+82EF086mHpUBO jrqfPcR/F/1yUhS+wpLtn7sxK94mUHTPCCv0fZQcK/ZspJmuJm/vJ4opx/BKWRkEktnIVJIh9w1v b79CocCQhdjMxefOMvV8UKX8Kug+48Qv8IFw5suRNAAwTCYkISfYQRcY3Rbvlb0LzYRqils9Fk22 kFaDFIzO6o4wd8Szc+4BXFpZIDpvtwmAXn4w/6uBFSNJZ+zHHaUhDjLEwQPKms2gW+T3xDEPsr9n dgZmDns4HSqfJHRXBRnANB5MICnT06LrTQKLpzh4ivPG2dPkopOGDR2/j0kVI9ixnOOCoJIjCFCX emdswCBVo74XyGkgiAgvGA04lZWzHoGKwx614+aiS5KBStyv6JqsQnzewXPwWBo4Hg3Qs2xWUWzk jayWdMIOf1CqHyE7lrQnaPjL8O4q9Ql0Ilc/w7MccIMnKudhUU7o5Zd5xGxbYTSJfDp/PmNha/z2 rh4lQqeOstG8+/18GCHc+pq+hkMUAzybo1Icqr2913naEk80OIOW6FDHCptgF5J6LWN2Pa6UNg7z BYrjVttSpOY81kChrW1V6J2ob2x4N8ahUJjem0IsSnqp2gMUAR7OsC7bwK0n5jII5rXcDSoLxf/B If6A/I/N0CGm9L+xF2yv8bN5WpGeg3B/3horFImVJIVwAKHVacg067FSEoUwwcxH75ju61CYDXWm ioVVwxKW4gJpsf5lCGovip97Vx2Z6Sa8TyUT5W8XkUb9+yquni9QoFmE5zXvWDOGilEKh/1KMUYc LLTwbKay5qIwxi4k0rL9OXcnb33KuRxC4etg3MDH7IMDzfjVlFrkzslfz9BWQXQwuhtb2rdhcZQl MDqH3VEN8OBzks6kAtWuMHYeMwOUx33Lu2llo6yXwI96Sh8vnqQeWf8+2dNu7fjZM78ySmcv2Yez Vgdt8LVBafWXn+3OSreGIHK7fHdFmqkkqOBD5539rXDQBjWdqGkTXUJ8j6GIQCi3/irqe6gp1QOW JhWGoDhTi1fKNHF0PbGAAfJy5AV11rDMeYUQRerO/uitRlNkuAHUbMknzu8xYLHVIU964lSQXQoT TkwcgB9Qw2cXZSST8jAssKPN7eKpJv5ThnXj7v4r3p1a98vZuVjK8osxrvUXTYpPq5MqMRKiA5n9 VZ3TG0D2xgtOSSBGYR6dtWquQGMSLSdRX3bDp0kL1y7vQVomgzMPDHhgUANrJxV35Ql1NAZb2vT6 rLV0ZF0+wZbf5bg72WSW/9Cj35MKAZ5/yEWiG0Uy4i5veILHMZsmznoCkkZ5Hv3L7ZeNnNZzJdZd SYwaZFaPH7eeRHSnkG2TQxgrdP9Bx9Shx7Pkh02wvxzPyiyAoivc6ozXPqfyE2/LM0kJqd8I9WN2 q2lU2OHAnvCFmYoxbkm/wDkTRs2+gTzaNo8L6WCSE34SjU6vEp1667085hm1UNUaPNt4Ca71keDk ixXv2hr2SSZuFrFCtpu9srg9+C/vaFeAB8Xbb7bGkuY89tEXY5mVOxH6sRmKbGOO7FQthgmOG9q5 AIIdkxHwLEO/PuysrGaypg3jmIJeRFxbV/YPtt+xkvBsNiDkO/PosKcKnG/ehKSTmhxukZn6wP0N dTquRq+NA9c71wFFGgf/YG891T+6btzlvkAVRZR/as/VFQEbpXeuwaLQfrI0xRa9gFF2u0F9K1ni 6sTeUu2ouh/VOThAUAxz2ddMsEMr93xuc/vYnVBLoxrg1ssS2OCcZn69KhTvWGl5aSQryEzrEa8x VQdxbNC+7jFXxSy2iJtn8/Us/gPsfhX3/39s3J0dXzUcrov1GBC2PGregwHCGzvOS4WcNK9q+S7y e1JeheneMmPHFzso0Aq6E3KVqyqFhAP+Yb77XbfbYchaGS5tO+4/bQhqgnTLT1WlbnjfPGdmx4Sb uUZ/mp5UKP2igSY9/KQh/+4tjQ2NHIA1/OQWAu2SwWQCgTaM19SOBUgMsBJsf9p33hBcubXJwpy4 EFg+hz9T4x6kM9T1bOCzIJkHSR1U4FvE1AyLN/dCaF1zpvJZ17bnYpLrUKrEXnp0kwPXT1IhIwX3 0u/CpN8mjuYl/WklPx8FRMf3ueK709K27aePylxaIGiUrk+ypkt286iIR+nSfn2lNghEnDA1uPQ6 AVULT4nKtayYWzO4FwxdrLDeDnpkI8M66qAh4IIx19zD4yy6BhGicTvVYk5ERG9SY0Z4jasA7b95 cidiXv+LhxTWP5JsrX+8ZZgxj2mb38yNBk8Ffb9VWmQz0S64G3e6K89WW0uwAWRiZbmpyBRpBnhY bxir8QAcSu0Q/0ZnMt/apVM0GT+0PR8YcIuQ83swav0140iYAsbZDpMKwhSuioI1m/QdzJpeZIka suOYGbj7bvTFV+Eyvyb65fmXJqi14hNmkPY2+Tov5zdCbuEvbSVFON8LvWTf6imTdIoqXhHLRpc9 iW/EKk6Ps0yilGinkA0cxcrIAwJd75e6lllyQJravA+kjHRChOB2KTx3OlcewMtIV5dRLFn7/Yes +UQWbCW6YQ896jr7WsA5ocdMLRQmzH5QM6Iou0x/+H8tEj7g8XiBE2GI/WDEPe1kp6rE7xZvZnNo w0ozUweWC8M0AiA5RnD85MOwL5YIEQLKzlIT/yTL3s0iok2aEJH603fGcOQ61yyiuEJvtlrxVcoA jlewChHx5hpwrfE1QLtl+JQ8RpSiwBUgiFzt1hckJCNQehedRSs2O59JtmyGlScyekRiPV2j8QNk sQwc2mlKhWYhg2Mt+9j/dlQm4Or420QsGTh3x6i0LpTPQSsOWd9Io/0qvtvw9z/RWvlOq0/YhJbf BOm9bl2FksPxqR1I6sV4hRR/MDEh6rtF0LTS2HSCzulb/mGyrkACD03B0IcTLmQj+GYC7RibssO6 +ps65+zPJhNSxLlxy8xrHdeRUPXFWJ9xfk+QAF6BOsYAtzLP8cGAnZg623uDn1RcrDvEIxhZh0PH /QVm/IksNXGvM6S3q0TJsGRW94LV+0T777Ve0wk8LPiRTmNgBIMU3U+Iw7cOEJHNDhligHpiFsOm QCcggcNcQtmegPTBHAaWbrkLvGGkAN0quga0GQJUYgoKxZy1NeUtQ58W7iaQnXfCcutXHuHizd6g boY6si89F4wGquMJGOtdIOY1C1jCrEQpokmryYfVRk0WEy9WlF+ct6Pol/QD9X5pN2JrgXohRvN9 bAP/tRfmWHnY+5VBdCkB1izkEr/Hykv4R0hLU5/1h5Sv07Y62y0UPW6c5IYCTwnRpjLfgQ4n21IZ ktRUDz23t3WkPMJ/vR0c8UnZ8hqcnIWgWFloDnCUTV+dEZmUSoLp/nHk/NLTquLUv5bw/c+D98mM d28X3jX1tEK9NKDExufI1bVtgH9q0jprnr3abLfKufnSrH4XZlblpPmnh09osQH1BWX40ia6tBlW 4AnaU+UpugvFEFb57o92/8KGClYLmtwPpXrhiMnzCqK7TcGWdzX+/xt89eT33MmzOEtPdp5uwEBm 6gZOk0/K3Ue2OFoVEmOQb4fC+EdqRYG89o7rApNlQTSeGZOcOwxQbFrhd2wtXPnQlGqWY+0ySqtp 6WnkNiTjrTvaGR1EpRFR9pgQSjUzeJ2NAWFUojdROG7Xa8bOGHN1C/BhLjFb42gVWUY7qU6W5yEz /bCe4cA7YR2I2XmfUrfIq8eUgjch0hQNQWPbH/hHVaFJ7Qnx5xROLHUdWN2PhVwM15ivdG2FJty+ +swrDzPLcwhzSgnCWc5pXWrXv8tp5WVOonud10jFqIbMXTqqd+0aJx2FLNIxksf+Ea1y2hQghM97 GMo4R+Vb8s2j1dzxCMNPJe7KZ1rpu+bEuYWt5bW7JNyauu0PCUshPB82m2u99mdo7eTKxP0wA+8V vF2giip8xY6VoqIaH+4TpRq9jzZxSSdE0tVw54wDbTFLYoGsO39gcI7uUdkuc75JKY8A6sWREPUS sDZiINVF6yCFPtoUcabx6zPjMB8TnSn3Qx04qpvvGhxh2TlQ96PrszsWyoxS2u4UDEU/gjrDPnLI X/mcpcUcDWsTcIBic8y0HbgqstO7dgRag5iQq682fsrU2HV+REJ8f1p+oZ/SK9hIsb6Ic5fdHFf6 Q/dstfTg34h0wK6Hh7KlEVv4HoLxl+z4UDIKd3FjopayfgT3jjmmrObMFW1zGNRsh80gbevQFAvN OJr5SYDLwvh8vrmSUcdKEW2SOZMVA1n1k+lEKFoN/cszP9fcLhYo3Pwee/rBWOkDVa/oks4cY5aS DJR5ouI9K1ubtXW2ZsdrRwDMBFqP1WUmDhaO4cqjNkVLDmUUMBTz8Ch9gcOUgBkCoCz6pNVOa9kJ dOXoOX1vETocb8deG0YORK3kGGiYT4M8krrL130irZmY11VbykWh7C78L6ZVDYAw5Ctpja9MsAwh pdDIOLcLR3ZiAPGvdb2GozAZTM9unty8/57N0d/JCauyCRZPwBev72PEn8u4/wZ4KipJXG3jd6y5 Q0RdGMCdVozJN1XExyI0x4cvqZPn5p2vn40MC9AQNF/ZEE7qYDkDDi6KiY7R1ZQqcYdrWK8wTlaJ rpa5GM4b2g80gEhm47sA23MeV6jdLlq9IqkOXVAvC9fZ9y4Lr5QPkxp/cZUgFraa24j+B3dqO9tB giQzYmTwM4b/aYxPKPpONptqX0hp5rxBODm/yfopy+kOADl0h3qBbtGV53dZGYmlxC5AnVuDktxu IZqN1JeNREkzDStjz8FSoSfryJ5EtmxRPM3fCeKNW/IV65gnCZ7phQ6rY2ssLKUYN2a7odx1kRxI h5tlk1cmnHp6w5Hc+pPyUomHN7uUNHiHV0wDkqtfqMEUCDXSvYtxNV8EH8mpIa0yCpGXJq3GnRA0 vTiw2N+pJnLl6U4h6U/zPuTSuCIt5jjBJ4p0Het5Mp5/I+VkP9dzcLyz9ykB7TC3ts70Bfv0q3th RMBUF2Xpi1aZGh6jiVqWPH29lxTZ2hOzwR3lcbkuTYn/W/e+mqNQ7/aAY5R4/me2ocSH/pqT9S3A aZtwnDE6TDlT+o39nzFmMmeWDyyg3ziEj0P710h/ePnuG86btO8Ttofflh/lJg87INS/Iyk8OiJT +o+eRElJWWBlGLKjHEixeylFoY2hw7zGVvJRLLvTMvYvarXSdJKJadAeEmUDX707psMg1JJhAYne 1s2iTzUnDuSTr58XshX0+sKkGTKMdVjhBqQTGAgSLQAXyxJQ4WnSdqf4J0opyQIsqCVIYhSDfk5i NXrR8vWz3zAjEY+nr1qdxDILJHD/HWjzperagsehK2rZ7tmaEf6+oB3xSf24K76LXGJkIn97vVOb aqrZ4JJG2yUs4dDmRFta5NFwL2C503mMRscnbFTSlCkEyVFJ4KcI3/qOQVucuF3SnAc0BZv9+N6l FzryoEC3MS7u+nf4C8+knnZ8/jZGYrKT0NidKtma6tmeIiYvAHT0qtHMSZ1RYxgkLlIQRe/75YQl hDgDsTCsrxZ/I2UVrhFXiSdjogYxLaKetynya4FVQADFUg5ODih+Ufcge/icohPq02HcIKu0fwqe yuSgtYS/ezdv3FNqHGeczTk/Vf7kefpIaRpvDB7pCpFe+ZzNwOHWGiJpPiEb/UbH9GcwPkh9JsMP 5Zx6qbRLcz2bT6lFkH2GLpwCBZ43zjWENsej+TJf53BEU2QZmHE0TYUAd0TQH9UCAx+jiqGV85PJ MOIR/zoHRI3kpTt5rMDELT8Gk7R8qyZBlossB0h9GhSrKMNeb31vSttC5+9kEfyZyDdKUNQRFeal rtADvGhVQtGCL2draWm7njQlyDLsrnaCYWvgh1F84ILGBqjtFNVlLgu9o9VKdzeqCrMvQWbJvOFp p4oCoYgUNwaAAdScKDA7wK2TSGLnVbcL8+8pq7Sj12BH3U30eFx78UTaepAGQ/cfsgV1ud0klWbQ fZCpbSMiq0eGMoUM1SByK1ip/XDlP8A5Onk8gtMSUvqzYQepKiumdBC+i3Dy8J3ChWsc07GojXDB fMbeLIOIglmpfzDxEuRLzZ7OL9ryZmaMGksoZYwPah9wz8f1vlOtXMb3601O69seC1p76ATPutq/ sAfBwRJkuDtRvuUwh00jMBizUMo4+NP9xl6WPIxyckzdp+tBAv0zdgfERHy2i5gSFZ21dvxVmyB5 aFxofiVwk3sR6Uz9bpHaQ8Khcdk0nCMLJhG+1V8PSr468CvxzSDD5SmD1hwxJvcNYk20CqQT9Dlf FU7yQSs5IdaLbqmxqvpChL9zAHnq1dFGbsl55rC48vVrpHghUAPe3pndmKGQsH2/cB7erfN8o4N2 VgP+QEfFgeIHqwe0gxhpIkFOv7dy6BxkeSVVw0L4RRrAHvozU8RlIPHbLjSUTjN+FIi6dyms1qSk n/NxQtsetbNutjbfqdcDlrHhfLzqhVYELk7rOoYwVEQ4Q6LCSQcd1szAI3P9slLNtssb1HBNd5Vs bi9iFUGZT3T0AGCYBUun8EQ12hm0Xa9/8R8pbz0rEnuqGowTg4quQ77WtKvu8WaYWXaXHW5Ac7NZ kiqwE1zXSd9k1/ToIJZkKXgDOElAQDDhkV24brKavw2RhVIhfkYcc+xneNjZsqsKrzZ6qLU0S3oF rgKqGbRCDwA4H8yuX4JpGXIdWnbDYOsVKfpG/Oj47QKkpbX4jLT8P+PiiOACwFk/ro92CTGmMN6r wFTGfmnsv7W0c/8NY04+K2UnPA8hSiRdy9FP7UcTP5wpALAKUM/0IpLiuEREVfqWbSA6LnsSXUqg qGb0rocPoW94ppuHu9IfHKBWh7ChCFaXrGWuw5CTkCa2CMl+3EazsPW+StjSPcU12cw6pCj30ZCx Hq4YYXdGNUXH27v5abZ3VA5WKt9jnnW6n+oVvqdwbPx22DCSFnoaZ1HHO4twIQRvHYgyoI0RDU34 /QcWJ37BPMNjjTKEaeVbrF7BVHOs+orH6sdMq2yYFUs9nlWSELppuwDm+erX6Zj7le7niGDefG1G 6sNHA6FSZDK+0tBmI4ulcKfbrEvIstq9hjFKVMDI7LUUBQ9VR9muHLAKDdBPXMu9w1IOgwyqaR+U B6OQnxRpzP0tK7813qfSDlVd+aB712sguFQq3+XG2xHR4tNzc8DJ55gtQuZPE+lIyXKF3db78CP8 W5j/1Tc0HPzYv85eizxCRRflsZpqdx0eTheyi+HGmTnLcRFXy6ADolmpCp4PukxxFz63UVB+RLeA oZXGbUsknL3KEegvORz8mxQ2z0m3dgJIXHMUQ+fnEYmAz7h/LMDXMZUb0CKyTBfNKBS9OcaGdo34 Mw9eiadCje/kj/PlBHEMfx3PD1Qg9fthvaCdE7tEswEYsknRJ70y9S9OC6wQub1pFlJb4KVFKPp6 I+A5k7i1bS+c8YoDe1eOXMmeL9zypYku4xDGqe98yGk+ppbJ//iGMq1BxDOn9YYuCJWRSETi62gi kqwW9zAedRp2AeNrY5qlgdeZCEeTM7F/QZICgKPTwrY6LpPD0JkjRUznZcPcfWDuxC6TibPMQCRk +8wQ5cxjTC3+H/zUmwAbLZNBoMWegKLwMKnZnPVHQE/Wu1mlo+r+7k2v5o8FbLzBiEnbrquzMGR5 4l01uolX1Fz4VNRymGrT0mLZkDrd7wjy8hgeqAhu3s1/y9OlUVp7mBZXfQ8d3HSv6b4ByqpzHtfD 0dAR2z7BwrL6dXsfjKpDaSsfT/+OMG53W4SSfyR4wKeZex1HKdT0I3yuvwrS3oIq3ccCNdnCzfIK wY1X9yq1e3lFd6Vd0WKebWmVJNLgHVdzvKHBRWDePZdQ/b2siLywMfGlm7ZwexAcdG95PdnhzBzo PJQKFWTtTFMNfLquHtZFoHdijcGgdssy2MzIO4WAJ8Wc0TDWc08PkNlF0g9gk7J/VfviYVZ3NA3v MzD5jgMQ+sDkO99DjElpON1Elo6H4l7b3blYbluaiARjjZmXx23Vc5ClW2Zzwc6TgvlMpmK7SYpG bUySoHw36r5Abmn5dlRoxrMAUFnkcKBRp8AMAncMBgsLoA+/vwHIwr9sWeKfqCE7IiBJYLYWIS+1 z9eS+7V0FT+u/EaYmJefMpNN9mXhLbjrp/u6Ki1Pu6hQ+QkpJVTRoDYjh8knxYENnY5cEOqfiIrz bh+1P636APKgno/2cd7/94ThBDxujO6WSHzazzxxce1IyBjesphQe4BhmjVrbw1S5vnSiOpyhoKn R6qOuj8w9mlqIF+/WDbXcXh5mMTisCvX7eb9I3LAwgKTu3kpfv9Tk0rHeKyo2q4cVKkNDdap7FTi Yz53oP6+hhyRAotO8aGgle7gB3OBNst3uXxGD1eO/stPn17tfGxAM+Ee30QsV9fbHPCXf6gIVHm8 YUNQovA3HWN8VMMUnk1BULVPD9t9YYiCnsqqeGx1d3eFnhy+MQm6dn+p1/tv2l81MoK/cJV2sW0d GxTIj0IFuEuHy2TUsgs4EunwZ1foUYyIhUKQNL7FDfWGystfcbBTlMaSQFFobXOUbHvYw4djUDMq MfcRxiu6kXnrxo6kwNDsigH7VL518AH76Snh/dwwBCFVJi+hKZoUp86wsWq90tGY8kOQKKYZKMOC ShlROgY+4Iw9Ba/UUb/9Na6L7at64LW/4AwxHCzzoS2tV0yQmUEfyvX6dTS965xaG9hd1PsnUYwr OQGTf2HZGvlD0tjpANr+OKiPD/5R/+oe8plmEHXpYLBAPyCDW/HhRZi6TJqeuZlj4xEPLAIjS83L IeJy+wqAX28URCrlaAXQ2HnCSEJ59ot4m1GGQfrhe4coFblkzqVIPT1UrfEltVrOFtORGLuheFNG sTO7OisWXW+5vUvlzAUbbAtScmUpwIf/tIBAgkm4129/lkoBSncwxnZ8PTIIEdo+wmMhdKb1so2w tDLrUqzA5unKIVtXetqIrMRWWxGD8LeClzhW2fpbcYRPhwf33BWoCxfVe1q+mVYjyEtSxCQ4lXCo y/tHYKsL8hptcRh7VBsdFWAvn7eNSHd2b+8Y89sjH/U93b1Jh+TrMcted21dnBF9MnDwAQzP4Fbi q7bHi86R91CVv5jzTqdjMdacIs1kfTDF5/o1on+hD6k+b0Ot1YnDyp9/G8URFx+1oHStqGWtKyGP qLBuBd2Z9LCpz2f4Hi1JPpy4DygaOLmtimf9+NmEq5H6rou25t2waFrTve2kqrDHrwf3y7s21q/W BxoGmewLN1KhB3p0EWfnJrIMRlmHWhnvNk+rAolP3DepIjOAteBr9dELu+WQHJk7bOPYRKFE0I+Z ZkjJk1ckFAtUGkjBF5papvZvyoZH++0D9tAwVDwbji08R/rkqfZU7qf8kZVW6Lk5s3/XRmMpMVZH D7m9QBLe3ju9Bmikhbrh39QvNXrrk+0mK26dCYYxQgy4UI9h60yzHPMrOZHQAnb2kaBUFYMuEgkP qyhtex5VAA/JsM/qXCvGmJlLGfI4rWktJ94dIfgP6tebhPZo9JF9t9+VwhUsEGC2jSQWadul/Tnx hpgMlo0q9E78sOVfzj2Qepx6Sirt6CDxFCo+g8WhfVWKD8wAKPXM1MugvyvsGaUUMWrkESKqI8SB DLPIoZp/eP5PngAZl871YggDP1S82DY4zHrbvaOQ+W0NhCY+W4MJCMhmDYZFpUhulGk4o5RCfcaz KbIGRbTX/9XvhEc1RZSfzZe+He5vGgJrodp+y6YivVOiwQ4xI8/DCnyY8AT+azMhnE0blkfXC3rE EvErY4wG/CTP0bZ6liebTYV11JyQu7LbWm6oLWODWOXuS1lOwOTWwXeXO6gQeLT81eWyeIbPSMSO 9x38C4UxBpS19kKQ+XwLt7sUbL6rrEpn211IxwrLCucWX0gBIWoLE2ko8jZbkajG3SYzd+pnIes4 Bur2zbFQJMse/ONIRRmIkNzvuUut2AIED0NY61bJgbb/Jr/y40GxC1cYbtEna/v8N3EsQZiO6pqV 7w+M/TNT2lb448Ght5FjCsoRp3Xlg4/jwSckei3P2icAAFx1FMtsn5P6N2Ep0zD3ld/NrfJ43irX +YCq5YizV56AZs4+vzrwnvf2mgCB15ocUCXFtqiea4V9uYF6zD22GvONQYfsnPe8cDoTyWhv+N0S 3c+RZ1cQPQCj7fusZL/dmTsKPcTKTkH04bdN/wgJ9l8fC+mpG0SPAJGWgpRIIs/xXwB3ljTYbb9D jdMkXVIpScMzGeBAjPCW6Zn9VXnR/ETYnoHFlLL7s8JPIhxHLm4+H4kWetyo1nKxShK07NwKb+Me ZYG5WN4z/pRpx9WqwJ1KYaEfFvHyvXe9zGtJj6wZYcfIiKR26530M14qia+z9wSajYBTPZeYnjNr noNFxQdcZBK2laLMJ019cjzMaS+56jlG+iUkLS9eBBREYwlbj3uFA//QlBUsME7Plpph899KGseF U4GB0ZJ661CvcmLm17oBdCDsdADsKkviOiU3bw6hoeh7GcXa87nnmecVV6axZSzqGDVX20y5+YO2 wUCT8ZXtFHDe3SrlYOhMMQ0UCKqiKK9mC6ofgkcjx4H9srnFizjA/KYPO0WI9xy3sqyKPrpn4AVU YnXXc6NyX1hXPqYCRCReJfwnMyir+Sb/Ql+st2HXAMFh7fUodX6GNTDdd4IDLJEJhZrusZ+Jiota scx0JWexhETN6UGkDJU/vYi73TEmsKk3caa8J/05WYrWtVNE6DiwRrPPMBy2bN2EGUYF7YYOZARH 2lLCaQC4Hwmdb/9rrjtPvf1+sSHP5A314KNmQStzCPYQvmltL6ANd9CxOVMOQoU6nseiDCa+rL9v bIyUoLeF/KpAt26TtehkEcowQzJ1pDHs1w4qqXYOWh8M6YuNtCLPNyhrM7ANLJGgIUbUE9NtKh8H tjescQ//Cmx6GvQbRCYFLApOGzVq/QgiJpjb9k7ASmwpc9YMJGoNUM2s3TYUizj14nF59ZlVYxSU 1XW/fJgdAPmhlcsKtNsjIUkchZx2P3Nv9b7UehgvMHrmWSkU6Hn+pWjFSu39MSSrU299ZKmRj/zm UJ6N7S0Iu7DYlvqAhynP84mey929yasY+H0JcScKJ8xAC7C6N2bBRcqalgfs+k0rnLA3zUrEtu+A d50Z6fHqzUe6VYIiXGe5A058TXMej9JaBdLxZ62FaZaQGMUjpKFcPmmT9MrX/k2tUdIGlj7a7G5R WDdpb2ujfywZtRJVjI2J2nXsnol72LPOu3WKVIIty1yNTswBhtYZzZv0e4TpndEyZnmwdfVrU4rC WDQ2wJyvhGyI6k3BSzLcvJ2r5hmyJ/6FfyWhunIpu+wzEs4mEUaEbShfuhD08Ar/BjiHSa8jsXNT 7sSPWkElwuNu/MdG5vHcp1MZfnApnF6+JEBRTDx5/7iLPbGvEqL6IRBnQiXyWwDNKJCVxpFDD/ew 9R9zJuJIIV6YjFz1Yxiqnmjq/KjkgYM0FjksgTH4X0lCBIgq+FpYwQ+wO7H2wGhpmskE8HDzxtCS 46KaheN/w3Ru6MQiLSIBKqIJcIyQy1AWPVVFTjIy27X/Y+FYJ4oCDMdM+09YCbMLIPf0TSbPUXFI mEVfLxiWJ1YSy5iJnhAoEZ3SL1jSzXXgnGSLofCZSbTt2Zrfe2DcrrMZQuYQlFyGzrCda1G2CAuN iiicqRLoLll4yNWI4by5HXYf09zTh7ECjWozZrQ7vwoYffiREeiiuvv++SfCMgeMQmgtZM0dSqiZ P95q2tZHzOMk9qWlWZowLethaGrh1/D4voNA+ik+VGcGMmQHUNx4r+8W5qfSsn7d8qj8YqD69Rfr 2nhTNh+Hm+tpM7xKGHjDaT/3NFT1AM0iFefXXfzQ1Ypwyd4YTJouh+RNCAxW4pNPSu6FqdKAmYFr /Es0JFa336xD/dAbwFzit8TZ4zUdjeOoLOPkY7Gb3TnaGUVaEw5DBXZ/N+XZ+vw2OGygNKaRcGVR thbtETEtd3sNX4t+kuD5yNdhdw+ic8PFEWXKfAIv+y3pSVrtylCEUEN5yb1TSaZlv+3V0+mXtq+/ x2A3y4X36Le6utgpn7pAB6hQXfcBy1uHXsSHiwAhLMZft6ZaAUGjz9Xm1Y1Sil//IypBHGYGLYUo +M199jsM9MJDSXfIcjJI4FpNOlCoQjscEOc0y4HUQE96V7twwOCtTOyHtg3gFaTcUmQcZZ/5JD+B KxketA5w8XphDvKXZFTh0MCnnfmDJDuVivNSNnYqn4SbO3vj6p/cN+sVn2/Liae+bIBXRdfHD4ZM PbKlEhzYehtQva+lRR6kX8mN5TjMhz9bKV/ILcQ2V8l2niktNVVfsCfeZGhEwgDcpuEx8fL59NE8 ekZAMhPLqD70ZxAr8X4A6dF2hpo/GKFhkTeAc68Q+hWBKwtBkD52x8qPdt+TZ5CfVnMtZGamnK7d /7cRQGYdHyAdNlZ4bWuaIJy8NZgci5+TKXloWcMPKMB5nQOxmoY/SU+un2FVylRtLmD4QCln51N4 oUYwaE933RJAhKQKMJ+LfnfBCF776AskPKf8v+m1dYYtonknz3zW0dRGKObaNuvtvQKeVGDliAhj AGXv2kdDHEfNEwxnKxqeP+AHV9FkOal9Z9y7tHq8JpN2ejXgZI1wi7RZDBInZgXvv1EOLK34ynCv wQr/HLTrHkyr1NnXQZ8h6VgKM4iZuy4OSrkyLKsUQ06ZV1/CMEvHVyh4MRTLViXdcyx0fAnJ0edc 6BgTAfGuus20DZB482o4ajiSvRpN8zciaxr0ncTH3EwXRK/2hbXiFcLgqNnOS8iDIqTvR8hkALmq QNEtsfaGMSgbRWm7P+cC5Bct2SeFMeGaUbzuApd+1PpJHAJCesTOqtNl6YBW1cktITnAReKRfU/W 3oRFel5bpDvc4mzahhNcjksImAnR3FuIzcHrcFL3xOogNgMMgGnP4dadYZMXOiCy7msycvZA1emI wppNIeVlpVg3cjrDvZupoGY6SQCLX7UzNSL7d1jHGH0SX12vjUx2GNFpeFzySG6WvmMejyxXWKKJ MeOuSWV8ui9ENV98V0UD2UFLO0pV/YrXx69oqUS7RUXs96/5D1I2RfW6VjuPgd94FkqNaLmpp8/Z 7sUwj9ZgSxa8kWm1E4OZNI7lk8/GeVLdyfIT1iAHqYxY2YZHs60xtm2u5B3jvbpJ+4J+/bD8gtC2 +WeiN+iHcGKXT7mGBFvlkKE01EEkl1a6rPIliXXQSYwrldEpRNwYzIZ/wgfLb7WA2dZXkcT5oQwX PC7aXvhucTPMLwHggqTB7Vpy3UBNJx0emTGKVmV0JMhs0rR1ZbiNsudu8ZT1NgLffMirOTXQfuFa CNL4wgPFdrlWc2GeFCnJxB7ap61PrrI17/GiYYJjBUR6DuZ9CQOJQdnCW5etXEb+cJIHpmpuq/dK 95KvB4+KU7L0UN99IW0i0vUpto6Pf1J1Tki3O1fprIYBfNKzW2RAD4I0DVo0NBbQc6NuB71wAail y3ZbkhyenDcWTVFdQxxFzyuVb9Q7O/6WUaQPAxdgwjx4EHxrTwzcQmi3as6Jo8+ejehrOoI8/Wab IquqzfSmk2RLVqsHy5zihyDhzEC9K0PEvRs5Q+hOzTXlBAkQLc+SlIclyxvR/QDe7I+jddS15O/P nZySahkcbFDGRGIx6jw6WXalMgQ8Ik5EswOqHBhWQRckV4yBeXovfW3hHq+c3sg3pRd/ihfz+cyU qi1PdvoCd9N30QmFs6QHgrJsiPWSlqA1G6urN9jfEsASOBOQWD9HdZ4UVm2dKPyUiKb413LO/0hq xsY2B2e/Sd6b6rCYlEtumcqbD+2A58e74GSLPm1XVadN0Kz6KxMEZ5tEbXpo6oziXEKFqOj/gMTy oQC2B7TvX8mCPr6dXdFw1YvsJ9mjPTGAUbHEtsVJ4rV/yTlGRXpxDQd8ZLRRoY22a8fJL7uyKUHF +3xV7FgvvLFM/L/ELABWo9tgjH0STefKv+06TWWxTICAJ4wRbpfKaPrlEB34RBboe93quPEjmzwJ M7/Z+pKj7qSYAsXSaIJAyyw8J2WZEp8SpFY1VMpgqXC4gHXzoij49HCDVFfrbAoZ2QEWRNrcnXep EEk13O5rDE3BH5LNqClPXf23VOv2qF1iI3Fimu4e73qmWGYoVaS9KDrVuJm9ugPu3LfDX/x5ZQkZ Yuqz5Nr4YXkxOAukka9qfkPex37IzQHa+duy3vHj9tVaGgwLMRK4L9B7ky8dwbVboLce8Jn00/iM Co3UCDoUxZEuTHMTGRyNXmnL815zN0kyiMmsFuiMYDhcnLcTul2GrnKroSStiPjpxrJozrE5TW2c RVW0qWlvMBpeiWtSjQ73iKmMmHvqpHXT1J3uY3TElrbGN3jvGI3gG+NlM4+A9EQXSF0trO4MRwvM TAD8GS7co03j0rOMKnYEPcAkobH4/3erfh0ZVkqFM4fxoN/NJuOC/N/VT0KV790I2BmvKfZfL+RW ubwTVxEPKA8kSD4HZFCbwub7WQsOfDr9cywYbKX8+28VvwZ2w0DOiLbi5WUWG751YR8dmm1RuT1H qUD0MEXujKScsNBtvhnlGazl//Q8j9Yu2ZS8be9LY4bAYz99VW/wuwnFN5jxo87a+FhpYn6l59P+ g68b3NTf2uWXEwRgpYQwf+e+6igEXHxqyxuLX9pdBG+ar1tKNHNyY8KtAxgo1fWT8u0OEPxSAGtE wy7itrCWppLEDOkG2sInOhQv4ppBBIcbmLExoLYh6WT414oilWi2+CnDTPU77TshLx+pBTJWa92L Nfc68wXXnZEP0BibQr5BuQQfPRPEVcUi/OvjmfhXRl+OghP8/eylHpv1fEG0qhIvodp97sD4I1LO ky++bCuQqQeOCF3zALKmqddzwXNYdMmCHIbTHUhdkWMvR3lo/hfLRwSCmCmPWlBJKt1dLtV2VoF6 ZEhoA8/HExFCSX2IsT6n8Jc4VMxoZ6k69d7+YB1Wqj+nvC1P1U3uMj4tchYkpcSCmuPbj8GJvhd1 1fshGbB0iOkSsEo4uM2FzAhDHfZ7ljuDrAUOa5CELNVE6/MASncosGI3v1JfFYERZm4Me3dil4lC GY2mYiyHrbqYYIFnulxiOa4QgR1AuJLbos6TjI8LI2nffF63bENXTPjBxPYPLSkRETYyXeqhJ7rb 5uW4nMLNSs0mhe8NRhET6QcIuy4y8x5/td7YBbYCnCn+S4mNwNNdzib3i/lQs3n6KHpnb8q76Tfx ZFuCIOGfVq05DR4qZafR2h34bVEX6iNKUrWL6Z+jBpBEkdQQFYY6O1cm40GHGZ0f86+qdLkw3KGW KaS/XzvjI5OIZMBmicBkLPX8RldtHY2CqG+ODu5V0jaqbij9ABADuRlslgurNsUqW/5+z7ylatP4 aAgGpKTEPtScYRyvrMStSVOQhA7/QGJxRqy4ZfFLAN/joFv/o58KYbXNjDuZv5KX9vkIWM3Jpbzz Y2H5Y/6U5eHuMyQiPMZ6779mUgJ1be2X182mmdEJWdqrl7+62wvkkGIyQs/GWY4YhfDYxNUz15Bm WvfHmM61oiGawG7oVJbPa4ziyWfv+uynRjqHp4fVM0Tnl0pMleEPvtnTNJViBsojYOje7ch/PgiI 7VfvWQ8fdaLlGC+VqVNUM4qtc0abatiHMLTT1y73YddhhyBNkHEa8+0KgFPSIbLBYSWxRk3bdbDt 1xRD2Wro62A0o9mxEAwsdtf7UQWnJ58VAJUzTbS9/yaWLQjgjLx4GTtk3zIcTTSgGFnqg040QhRV Yn7RdIWFVPUJUR7DMqNzk01b5NfdbkpbOWZ14v+BeCE/ShoDRx24e7fgyYU8D+MKNiPRUK2Qu+X1 kwv/eItb2b+AhRzV9hujIu93nc+j/iSMKlzmPQZsUMZ0ByLgwG4jSDHwCWEJIu91cpj3eHydrmGS 9ANQY99/frUH8O8VYhZ0yUu96mdysPPNZTE44YN1q1T5CqnBnlj3aTohK1yXwZIDpDvMd5lmdYT7 DSKt3DyvGHREPMawtWCU7q2Xvhd81HJSarKS8TgFzMvXfy2dqVFMeNOa3QQj11ePPEis2JWL0Ebv /iroSYfPUhXgF6q9vH56QvcyJ84GIwozi6lQEKXCDtaYIgt3qgaZe6WEin8h4oyNABRQu2uEAWrW sKU0lATWhpmaU2ker5FwcIxOi6EQ+23EYwfE3j/QaIV9hFoWAveoynukFL3egj4dPg4d9IHR8DG8 zMD/Q7/MLpZtY+mOIILKLQb5keQFBfD4zo6DS5n/sAc9S2OPJAfIQMOX/h5dYYVoK+xasfMqGvmc ORLgKoVJDC5DNGRlKWGqsu9TjY98WT25kEVG9WopjvYgYn5SOcuaNu8Ndu4TRfY2ravGngsL5ucV O0KuJSfBxYk7EcL9hfJOzvusLhIE4FoSGTAgyBYZ89oudYEOStJ7JkjP/BvWXxctzqa6xFS5U759 R/BOrAfRe1+q9/h+d4h5YksLH8xbJ5tLpAbYvj8Gfz4itpknQPhKV4KVjOm3c/7EzmN/tUiCYDKU ye2vxDdXu/4I5uwGp4Vdcqy9Se734zXnXWJw6vg0/9OOymNnBq5oeUrKD4JjBwgyW89X1zsOVKhq i8bTjgpclHTLSmRhT+CEcvpEXNyPn3fUHB+vSTWYIVKoIRnrTTJIEXKBEVSrmeaEFtc1pe36CyrG FzSO54OlusN+9IteONV8senEEI04r9ad12YbKsFJQOiFXFADkHnzZ4CwJtHjAAlnsyoHBVv4u1zS 5gLfAZNGXXdXw0gaBH3ZXRpaG35H5WXxvwVCKEp4uskMujzcQcJ+YvFF84DHCOf8PHPSBYbeHBPj WuRZpcMg8TJAzVkGZsGv2V95C2w4lSUOFQFX7/UOXS7qtj8W3oZ0MGcRSA8gjloJA88i1ZZhTYR9 EGnylnYpIhFHLoUPNY3I2rhZMtbLtcze8tJEcGzTNX7NkJDL/vFm86wtr15TNajMjr9w1PV+SqOo ocFg+0bG3KvlCv2mrwxBkBn1P/kkGTDcrvyWVi2TUxVZlIaBub8fjqDezjNGwTt9Ni8KtvqZXUqu 9P2/GpdBrBb6hetL/HSVf0bZeHQxRr5wpCeYNw4yOB2dpzRW0RIdnhYFT29gjhvEMwYeP2dq23VO vtdFxe0UPypByMPUOMThixk73gKRPihW0ZdAYU9XTc9iRry9/z9N+GMsbpJryCO45F/MVvDiNMLY AEStKzttihFcWLEPG2q3ntd9FqCMOAsdvVZc7RNIyuzgWdZSsaILmj6RSPMWIPHA8dygFEBLluZD 2NA6EKptAJvGjUFOkgdvFr8lxn3SPNiZ/BysGWKjAXmAlIZXVMrjO5JQmJfosaLkYw5Nv9HZdmQ2 +MzVo2F3q4hEsvEgdd50om9Zea5QHbkjgk9yIQuNrKdycKpKCrqkMHC0X1BWJmeFSbn/hygAPYAr 0yO7awOBKzezcMalB5OkB7ukIS/r0AkPJLgKocFj0/jzkmwwUaCG5GWmhUHN06f8h9G9Dmk+jd1f 4mAHTaWIwIer+8c842SmN3bCEtOlNhvzNKz1XT51MAs9fBCVuhkVGPG/sNh/FgYSDsVcSAUIa4W8 mGj9YyVh8koVRnApML0cF3rP09SVkHsc9RSczbKxlLZNtF5oyikLWkvQyezM95Q+FdZNMHawaUIQ 88e0yAW5w/Zx2BdPpc1dRsyu6m/ZhwoWDwYapVb8t5dmNAfyKqdxmrA9nome2EheHS1/HE9q0ZDi r0SQTktkncP/ZYqSYP4Mz2p4S2ReDajMGe2E+De//GW7+gXsfxIA0dq9Cri04CWuKulJgpVhwVSD 6vpF9H0fuqBXAKiH4loAWUv2vRPJf6qPhHDT/aZ8DI1Sz4kjDRmvr/P9qRHZb5sD3wcwEYhvXEaH t/3g3ASye/iVRUBGjkvXE/l8slO6Li/kV6He0dMMW0YvwutoK1E/wc/oIM2Jy/NIkuf5KuKxa79q QDh0PQEPBqb8I5OaG06O//tsyNI7LLnM8XwV8oy9/X02ro+VL7jsvBvLGu+K+yRib+JQTE4CwJO1 Yh2YneLpkipb2S1LrA7q8KJTr6aNNKaGcBaUxyH98nrpdjZA3nrv4aDVC+rkX0DH+zWWUdJlLzYw m6N4ZoY1p0CKeY3XnMxI5XaDiz7MTvrbjoHVMg55FIVMPY2/TuJ8hh3jAIS6NfhCkjW/z8FauOY8 5Z0Qe3lxI7aBPELJisqbOucEoCQmfK7P0QTqOB5k0B8cJiDaeMM1L3BpQkne9a1M08YVEtIuBICR 6mZican3uJv3uyg2NFY7uxSTkDbeChin7kM+E3llvCZ8dN9NSLd8VGuw36agHqgD/aSpsq/VELR8 9WMY1qQYDqDtnZUNEvNqBFnyv6Qo8ZdLlxrPjGMdDTjY/CfuATSWJJeZCTu3qLJ7uWdzD6Wed9Rz Vcijto5dwFckGSyl/sR0HgH42MnMSJyYW2MvPDYgLGytYMTc8/BRi/y0oMlfK6gTT6BjDmkMl09f cStfirvDJMiPpvZ8SjWTYID+efe3VLMIeRdyoneQXl+cGzg2aXGLyfHlUtAA4BuafAPTzXwyy+FT DAOoeAyQJnHItjCi7fFh7o+z6cADI6kHDDYgMlS4aed1m71qyPNGz8QuWfy9sGJMswCHNDpMHbId 0AxrPHhB96FOWKmG0RYGvrC1ZKI/pgflZ0v3SB/UvnhiEa456mlHcUgYDy27POvYqO6/EUkl2L1J Zyj9Ww2YgcNDUq5DJmMfobvVNKKakHGD83UeMHR0lCnUZ14wv0c0J3eB+yAC3nuH2GtzRtFy+qdN JKnO5FzHCv6XI3egAUWKd7MxNSmTgL4qK2axVo88GwW4XE7mKRhbA8B/nhyB6+ee5vdf/LWTIdwe 2cck++abauXYm1K1LIKap7buv4aog7YtP5ijn9pJzkvMzjmwF6NLNTqCCtl9CuRhwFtgb53oKspD hof9yGNvoZDuSC5u9snSSqjM/g6C+0L1cV9tuPoQkS47mFcY88CvtpUwiKCqTLMIhPgi/whIrdDP ffTw1G3bGKkBehyXuu95JQFu+gFKVl5s5r4ibhmSXIC1LeSY5e4L+SZD5GzrbMsVsIaytBUuuXoi IrlXWg37LE3buGja65QHGqjK/oJLHdSNGVLM421hmQR0J78tEvwN1z/Z3BZpVkRT7rhGh0w0+Ogq K24R17wIOINLoMk5l0+ExYLzIRmlZlPiR4fl9+cFRGt41LwuBwaoF0LACeZyn9RnjzW3oL8nuf2U QpfPFOhGkpAVGivUrtyta5KYlO0QxbqgLloV2Qjigu5jvWqBLR31tlsAhb1oLPm4wCIUizjA7n/J N8pM4lsHvI9XT+IO5NemMW+NwbUxxdw965YBZ+3zfFoEBTOQkBeE5EaKjQwAab2IhONT+jHtsXg5 FBn1x4cXqXxEsGABiYFz5/lqMMG7n1uYoXt4VT6o/ykMNaKVnD52phmZbI586HinJ/SGHL8yzB/1 bW9N3IipBk3CwxnobzN136cXjQQ3EvM21lQpbmj3Lf8izJFtTJnoXCnKPS0exwaeA6yLNTQx0WxA o7FHJLtT/Ne1uiHHY33+/dSrmr/3n45cpLxkW3SkcpdvmuAF2YineUt1xHeENRnZ4hu0+jvBclDu f2KhhWWcTCIkGNQnRtq/nLS3756vVxMarzQHDLJgRTztnAiZ1zePmlxv2GjRuYBVmVOtUBm4n1Kr o2ICd0HUJ0MndJQOMQiHra6goch9xvx1BijN9/zo136pY7hEPBFp+chzJkmp8j2YXwOkXzt/RLCt yDj+Xn7HC0dze8fGbyt8up8UONiZNWjjgoX39oVbidSFziLgKBWJJ/KRuFANDX42oHS9ycGI7rN+ 10PValwlkAuJrNXe2icEgvtQSID/O6t6YVbk+R7baSF6ROL3Skvs70rm4CB5F82MwUwceTSzVUZm Ks44qQPnearMK+aoB7SsxmnVqfDZjMgSthMadcmhR7cD7CRp/sBGqwV+HcanD5ttXomSd45ablbI HqijL2j0MlI6llayylRV5C4P80ZgC5jwwFEjVyArIKj7Frt8GhQ7/uXmWK/zhR9ubyd3mhPIE3tB lgwZlmqDbVB11G+04trNQfs6U4dB5fPKprp/7k17/QK+Ws/EHC49JK2U0vhkf9mIi0Q2pGX1KxZt XxvhO0d8JfL2vbTMfI0EVBhfRw1V3lx6JbydrEtmaNvZ/sjlKxI/v3P+bHsw7NazuuRPMafyx253 t+2Bd7sb7pca6RaNWr/tw9G+s6+7BNsHXkHH9cJH0VZbUVk3UJPp2cHkNad8caohKkImVOuk/dqi Bj0HWB1ipJNkWTc4AXhIHKtypW6CwkefFURkrZU+g4MQj4CirHRUXj9aNI1AgUudgl/2RZXeD+YE zAv1prdLbT43B03ziylK3p7WHXAwacmfWpOjvVOku7yt5fN0bcI2YE9asANZ1DX3St29tvlc+qts 5o0P2vzk4Whqjc0EWRsgMVSVh3jXnpq/f7RbwUJuDaLRvyCVSiwELHgVetmoMK2McEQk7RwC7W53 R+qYqyNiQfnxZ1Xip73RsdI2oiCRj8McFsHkNqgasg+/arMF5mupsgp7XcBH5uu8jSwiQKRdzT0k T+7T7g4ssNfUTmQtyHNxkL5qR+IStM0XdHsZVZTK+kHVod9qN/gDLfkePA0LpZKTDkKdSxu7IM2M nBSNxnQweNxJq21m6l8190EbYgdrs0VasQ21zuvv59bMkx11OKzdyfYuuILxvnjd7pBnEs3RMMhv FTwHp7VIbGjHwL4U7Xo829AM0L/GzEa7WMyLxXVfnw7pZSRIejcit/DEy0J6SX8mM+dQK+ZGEXFm RNWbbbEuqXcbZawLOO2/Po6YHYTb1IVm02lQkVvAn7vFYqNzRduCzlM7X+lE5OI+N8qF9yhL7w8B YIzwisJykOEEbJilqTqnv32BRzroRDXZS2BAuAJ1iPu73oSrWmO4VIeYXxPl9A8/g5UmYixEpRpy AUdX/l+1QIKTTUXwHrF9EE3UaHkeV1TmX4yWXSYJlvFmrQB9FpXbeqwKH+6jP3xSiEHGOM0yQwpv OF+3Xnuslds9J0cc08jkn4mlh1xOZ0kwWUn4/cpaqhxV7gf4AqNYCspYAuYqyas/3yk0jh0wG8bU mIQn4D5AQsmjLLQcSgcgXrnKRP5KzS+Mw8zauYboerCLi1QgLvTbCWvjzySWNMstk7ZTeV1X52Ym J6SI5fE7VCfhxQFNsNgRuInbtFm1MkdVcr8w6YoEOagSvrkraIbdTulF/a3vQmXOmeXN7PDGqiEx 18kKOK2bvgzdTflRXiK9HINPMJoU8AArHnsOFgpfmpDXUYO0iRRRHeJmQN0NuCB0bhoVtb4ov63w 0+L2LvWzK50DT4zr0iNX5m2gPiuYEA03kZFRAHAdSLACTu83NRMerHP4NuOPGOba6URP7gIzJwPy Gw+4oAwRN5VHn1lhBz+w7SKs2AtnoH4aopN0aqsuQe6dD4dAqWIkfeMfTr99Jm9x06cjYFjvPH0D A33sFWdnfV3oehgU3vWM6gHcTfK9DP8Dn1vgrU9WSoLB4wYa80sKLpp1/XSViOMaxctZSXkcFhFQ qqek9WRtczyQLFgLrivuFiqKN8p+C4ayrXMo2eMkGCkH7h3N4nAtxsCnq0NwJcBUAj5eBtw6QUpg pF6LJC2PtDISmD4jn2UnufKUsWudwREVmxpB0X75NHdjAKveGNuPymPlolH1IKAEZcXuJQpFpcKY zuT2W50ZaHYozU+NbXgleRY/s/1adQUEB05JdaVyzkbVC7V3C3+2ZgQKKLvKKcjsLYGP/kDihi2e IikX1i1xTwj3IJILVbOOEFIIcWUPfgBmI8F4jOegG3YhnvQAalzivT2z00cMJrHlxTM2W90hbKX5 JF4YCJCIA42Icul8nzzljAp5c7Qfs88uHQJeC/qtK9sLBNO4g7bTjW+ZrxHb0Wly5hVPjH0S45ZM 0PSzvAwvCD4pgbzxZL4PDQ3hTmjOpInNYcoayPU4Y3odaRh5zWlXm7RC34fMStKRbRxVy7zdntEo dUh42l80HZ08ZWqa//OFhVM87UkAA4fHU9qz4dV/6H459xZi67VXgi3K0oTKJPoR3N8jaOUPLr7+ rM3tq9YUUUPQ/bFyBfmjfDQRPuk7aGaUb/lcPApDIEH4Z5/WXHzBjwlhDRkLDS0DYuD+JC+r3/6J P1LHRN/pJhvP7cFg3hB7I7gxX1fFjn5ZgS6HQVs/aZhbI/xNFeGswPT/nRI6WiSLCUzXk2Xab9iz Svo4t1sEoDwJR2Fkm4DOC8oFOwE2kVz8TQeN1FqQ+F9EEIEVUp7+aPZJboO9UCtCJMY/nOuOdSTL 9EJ5uDd/YEYlDMwZtaq7DSnZxwaHteywwHbG+C9Yj3uzPQIS4/viGMyS2x3AL/L9u0R3sIpN6cBG lCkHU0aXBItJPPJBSJpJjhkbAtuuzt71NagrJiSSG+QunpHBwaU6fyEHYOUgKyEgi5f7WR5l721a f6tdOzPnYd3UR+eBKgJzbcsT1bixY/npOQKY251nwR9QO1Q1u4MbYOMjR/1c0zfyRJym9TcHBoyS T9JeJk8N8mOVbFFXZbvEt2BLtX5bJynrCkIePlxIwarwscNtnt8sFbaInJcm+j/bad1gNv2E/f3e tas84Qm3TM/hGJWCV8rrGArKmFvE+6AybFeoUYiS48vM4z1vE7UFaC00S8jl8WHDJSXILgRG08sV feJOLg6oOTXOuuW8XaTZHSjJLcDXvXSUZo/2JhpLmZMyALNhXLouxi8+tIK/WRc5hS0AQ9lQCVIZ Lt+Rug4YoWld6wy/lt2u1RmjMxgvy9if9hoOOa0+37GPCMaCmeed/U5XYrhMBNXqCODL5uDHdusH Lpl7ltbkJ9/LQy40fZFphrzzNXJ6PhvaAvXqyuXi0sxPDkgzzu52Q7e1HpYkW9EJ+eHS7aN/VMt7 IuCLX2P957q81bzupqVYP+aaMEHZxxUUpncqRciG9sfZvVzp3OLHj1LK6GENz87u33caYGpvdYYU Q6J7AmQws0sGuu1D0uYY25qUhO6k/SYXPmyoAkDkmFRVhtpW3pT6fh+C08egpszPGNRe7wVG/uvb 932vRsdaAm5IOG+w1Ie/BgRu09vYFccz2EeYEsxne6Yw9reRqE23zobz3sxSGjxTS1wkKfKqnSqO q6yraEK41q6NIzydOPrK2/qXzT0rSaVnfxcRNqLgGVtwi8TY9S/ZM7sE6hQ5IPgALMIEN2oVeNC0 CVJOwRQMiz3Q71GFIbamhhY4E1w4Pp0VjHnXr3YhBfRKshsw4iB60e6t5u4EEvwihQd3zn0Rrer2 n758Bw1vpe4t4T5C+hWkoVY5BbzD1qzQ41inHLqAVjL2usUYeV/OxAk5ihodBRYSV8LPfuJR+Q85 GaWmjqQJqdnFNDnY1WkjXbt9WIp9iM9fu4MiXI9mfRIx16qG/eOhffu+AS+sAPwrPRoZarK0PdDj x3TDpmIsrR2wf34IdC2fsR3++gqfVcqI/ajVIzinKBttp2secdXERmLBcAyXXH/0fT34NBZoFGcV qG8O9nLM+ooFBtXQpwOpMPSiJ1buMeg78pOhJhGesb32GzFO8D6w2RP4ymk4r2mrE5qGZm1gvJWr lsvEZJKVeocCFnUGMukJsglMTiQYmVPsYGoDVpe3txbZK94A2o7rmprz4E7IYI917R10W4Plo0Ks uXX7Jc/jtoLWU0VSnDaKzZYl0PYKB5kLzO2iKi07/NoTB3m6bBQb3jzayJldN0C6RjRQf8u1gOjp f2eoWJDS1Zsr9WP51pqjwu7d/rspiC4fhmU9Zais0yXHkkZ6eeQJTtX1H+HUnZ9+9Xmg4Xh7CdhN gZVLIxcRvES78xGfrIeFamwgsJ+NeCzGW4G+hGbNRdvAyWvY4Nxb2XQ7SmBtksBmidf+abQtgfzq mzxj3+hLy4YM0x1jNc/VdCxaDBJNbmUxkllG7ly920UPGVfNgKdzHNw5pryv0gx+pmTwGH43SN0I khFKq9T4kC3ItxPDGEYP428CBu/yLDeTUs4Pn8ii6y5TImvNMBxDfytjbXqW6XbE31MaNIAduni5 tkURI8HD1T62rMY0twe9JWo7oXkaYDk/isHcWhV5SgSW+JgNKPtxI6Bt9NatUz4J1JnNaoA4KL2B vVTKmL4uvcf2+oj0E+zzCbxN1exrlnco3CiEJRbMF0kQAzCrJUk2oJYT/bVYXmqufZtQEAC9ZClV 7OQPYjiJR5YIWxHmL+bEgFNSBm69l6z0a/3fJLyNOz4Yl0W/1pipQiJDhf/LP1/kI8s37CTnju5f wtTRJkhC8ASjU41yaFCy6h0ALOsPaTPHiRHqfK+A99g30MuMAQOrbQln45GU+Ey7/K1CKMaBYd9o FLwSggcoXQmUmQ3esrui0oSQTA/OsuP46914jqipSoZpr8iusRX7t40Bsz5XEdZ3PU2ys/0Z8S9b VXvh0y5znzYXyL+GWfnsL745Wd43/dRjVgxl6RLl+KG7RhrwODDnTx+KtWf6GM2T7MvQQ9ijeaRV UyegP8sZNKfVlL/Qop2TWSTrTbMwbI58e9P9XJeDPxCK30x2vYVJOlAcaMDT7R7Mmr3NCuW8Q/g7 wA2zUVOIGizK80/WQgmHJYq2hpao07uiBPPdUv++zd7tAN8+xma4Vd2Ak38xh2wc8ivfV4w3hZRa JyyLexS/WF7vSt3yZCpDGP1YBsMddsYkuzl5Hegcd2L3XN+KeOQMzBELO8R2dLARUfpoB80YlZ8m oRA7+rkRRZ1Zx+KGhniY69BJEKt0gdn3ckeoMvLGsTnno8hBzgpfwyremyqT9lwOsX4JyCiXTu+4 TtufJyR/gLBspMpQ4PUEORCxW7s81lCkBBNi3bDsrhO2pqyF/OaUWnnXthBYsVAtP7o2OyohWIO3 kH/usf5YpZBLSChwieTdeFaSxjcr8TMXgTzN8jKnpYA/j98Aeo6YLa2lnanmcGg7asRM43v3ZG7B ysCF5MElJ6rIMTw+qYqPcMbUrTObVL+FECHhYpxISgpfKxRnJhVRsCcUBs1Dmp32BgHmxTgsrvbB giNy67V2useZyRGO6cnW8HjeblRIe39bAyzOHDYqOte8QuEh7OIgDaaDjR2ths1mQngMtPYSBat3 lXIlUd6zRCXqQrSgPtAVHYiV7Sn1NoSWHpbLptGevSFiF0EVkTGxj6M5wqHyt8eC7dTA53IT6NCt amBxEFvPEKcQhxrpQcs0PGItmWIvA3wkAcy/ktxLX3KUPQ0zwWz1SpZRjYpxEW1XsATQ3+EJwghR aQXoqWtZnCPJHLJ8Pw0wJM8Gu8ANy9c+UmmmpAVoNm7IKrO9OISAQ9rPaMSaa/N+M5RWiv50vpbn yH3r5AJR0bJ5+CJXjPp0YPHoIHTrOok6251GJKK3WdBo3NGJvMuBiNSG9BH/RZ1GVICrW7hTDCGu yJDM5Uaos/rsRwua7TnJUiDqEZ+vjajCYnqs9EEBc6Io7iTHwZctxQXdZCMVB1uVNMeW44sQloqY vIeVqfA7drG2Hi7CjQysVP+FkudfZcxkTBaDaRihYjana5rUcX9dI7C76KjUJNrvcjWYdMZKqT4B 3jnlrH64Uc/LA65wzOKsnmA02HhaQeXM84VRBBe5l95zVT59UHlzZY78GzMA6u3NsFwYQw0oEtWj yY0ea0NaDt04WlSMRrqvF2k/xgXnx2dmlq1gFuPJDiQN2gbwHKkekC2y2ySG1VQaewigjm07ePlT pbT10oO7DIRyUSnDhmxn4B3SEBAaVQBnvL/e8hBuU1NYt9t40/zi2JNvQLVDgoKrRvRgvYxueAGS 8bTv6vgBBUz5zV8O6/RGeOiSo8UNHi0FMB87j/OH1tMD80XXlMwIQ2bvU1n9VCIRqPnnAUanUubH o/XrrGZB2iPFkEUEyDmWTp4i1p1NiFPUuxSSrTJsdObzoPXcmH5YYp2J7qWCNOJbzOsouY0P1u8Z PVDmGsiIXejZ3TCPyGNND6K0gjvPuUTd93i+VhU+fpMsB0Y8qo9BME12lq2+p+Km+bm4K2/5nKsS iT2ylb+Y1pySjrhQ3SDyFanG8Pr2s9S2pxRH+D7zhspg9pilpDnf1UEVmwEwLYEg34LABQDxeD5t ibVSQW+aeRqDs6xC414OTWKGnvWxUGSIuaVxODylM/bg/I84C1w3R+kMdVDyorSQ9uLOxDIV12eu Z8V/Lza1WyIlGyXpRsVbM1eOAOy7qJZL545Q0CLf7PsaWjSiQ52NZmoq87TBNaEIPAm7T3zR0STi GGokX8XEzsIOTcwxnBLKYLEQCFpJyUisFSL7nURDEUixzAz2PBAVIpyegauCZsDTHsqXWGr7Dlif Zr9mdGQyXLyuqXtXApxWFPPBppjYXff9DWPUhhVhNlTILTG6Xh8KjgsjYPK1TS7MnFSZJL/gpmiv Nu17x8hfOfmoi/elt+Lal1h5TUpYo9/P6y+QeP7qVyuLjou03w2Kge42/HE0TcDPUHoQbk0SPgCf 6LPNgdlI7Al7PGzkQFOUNGGxDVoUBC/t2vU6Gas3kBToQWgCHo+y81OQmY35LBwRZhbbJYEPnOLb xbJh9RBCpA4anrgaySDSbJVXh29B5AUFl7rbjvYJby3WeCcuAkwZyWa8VYn1RquKCyobufU8//4c eE6LTPz756AHgo0X3ek8rQV/nNndKW5s8x22NsUpeo29/8L8UZQ9iAy8eY9GQTkLrW8eb+7zvJ3N d1Z8t2AH2wvq4TLXyYIz0zBqayFV0S6VRkPS8C9Q4J6dF37hRvI7qSN1uJkNtqwgKB7TPdLwmsy2 pPPeD01Ulf06SxPPHBhWxmKes+0QuSmx+xzMXRsueW1P+f5eTfEOKJ3b/12QSOZP++3w51777cU8 yruEKDFt+L1a8lwM9WPbG/mAuwLGti18q2fdNqtF5zO8nYHtafG2fsYZ4Cv/5fADQIA20+LC67gr fVjkxHm4k2lQMPLZO2uabnvsnmLIhmAIjEZOerUbE7kmO9XOq+8jY0STZXYOXReISYW6nqlcoNmm QH5VG6Gjt9IrlmqoTOADVQeLHkYIHqQU8r6lmOYnAI5+lDGzWWLgIgoxMDes/zwAv51Ace3R2r8A yWDQWVwk1/LNRwRQELJ1EoebMfc2rho7Y7d8ajv06fq92/l8tcsT+tj8hbdqIHkczWjlj59yNZk1 2MdCSBm2xeONJzhPhs3rHHq5EDp1zWWGuBAMbGM8TuRqsDWsldUwVS1EeIaSOnSxvTaboqr0PkjS 8If90rClnhZb53hdR0XQC3+BABWuFk1HxvwWeBsyxVZxEljLBivJtKmmpvfFI35+jYOfzFx2zw9x g37oJaQ3GAk8ukn4BpWAZb18Ia1EzJK3YFl+th9bab460BYmlJXEJI63H8+1LvTqW4yjulO0Pme6 ue7PeMg/mSoCe1bZvWgPaeEXr/6gqjA95no95BtMwXetG4GCVwVGd77WDg74p3nz3vpQ1NDFd4Kl 4mft0PU40MhVIvaeGdCvBiVH+Wy9o3D0MtKvcK2wK63ZOCp9xxZyYTjtDaQjgvs69jGBBWwf+/yO 9wHEbLaASAL0SiF7gjAHUzoupJlFbOlN810xVI6Px8E4Csj5w27aQiHLbYB1c2SN3S02o6OJZgBy DvEYliULis2s5IiIKtJ9tkYm4s0iglXegyP0dHdPAWiiAmTCRxT7FnYaVEuTTBIM+5LnoRwu2BWn kruWKIZAk8wEOkyNWkhb6u0+y3laTgsDvx4xh4QMpDzGKeXk8uuGaWzddIihKm5+NtJOT230vKBj M/Yv5qUxb4a6NZkFiFzW72pXwkGf2ntQb+ETKzf9G8OhNDSlKEiVNWmCdKzGUOeUKaryMQs0b2eS HAwSjzGYMzb4vSaXyoLJ4rQoJ24UUtnS3eVEkV1Xym0MgFyrfwMXXhAttsYSYYZxlIOUUUMqvMdS cCAEq4QLs0gjfVGq9WN//bqu+nLUMp5mql+KpRR25qYu5J9JqNHKQBOZoBlpDW5lTibEbR2ANvoX v5RO6LQC/YUGAdlivaIBHTCxipAehNtXPQPkqpoPDqNltqX5bHJ4bX/7Qfy2EdGcGQ0pusK9Hfgb hvYwdrt010IeOniSrlKdA3YDUbUM2jA6H7BPcPaN5IPS/ft1W5oNBcq/Mk3TuAexN3pcPFcBcsw5 nVTT+wCmh4I+89Yg1N0CPhOIqC08RFqYo6PmIsv7Soyzq6krTJSyqPs81lBJYQ7aei/9rqfvyTYk icBDEHzzoPJZSg70NoMDBk3WeCvF8YvUgC+ty3vNph0SRMt2zkmpjRKxwIfh8HSnGIoH8SpJDQdO /dOqoceJIAIIM9b0f52fkdY9pPeN+90rubQE3uQ2WykAmTD18kGN27fkwAeGzzX7A8Bk8BIezsK6 BSAsXT5tcEk4ey/Nb5OF4ioO0Wt/vwiLct2oCoWEuDRLn8Xe0vL6aUMv+rudMgsZC5tDH6Xbt8B2 FwrNP/GVOnpxZ8i1fdRRyMDLsSkc78LskNwXy4Kzzqh0qnKN1O2b26EpauKUz+aM7xTsJLCF52IR a70J6BPABHG3Q8XxQl5dItyXi+EMiWZN2t/yvqCMFZUu3FJ0L2i6W/tJa3NvnOVrmBNm0bI7Ubzs oeQDkqEoKG1s1e3gGOkbfJ81TBen+ZClf5Zcf5lSi57PGQDuVTpqtnVLKU18++67ujY4GQOXP7SY AvppcoNJRLcN7DnCglrJTOGEze/i8jgDwa2N3OyDW3IGwlY6zljsyAXQvo3g5EGRMcRGMz36Tqri w2UYb5p5g1pAfkLN271xL/T10RKv01STNaCl2ZTaiOldxslOYj08+MYb2XKKdK1+lx6dthnkuBFP FHIMc57J0oZ6o2/A9p9nXcdEtKT750KYUelVX9+UHaNPyplVCq3KrfG8rRonWVeN6oK0L6qLDlGQ 2/klUFJXJIIOgKLsoSL6iMaGHWZCr46YjXz1ryUwdNbSmTIyFyGx3ZMSq8TkD+rkff7Op7FmMsUT /2Q4PbVFZ3SLnmGEtFQRwsCfP2gn0w6c3nDq2VlYHh/SHIsHrVMNfr6pGO2rG67eUU+of39rIHaX gRuOr02EQ8imUO6Buzr3yMWHDijRkJRmwViCMdKrW1pKpfjTKcTWCqgLB7Bbr6XSsa08cl8EMtDl e35x2t/+4oTZ64iOvI95eOYVKKEeRqlbaSGu1L1I9Zw4s5OdhdRn/KhbR4SLNq/YjfGOAa1AfHde 1PHQuP4xY3H3neZYlYdLaeL4GyQB1kwSPgybK23MzbfFNpODIMlZzN/qFZHTfe2H7kowba83oBjc FTLTlH5+DYUm9hVkq2GL/6K98vQy9M+NBQRUjQssI1XOSRbTgn9xBasZSq3KI3LWyb9tUaPrbqFd TKMDovIAZfCFSqfIQpx+PTPbCJ7tbnNkmPxz2tXxP3vpc9Jrw2ivCnMjhT2HFuxvdGLY0nj9+Chq s1DFTJGxrYeIHErjNxwBDhXAMSVpANFTEwPOBXtPKwoPg71v0Q1pQDFFnkY2K7GX07Pd+UuDYQGg TJh7vrU6SZD68REVSMsWZEFWQj/ziKdLKKC0RlbXlTerapA/8dKzRp//MQj2r0d+hGWgX+PH08Vc va+KSF2JPmvguvyJ1LObqLPqS4YS/R3R56VcvqWfEoBshrPR/KE4l+bt1CVvzalcCAIppLs4JXhU qgzyke1+A5GB/cJSevIISraW/PEaBbXwjCcT/2xXKjblFZMDE1d+PdNM+w2RRWgBMWiN4EXJLR2F Cny02+vzHExhR8V7NvuCrU8rESLRFagOZIV7pycjjxCn9VuUgmKX7e6TOyiL0sNW42czUWbpyThq c5pNal/s583oRS5bzvVBKaUna2UWI8++EoX1Ktir4KoJFr9oMhLLMmnFQl7bdMZbWldIrz+BGxex bkxJeHE66dWZQTfXtkbwnT++XVsTTn48KxRPYssQbhprERpo+yIcvi1odO6IClJQ0/JpYmikPapO npcomuGCtQDJqpdjvheBGvpGMzV04YTSlK/ONa569r/21mT9tCBMMVO5cbyD3UszDnctlMAYXUbx 6TVfckwB1PMekj2Rxr/6AXfa7cJM66IHYmHrgBKkGEHCmQ/bbl93g6WWeAyx9seK2/fhwSWZX+Oh OGu/QWUb2sOd4sDfZiyqd21x1A4cW6ic/oikjypDQqHxIPmDZAxeWyhBcgt205hbgLRuWq43Vj77 D0QMhDUuAzgGuJ8djjwvgqKogdPrZ5fWY3rIWJz9M3jgMWnfZpam2ogouJKgUwaBuSP7PEwR4+Ie eYtwlkWkJAnKAtudFAdtE2Iwd93tjM6/GPOC7h/B/BPhn/sItVnVVg2/RD+8JU8sizlIUql7MFZK 8z2gM2xRIHkm5yJziYG0xgfEUz+qCairhMsfsOB2IDSM3lLib7S20wU2E5fbH//xrrY8pC+bG5W/ YnipZGpKsLbdu0hapYRYaEYjePfUxqklTxtQVHYPpN2FrPWOiSp2uc+/QfXiSG+i80fNIdMfU0Ny 0Pzs6mcRzQOKYvUbT6MKl6Q//TBMwofFTOwWlCy+Y63OuE6Rj0nXiW2L1MZvZGpwPwnrBTAmoWoo Ef0j+1uvbOg0k2UeJu8+mBwmicPCEJLVy6zCsiH0qY2IdUmX4nz1/hXCjTPuSilpBxG9uA9FL163 6Dgl4cw3873J8jIHndfgN0hKMkCeKmY8X9Q9ogvgpnc2B2gQmfVlvL7l1bovNt5DQJYngLxCQCHd eiGMmYVKRndGaZ3fNsL9avHAogubxM1kBlPXOX1vgcmF87g832sgDf3/m9q4fRieIRMhzsYyoVd2 0kz6Nzaa9A3e4Aaf22FkSP0oHfuE65LdIsf9KtQHCdlZ+2raLCh6K7AYd5UU1SjkqUNVtkq4TWXV yclq/+AH2d+80TAUyPgfOhxfBMExrq40W35JaBs9bb1DbjMmPfC6Xfrv50KwzIkA50Fdyc+97Rrz eoYytCcMkpM/nYjTMDSQBb8EVs7y4AP0CVPnAgZ7hHx9efk5R3FDC19m07pHZnLgXvid91Ozdsiv zJ6aqbCeT5g8YEMVuzrBLVe+4WqqgOTQsGkn0uvQTTY5bybR83g3d7EM3KqRiRMJ85BzGYH8sPL+ ilm6i0QjgEzAmUUhmkIeN0nAg3NJiznUZsmwXGsCxf1dh9ychNq1kx0E/ApnusyBX9fsM9Feq3Dl d6L4i0y2rh+5ap/dsaI/ahWME6ERtC/SDn3wDh0HysAHr+FyKaAAst7GgwLuN6fLbumT2sgf1mIZ bi3NE1nAOK7rlIeaaiP13AjEIDBz3BYbgyQwE+cabZCigUMhLjmQ9ooQk25k22z/Lol/7BTzIRa4 nH7MxWK7PofI7UKgpWplp3Mu9+RQkiCZNNFXaJdo8zvw3Ti504rTjl62yEyu0F9BvMiclf7Ds/C8 fAysx5AIeOk6gTuUQtMujO69RaCRJGg1I2cEvGgtK9HxuxUdqukdYlZOfjospKPZliaNWPwlMAx1 vS4qV/wQ2domhsa96QFF/yNoXfU4iXetdXq05t2+v5VlzxwVsG6icmmdmEPkXR6UEhnXxx1g/7zN 6n8sWkhPZ7Zgt5XS1RvzWYPlE/BtET484mpk1LBHlOL6mZeOhcXJTamdi1YamZz1RJYYnuKiLZ/F GQXjg/Kc3TBe1pstkj0TTZ9wQbS8mZSLoRQAaZ4gdHzhX4Je1VWEzi8slAoXaDlWYIksmGpDXdHe wcwCfPqY/YqK06FNKBmvtFV/iTTXQqdjpysJQYiGNhiZDJrTW33GrU8aTvdOroaYgnA2RNntsJxQ +Dn7DSSai/UoWXuF1Ltg3biBe3QQqev7DJD4uK6V8ORbLOSTd5vZdwsl42MqL4uK53lw58eJWBg9 7fx8xK91N/Q7I/t81iuTBpS6Fw2CqHxN1Kr3P+gJJrBx35XEp77LF/6K60A4hx03SGevStp/Gpd9 Kef6ZHY+nC2ZHouWqm5ZAyiRO1uiOG05EkaEKFtbvTucGNQ9bAscZHuH2/3Ym2J8e5TLhTl4axyr hAfsDlMt84cukTxZ8K/nhOQePX2HMwWFbKkp+0fI1QZcXFtEzhwp9piD4A5tHTBqFNvDYnhgtMSE vG8fSwDMXpHOYTBm0Z3F5v3PoN0nMPiYfxjlPHUBTKeklE4HgK3EsXB38qSzxyZe4BSqXnOF183Z laTFahhIn8iAL/u3W8jVgyXItgbcJ8WZfD/rZMorsVvXzlUt+XgI24R/MqcOqXoAQP22GRudGMkl wNDAVoOMab38jptqU+GB5J3unKzYegc/DIZ9zIjVlEuoF0A21FYN3OsJO2WnpcvMjeEP/VmEEEvu hXh0jT8XQ3dUGxKjs+40CHUsqZwmAmd1V5F3Uai8QBsQRaEJ4hsc3UxrCP+elj7Iemy191mQwYO7 BiUpOqqxGh9dnP6eEKm5m1xDN+TO130cHBcud0kOEyaCLs9SLPV4WECzb52uEsf8CDmUpViGdTqr Dc4+rarkgit/bmAsBxAczdbKlSNkyQkfZUYiVyzZ2RcyFABhzhMJgB//8vj0gqGNZ2hLWmNiUNzG yvKLE0GH6F/AbxBXi4tdgUPJ1ubzMEphlB+b9Cm1JdwjICQaS5p5Y/sqN6+h4LCJzGjG23aE2OE+ hJ7Yho14kpLoezZHIJ3ryTpDOyi6d8CSDrmic8LNeMIPB5EFOBcCRH4vHTOgrPDvyHWE3liI9ZIB Bms1LTUeoJKhymrqPewBmqrntTc15Mt0oUZC6sqyazeqss2xOgSYlhbHkAf+cS7rR4HyOvRB0xKO lTO48QhRE1im9IGTvf1B+TopBlGP+2z5/GEhh5LTFeC9TFi5c+UQzAEKuzU9x+VOzE6DuCcSyqJb yAufpYcJ9ZkFUQbIAOlGx52/xjQ0yDF9YZXbu9bpqTorfi5js38IerfmELkVIanM5iKnckdX1dXe thbpCaoslYihbpZPN4a2HYNYGTyWyYCU6ObOW7dCT6KPY3sgy7vh1SC0RoFxxy2yqBRjbx0TtWOv RbQzV92QC56mggwXu+3KMpOhc6moxSo54RINOoQm7i7BsaqdB8XVJDGjwAJodLmTcsBueYHRL9rc 4t2ABUG8GzxiTJ/netIx7CVoACWmb51bSA5PqmPd6Z4rnUTwsnorsyaxZCPWG8ODYW23Qrw/wmOo rShTsQ2mJqBN2U39wHJ3Z2li7uGYg8qy1w/VEpk3fUhGjy5KV8WOOaqrxyMppE6yw1ql46LxPJOw STEkszNl1cWYrBm2YRmkq3fX3KQW4LYsV03jEhWh7t5Nm9BEPmv2ZnWTbkZmnElDLLwsI6pMAdVy A6GVorbpkVJPI6S5ivfHzy9pXhJO9nkJWhLng2A2924hQqNYLS4DF08arZUW/QRl/B/928DAFCgo KiuCtvaB6pFdIvIKuMv9pnA1xRaYfcUnzGDVl2nY62a6Mv6XGc/LznCGh7JJGU1TG4iK29AICL1Z Ha8EHi92fz5Kaua37g3x1yAJPViZTqY98VPo+TbxMsxJVUYLRNtwg7+3rR9MdHwPH5/j/WoWPvZb oGHT6H28O4CpFQQekB2UfCewTWLNlxy3HzCXROGri9eQn3clPU3UPoujNHbmc8uk9U9VK5FIK9lX gQqgjoEfME/9+MtpgheJq0uqRUDImiR9Tf3wNVVzCHwCQfiyfqhGlPeKRQgtxmQNv5hlAujiS3Il 99/OpZTWEZRs7FdeLrvoR3MnwgD5+tFkDYCQv4C/zDEksafpsn/xuOIEBfGcoQ2mJKh5N/zIlkNq eVefiawwiubN6GIaWy8WFPbCQM2oaaJCr46onh81gTs7klRnzOdQtRsExTQYiiRQGJ4Hnrrf/r5m 9A8N+0i1x9tebNCD40K8j/x5nyipM6nYEaRuCHMok+MyCNVjvYa9x9bDN+YKre6OSsL/ReClI6+t KmJPiYPo+/LXTQtI+h1BSxcQbAx0C7qipbS6LCOop1UakDeOZhZtNz2PO9qZIGnoq9zcCnw6rP+9 RzcedFRMJgYmKjU8ZqzmdydvDqotfLqeWQVXjtqVt9W8jQIbDsNv0p/KOOc8M9hvRxjgE8RFFRZx YyArcGcdZNq+buMi+t1d2fAUt7yoCklNS0vAoG2N2PdYxtVXP46/+4WkLw+I4S98Pk7FTba5DP8e a5EJQ7oIDXS4SzypND4eYpehncHATAZmyt6IsLNOJV7Py9AimGChqMazk5jBdFFnczZ0cdP1UOr5 5RBidTuVW//8AuQJQsFb5U0dNIOcZt4OrVqYqFK8ZtIdtbsGtFLJmM0ybsUEqBp/ba+UA8v9RN65 I8fMfINXQTQ6/QR3tOg3OGgzf3mKUWABDhZiIEwsuBkGholuy/v39WDgu/JEOK1hI5ZQ54Cof+/N b+FFBts6dmZ8Sc0/jRgO1rP3wKFjEqMLK0oDyjR8Bt1EbToNp/pxCavZozp9TE9+xKhatTcEttgb o57YReCKP7oGeUzpw2lCcMAlzXQc9qQPJrFid0seV7DTcl3R5fx6xOBtQIYOfFGRV4g23GBViv9i jZLVhcU9axOYtSmJkUAwUECe931lXELIbTzBqPxQtWzrGZvQ3Mttp4MTVrHFMsmaaCveuvTR45zP C29+fNrnawEwlegqEHcchqTODj2DGmufXiaRAsCop2iXTnPM++x2xhvUdn9F1gKL//S37qDUNy9G CSkYQ3bxmEC8Cesbyf1Q99bw5jfIGunPfBMqHnuokGjEXVbJOPk5p4NmzwtODOYHjwtNwS+eLmNo 2t49mIG8265gu6VSxZUeuBVNfUn74oQQh6858lu2JGotoutCDHIt8sE5p02n3YfUZcGALcY6tyMm lDVw+MJAX5mXjiPOmfTuu7UiNDK6QOWyBPCtaBVn1neuRBBLOy/ukTMYTdTzYo4ZuEJ7sPJTLEcD 3KXFhNpk2pQIVmywotE1C0TG03dNWkrqMRYLPnfPf0DNLnfNc01gREYNHiq4vhGs5GwwivpuKiLm P9PwQA7pi7nZHmN/CSyNLVb6iCBO789HKoutTwASUBIT8gZovekaJF5Oslm4YBb0lTqh4jgHcx34 bicuJFi9eCwHywIoB1wB69/UgfEI8qBsNo5XOE2tdGiGKOcLF/7TBwUUCLJVtWuBVrsrYTF5w18g JhERYIbcE1zcIRteVtgbwCkslNVSzcYNe/FznrAd6eukWhMJ6hd+lAzYY5fA2vf+J1LQKb+xWwrf q+Njv1vekUmKoj68pmeCsgWe3dLjd2w3Ck/pkq8gaHTQhqslaIO/r24jV+4rgXubbnOEPefw71ZI /CjOaPoIwntKGoSAkGmi8JYrcMou6kSz0uuq/eg108pf2iqmjTE1HKFG2ojOK8v3uYu7Vz56PjqL E5V6cnmpfbTF5cGvLPUhVh+ugOEcQyMo+/Otf6+tpIaOv3QeBD9qEyeVH3YgmifBqDQrT+7sxbv+ KOxjeSMdgjkBfwQvWo4bvBqqib+e3dNKV7ngjuylyQUl9ozbgitAUPbyBj1im1mVv/h49ABT5kO6 sYdEupCeMfusJf465P5Cvn/9v1ScuzTg6oApnpDOyKT5DjCAO++7ys1prnz0/ohhc0POCE1QC6oT 9gwHNyWsJa5PPpoi4M41zNGChRhOnSHWJf742WvQVzGaMbxIREgzVGaFKRv3YYkUO/WvkkHYRNFZ C9H8OgUZfga9P5lQKcAaAX28o/+5NvHnSNYAmMZPWuVZqkYh/IV6rnM47qxTRhE/I4q/vxax4QB7 Qhwp4XAAAaDG6Kysomoj3uu4cbXArrk6kZX6pHTUnA6o9zoN69mP+9b95AJS5m6XX4dtcJqtfjbH ec4720QqG6Ysf9rHyuZS1LD/FdjRlw1MYpOOvIRHaeW7UlMdphgFLXo6uaBH7aNTv9MEQU5hY0Yc e5U9UxIsObVjuPkkjm3wMEKzamR/gy0brhl4SUGMBh5NbzUwK6uWXQKr+93mN7RsiO6+a4w3drG4 Dha2iOP7FiehNbxQZx7Y37lxoalhaFC4Zbv7g2OG2uq8dQjzfo4pUER0ufcHLt6DdzUm3MxHxZ+h HEjS5g4k87LOuCl3HTKrkkoKQSL3OGM3Mjbya0nbJJIZFY0YfxTPc9dm5/0wqQ88JRY2rPUt7eS5 ZC+DSQq4pf74mzVhFJt4teR4jexlAOV6supGM/6bgOpktvTMHjwyv43zbUmi3TMhYoKPWSTmlJ27 4w6AUK8bwr5kSsN95GZC0Si6/Bp08p56mTiOr3MtilJIl5TfRlYed5nQClysz0/qlcKap9L7tjFc cZQjVXWed11F8u8EO3KCd0ZMjCjLMx9/25uG9zomqe5B5zm9CUHNGzo78tElt+QraXrEnK1xnCZj +3Pl/n9u/BPZK/oaw3IBglvpuhHmiv8po/bHk3cgO+Wv+VHUB3eGB3928zlEDmCOQakGQuAxRDYT nhQqOYtkTbjPOWgjPMc+MKnAwa0GzpB/RBWO3mkj3n2+duF1nLFO7hURmx9JX7HVT6VY/hAdeYFl kzvaR4PGOJuCyznJyEifqAkWBM9lRC7kQ46k8qtO1vcInu8wOT/J57Ic0zDz3qC1Vh8rJcTlCjyL SNj5miGQcyaLVQqmxyxG+eej2V/3Gm+Bw3FTSB59LvTahmCJQCt9xyC8MKtI7G2v3ghzaJsYEMqy gjBHpOGZHfhktRUKdF3SdlzXFyLwAeREx17F3f0f3kyLGpJn7JJXlBSC2atiYAnPHMD9ulI7dhuW MHDbi/F9R2THVQX1qqEDvhy5BRdIPvHLxG5cDYBVsuxQqC9uGUHntxJqZyBz6nQTn8gr+vC0ANYM tMGSLXyE0rsT8NMfHZCHhiDBczIsVSc2kZfW3IX61V1WPdMYxX1Yc+mOIqy1qOVCYjRDT3QOhLP2 ZHg/aPb7IsQhdwjS67ZfgjB97eVzdBvIDlANd0/Pb8lGJn0fd/9+algCvCUSsJAlC8VQHmciq6xP w3vqW5aSHnkqSEupcTyQyjF5fRN2aQT8jfRE//gG8J96RfXpqDiVoVWCHJ0K0jHAxRyCgap/w2hQ 02pfGhRwYOyKYKvlkdPXrqVPRekz4D4D8b8E7dnGYXBBAAzq39d9U4u3Km85Wosz3CaAMNyu7Ol1 e/zcWfMILvikRu7IF7snCooFqh4mqbT38MSnb8Rh/hdrN/o7YcERLkQe1ymaq5T73AwbnAWQnA1h DYkjiW4CoVEnvOzAVfmUUQsBlrFRulQxige3744sgLcyqQOaWv/WAAi/+M8sWJK4VD0z8QlfjxZZ XUTlxJCIpdiF8tCxKQGoZsb+VjxKSjytZJ9ZD5VZWhQ0tdvCX5DoJGkEYS5SNZQ2mKqMf8FuEKLt is/S2CuQk42LUz7AKd4LuE1Wfr5YYDMT52sJTPJJfVzAU+YmqMqkh9PGdD87E55cC1m5xo/9TnoW ZqiMSVsQ9WuGW8DIPl9h1BOb6g4Mlkpy5Xtx07dt72RBco0X10/0iQE/iccRO/cJhtfknd4tvK/T PjONyHF3FqQ4E7VdkTba1c6idWRRKIv/aCF5q0M8wxF3EWn+1hhoTkGxUPkBj4orgN4lDKavBzyg WF0/vOibcFVrZCZhlbLmMyi0H2tC0QXRYjOunRN1arVzpL26RZoAJKHwkFxCEQk/k4aStFiQFn4z iZ0lC1WykfzYc37ao9yEuS9eNJOnMq5olsoE3cHrz/2S5HYCLpfA9D+dATdrlwmen834FEax/geM WnAjvZTtqen/gVG6xDIcpvHwBtGiFewdUvppN/LAtqSM8UQykCjLiOAvzNfbR0QtzPuZ30Jz3R46 EE3EZpa1MAKvYIIa4VfceJZ0Z4PGV3G9juUdnHRamk3NdDN73ljOKIEDtTVHNqzFtdVL4ck1Aa7H k5j9ZVaWsiyPyWbguwgZydoDCFQ77PHC+jDUz3McWPYzGkd6IG979vIgbhsDQzqddUrUo6ny2Ah4 SbGDto5iAq8+44UFaE6vjge+9oIbcY0rexaNVC6yk6XhuC7F4Dh6+t7X0ooPnc5JgXDOQlzHrSXi Y9GYzJUlomNh5dtecOFG7xD0DEJNnfV2iIUQ1YZFBDTGU6L+J5dSOyQ9/I9mrsexzuzpEKXLH/xq Uey10GiOWkKuko1wRDBmscMif73OssMm2qVX2y9/YyBKXIepT2Ui7qmlh9KAHnLODsDc11UfukEG esoW15bfu6WMsqhrvxIovQMU+JQvLjbqPdlU9WOyAw8yizBupLgxANu2RbixwBjz+F9QpiI2m79w nfzFbZP8ZcuQ1ICPRjKrCyGMP3yoKwnfiTRpDzLIethOoXj1xofivPg+uKeCPq5WaAZObMB/54um CS87eJK0gAwWWg7eIRdWc8+gTUrNlimpw5fr9+Ub5vyQYgztDeI8IUd+VTnnp1TAAerRQEw3q2QZ K4B6yQaOuqpfNu8r5BG5ZUxgfgnki6FYhIOXdR3xHEYsKiWvWt3tOA8zsT4C90CheFz1Hq9QaTc8 16TrvZBLSdzKq9EyYisRscVmRJibQNc45icVkR4oZk9la5x1nKfWnVTenn/I7+yvmgqFBoqA8qoJ CDb28z8PCOoLbjo5AJDaci4aNnFy0FZNx1z6SpgSTXqJWBhLPBakG4UpzR+aaB3pFBIoyjFwCKoS G9C53HvQYWEmALNSVZ/+xUItrKU8MbRvbxMYi/LddlMXLvLzOFfnX7x8tt58Mq21Pt1TAJ88jCA3 iSmCQDILYA8CD/bzxlkovgG1R2FPkHtGUisRVyFamxnDGbtgui+clozwadwMbDoM/FCXPoNtjoGN yZ/c4O7lpQTFhjD0P7eg9gnGkLz5iUaTmBl9jhamLPCpPFm5IrDsJ7YWjC4On6bKzzTTmDyhY5BY bRZgiFxQx6RP3Cig2tXlP0FXslF7hWgFmXtpvQWGjHg154knuRfnOk5AZFyBZL+86jEEGfkZn0k4 MCORLBu18aC4j4I4ziM1w8qO1htcI+4bS7QpdI22ufA2Kr+1yy+0y7aoN26eK/uNsfly12oRLxSa 0+fF5tPpWqaNfZb2HntAldM7PwNPToo6Q3YiAA7E5Z08j/Cciq6f0jH+vC2gc59MYiQoPtI9+pQd UHWthxLccIrzCFMwH6bHeeVPlT08qlb4ImdZ0MUr//52IFUifp/18B4DQe/9Gi3bTG70i4zDjFh3 L8HWvbitFCgeNW7HhbvEo78ucpCPGo08u5laYScRz6jZcjZatdy2tc0B8Fi/B9/giqWCALMgw7kt 4bP9Bkl9tXkdLXZxEGw9DzyTA32f4zA315J1rt/pvC7i481idzsEADZu6vQPd0FpmIZcx7qePHzf pTUTVs7DdQNUMfdJv9eQogFkaiI5g3EqUIpfovhL/FIUTh64N3juO1JEzQttqe8ueSzCFg9AvTzE 255kCGFENGH0bEnaiheBQ10r7JNSUnVFwnyIl8jVP/zIoV2n0/pBJmmvrWT87uiAQN4o3gkrlQmu SGsJjXMk2/nrnVdb4mI1EWxPH/inyKhwbcGLx0LSdqHVvcxTiAsJY5h+GBd8t16L5hHxPTRWb7qp o6InyHaOr9tJUaDwSDJItwfv78tklVvTrPvlx0qtBnbudsQhu4lagq/GSlYCot1vD0YpYCC3L7tv wAJb99nQDsnYvnYMBKqkxP0E6SGbZelojznZV6YUxzVBY9GYptMnf9uD3X5l+aIvaDUr+oxgoMkw 4KWzVDHBfg7PG4UUbgNuRqZl1ZR2d5SLQpnACZRZ4nQC4wMFI5NOALxv3xOhQ4DCnWQwdoqpiAcq A0V7emHYP0UsCy7USVloyH/vVcE72gD38zujf89JASE0FllXXFC9U83qgP867XJvxJSE/xEHHQNm HIa8oWkHGICPbmdfcWzHV9E1YihRDuLxb1613jlLaXS3tUucFQ23OES8pY/XMHQFDjJnTFCsfXxj EYv75tGv5v8dJZHFM0gNKWzBytbIFvKmP5c5+nuvgV5wY/76QlmMYYVawmSn1k2wtkr1jItEo59T 8C4rIb05L90ndilz/uwcVWjSQqmYSg5cNOagDJqj0MKAlP86p7QNBW3hrVFWEoWEU/3dTxL3icln GmJkAQCEz0IhMwaqoeenWATLnyP1vHJS9XepDRnmuGKOravwipMLbjcXocCXapkd9snJVzVKqBb+ cXKliT99Mb2FwYkij6076DMIJeUsQXqWPgxO81Tb1DmswWN/p/w026PUuSzGFgI5klxz7g9gRXwr OXvC9fc0pICN2TQPlpwXQYAC6Vt6Ozc3Rs1xn4aF0yxNP+Fro15DztZN+h2TL4gxCETHr3+9sBDu IO71XHaVvrTVw77NDSvgdwjTgkzXNFoby/g7qP52gmJcY+POqVjXFOoOwDEDcOWRdRxENf+adbKH oi0YpNUZf/EN8YLC8fTjHSVzPW2BAfYq9LzCIc9Q9mNuBoT3debq+bTDXRdnxRvBuPvuYYTLdp8S tI5vhfq7nMJZXVfZHfQbjuvjAOWGYLZ0Xyz1UdLqmcaONJeCSe0lt5Uus2AF1ANG5RWf+pxSG4Vb oXcKa4X8e5uFuZVZnIs7Z1ipCCFaDOOh6fyDaeqU/tmf64mJu7X4WDWxBrwOfA7bTx/S/g2ZBABa I/OY3xQxuPON0MByZ3PRIRkN8+oQFuiM8husi/dZQvQfBbMDGy5E8ABLzoGHvUQFSMwMZjWsnvtH YbYRTgm81TGjeszzvxg6R2157S3J3G22gECLkPDNdH/zCHa1U2IoHb77HHsib/ar62sOkaBmK0YH llfMNSue8eASf/vipmlbHd1Ki4SVeCMtG4Ow8nYvXroXnGPQtvK5Spm+No4PiXJQy+eqj/jJlR4L VJd5odx3nBTs+IjplioDAjnCtWarfm4fov4dQJzOIyMkNzTRf2hsk63vMQtgl1WJ/XeJi3IEcORD wCmtUBTcOOSJnF0bKw9aLPfR69yG7B8kimNvFGzJ4s2AvQAmk20ZTngc/TOVsg9d/3PznQ9kY2/k iuG4oqiEP26HsQKnTjT7g5SHT+WXc4VGbchy0zD3+hhqXdKF30UIECB19GCcu66kKo/+TchYERDm 7ps/YI6JSEW/0f+rNzjNttLYE/+7rG6a8RQ2uktMyIGRciZsxiOyhxl1szRhuTPihf0u46TLpTum M76uQX1+dHs20VbpId3QIlqoIap4J6fPDIXhbWhejJwYdJPs5InOniIy+MH1HHlg4bnIk13iiVDT tFJHIkVoH1UTMo79JPMEmx4Ut9J5/K0Rajgb5U/3ZT/oerygB+NQtRn44Y6M0G2Tm8DCfglmUjCD ufwm+KGdFOz5Tkt7C5rf19PpiUmMGDjoZwsm95JAzhtsSe885p3M3d3OmPI6ABVfmtC88dm68iwx 5H/RTiDYWCKXODHqr1Z9D7vDw4yLBYBxkHM7LZB4fHm4RJQHpAz/gwPV7IUA+ZFT9eAFYH8OW7xq YbE4GwEXGJY7R8UzHjltJ6xqU1cnyuQWoAI3+1p7gQ2oMi4a2rGkaTewcD5scC3oXMmmOig1GAAZ GbbA7gJngp6NQ+Ox9Yvn9NK3AsX/4p/pmypFPEmkYSU2LBkE6icmueEF4wGWUv2wzrI5leBU5VjC yloutMIv0UZpOLVGkJwzhDFJcI0aVjCfr40psid+f+DGyTEqYhPqiRb5mbzUIa/mwb91Q1Yp+LWR TgEvPnLOatai3dQBtWVzYBW72IaYNvTWNmHtdQz4o8gEvKs9OvDYOKGrUddWj0Si8tqUIShPB5hZ yQ7gMNkrLIvQL9PFmJbGrkjqMwX8WywSOyfSZ9HgSAym3jKXCqAz7jvtG5semM/thEOXSy4IHbaa LhFqmpvi6lNTFZCZ3U7ANGRPGU6oTcLbe91L5+dQOYPMQgZgNLvKFg3S2CAE/7iccXxnZcZPB48x WYq2GBJdh+oWemKMpNC3rqM19EAtpKdLEEucIBgCZvJyV9el367UgMawlyocOEdmr8OCFWfTEXN9 blu5iNQaTsGCGfS0+R6r2AKUHVVIBqyM9n7msReij7Pg2AtbReD51v0p487W2Wu2iQ/7TyahCew/ m0efJ8gLhak8523G2Bh+cDKn7XuRcMg4IqvZncnF+Ledu3K/+KadFYtdaLk9+EpdlSikYNUWDjFU YyhxN5c8l1ce9y1zSii97uRqtX4KuFTF3FYpO6XLI07wCwk/HxzSkxC/wIfqLvPWL2bg0n4Vc2kB nxPIs6qvktB7c1DeInqm9KeBrXQ/iKeW9VOaIx2xymr8MLZMvwSCIIKArmbIa4BFw6c6IVaxWWBs xA4M6Q8eEkM39/PKIzz1jBj2/B+63lxjqsJz0HWhYuXJ6SjiUgIYkEEzgpieqg8JXpZH9vVPoYao NioZe93YWCNbUBVVJiPZ8VhVvo+U8wR1zw+m/g5nxFXFVmh4IzxhL/j8o5Z9f9mu7WcLknwmeB5N ORtrVbv0Z5dYtK5yf7pv4Gz1EPn2GHSZ8gd52uFNLlpoSKTDX+IzCe3QYRfPBgKWf9TTrceTfxCf ED89DpJXo5C/cHqkhstaRi7Eycz+SYUjtrzyvRyCPrVz9M3kksqkVZT7Ke+JZajqGVv07ZGAHlrb hZ+1NtJU0cDcyNBKdKTfS87PVrREZFcONOnWOHsvmZGmqd2ZhJMA0XxFN4cujAK4+ckEh9cl9anm 2KJhdd3QFC/eJcxf4K4HJAPItxwK64pApWs0Z2TZFMwJHjGvi0ogq6sHlXeV+t0iOVOwWhSE4w3s iHY3hoFCwBmzG+NLIjdr55X5UHe61q5QSFAtefp/2jCBqUugZbFbrqs+ZiZ3guuVHB9ckM+t1PUr H0YpkWIrMsJ1Ml5FEX5E4etx54FBDZPD+tHOnmyaINiJdA7kyW9f2zcxMaVYG+FQQ/5lvnmsbOAy iuCbQL8NUiJOHSjZtoYC/FM0XMo/x8vuCo9SgruQ1fkbQcKsdN8s7gkGHMZLYE5QT5Pe9LmbmKsz iEl0Du3onWa62YUJJZHHvPwI+4kqMYIjXVZ5PDfxZH4r15Zr/4PlxoVjIBhji96DHXrpeTIZQtfN fXaF6gavu5dARhFLBlzFHwqzCklS0ppB0esJQcKI9aFVDkM7+EQzEah1PcRLuWnL4cJIpp8O83/0 UKGCqVc/F+YZFHxQnWlE76OrPN6gwlO75ItJ2xR0xFueQuf4K4yQh+feDTQ/4Dh7ULDff3K9LfgD RTiLCCLm56gJfQDZIPDlLW9KlSZVjCD+CYmbBfgrZXRYXLZzkLQxoZWUmgN/hoDZTAB0KSQNKkbw yK4yXxYo2FobQgzVHOycolbLXn9U1uZCXBtRO1vVwiaeqTyWjtdflVDhZRjvTjRmRy/ZaD8t3ykL i3eSxJNVS7X+XLdwtBFN2603n5K9+lFYUD0OgWbwxncGrbFOOqEzq+9JHVeEpNbPE2SllruRNwyn JG8Bx6h1ghE81DBTli0Uey/doqWPCkPBVoYhb4kdAJ/oclun/W4ufoXtxtyxpvHFzN5KPC9rp4HF rvt0W9jBcsGbanLzs9amhBWHKP1E5nzceSMAjNcFTH+pYYHDqzliFFMqAQZenvEqMUX1i4/8enl0 zU1TQ0q4UOmEQsuvj/lKmBMoOFnrFwbyR9unbRdeRVASbRPeCMU9BIepOkKo1XODWUGPw3SY+cdj MoK3Q4XQe0OpCtLR9gNwaEsl5KmzImpIsb1idjsI8va2pipwJwqeJdQXa54jv9YaHlmANc7h/swS V3syX1iawf5l5hezdabKH+kGHoWyaeT7gtLTN87lQIIaOu2C5lnRWITmC7FwCRHTfWJ8wlraICwz 5WszkRisef9MRnROI4WcoU/iOvi5tJ9qDLulI5TOdgoaKlk92L6i5ZvWDViTCOaJoF5cgnTaV3NP q0fc/xE/TiyRGMEhUsNAngcYr5Wrg1W6s/hwidVWpO/9Ar0DmifDU3vYxMThZ1VTdNWC0o2cbhIr FLZ5cqPO6g/RjkWa5BGbHz5rVtM78SppSRtCG19HCEqnPSZ/hMOX7pzqqC5b/hystL/OUcCG/eX5 VicACMDNOOh6WBk6DsXq8uU/4+NV3R2FuvGW4oDttsEqlY7T+XNxLzIKI3QDmrwb8cOwt23xCMx/ Wf7hN5FwzHCdqCQECs00ApmmcjB3zoF97o+56GUo894IhXgzRzfxCSzq9ESw7aDf6rRqZjUjm/fh qYr06lDt17U5vbqTOfrDBCkp1d32CtuyuaZ8xLwYvkPKqjxD2ecCiL8NrDPg6/+P7y8H2n0aieSy 8gEMiwYGiQq93LMQ+DhdkDdV1SpfQV05c0bKss92uW06tlg6pkIOdpgHiTNOoHY0bQubAenj6h7R q/h6EBxvh4/Rhu/d9ookhQWAhv5nnHeCfd3Vlc55+3Ep7iYu/gF2KgsMqzpY5gNuQhNQVgZdO2/v DjpKrYJIt4On3tpMJnTTWcPj4xtGInFx1cySYoB4PTA3MvfcOKTFGfUOtssds551pJnx4yaK4XXB wvQDGV/DayHZoAmETT0YszZ3h7zWJSg2iTNzTFoGxdcxmvEggXVRAEJ+ZD6tnx//LRPZ9Jh10Boq uf20R8yMUj4ChjdasWu5iP2c5AJmONRh1fMIugv1mDWeiscTi4cPhSiuNG3YrGiLBEgs9ohRZugp u8wOB0Px11zsxokHzF4ZmdIctkSCYaQxRn7M3k0uWW3aVXgqOKCOgIq7/4lldprRCq6q3vX3nkos gBCSr+M8EgwZNHe2qFI8j2WsKfqCifGY2xYV2hBU59uWN2cUCy0Ij7VE8GKUqNDLFcFHAMoKok1z LRnE63A+C0wekIapoCFYrYiiN+g48eQm6W9wXF1oK0i4sSI+43WRVEaNecpU0Lp+M54cS16nSojc uQQExNqj+co+Z6rKbDMosUpT2mvjIhp83E2No3d6uuD+me6gbThGz4dK82yLCbplVJLDwgjdFRRB 5+QfWTAvxsDbj8NPRPiDjESRgUdBaowD+CCc2oLSumnFuDmuJ3ID8a29ey+dt6yYmObScAh7c11x pKi84Y0TSUqVSFs38LAtaPVp1i8BkFrZeQ3LK8dm5HaiTSE7cZospaqQxZOtZyQvIJ4adDtV1FHP jMMFQCn9dXpVHPyDOvIlglIECTd4MwaY/vqOckDE/q+zmN4h0XvkD+YoaGZ4PJvKbU085u2KHfrX ctY8Vvw/CbYe0IjXrWloVoCfFbhMQCgXAsinE1diqLvnW1SZzdf8106SSQy8o9kgVbdAPZgo//eN JFeqH9AHZ6NHLABgfCgOCYF/9juuarQpb53hOwWRjdM9VAerxEEoyUUtJS3YB2s5W7hVIKFx0iph qh9gkK/f3i3LQzFV5bEWxB/8l8HOqYC76m6nl7MxZ/pWq6V/iSNAKI3/lu4dY/Y8U9q8k/8/BEA/ KHK7NkCvMX7Q9pu9fU0HHH0hLHb4dxUAhAHrbYrqiiq5BxxAQq9xdQd4/M+V6V6y7brGWTOXw/ec sGuYZRqDKcz9jS+nBXigy9SZg4zrPpre2toviPZsyf7FR/UXl4WRKPdHUQRVWxNixoaAjBwLWbKC VQstKtWrnvtGe0AERWNSoL+kGnYbS/umF+j3ZeBCcy3tIfVfyahy2VVftFiOcNKlCf9XWkZKOIv+ oSQEFvbQZV3JD+O6J2Z74lfd47ejlwL5sRQeqZWt9UYEqi9odvcc7CfZBzs2hNA695ZHXKnhRwTh m2nMSey7qKtIZEJZwkppSrM8x6+poLh8EEPFVlKQhhpk6ZDaGmfZ1M1jLPgrOzvkzj0fWGi3QuGC 4EgkyrWb7jGdtnTbPThDD0N1vMqQi0lB3zCMleABM4+1C8G1SKQQdsi7jZ5T7jgIWY/1LSIA34vN katA6zX4CSoYoawQO4vjJyCt4p4ynPVE3R1aV2wvKO8mwr+PvJpyb0ROuVKXemyymzlnQEOR6jii 4104Optif48cYV06rc0qAEqaDEhwzXiV+P/DixWo5As0F32Ud5ZW3jwy+kkvS1Q6OjTuzVonqlJO U+Fd48KIh5d+6dPL7/4oa/srkrSZjBdb0CbY+ZNrXcJOyJ68ZbIu+AnxztBX48FFmyFk3ni/Afi/ 7AyD5P7kzB5PKsqoer1svBxp/DV/UctV3/pQgZPCJjTeoRGHUcWqmSzdJsamkj3QCX/6L/LHWQPk iFtPA5j7XEiB010/yvB2y2J7st0ezNaEHgZa7UjstzE0FWBWQIWHZc73q7GmrwciManYOmP4N8XX Kp7Gk6DmfNvKzEeuxEzCoSUGKFNyqNDxGmHNjFL/GlVjv3dPURfyG0rC5HsiIqAUvMVjqzmKlRCf dq1T1Yzfs6UYxQv4ASiKZ/2ckhuxXRhcBqLfXu4856oJw58CydcAMeIqOgB0HiQVkaqyUpl0GS1T nJt1DBdCvbAJjYz5nfcUppkYS5BZAct//cD2GAi2HglifslarDiIeDeGxpi0uZukQJ6KUw6nYPsQ hKqHSFvs4v+Mu4Eko2iEc8ozb0B+KO7D+DfVRrlIPIES5cCstcJSRIfkaLuag8eJovqDK+yv0xIp 22bNF5e5PYAIkVVhadK6bkKP1zV/5xMvdXc5ps9LgwTffUdPoehhlZqRx6xRWH8HrZZmd0OrQAUA A+724I8n/eW2q+laL+CiksY6DF2jHDZSNuO1bcRpwaoTgyRNCcauEfpP/3QVOZhl4ja5DmPdf5ij NSLoUc4neCxACoaVuAQCf0EJ2jiEPLMvSIsqOOAkNSRzrLZABrjjbxtzNWVVMlKdWUbRZYa7Su8g FbnzcKyuFmHWrU7C2DJpD1xP2xbAFF3FmxHc5Pww55Tol94+dHtVVvhCTVjPXP6LEQIf7ZVllj0u 3rfhVPJPq8E+EipewL1g4WdEuAh3J3OOlpL+r/fY9J0ycA37s4e6OLOIoP2OcU6LFodk2YDTUe+p CTQuov1sOy5OUeM9zWg5JK3ovzbV6Y8QqZ1oheIGsxA7Jqd6rO5pRulPxrOjt++sYJiG3qD6p2dh l5nZWWoTihb9sbaFdBK1DqYAUtj/LsVsdMzc9sFEw+H99KRmghB+SAroGv0GvMaQbcf64p7Z/Yn5 c1L+NFH3qsbfHTt0alYAnKSnT2BvpqjNDAZl+0J8yER5onAdSPRIbjPScunwye862GoCXFpE8IRg go49OpZXLFhsZ+/F82huVEpZYCM9Kg7+DHSbFYN+/idER6740HlhmUDrSr3lwDyBO999xhVaDZgY gnMxUNaCVYrEou1e+IYtlUv0J3+W8hfOL6m5/nUo6w9EQuRzodimO9fCsWDHF+62EsBricE8Bgay iFGpJjoXEAyTDsONUnK2pwZ3NqDGsN6Y49ShzmiATJge1Kka96JcTVsHFhT2lwhoo3o3/RYmniln j0YUiGKVyIlUaiMHUrsswtLPMiG528eGWswZbFgq1pBb9m2t3PwaCK8lJwKAmTDYQa/8EPBE+tzk EnQnjzAexEQxmdSLSFCcFce57kxHYXd6xt6TJG5e3vjdYXlFrhKHIZvtrlH4fg9PHjqWGwlNxrkV pGPYx6RNoZLEJezFbN/v+X3IIJs2F8uD4TOZ1s/1ge7r3F17TmwJx2tOo4c9yg9emYMpjm+B8WLe PkMhE0LNm2GJXHV20Mx30FrJBeOaSHuwL9ermC5O36Mt+pI+TlP8HeR2Lua/ZQv4yQy5+UWXbODA 27sL/9RV2wugUZKjYNawk5Dn3zh2TMfBDi37LDJ2U9WPT5d8Bj2AU1KrKPdDO53jXGgJpdiyhubz DRBYqXCkUdcEPboGartItWYN60xbMKkHz7Fro+Pnf+RSdMDmwKbTgWwQNua/OhxO/VcF3J3S6wLz EuCwUSdjIwGoArx4G1gd1dMUU0P50PPbUOmrewleJokAOY1Ea6S7t3Zukl6/jGF4gAo4OIfUl2db eIBM4J/iGWFuzQc9lWy+i4TQDy5m3nPBkEsEnqqlHZfysDynCacYX3wJfRZORAlOb+a25Mcoi5GN Eo90hYTC9A6qfftfaY/at42kImi+RF+A9GQIu9/kKaLVnAjYsr5qEm3FCdnIan4+kh8ZucUFbBl7 LFERZqMqugOGOR/aWrQvJoyN3vVcuTX2lo+xMuKuRQCZl8Q9PtyOEX3tKX5YdC4GKb7rBigp/Grr OZ/Oay15SEMQWPYmgmh0Bz4AhUksieqJr7PhY2TQFjj2n0THZHWX4M9Q1TuVr3X0MTBZ+QUaCFlr 252koaIFtIF1uIJgVbfkjMHVMkSlBHOR1vppUsimbOexkzVPH4ICoqSIrAclrQXoa9Zy4qxlEuQ6 ZuuMuT3eeKYxC5g4pNTltkuAGnFkNDzKH3MyzGMme0g+dszx0IJ9o0gn+5P6xO2KWxp4qxn9h2mD 2GXQVinpVchXAmzFrqk0sCngY9xJwKT+4ZNSL9UUGXWUdZ6m075wz6myjCYhpyNHh3oa3KJadoU/ CVaXJgLpAH/2Gq7tcbfXVtTXRlZfaZMulcKq4D1tKsGUi2ZrjYQZR4sIsHQOHHdU8GWzMcl+SVH5 vCVWuxIt25cyLo6/OzS7K15P58Ble/Gij5mu7At5SRRTX7J6bfMz8NvtNeKwPu7zgIpupWhk1ThO khtCCQOz/xK9+RQHT2BLXsh1LnCUMSGlORwG+KZV7uZdkk8fK9HwfhyJsxIRS2ZntWamqJhloWX/ HgHhi9kKlmDiZA75bK+luSqhaX0qFTqOo2wyCwso+zq/lS1TnkrZxoQcXYF5odlx85cGKCIm26pB 4MsZv3R18GX5rs0KUwJsLUtncRibk0J4yF3/K2c7C1PVjEIbx/ntHdF/7AIRHzfRmqN9dEPidPio F8V79puvSIobxPW1QKZ4hWzq3tCHuO046LInBY4OO5gXPie3mUe3cJN5RXA/jqAmvSFjqPRdXYRU FXP3bdaGcSMSR33kDoXsACKooXicXcQ2rL60WWwDYEDYRRPkMgka/m0h1qZAatpoDBsZRF+YImLx dUj6wgNHOdqKWY3Zv6q75Ii6nwMA1T4ff36Aera1MLzxd7A0F5RYyiv1BBsIC9ZBfWVfn4ZzwmQT yYWJ5KSyGg6VWie4hm+xJuTuEqfs9Kets6VjwdN2YzL3OgZNbwsAEkH1euLFOrbywVCEusslznlm /2Ein1MKB37evUtA0SVQBfb2ggs3dldICGgXDyryXtFokVAX/Dr6LRqMBdJDIQxEKYdnudffeKFU hTAUrv7x5Ni7/8sGsEkkFCp8QCNO5A7NglChubiuYd66Q+Mji+r/xRJmS7K/cpgen+AoPZcObOrF PDh9k1AtyRejDKp3yMmipxfucJpN/EJ7kz0XE+61ypAAtGOweXstgQl65eaI4STQyGogfV5+huiL CAHnXfOkZKtOLmFDPJHuyCxk7611SOsCmOyYC98dIVilh5dMKB2LGkzrrrPg4YWVVGoPMGSsqVen VIUhtvPml7vHz2IFrqgpgGL3caRrur5Ng6NpA/XMc/+aDHQUJRAz4++FcsF+t/8YZT/+OhOLH/xe DxP6KMDUn7Sh9U+R/1HvzfulI9njH4GftElfRIwqQvvQN99ylNYPxv+1C2d5X25x6+ZzQG5WTwbf ZnQVI7g8UYrHCNfMZUCAoQLmWYgbt2fuQlOaIZZeORHGqkDbHkl4myu9SG0XnPMDiOU0niDgzoHD qTrL/tZDRLEJWuVb6shhgk4XR0VzlldfscPjFfROqHrvJovp4PYKjREyAQcNLKrJ1wqFNdUHPT2s 9NoWecypxV/Wlt5jzJ0nU8Vc6ERQQh3gNt/b4eBopFHFmoNLhpAcKKl3hrta+9fwSGAt2mjadTtB 4P6DC+cvnoVkXl+KKnl8G5Rrwy7U/umss0WPq+jJu4EEDtPaNPpxfkK7hWvV4bFIzij4vhBsbtuT dmoVPB6TUO503tGSl0NM+qRR7OlGwEk4F443IvtyZ1nFYM7l4wgS/mu7u7D5Ib0jo64cWxtJUp5e k6vsTwYuGpdzgRFHa0qFLiKFwM1xWImaMG28NGqGKuvsYZbRQ824rvfQ5Y3XItQjO4Nlr2Dsk2bQ hVJx3F+hoc1NOdyulCsLPh0EiM5RkqjHDpC031hMWICaNI2dOydruGzv8ka7onHybLizPHFpZvNo iIZ5s2XAgVBLNzRb8zXn/+ivCQVfmbkKlq/3/wHYWgcEmLyoBdqWnmDsi4Q80VnwNwIzkpkRnb3o THIHZ/H9+fvvIdQZf5QxcCwYmL0KLCZHQN0LreHJzzwq61x0Fd7lJQjZKqfqrWkb8S4nTF7LPq5q r+Ztxpeuu8VqZJgA58EDdb0iyc17kd5W6JSsTG82sepQf2ZlfrbsBGxL3qqjp+11aKyAMruK6aNC usQGHbWPMvd+avtvaxUFbTLwS4iDPfgoIt6lqzihRTF8innL9snMvt9pcHBiGHNs5CoYvbZXLI/R rf0mscKRLHM/BFZFCcvF3Eh6nbzhblBgRMfyU4f5LK1E7o+WNZrKOakBcZh48sW1jsomcNpSo+g3 Vn15QEJ03ML0t5RH76dF2uz8l8h/NZulbxwsIi68nAFU/+OPTjD9GSuIccSiGVpNeVwveKj3e81N VzxAHxlBCpxQDHAdK5Gl/YNxk1QL0wprxMQu0788yPrAmon/wH8SVQ27yUGcs41K5Zg3JoCDnGlZ IkPFQqccDNPbsqUp2Lm5EZkQfgwuOa4iKC9W/iqz9oMQXhSXDg7adSg6/2/4o0MfmscMMKt3oV7B lNflk8R5IGAr+aLnxEcrpJFj6l7aMt8Ymc4CuqzwwDvvNWgko4oSd7Uf0O3Ci20M3hdEulaHo/Qx XW2XXsEn+LirvRwFtDM5sZM5i6/ikDR/X7QklwBgOtcTHA8ZKfOwRebud2grEo0mtUCLogHy1eX5 JzEsSmjgegruhyC23uvbmLvmpC+SE7AudkcQGj6pdQHjyD/QLRtCq2gwiaAhNz1iKqX5OipZ4Tdn 0IAhZA3iOmn+RATZIZpxgd1zsdIXmu3wDbXiuaRLF2js5RFVj+s2p8kXTtlSU32SfNGeIcjbWveS kQ4VTcnH317yf/M8mmsnaac0ojaJYa67d46aFnfDmhOfS7ONJZ88iINYiX/Q3xQZwQDltAlXoqQN W4j+byyJZCy6hD6nK3PQcprAlYToLM2XeDq+p1vzily6wbxlHWZqA3ZZf5/9p8qFTeY4VzXuE7bj l9FUFWtgDc4lc3F/Q/qi7YcBKVoB2nBAZo8HHJ1lGJgD1E3HsQ/Dt2dYqkN4Y+K0kn3CXWjOdqOH 4JQdGkfgdoxN5AmlCkSPixWU9ImmLoNJECcrU7rSRLLV8hWFYGQL0s+5UDVdZti3cW8f2ldlBIGV HG7gjZHnBkuZkmYBppHWsksqaACwCVI47++MfZ7fEtfTTgyUgsFDShRO3j9Hkp/inGx84DwCRPfc BFQUdXrr69Uaily4A99ToazVwlSflfPEbiFlVQW/rWBp1qjNmUkDPZAmoP0f8hKU9oopRmQ1GsQp uuevICXm9IifhATuTAvLo0GvfkJsKuC/41Racl5NHy0CFRBDO9hqg2HTl25CCxEeU7feMabOUprj v4IGDr48JscFI1eTIML12r9423K6xMvocujdD4Q+qypf11wk5kO5drpCcS2660N+6QUNwGYvLXRe hDHduN3Idauon+BlnwLTu1wZrDPv+DffIuurBL6czX7KXBpxlnQxDvtiQPamGiOqfJAmaopt1TnH I2bewojp8dB+Nf6D9A7+9U8ehG/zH1p3IL9R1Q5dcsPmiFJzL/NottRB66QtBTG+wuDkKhJZD9iM kGTrMhNJjw7KdUSy4AFPNypCA3i1s2djDMnRdtRb85Yuh0y0gEA/k57clxfNQAF9w0BrRUlUOrbE gdACod+KvOB3tEF1ZuzkWdKH4S+jNarC6bFEn9gotzFZVAuW6umIEbqK4gbPOMJSuXf8+gpxF657 P0LABfX3kOY2HXXJ5sMuTBamV14jkSog9+I2Hs68rKVxjQjSsdzmepvD/wzzuKEmkxLfO/IVqFzi dNMcSmb3zGgYrEs859MqPq4pzlR9i2z1X6LpsBABeiQgCtnZqYItHLOzX/a+BDDIWupGO9XjacsJ uHh3H2LXS+ilQcfQU4OvfjoqZXzFje5UfO4jwDhwRiMONtgJwfgUI7DkcFdzxZrGvKARYmhwNP8V ds6bVviLpmbcNVJFm6pectIHF34AKnKPedVNWow00TDs5I+sIMTK4rtnGJ51wVUs3RIRDjHAqiwX cXWn0o61V8UBx8QR+Aa2qJhgLExzngzs3xv7mmNASfnaLBcRn/cFPYIChcpBfFMMY3cghzPqETF9 LtufQQH4jSZ71Cnvwm6nAD9SXiyukdP92W2oIQ1fTBhwDayHLdJCvxNkARayTSN9uUu0cbedKRzT v2xevFRbjr4PezD86bh6S7YYvyRIBCMAIQXcdyUJ2lW5zi+eBmnS8MHPbGG/ab7If34VIRe80CcP PoDwk0c7K6Eq0Tb20zq/8PbQRO8fgC3u7+ZAmBTlf7Ki+B5Pt06dh1bldwGVPA/NoqIimyFbAfOS dEEBIZYiP7wTzbiBoFtCYxraJI/D5Ap8qobSoC4baYZPJDYlkjr/rdcLcfpD0HdtyhENYLeXHJLn 86t+X54ZCEhZ6tl+Ozd+MQ/x3EQlZ2o7XLu782G9mdLKi2IEdL7Xr3e/P7K91AiZqm02MBk9FCLl sF+clofQy7UdDM8CkBITYpVnfqlr+HtoFSTeKrr6tWosOnkLgiD+upnAHF03co7Ni0q+3tZ4Kguv mnXwaY4TEPzzQvgSzj09htoJ6WbdUDsJZdTrEhqZmRY5bYmC2ylnZfX3g+XgIv+EL9BEJ46G6dB/ D3StZbLHAOhgZM7FGXrRLcJps97KE0YqEiOnpqqvXSVSHikktGGC7AW3qqHn/F/bXyFSjUNlvIcV sDMiebPMZuDYkS72TitSPmelP9YVZnWuMxXL9CmlTMIVYaaK6qRKR72QP3+K5hV5bYlB/IMh1ikE 9FzTPcrkfW0/4kjiuYSGuZu0+xyLJWwrmXDO7jbPPzVQOwDyyhP64j5uOnUNu5NuzCcBJ2nVDGbz UyMd7xdDbco152v0emd1fPjmP9QU7OctbPUQrCZwH6M2u1hMgTH5lCoxeBdYAH/F+vmJOONpnmjF A/UhjqChhfLTgdzc1SEZcWjyXl1FsbX5vLVuMFcMMHqiinJw7GYIbbL0qAZ+YzThU6x2Zp0F8Nt7 4xj7vIde3/Ro9ER1l4UfFSUAVctP8HA1cYYTLCUW5Sq3zi1mz3fLj9xEGA3aGWnrx1HsgLLXjghu RWXPmBsAPqsKupmKznNhNCI6khaYVC3Fs0S1E1iRX/KMb303LV1ZOmLR2DPgXlULGIlcHKlohkrw aG0UYYjxT9azYC6q3A7JAHkaalxq1P0HfuoRVSQ8XqG2j9LCqCdVlYYfkHfBp5szNxWIlDLMV12v wZU0s3joSB8pUQY6l9enA8DkR1cZD1uDa1lwX3v63hL9n1Vnfw0NSqcYStAgIPM4RMtrZRd42fnH wME2QCIXwEsDaHMIwoBuTdolw85NthuLURnmQdW2a+81oAzDjoeYk7AXBIqocMawVwr9EBc4aHY8 DFHHQWrJEkwyA8G08aSam9Goj8/pzWsRORTJ2o99wiCbF9d394kFfCewnhgd84Aj2UwMsg85xhQP ZUIx/8Gwe3zPmWc0qO7zcpvDZY5EsMVPraHFlTtvyzGeeClJAOJ6VO8uvlIDGEajt2mjoAdPKkR0 +qNliCNbhSyZvWFE9sR0OA8s/jvTIaHx/aCfZVPnJllp6O68mKXImKTml8xjFmYLSmZSOUPHPcRG AzXbQCPpWEAyNwAeF+END0yBs95nshSqjtQ2DWpahLtaE36FfsF52GyG0S+WbJKKtyc//k7aKPCI 1bTOy6qFkpTuRgV+mpIyA3UFHZIN+8+SKzLLdwpaIz1gVq0xgINfW8zgGvLHImZUGu+yTjpINOgG WrEydgjtwmoaWVzMl+nwPuy1ent3nOE6s8QwoCjGWH+NmT+Q5+4xNMer1d5DArETQhk61t3uiov0 kXHU4GoXH6eqks1DwKDpDJ3jZjLYTMRngc5ErqW6kjJWStSupN3+WFBOiy9nwPFxGACNGXlJ5Dgn 0fqJWOMpOymsMsxFwXNXjG0Cs9+nyxCGBJPBBuKPMCgRV39MP9pnFJuul+NSpV4L7wNiiVjzdKxH Xx/bKv5GoKbNJoh+YEmbkgsTeKf3hHW6JyuKdtQZ7fkXzzSzHPoxJnNYl4bXFxo+3kSMCIBKuiqO SbTwQxRz7tkxIP2i0Za7X6KvCaZN7izILJGJlcFeDPBT+Ln0Chj7tGnwO9H/lINtqcelPdL5meUa QYFNCJC1NMIfC7tEC5d2c6GXIYwIPtI3yKOTEk/5nd4NwgVc2CmRsXzHbysiilzhkQO01KXWxBN7 fHfE9GGuNPk2itpeU+XI5pMi287z2soHVtet8d+9k8GmLcVNfR5HzluUHgW6L0YdcoYCqVBUMBW2 lBvOSXYJXnGjsfL058njdmK2gjCL5scwnlZKj3uM23Bn7HGEt1ox3vMqBQ1mL9lsB9dpij08ffuE gLpztlpibFrO6Qqu70vYdY+eA5Q0F7eHgMx1oa9nOnxjbnb/gL79rnmyQpuaafZzOhZLhjyppkxY jDYNjLlZNXwV0KIau3vQN1UNXTzt53VXpIUUQcTlFsUddRXAm+DdfM6UGGtR+RjHo6I7ZYxhTCP5 QuZmOeD8wdoOq63TTsqqUagwSmfd241bRcxKbCMBBJ3XjvzMltC6oL6I9qGE6uWQc8KkC1PqlB+s hrcFoXBWFauBz+UB+aPbR6k4TwkfZIMAWRRGyJVQHIsSEtFNi8YTEy1j8NiAQD0xZ0CNbR8f8EzK enB/my4D5tyd7PtCKG2LQgl1LQyfUiBAbEykSka1EXuGG8BKS0OqaPyT5sIXQqhkJMh0m5E30nIJ zcWtzKvxSBde0jBVmXZTRgAYhWQTHO3Faawjx7fL6j2J6w3j8FuZOc9OeeIgZUUaL1FRBZaq1RCg OWbhHmqtrqkU5UJ9cjSTlhRPitwXBqnKqwRHGYkEe0lIwhq3bV+JzW70MCiI3wieSqoxLoGVx054 OqsrwE/eTmjODIDsC7CtFoNHBuAAvqj8JxL+RlowY0zkJ3FGi7lg1liMv/7gB0BKSkKsuNiP//sm Ska7WzFByvQphnheLwTvlqNIh67xaPb7JQjlONtLqMZjRUZpgEot1QRa+SokCPOE3q1dEK6Bzo5a oEjFNAynV4fX5ZEdxYQNcyKl1grEualzphneKyuudhwTGffug9qYVDNvhJH0h9LcppU6AhTHOaZW uAhdSUFTbxvXhBTo2RpSPRKum0nFfqzeALQkjXEdGsroCjzc+tKgCI7eubRRb2fOoXIb7HFL5UmN y91ofW2H9ZCN6W10GDvkefz2A89NqpY/xIitluliXL4AQBDvLpDWxtGLMx81viUtqxWxcE6JmegE tcvewH0E9LQQRB0Y8Ko4Wd5Tw2CjBZJQNvtcV/3hU3Z+Kl3IhShmEf2wr7SKIKB5Q07ChflTIJ7l 0UCok3ViFTgpot7daBIBp08+Y1kFufk6BVI38G9YFyiogou+LC72dkBi5jJcxEJvxhlYPLyACVuW 9T8RNt0m/o5DvX6/zioQu+efLL68g+btlzFu3qGJVPepm0s0H0UEXSZaVr5qe0nU639HGseVpSLS IuwnP2Hlz3cojyt7DOZGc2qnEnrrVErwPA9dOYqNIE+IsZbfP2bwH9Q3pjJ8Kyk2od0NhaoswyXJ k+NiYo/kHO2sWzX6ufOkey9zeeGeT3Eq4nlb9+XC8wodcNEiD8u9p7k5loUtSOY+O41bAemM25WL 3ZkvH5ecuun9KDxbbtIghqn7PYwkKKjlQ+mfzf0mmeCLbgSfxM8NsLSIanDBdljhAnhCwBXDFhIo xxSaAB/oU6pkukjd6dqN28Is0+VPWs5FflgoTXWBf0ACGxzkKdYVYhLFHg5y8Tjgl6dDovWWzWCx yoCfxrEYtVux3qMAuYZhz7r+VLa8metWe3aw24rfE1qSPfp4RzSWeLNq+Zs4FU5Zmsy9Gba4EEgf qNtY53OqR6PXwipV2MqQPvqqhDWfWQ6C6bUXz1zjfZDN5uIoAofxdNc79FvZIkurmzgCJrg4doCR J7UpALnJ77B/d4bsw9IIWIUTUw1dIOQVCece0ZVdbgWKWr+v+J60KBru3rVnmpJuXbLz8fK2WelG mEKz675pesT+JfJluBOI2+7eqm5RpA8/0UTR1YTAtHtq2wXt90f9Y3IjSMwTsZ5LAEkT0eWRk6ju GoUcrenJwPonYOOODwJZDg14VQAJeAk974qdaFrkj2IzXwzK45NmjGMP852pV17swouarv0THGdR 6lZAeMEa3SmS+0F4JrXaRk3dEYEMS6jsYy6FOPlOScbUHWr8oro/3XOTOrmkQSHz4wCAPeUml3CO 133locTx2MRWlRU3oy8X78bhwtbVHTEa5uZVnAEPZ5rz6S3Kka7I+rZw6WbNgKPqi0HKDCICQU7l xEl6Cf2Exencj+02Yvn7uK4nYvk8hIkWdD0HxWivldObc786/KWkSM61lja48P6r4j6pZi5mysBc HizppQeZ5m8mPgo85YpqEDHAq5GBNT3W2gwME8trLRA4MGwLKITJLvD0/gOrESFOrshCd5CvBzyQ qoPLbdg7fkfs0xPGnVDacCZmfg7kLTDDduPDXYBJoDMqmGtFKQTEQ9VXEDIKLE7erECbe2aD/Hj3 pySY+N9XwiNS1hMWoU9/UpyqBS/4v7XuNgFrmSN8bcI7L7KWMyB37L06gBNVSQy2OO26QbKxzhsy uEYiC+xcxGZtqMh+CRmzF3aKqf1qGkaTRgC+Twp5i4kN3c8cTAkRdshT3wd8X4qli5HEDbbXZ30Q zrvK1FLB8eL9kVEtiv3FKciAOMvLIAoEbEt3vAQYjYG0j2iUnApNxjauvYgJc7si9R6Oq//fYzqx bygL44/8wapi42Xb/f10UPifCwZLvJm6HBq6YYi3pAP71EI9l+nr2+Kirif6N/VBM17mys34ASBQ EAmYWeMzgbcSeb4WRjb6JNdtElBW4GFQ14yqjJSYe2TYk9G9Dhd8fWUtMr1Efgxk6aJ4uDXsOIst yqvJDACWyWaYnLyjg7aOdfv16kDCrcxaGrcUcX4SMFRPvLNey4BD9kBHtdVrfqrG154LrlxnstEv fo+OuuRv4fx7IaonrbzUAhVPAGGgxS/Y++QxlSQxqsJBc+Bw/7JpXoAmv0kUBKWFbAPkh2b6LD8F jknhfMPTBTfb2GaqkJ316Fsy8cpCenq4RGeQQvs5cxiLDzwewuhYetOqzLdMaxCxd7VNSurPPH3x iyggFDk0EtXftxQSy0bCsMQ2ly+XHGMZ1/zVcrewfpWevO0UEQAvfXR2JyqbRYYQDuDeBYZMwA0i J35IUapQEuLdiQhdFbsS457Irvv6UUETEaYzxJWUDncBJ3AMfpKFOb0OiCtmHpPMDLEmmrcC6jPS at1CtUL5pREsPJCTE2jf3X7U8xY3hg57EVM1kaWGGKVpzDGthkAQHFOp2OuW+1AaioxWHa/Cnw6K YkSgNS7T+AEa9B6x7wXVSv5zG044hGFsOvn0QzPDz+LIyPRIr5Ek4UvAp5ZkRFnLZgMTwZmuAn1C FbNo53CBwLNneNssH8V4BHsXH903yBkZZwclzUGpzETxYzVJSxVK9l812SDl5dzMgFcqGozZ9gus bTvmNAURC8kpvbs5nVbKa9bJIRTBtIRGwCsJgQ62iboa1Oe0ikZBqFV/x6MGrqgqI2kg2Z8HJBVx pIteQis3XKFtDuERyp5p/8CEFg2UTQtwuU++z38zk9PoioNt6WjE32DbuAVIBVWUPaEFiLOIjDmI bJ8YLZStFPems4Hj+h1YjYySpS0oPVjqIJJgTg8dQv1pRc+9Lp/L53Da9y498gmfo0QLJT84JVDl RuDUZPlZ/TknNVQKJh/qE76l0caAWYfK7DAm3iUfkeLz/pEyJv+ChgIqwLYkMEVFD9t4OKVaW1bL mO4ILcV8Lx7F4k1LbQ7HNRj6nVXzBc2dfRWDWCQlU6+c73B26UInJamEc2i1FBFUQ74V+AO1cm0y NtNZVavid3an6n6/Ex8CatWcr24tOZvftWDQPNqu86wLx1hy3UGmNWZfchZ9/rYqx3GgTxRELucC eptO1wmvb1ESJdsuWGtASTNIr3mGxLOzwe0gaKkGWrbfjsH/LGG9aP+HAtqGE00a+Z6dBhO/rghX 9omJmi46pSEAFGwlhjE/SjvVkNhneoY2fPAXmCb8AzpZZ8N/+3svzGfi/lklxtELOGcYngbNmRC0 Zjc/2g2zSCnJaR3rOK2hY8B4HEEv7SP76NRsYUp94FykjT58UyCT6e6i+hFXS/pTj22GHBanb/+y 0XIvOF1ucpJv50KHVpDfsumadVBlvSak2ULCbaTjow5Rb0Ylrja1MYysXMgl8EgXyp6sZ/5ei4Jz eYxnDPSsFc8gEArAzpvzoRVAw+F1Oo6FqTyuvjR+L52eEhjVI3G5NT9HKsPu7aNKlOuT0dqpX9vM ZO+0KK7FQ7W2TIqQrWD9Gnhp6t+dCi5gzehvBhT/QObI3gMCmdoCkgXzD5PRcLyljDIosuOpGfBv 5K4gRebyL/WMTKU+NUWylwXkuQ0FlE4eOebsnyBknjehKg9RtAHrvgYif4ZAY08W8ki+RI7TJh6J ec0CvqyZS4djX+b9SGUZKP/ICHh0iyTMAYnyJArf3Ch/HJaZZ8TxJBG7GpEO1cfnNvTf1iUZxqYj EzcSvmszEEKBifz7UwV0mMZL1OI8KcZlf4cs9CoxZjksytxupGp4uGjHiZ5dHX50mvkEv8ovm4fU UBbKth409OO4f1E0POLMneoho17RtQW0brNuP3a6J2lhDbJLGX6CbjMb7IQtGsp2ZnXW8rJNXaf5 K5cXA68FeT0q2oA1RMdb/MpAqFAsEaIlgHXwadHFwwmQzg3mhkJlwHUjlp4S1jvjn8/ILOLzLX8a diw6vEZfD7MixQ9ZN5xqC6PSHsnzJbJw2VQtfoOdXMhW4RMSL13IKPHzSndWCFpnZdS6w76UyQaT bUOWrULYqmPe0XPkA9YMCcl4MzM3O/BDGqPerTctXsYjemVW4oATDEefDroXx7z7yKvMWI0lbxk+ h+eouo1SCwma3QR5SwA5gRiPAAzIyW0xsU1QWmPnDVKK6Ry+WsWx6+c4wpAsYKt9/gGgSjQDzxJ+ mYXO9PTq4XlOZCBgS0sDOnwTcE/yh/GZRNpsJPtaawU/mIDqAMDvfyblTEYVjMmlLxERCfZ9qdFy bVu1mMb0JEG2fOP9R9BaVqAxIh8++HP8LLUKVtj49sUQ0nvx6psmQfhAm7Ya5UTAiiy3mW4qKhKJ wcoYxkPvZadyrZAA1Jisz4CBFI5n51m388Or8QGdF6yUXlvB9zJJyijnUYgsnXOBpxzY2kzju2l/ Mfsj5zJAAipkem5mQrlUYYt1gDPWfB9R48ax84S7wUIFIJPHtZFrqI4QC2Z443dYM9w/Q4Gv9Oub tau6nThZGjtcS7rf7GZs0WYGP4mV+ixadig+MVSW6ExKQARg01AmyvBnCCP/kJPmBC35SmK+zltT TpqhIUYOAWP8ELp9uerXVTkljV5tENwbfmGvC8rSwDos6UAmPvgAfukmjQbM24REKeBqixqkHQYI pnVmClf/eMacNgO4IpuoiNl+/miIR2Jap9aY3xzPyzUFJDpoAt14rDyKwZ3fpDNkS3oYErk1p37G TVe6b/HnIrzsGQdPGlU9zLFg+K08bGUJEyDbDOAAThS/vxAmpexIYxtSSPe/+JIqcjF9P4geSUlg cNOg60ZRDV64hnjKtJUeRqFQaAke1Tk6JeojBOL+LjMYbgxnEdaiWk3agEHpIKBlz+9UvsAuYhWW 5NCERgg2d+L6wxsyK1GguQ+bhldwsRBbRpSA4i4mdMMXimaonutwRneveeAspo1+800IkX/Ir4Io SvAtuXdt+69uBEPG1NQWGLte0ulrDpxya4R8iWj775Q6z/5jF73znkRBgFFDGkDg+7Mev4iDfBu7 3HSOISqz0SZw1R8TUyaH+05uql9P27lOC3t/Ypu7UOz1yzHv9OdeR9ggiTOmHH3RdYgQhIUjB2jr jt3u/AwsLdancKm0nkB9SHu3VKX8gL+J3JYNc0TajiydLygdfyn7EwjK3hsIrAEzRGCx/S1lYUHI lhj86L1oTv0BFqV7ONbGg6hWFVSZk02jOtCMNIwKpehb/TGWX+Ko8RSaYaF5JIXt9zP++KU2jLuB fTaThcvM8S6xYaV25UKI9vEkrs/WXHYNIybu+NECqGV4P/299pgzPLxlEuaH7js2N2Ms8JN9Xv94 kav5JAtQ3cR7IDutUxCU8rf99aDjOmG+UGSCG3lVRB3eT4weakKVO0XraitwcKhho2bMfNs9ewlO GBk/1pLJFkxXEmAbzdCZSk5ytVWSqRHTqtxAXq2h73w2G6ClBGhGWg3bwQ4/WSciaQ/7VS3QS8dt C6o6uhi/it8TB6xFpp7UkBRRotunL6xUsR9BJ/POCgCbEEiD2Og2NuVxcoT6h0bJCj2m2k2xl5Ge 5tdTglzZfPdC+SHqsZpKRMor312k40B70G7zg2hafz7RN6lF0HKPud0jMm0vGkyT0KGnYt8xSL7K 2dkiuHD3940M86eFYB1XUFD+/7wPVvPzM43dKNbey3xBEA+O0RwC4p1DNUFQWCCNVgdIfqJ2M8tB BhgYhpQ6DOX21y3llB7SdFz6gCynElolrIZVLdIfJWb7OKmGnr82XU1sOjfxP1QtVx+jOZ7AsK0i aWLhQ0/Bi7KK4ScDFjKyMduIheT2AQgtEFd+o3AlpW0xdBLzvqEW3wt1xbBhGTwJHoLbUEgWRgxQ KkB6m1vFhVgKn6Je1ax1wlo2z7F2QsFNjB50f3bWzrY73bBePjU1gO8HytncmkToo6L+VXRRz34c /7UUKzw6jINiA08mivD9wjYj5e3WmGjK54e46svOEts5rqYqDsKxmUU9aICNWDNvHG9A6Q6vUzxI PaONnZy2lNP1NKUL8ZArRcBREUpb1G0+faC6cE++mXv4eby4gwJMJ18Sd8OXU8u3ZJPo9/Bpv+Y0 aZ6y64iplbd8SRqnm95a7WjSXKnwYfNO+qIWgOt71PHewdMIKuUpyWaPvAiQuaYqByOvzVHnnbiK /mK7y5UVVLwxYc2l9O+jqzxwzgpwwOjpy9xQjzEU7kYrHPEizx+/DB2Qt3l2rId1rKmDzNzsXn05 i7E+xTKpHDcgaSgPrTSria71BmGnglAK5b2fnnknY6omgOcDSkaTuqefJWnpIOmaScyyCywDrMf3 XUT7sQfUNA68df30xBAFhbBCJ3yzEc5ya3wPIb4na2O4Z2WLokVXycA7XgSFlJ3AxeKOMzEDldk9 hM2zheCsEzmWj+orK5CejXvVoaqq87GpVR0ePy4aznKBwzEyFKvR/Pzkw6X1C+l0huReGgPZoLne R9XG+A/LSzPPMqlYPNq3NV87cvYFQ2Mb5j6uNfl7csSXq6XbqWP9t68zeLwSMDI9ZAPb4TB/9h4j ntPUOWxMKnJTv4l+rZLMcaRBNYMfXlnbhLn8vlGGo0INm6ckeBKln3GymdVeAhhMyxP7wQCamBq/ yvhq3pS7urFEKd0c/4H60zESodwV4he+W+9GUK0m8AxqlHlx2cLOuL4vVzLnw5K6x1CccuKvxiHQ EXEBB8QT28y//RxN065MASwUCXr1VYU3X8MxcHYNvDODAMNKEATkmN4hnJGQ8nV7T5upBaqaY4Qw UOcqUuDSUBwd3m2OoCbmFJHTpDu2XF4uU2SEiUiVdZ7qkpSAj1RNEaUPRJ+5WFxHkUrIt/AqHKpZ eL5BVBneM8NhsL3TrT3DFCfPXF6red5fanGUnGt2O5TXO10si1ukTidyZdn6gR/mU6a1O/vj50zt piGa+jK1F4pWSmRVIB8HEqRFHpwaRhe5gBV8WRZtkSA19Uzlh0A3Brbwu46QlUIsZQZWQwIOxugj VikpoDQvuj17hChz77DMNegpJ0ikPKF8zlivUHDat9eWjmxYvZZGKqb+Z9H53gm7Th+n6ePpTfRe NJILCvYtO2CBJzEIUz2DNcjtIos0Db/UfzMY8iuM26dUbTCMmyvz/vPToLuY70LYd7bAVxrL8a71 g/EwCA/Xi1EhrdjBbGZ8UE3npCIEYqRWzna5GGEFO5iU19/atflEm0HGF1hLfxacbT/RGsykLWDp rM2bxNUGbXbln2+4NdoHs2xOujnj12LCqKNHzjaPPjNFGWOcDzz3ZMi4u3BwKv6cfRdJixfFHg7I rSqjKfsOTeWGsCaksI0ZLAmMau1qtnaUFQG9tkVmpbrkAXBJjmIxn6xppziQo5ULHKr6bhyhyFVR 5GtlEhRCmDR4PDRI4mfZd2gogVqMJ0i/nbKTYMdTTmck61RF5jwyp+zfgeJDOVHkz6FMZOSYl2FB fK4R3iz/3eGOuZ3/di9ljsCl2SmNj4Px7Iut7mQvIU+tDtZS59iuk8dL3unDUyQ/KC0ewqB8haSE qDmku+uYgQFezyZCZux47aJr91LmwmtnNAlLPeTXZ065wP1cBaO3T8gobHhVB7mnGCUc7/gK/pMR wQrDuBf85G3+U5oJqTElssgEw5y3/MOYf6b3vP5ovs6W2Vcwp5lp4ouhsJccnioo8XUIpmO2v511 cIsZX0y76N0MUGU4HIlSxfb9dS5FSzKB5uXmy8m/ahX7q8jGfW5OuVRlGL5rOJuFpl7ALO/zIden iqkREldTda07ag+R58us7fEAi89qqNSBkktMB9KvFQMBv+7CchjXidm+QGfFM68y4lKr05TWTvxI nzJFuN+k26ZEZeWHiC/QETxWe3zI01JrQY+vy5V4FyrfmVbKOUoQiCQyWVxvjCGugejqWn824ZcP dN0GjDAyPbxUaEehl6lJZQU1veArNn0DmqasGtu6mEiMazhz9QoQdtySXY9biWjlhW0gJLHElKwn o87SRZMLOL65BwHVAIpqceLzlD4Ce8FRdB4eSWvSIWXHHDyHurOQ7qK8+qt4PdeBNpbTIihsdytB /znS1AmoTl46qAZuiojHk1CJn6tK/W1P+39NoohG3yioE9KByaoLJJRGGCk+x9SfRuiGa5Wj5GIR 719/s6f5vmhzDdFaUIbheycF+SS7qyktPywEaPp9wa4ckDGPCZKu9ZYfINv8w4fpyRg1JIRR39nx n0PK/BLfKXnSCeYDUFGvTs7ZqQoukGcfwjyMl3ISvYcbUrTeJbYTWONFfPYb5dr7W9uvvSxpS8TG aUl//MYSRCFKk55EVH4h2nWP6uWAdD72jTdjlZZoAO3+l/ZonH+k4QwZD2P3iSemxdXb8GX7cgZP b5H8162ri7i+lgW1ljpciGg4fUbzQ/S19z1FPWZqoe/+x8bbm51LRCUik/H24nsVwD37ufMxPPeA ALvO1X+10S9N9fbVv4QPEt6H0o7nOuGM1fnNgROhYptxHAp/bgA+Wco1mENq3Q8wZLhle8RJ6gcq VnxLVF1C4dl10JISleTJmXUMXIp96SgK8cXw+QM93Y/tLa6MgeHc5rnE5eEMN7xuTbr+tN/H8UPo uNm9eQVgaa8ov58UIt1fIIku7qwkw6BJzwJLv+QxhtLfdPqIhAYF+Y6/Llz6GGVV/EJtlGiJQmPF AB5UQAZgcvucQH5GvGCLRzDXQBmVDNOa6vsglm2/mrUQ0Qg9sNJe3eoiatZsY8+u38DBnPtMMcBR qb90VYVQHjtNtPIaNplymz3ODGRMYLWjhUNwiJkubhvyeZXnYexRJXnGrdR0UVhGX3wb54P1en8b BUNwq6KZF0V+YAbYzuHYtFkQhhIFj+QS1VfSteVwXPGVXJiabeNkYhLYRD1OeqSV/kMypTxq1XxT C+4iX8/x78HSvSlnJm2E3w1cQOfk3vVI22j3ylrWjNELUNZGMc6oiQgF97cTtUnJELTjZDK2g+U1 9tWP6cE8B8tzWWUrbSUFOIhwsQKjeNamWfED16Y2JHa+c95c1N39Rt4kF44f3b3ceVGSwfe0Nxo0 2Jm5qUo6ihpkldeOOZJ2WryAhj82Qjrzqp51UIVnB/9dB/XTuTXKQlkfkFPJs18LBdJv//NDPcxx rD4+DtKI5dFdxpAF5fOJ/MpyWhSNfSdLcfchqYTiE7VtRBlmZXaNOtMymMQZAbyYVWqjxyCYyO79 Yg6Z5wSPa/fNM7gHK8dpLW+iGmoxymN1tmWEQHtuY9sC4i2p+k7ENQYYCOZgzRpTd6M6qLa44aua yVfqd2Ul7admZBu1vAY27I3jLo3LkMQfcdPETSZire5uNSfPXlUrhqdVIvKFxKicNFe0BmnUFnvP 3c8XKHMdEOgwMTxHd1VkHxM/TWMkmKTmUgQhhcyJ9GTnWsNUlSo+cRsQ9TQQ7YMgvdproDu5d4FF Y7wdw4PpT0ooxwoOP5Lo+4qJ+ZxDnpE7y6xZAJ/8xrSqqii/HP+dZF/V7ZK7q/DY7StQfw0hlVeF /0WlSLkctGeZz7VZ5WdhhnAgqoNlj5pb5LTChvohJ1UDWSQfLYUqA3RWQ0RJ/4NUA/4nAZAeqF05 oKqJl9wON44pRghwE3CitRG+11c+xyMcKfXmSKH8NpEz1bgtfyvwWChqPy6cTjnRsZqZLv5Dpr3G a74t2yZYWEyI6htrvfUgaOr6kvPEPBhz7YbixK2Ws1QDYdD/eMSmItP3yR8LtjuEIQlxVxLak3Xo H/1C19nTSiW+9rSHFzQbT8+9fpAy3WORmcBPXdgStrUD69qvS5P2U6w1J7HeV8N4gasBuT0MjKqh mAvhig3Hw6s2gz7JtTovm3o/lGHp04NIoqY9rDjhKbFu0/WDJZHqnW4smYldnJe6mvUBbsFvMjt8 pjgFK11Poh0MwYrhKx4zvIFT0EIcbech/pdZCAVmxwaqST3kU5eX1s5xLDtbJl0OhvmbrwUSPBHK pkCwyVbvF997TefYXDRpdN8+yr3+fC2pQxTD/kfGdAn66A7/aahtaktQ1gQusXz7e0oCu0eWl6tB w14FIj/7WIwRv8mC61mSSpZP9KM7JYvB8K0BzXuhwapjowiQp5LFr2dAkG770AHIDUZ3GwhDU0vy 2vrLp9A/lS/BUa4zfZNlf8RBvwxT3GNsF81Nm8fwlJyQWkyKHnLseT5LojhTUfh3u7fjkPmD8bZn iAZoAZMTxoiJubzlHFuHfNKsJYxayXBvS0E7qFwgcpyqWU9C74SudgWqygAqcT31oUuEO3i5h7ik xq+4ZUZr+kY2K3Zkw7h99yorvwzUNPeo1PcfMRiqyJkapCjElo2uIUvl791kZkWXTONAg8vld2KL 34mvnNjn4Jc5XOi+svacJvCQmBMKu2S1VfAt5ec7aA75whwthWXqFRiIvYBsJCaUgfykIZX33s6m GfkiAxuV4KK+xbvoA4kXzKZ8ZDQuJMNG2LTpYY49MLXiK0o9B6tq7JJ14wS+Dw5tnS40hXGvq78e K/Eocq+L29DhzDKilUFAP0q1Zfa/t2f8c2AafAFtcchlNZrkGfYirH/bDIn86yCfMXD5B3XnH8Py G32zj4PDtvNr8uShBHipOlIg0Fj+SfmbdyXxXPrwFtj0D2BSNiOTUBPuPWxq8GNsctsK6vZNcYTT 2ruF639pbrRruxq5VW86EQQIBLmpC1I7+ztbMJMfv8EyPAmISh/4PT6wb97x2m711n3PzhkhutYe SDZ4zhzs0iC2Z1hWq1ojGlcKf0fpGrvG5zuwpnWxiwfilcpUpzz38sYAQ1l3mG4UebD03+W7xXW9 U1q2rmAtJ5A5Rf46iulehq7jXnLH15MN6As5ygWD00EqKQJGBzJrt2ofzXm6AQcziDf8ausptVgz m+eRRxP5qKRYmDs4HvYWUF5SSu9BOs2dOy17SCLijfDdVuw8QW8KdS5EQelfW1vVcgA4JazJNuXb YNxYBnfvo37aZHef+k+fBoS1YFAX0muTDPSZnfVos7PQRlAZYdOXStv/Um0tXJe2I2bYJLg3RCua Po01IEkWf6bl6rus6LXa9zft6jvZ0VR7L/8vEhi45/9Ib+e6r1hWk6z0iFf4TQ0ti/GJs4h+fVsr CGa5SUdIsT1v41U6MLwyrO4t9z+g4lE1dlxrxjNTZ8LEnj2u8IKgjlvBGdUgfZQpNR4dKpJJF4SF Jl2EeU0gaudzjHm29fkPVOODP6c3WNAXbJ6mwDQzqkE/21UQP1ozn3ipby6FjpYzoks0heqzEJJS dCNpEI5DPTujGGh4WYYs4PPvooXVbkHr/VCM7ktSr0jA/uWox3BELvu5lz95AiQxJNySWpBTfyoa stoR2oGSNQ+UVJIAqapfoFQkZEL8BQqVc69bykFLdsJhpFmohWu9Fl3XcreoTY/yr9alAK89jHlV X44aoDY9j7IInQu5OQVyJhttAd6MYZBcp5CGi8eyOTp4h++EvsH7wDpjDCE/tWqnq1Zw5vmzR/UC lI/Ya0TSNrVEe9J51wau7U9bbBFb6tGN1+v6S95ikSFYqrN1gRj/tCQVomsPL9wiWfOmyiqcviRE tl2ZHF1oBa/Z4UPZMZZddEBnxOEIacPo1lrmdIO2Bmnd4Bi+7sMLEJEp1G9N2gyoNylvyyUzHUsj z1IPrKgqvEb9BpIS4uI3Zj1XkceuvYyFH61ygW/Jq6cZq63OCdCVRvpOp+jCc1G0FnSMFFx7pJg8 oSDwQdDyXZqna0/lqvdTWKrExMFqqumYYr+zbzeNP/oYryA4GIh8I1qMZcuUamB3imy8+5g6eqQR W90Ch1hhEFuWosjB4YScyGEQkRwzn2QYq6vMmkwifvUapBmEY1L6C/sxTn2Vw9Xjhp6SLjNQevnx I3EpgvzdlVYu+HLH7TaTWipL8fxzaqYI3H/nuxbuV3SVoiOnjQQb0QoLxra9lYwiwQh4JmngXtDD yjL5+4x3i/ITss5QvFUMlbW+X8a7O9hmsp3qNj2/0d8o1qJioVCqTskYSNf6HMTop+cYi8DBq3zQ Dub8fdrsjagac1nxQQGFcssChYhoJm/cJdwtCmcxsD4fpRCzOMHjUZrSEUWwAVlkaACQ2MbRwuA+ IePYZTCtM56qrdqDXlmAaCJQOcpJKqhRL4HS0AevJuENKNrt1dTwE0rD6QHm//K5juvJi35cC1IR b1d8PEyjbzrsOjOxNF49AvI8MqkgU9kec3w47A5rC4p+GFs2/fqAleuFrbN+Z24BL7l6QzqM/rfC DtWYiinXqkXIlKnUOmDAIc0gxIJl7Epsdhs5kmVyC/wLEJX9Vu49Y3K/SeztG8iMy9X7okznHsZN 09xZTwG8I5Kd/UaaDctJMS0TL0FIch27noIg2YBUSJ1vsVagdjMjHP6krxt5f/prYo6Gcd/iDp3V YzlwLdYsArehv9gisvnmGXj39ZDMI9V0j4FAs7cE1rbM8heldFN9iEYVx+9Xec+mDFAx2LgrVQgj Xab8EtAX7RhCpOyouGw4JO+e2lVIgmn++ofK3aM83DahuXXXFcsZadslz3e9FFIajQOXGs+Pezk6 h/OaUEPYGCMvHp9mpdb5+1xZt85z97NVNgWJmPnkfceAjgvsJHWnU7r0TrYeTEj7Qj/WEiqnrOoG PI9LQc6eAYK+R9gwmtHNQ5pwIhL1DsjDqggAAf0ixwbpVkg1wFGqolKw1XzW9T0QVb9Qo1+KveYS kVnYv0EoN03APDnIko1wXHcQvM8jqeZQLD88PbUVyE/ZBx9b9oBN+Md5XDvLETIBt5N6t9181CK6 G4GFCdQj+Qt2+CMI/yBD/gGQsJYNxYqm8Hf6uRuzgc/AheLfM5wwOTNz7p/Px39yqa8/UUvy3k1q BN4Cmanmt2dDJ8i1lvnpFpWQf2uToD81yKusdmxq+Apmt1vIeP4P7/I+BSG68YSm/SQiu+M6CAQS 9hABh8wS4fueTt+IoEfLLQdyzlxzlGjS9OSz0Byu0890FFj65sJy/8R3zkdP0+SUAFVAO/1EB9h4 1nZNuQXanGpZbupLsX9q3wpTteKLAG57/C3Vbcfi2b4CPAiJV9Z29k2iDIR+ftvAlb/dXBZ6khlO xHVCd8Q/BgSTUkWcURVKxeA3iW3znbgbMCh2fEOPZGC4szloDVcbjI2iViB9t6EdP2kDo/QUbF+b /WlDx00RFw+umFbEPO25BgvbaBsvpGulJTRy3Fpwk7BsSCDIT/Ht2E4wzyWN0Bwk6KGQJ1mAJdOp cpf7aV1DlMtMIyfjHj/yrBSascgcXpbf26oQbysfJroTM1AA7MCmk4KYLEEJ00HuIO0dqOby0KET NOrXHNJtmL6bUR2QZU5bwh9xnGstKhPSRp4jjGLSOKXK8SiDnsdImp1saxREPIEw0JrguuLd5xK2 vq6GDmaj7hAI8yJmo3bYNur83LbvFrE/uqsAar0YlKXERLqgRS+oX4adY6k12eu8d7cNuJ8v5LsW 3sLZr11NLA8KqyiXmZJ2lLp/EaKGZAUM4PvbNA47eMDRf1WGYqlmn3G9j7CS4bv8Mu7fKmMN4PLi aHQAHYyBkWhiv38qogZU19e8AmbLNmBhLruyQxd2T5HkkRXUWBse/JWIHYjspkMKj4qYXVdYiayY olkIl3Y+GsISzKI7X6nCPqyWcs4RKisJIKLlBMwd6Mm7+CymcjMI/N9kiS3ZVt4nQoRubHFXW2D6 1WfDqmFvb0KZGt8aFbfmWeX66fEMlIHiSAeff5cJ0g/N87foaBuik5ZgHgHukaiFCl5Je8DgaHZ3 WxuUjf6P0jkFqBWjN8LhAflxAGghFDW9Kffv6qLIBdQoAM2Qn6wrBXItUVTn61hz+EgeHMvU0bUh RDtTwakjX1ZYAELXyllje6iBq80JQ6rIY7DHeCXoNj1FxqxQBOlfQFb5ZF+Kw8N4bnpoopfrWgNm JCUlmaEtHnxKhg47zgovfAM2Hk/Sn9vnJ/eHKARBU9e7VgtMNLcJ1tQWR0Lsi3GuMS0UK8fsJA1f JzE/VvtnAgYBL3uvCTuyNT+5cBrJj/hOoE7kNiOfHngojtGStBIbYEyWOV0QwideSE7KFtr1uXp0 abCunnRo9bcUufTigJCOAaX+7syh/k4d9kTojv9DdZPvj5AzXqNSlco2CjT2Vtgdn19xsZLd3jfZ oGl7bPlxiMLIWw5TraupFZunmqQCwXgK4AvMCYd4OnASDvh5Yr6eOA8mCQHlaEcz5HUcCLcBD1iI 0mxEl5lovsDFoPPsRGrbkZml0r9ilVCSDv7d4v+KSoJRvVqqRjL7MZAEJPBISQBpqj0cCLzqwPO1 8U4XkvEJK4dZAg70opVvj10Jh/6yFVYQa06jCNHRTb7fB+01RDjOP+irqZtfMMnKvs9Jlt/P1yrQ Qjgi7q76wanrP2HJ0L4uDPLWJbGAcee2zEwwt+lcg36Q+MdFgY4oCUeu86KK+kr8CNjKa/cDkc/+ 1AbIX2wTW3V9Ma7qNY4hdfe9vun7tRdXTrnCxAxznUn6n7Y89TObL0zdZg1SPOAfvLov8MOtqIlA n96N63m64AILSak/nEpSr7dJv+pGvl/Q2uF7aYkIVtcSvF0JLZHds5yfSl8yyJbnUpprGDoSHl1S nFuWY+PHVNi5JqI/NNuiaAoPDtwWv4UEMOHyFW0RWNE5SO7uPJbYQxzxMgKjtzZ5epo6HsxM8dhe 55fkod22F+wLxknNREXgF3nQE6ihRzZIEYERiHvyWZYO6R6fI9+DDxHSvveilesRh5gJih98/Rqh K+o0jFhA13AT2+MD+qFAgI58vEuF9+V2yXgbei1ZJGVngBStZ1SZzdfEP7TmBe07Be0tJBrqcBbs QBWx2CwC9EbXaKglb051HgqhZyAPKy67LFmr/H3DAq1a9r6CdL0sfhjWiwRwtI+jA3rL7XbHZ2mp e2ad2ryGaCLNnMdqQScYbsASEszUaT0lwJq9oetDGtUIP2PiWHJpViByz7RpzpjfnHL4IqcNIwI2 GSfUlKZ3SzNdXZ8hpC1KqzkIyHIbvFm7oyjJxpIflk4oijFDfgXkGm5idZ10OaXKKsnZnZRavHln ADfbKTC0UuHERLv8K+afusGPtwsGHO2uksVsML7qFNUJrgOd+HZHAwDo+/Jhs9ghnir8wOLpwXjD 7AoXc4jmMMw+d5bLr2BozVEk51qm2g1EmfBo7JFog6w3nZCPoK1skF28FSCVQ+xsMxvi8aEMp0TA uq3DF1DN4NhVjNAnwtUiueS8juDw5wRmWp0cCACDz6m+o3JmmmwJr20MaTBE15OVr0Namrmnj41i tlzPaC3+lbE0xVslYKnupPkKeQNKKLkd7Kq1IMktRSU7tAgKMi6G330wcXt5rRi49uYXidtZBw8e yT5U0HXrDKdCCVeYvGYd/B6AdI1iUmIqoB31qvVSGFkuIl/xMs0VrAcWm05fqWAz9uCb0XQvdx7x F/8ptjIgQmpsu3HGaEyRK4HjuCQ2VWEsM3M1OrMoNDD87QciW/Al3Z1IHt9KXGPIWnaFv1gvfcVg EU/i2KQA2ZkF2kkt1tsx7UZkInS+dQDKTgjlA2OmTGoL9Wgc53HBxfhIuG8X/GWghElsYCQqIR02 m93dzztVnK0soKS/WTej6KGv+ouyDpZPlIx9S/wsqaOqApmhucM3+imSqVUwcg9h6SjTZuNVLYfu Uqjyam0gG4iCGZ8sqiQENbZqcG7ze3ev1cyZJSvGgn+7zihiJLOpQGarZx0poCzqdoxjvx9Apiv8 MAeCKg/V9iZSSeAIB+tRHcUv8em7HHy3mZpulDQygQIAOIxnY8r7Qldv4z5s35dE2YGZAT2/TakO 6h4SgxwMOCEiLy0hIfYgePsDbssRXkQ8v126n+63mGkapVvzIfDfJSskM8YYTjvALhpAYTPqjOxR 67yiRgvGerFVpcsXL3AfVU16R9PxBZYDQhMTOH0bh9UONsm8J78vXen/sZrzVcrvqOFBy8nRQmCG CSNKkuZhxSqdlfhARi45Ldre6MrI9u/CRZvZ5x4PG0X2mzQgV9N2r08vFKYPX0jwTwQvrvBcSC8S AfACgSajMyfYyxuDMlyudTgW5alOH+jXdoKirdPAk4UZJtln9cHz5w/6+zBqdGwCCV4EjMHMfD2r 9PlpWYfkc6aZcrs3Yuqmi2heGE8hcj/xI8ymAxTjDzVHRgGVhQMAtHu3ZdUosb78KV2BiKj1xtcg 5uUNncD4qTIbVhQyTnaxwOg1Q+l3hwNecgPIQbIzQIZp+G5vo+YLrTdRCzDOXVIrnxRRqk4oFJrm SJ0GmoQAjztn+lTNuJgV9n2p9dWNT1BrV28aWwmhMU7tiXHclHe5rrDHeQ+jOKi7I16G/lQNhHNT uGseRPSFh285oKPO/heSjSVw9lGjD+SVLa+NNBzbc3ZKCalNYETr/GtUFv/jhdusf2EyWC6lo9f5 Uf50TYsHOri6FKVIWnfgBgl7tV9+MD3IlcFDwAIykSJ9R3K3SQfiRfC3zfpi+l+zGJH5QJNzi3l2 OUxWAGd7BS8Q+tIW3jGg/CVcFVqt40HzuEUlaL7ArYpO0PeLsmZN1KE2KvuNhQgvEACoF1v+AG+z nbuOUzVpAhWa/sxC9GRiO/vdsGkBKivK1b7ghzvTeg26NBefLJ9GhsAtgVoYXeuxLELERtvRDj1o RSvQTTd3HpxvTt5v1BOFoq0QOiUHIlObV6WvYvt6Wd8RRkl9Xq6e5Uf9qFdmuaZKI+g72Qjhpl+m XIg2Gk9i2qBYgfdOb0fv2lXmuM0Ue6OG1xRCA6PtMtqLoH3tGzzll0JxD65l2WkIPZPY1InbfaBU 64wJSJ3HgSbNRQT3ir6UIDlcmxM1LTDl0vOPP2wWgHetotdUdBExE69J3I8wSc7tGjBPzZTO/Lvd t8A25J1Rn0g7Wf9/OonAGXWH44Yrpxm1Lean35OH3jftdD9JxeNKKfVKKkQm+pCAAaE+k71Vqb+c tJAppQZNdtSBL2Af74n3w+j1+AqRn9lO0s1wKzs+KgZ5iXEsGzc0Q68viJLnokHnqCxdaRZux8s5 /3T7H9kvDVnNhFGULZyXofe5oWz6yj3vh6OC3I8WeE1N1yQK3VIOiK9WiqJZ5AQerdLQols3zNX+ 3mzEjAYcIvyU9jEOn52NiF6mQZCN11x2Ova9v1kYqP7q8CN5/xhC4kgSeVtC9DwDcD1mYDqCb8i2 LRX6ud+mkUkF5G6bbGkP6ehESObSnMGN6F7+2OWUyt4Bxq9tpyFTOS0zRjOzjBQG2zqeowNm4T55 sA3AxK3+mjQNsdtdiv0tIsHVt0GUgfzwSwLcjC3eFkUw/3AjdpiJuqh/upRDIBH6EDTY9NjDAntF NCOGuz1GqSmddh4s5daJDkyjJO7h2Fq87khWBryKQlpeiCAvekLguWphn5SFTeSyXtZGya1lG5Ot glEZdXR80Lp1pk/n/eaqcM16bIcw7nG1jOYJN5Z84VdCZQsLU6ocb6QoDkxjWqn4zokJEhBWdlpK bqxSUwEmipd8Ra7078sR3LAzorGEqcvEgO+0nTDsVjyGiKXx6yPr6vutrorzqZmrK5n0kx13RRsg aAEsg57EJcCCVlHrC4uITEx5ihrR4OmQ+R7cg/Eb2Ge6IhGLS+vnpikw5d/3btQdhAKAH/VNquth u5leQLTjb8+S7K+X7JX4NPplF+OREIfnWZTM5N061qItDpy3rvZ44j60IWLayh+Hyf9Q5hT0h+O9 yr3VNkpTq4A4BKaXU8VwFcw0zkCVhlXVtMQsjPBE6AHlYSsBDG8n9dcAnTOVANlGoLLNlqDke/MS 1xe2P2gsIKLC63hNliPCdGRA3AQTZvxi3qNdXU0EgdmDml5kgpiIOJu6yf7KimhsABmmDi9tLKlD EQ3h+oeXRrdehyMVvpIJtzmYNnyM2Zs/guPLXQ3H3luR+Eeq/54y6tsWCrIj+yfdRDrlr91tfmHJ OfOdYiN/rEJCRPQjieBIvREisdIPMlPJriTY+8wI9Zzw0kupOqPmH5EAHblZYo7HxZj8Zq21ipK/ xwUzwgvlXrqLYrNRFhTpcYxN3aqV79sIyWuyAUuXsJy77tDAHcZhobUu01oR8jouhbIZFM6St9TM I8TPvKle7G0DfusdZtyWbuW3vtSEAzA2dOf3Jmbvy7+5hS/B6kHNvRWaeUQKE1qEup7g3P/v5C6o JaVNx7GST+j+jxAqeJ8PmmOAH2C40IFyBFq4kWbkfl35w4enY1JYDRzGNySYfixEtlwVF/jqN82z mePbu3uJoHnAJrt7DEwUip8GKUoJGCF0K09ryP1+LF4qhsR58EMhnfrw+vlktH7/MVqOjZn83o33 c4cuQ+wjIwAbwdHxmVlEVlUqVPeyTTq5NhMuCbsQ0panEdR+OvDefyycu/j0weRS0jAN8Mq3Ct6S rysmdpjLqNqiJWnK8Ne8r/M+AtgngK3bjyCi3i2GxSiyaiyTZyGTxI27ZuYslYFMThNeVp9V8PS7 tDkUpIDh/HheJ74QxUuAh6tChls0Bnipjn9z5tkVhODM6iiWuF6X0fPex+XAZhZ63n2//wSysljj 1jzWA5//dap54EBcRCgzquywydmVzgwPOtc6IpQA19FMnTDLz+OEHmKmBzACn2WeoQvBWqU+EsmF X3vWsF7rAuKF4kc0TabhXeOnCo+dlURNzW5wGBBLZublmLGhrkL1nFjhz9a0KaI5RsVH4ON59g8R oKUhJAY7VzSe6G0+x3PQqP4wcXM4qdK2ltVAgOvk7Wb1el4W8MpXW5Mat88iwxv9MdMPtHLYl2Sj ahLgc+54KTvQDZxEbkpVlh/kDHhP18oZ0DaF1YVUf3L81gmydVP9nJTl5CYoDiTQEnIxXULo08hB r/DBfXz/QFDAz4X6Ub3Z0ssrIbuC3InTNpE17FrXT5gbd6NpThdjrfZOkt5rGlIySizx7cWISrct Ki2k5w6YbvITZSWcr47oK3f1aDShFeQwy2NHqdzsvgLWvQlB3pgbcYmGwqqIfqNKp4IBihOU7C8g gvnz3Np6dBxyr1DJhVhHqySwY7VnEu9qv3XwiZei0XHP38xDvwLbqI+12DtgyK/tFsayViogPTrf EY49wkvZFNUJLh0bdkJ17yDZR4MGVhSMdxee7lf+03NbVtuFKUGZJOhQyzfgnhb8DB7pfr4fCZ/8 YYAdPprMGSN/hCLhaEroxS2tmzNkMjtFFYKvv91kX8kQBHUQCsn2Z1FvMDPRBu7fZ2TZmSOhrGsu VTOeo19VuYFHw+Fafi0IHsbTRLDXP6lu4kD+b64608Cfs5RK/zYoNuDxQhOeQdcmXdnJIuXOIu/h yOEZKshnKfe3ee/WXD6nbvBaa4DegIG9BUwLh/3aUGqDnDaNxyNv1b1QAOjrixDGfveIRdDQUUyA cE8dpSPGB5EdypC57lEzQJMXBbrCtWGhBl06Udf0+EUBquuYK4DJouvdtk0gQSzb3/R7O0g4IH8O 8wjdJLvTKagEp0Uh9NuTakr0G8xRNsAPpml82v4gnPjzK7PIFrPTf9vBhwicn1Rou/lHw00ne/b2 5+Az2FfRp2Oh1fSFakUYMzf9x1XAQXMlBUDhiBbQ0fWLN1ASMO3iQRwiuKdvsCWnLgaYOLMPZXqM kRbrHNM5kFRc0QSy+LVh40/S3HY58PuMO2YdrrWQHk+pZ4D9X9dPa96QV54RM1DDb+blpnvuxYLh cvvA2quwZwoEri8TVIufWAGi/cO7sNaLbDjmuVkDczQCSThP2k748g8IidwY7S4LMb5zA37/LMHd gXBUXnI5yqIYYk16eXLj2WsmL2wJ6njScYph9q42OUjViGDpxWYK+1CMzTsOnQ4usKLxU1VB6Nvl +0e2vFpyrKwYd6Fl2m8xq3P8AIIwgYbdsf8BTcbWOsndcwgSYKenraGKabumiM3aN9SsVszCq/Qs QBKp6ugHWu8xo9PmzLdzqnWi9qieZZx5vGK0zZlRf7+vXWO6fT6+YwGzMotiyN9RiBUG+WfYmh5r LQDgLkwSCTg7Asp286N0MDmw+l85WixafAkd6daGwtj+iXN//SrEaVCe1+0U/yqvmflRUKvDaT+r QCMTWf6bxx7WzGGE+oP70JFyTJyyLZLLY/N6PqF5f/hgOiirXi0+5Xl17wOKbl7Tun7N4t2EFB8x 0GisCjFlPCJxn4ZCkQCM/DJTqsMzH0IkEdsyFcy0e7UX7FhWPjjtrbqsn4iTgqhQWnm8sAEylJ+0 v35TEGKAzQ4EymZxwqJsWT4YGmdxCP6Z0Qfw47A/CA7hgxD5bdnUnzvtnhcIxqOnjE8asmlwgd3E U+h+c11BeQ2xssPDzAPCosFT2VRKscigJ0mLVLHCdMyBLfuD9tqkKBT2zffWeuhuZnYdbRh2cgSw xTVfd30mz95ADGXCi5iG939X9o2eNmuxFA7K1FlDcVcUVvbs0Lu4jm+VkegMcNpE3Xk/9tFaTpif zRftcnAIBj5rKzlVGN0v1zgJ84PnHVw1lKeozoXOxXM2cRXfziRvc8eZpx5WR6+PJekbOlo+lmSh 26FA+pA0EDgxTZ+c+8w3BZDmOREKeBV6YjLidKPn4yvRBAVxbPNQGk+j9I/WZ76AOJfJ0R8Sq/j7 wu2a6V+ZjBWGlcX6aumz8YRSDM07ebDeg63TaFNwyEFbX0iB7KEpY6xIm5GxF9Jn9BR22ZvorXsV SoxYRLTvKgER/5CeiwobzQ8WykpwPUz21Wqk+2x/MCbSgPFZGQmKvI2B3dypSI5Nyppx/XwglH1D hot0dn4qg0ie9/74RgqAG0+mCLXJbTiKCMhvqprqoWas+WHncpvIROHHgVp81BaDJ69AmLrWpsmA BB6AT0ksnn6nciSp6B/g53kMz4BeNWABOe2Y+uWvLRaFR6BRx/AiKo2pvNyoOjMqwRFPG825C+B3 O+AofjqQ+TGRP7dt5wHQZpb0L/hrmmOEXRYCCDrs+ivuhXeYp9v6lWsWziSuXLJ/+9OoYQ3dOZwU IsKUV+isYGuy8l09teRJCa9CEBmwb4SmxS39HczZD92R71jONWibPjuXA9IKesWqUp4xBakAsPGM 4S6nJPTuzlpSF10lBu5EcFF6/vB5Uq9FUC/mAd0P199ZOeZ2TMCju7Sxp8uzg8MllaV67/sP1VLd 1wR+G6RJyjdR2dZfv5KkjaMSYa4FXJDja/iajKxopQF9lH62rmUw57h4jmDSOtluI/sl810Lhvoa anVsIvDWVo33990L39I4CLmDD3pD7Zm/orJ246BKb8Lal6t4kUaL6v+dg5t/8wzg+EWv3nrD1wqw JevlScrExnOsN2bJXfho1mBmb4H2Ovl69gde4mTqxtyJe9v5vwWrtdQgM73qI6taty2l3TB6/l7X bUylGGUS7dGUEg6syUTBg0g1BvoWBVqFKmJPrHEaWx2pvqYhTi8oQR5jrsbEQ4JCoP9qvHNJPm59 +awzI0X9npUj1oiMhe4cBTYZEu2o4MqWqu+t6sx8/4A8KZhPlMIsYiak2Y6Il5Y7EgkQmxgHQu41 QTDeD8tuPTmrwz16voxhyd4gpomx1TZ84gVvRFHHVgcuk9m/n2+Gp/8etD7dEUllvzMTq8Nsft4g cugCJGg30RO0TIUS98Nm500lmoapSTDx14byReWKWx5s4DvRC50NSdFLJR44kaF6umaqEze/i/FV 2PxsVVLVvxeOeLIso/hLxEIvlzBxUGIHqf8Mc4aKf+EtE+lClNh7mf4ZVxIlC1g+fBueby9bhTi9 IfoQA05+SJ4PkSRzGivnQ1G70DtdXKYSbmLCLsAyAPmcI+QwwMYhYpBoI3KUpB06FBU/Qnju4JXP p8/hlL8touOUsNOumV4jGiHyBudHjl6447ioWmagWve3k/H0fb4PrIFVSj6ZdUFPK6VX1PL2DgsM bi2pFEDx2hyqYGn1zEYYLxGBluLz2jUv2Ze0vkecE0vixaZCDolmBuszvUmb+zRDlp5G1hV2FO0J FmSoqZk5apUS26NmKHDVGM9cpjQqqm1xYqohnPtl163qsFtO6c/eRd65S6smZQoCtmfd0+HQfhlf xNxfu7WWwrCQjVefUkvLNGw5+uKWI0+sX7b/VJSQqAlK4EyKTSA3Ct+o+fr3tzA7KsZ16RiBZwqh rCYrBw9lLKBpR6XpdiAlEV8PkrvF4E5vQTYNEjlMostOsGZN4GtKDFNPP5M7iX/Qpbf0ePTkcQN4 gqAYPwsIS26XcpXiIPn7isT0WEDnxVNHobUDxBIC/7iVBz9AdsV3Fx7Av+5h6pOcqNNhDu7Y69UK JNP2HA9L142HqR5m7KQnJOX/ODS+ZSKd/6oDq09c2kgOisDaC9dMb97k6c+it+LFA81YjtZzy+2V gXaQFleeNY01/++kqrJxAZXlUiMyJ8iPtZvT+cEmKas9sOM2HX5J98ebtgwIsOeXh8m8I54TJ85l DIKMw9xmUrfGCWkEvAMPnINn73YKbX3jr+7lZT6FygzzgGZVXmtzSqj/5hOamIYw4Jt55EGmwOJ8 qNrgee02Ukg11OmkEskcF5MHDsBgV6McZnARGJJJq4JNa1J9WmSegCBsdb56kStQ7kQs7HHxZWrk C7+8Sm9sDcUkmxM1AM8Gke71Rdccgdp9h18nFfyH02pHUSUHtii1HXJ++8394lw4wnoRkRB9L4wc 3jpbI2PCITRgEJ5mBwiNBERshoC9ir8bcCMjsmj06bKgz9O+0hVOqjdtnnetrKf2hhIEJNzBJskd mupMs5oSn06CiwCeSs45UpxJwavJZ3gnpE7WP28Y/Mpn88OMiY6f/5ZVZCSCGm1jUcHDtlT50UH7 TGXxEvDSJVSjyO7ZII3O5wrTacOLiDR+enbxUl2Aly4n40r86a1YOcgvBi3mKSh/IpLy5s+MMALQ xDlutFtYVWIlVIcC80kQUcdhC8HHsVwsVvlog4VCtKD5hA8mC/e+ueLJb1Cfm3lpF0+5LW+Ch0aC zsQ5mSKJ/veht8/84uvx9LnFT8UhV2XmTIE2p6Lhw0NpeBDLUtb6TNHRVn1qOEbFXBZEPoViP5hg M+GNlpQW/qKN+rNPpC2+2Ja+RIjoqgTYyufsn1UoBxNz+NQ7tTsqzgh/pye6arFlwBEnmZ4fUVtk lOGdVGA0eNC1j0gnRIJl6UMxElg2sCGSw2LeI9T/s2HPdiFF5vkMT3UEBv74SX+7SxwHXAcj/QYV inI/Twf3QO3LqILc4tCgVrKSfB7k0cf8oBMhibjJoPtR/ZP2NC0OgDLpDalAyyjUWUz78n4m0iVd sDCGwzC7q8d+JVY+rn3QvKxhBzCeBY8x7rXBGTy0cD/JWhGx+hsB+tFaSFtQoi+ZIaaFRMnoS5dy YABCbdA97XPqh9MuQJ5uCQYyNrzttl34R7dmN6KgDkijW3oCAfuwtydT36QdtOcbzI6JGVeQPZP+ BgITjQ37WrR9Tk9ys8Q4qAUlfM4nSKBofSRllJVXzCqUtvtMR6pB2LT5zO4vBU9FLecePipAkIGW GcELAZaDYcBjHeV3XuTj47P8CfbWwpYAyzKYyt28OQRF8/2KZuz6e0PnH0Yqzlc2gRe2+GXDRVog lrQ0jlRftMgbsXEvothRO6CnwfNf/2d0DXGzunJmP6fNQcVAXPi4UreNn+GyRmakgsLJeAS54INT FJuNnqCa0HcxpOe+qNV4B4UzzjXGNrxyjI4NPoB/SV9+EHrF9RnlrxkyW5KFGW/UK5Wh121GzEQI mr+C/9lay8qmEwoC6r6Lvd7vHiTTGxQetBxIh3kNlrfHy3a8/aJd5yeY0zJTTNpUnhrX6Dl7gfmE Wm+6KyQrox8LOyBwU7m0rsXO1KKxRyEe0Vroont2bvrRX2IawNpubJlgPmUjmx9csjqJzOXQXfKf wdV3pGj569PmwpaFq1GFbT6YGpBN5ioH2QSDPfWPu29v7Eo7qpSIdjq/mKbkW8nFkxqIeHrrhOcR JkXU5hUr+HsjwgH84yJ6ix/55IXMBaOpMfsbyJ5gSj5aITi1W7LHMDQapWo14/InDq3AjeU7IWPf QqbNVOUgFS6dvay6jhonNC2IKGEWyhwMNCIW6qTgQypOLZjMqNPlXJjxkm5+1ah9/e/wjSYv22+t thFGCVnSd2sWe1L+cbKc/2mxnOsGsfx0i6nyJTNjrOBav30WVqxO9SWgP67ehtkfidCUnxQoGlii iGrn1M8L6FIjtFFBeigNX5Xv0Cl+jLAbPvrIRklL+d8QOyp0558nWlu//Y12YzJaq7pKaRHSA7c6 IVSJhe8p/OJfUSXUA+oxeI4pXP1orz57l6Gqxft+RcPu7EYC7Uqp12BYTYyAsWQvyfzAQd88DvLc lPcuw1+iw53xM4sQDjZhVwgQnoLrC+3SFmUEZaM9w2mSYyTt6JFbVDzv4445kjBF7EuQ8/zEPleP AHJDQMQx7SzqyS2b62gWlf/0IkQFqYKetxNUi93gL6MWIZjKUCLyZUx0nhTps2VsIUcUcDypIjAi 9jP3zfnmPhnYysLl3ZkEZwcSxQr4bF89gNkM+GUF9rMRT/C5bJpt0KngjR5LHdRO7RnBA0AGD3pz u7b2TBrbXmkR+LGnMAnkUFzT2WNkaUzGX0D4OqYyHUWWj7hMw3U1IGUVSpBRJG/IRbmeHlHmv/Om r24GFj2s87MpAZCFf8NTCpRplLl/m5TR8CRIrcaxLIDQB1yIyRhJmZx3QMZuMzrGOx4TdbzVjQlZ PZZrja8AlsFq7SY+kjcE8ggktdaUvqWAhD+x+K73SNRtRlun0oBudpmfl7wUsPWh8UVpINyvJQbm F0hJnG97hgNbIPYePT/C+z4vIgLhMTGg0uYNqdrEF+ar33eFAR+lm21roNxbNVIte96MBuhMVus0 a/fRxIzsv+I6uhFb+SJLRVqE8flysu5CT4Una28THHyU78/O7eTtr5+BqrbFWEc8UA03Dej2wRVJ 6Z2vC9OuK/GLWrjVEEjqOV2eb67c3Gl7UVRwJq1cw5D9Kplh8NueHHnHR1PZTC9Mb4YZ7I+9yOOP z2GsdolOvn/NBIbhRcjTAxlfKMWFfLOOZLXsPlF81g4mSSdg5/2ojF6V9CgIkDF8o9ykWKzzcdlN mxE+6zBYzk9AmAH5P9U6ja1DlcExKF5aEccw2hFiFg0jyhRP2iyQxFtUQrO+lx2G2E7186ZL/5Cn vA41QscTXBLd6yTnuaEx+kdp3baasR98TPhDqLWhkb4v5ihIg7oqbXu+odTwTV+/0hGRZapsRQgp Cm5MPU24PzjuIT1VzJsh/fR0ydB9gPxQ3EI6a9asV15sIGCf+Ap91OJqsMEmQZRhHaFhtdcson3J 9mOSVSGgwZJnqDv5WshWhCSfPcsfeIsdQNNVmq3BlA4wtOqXHjZ3PD05XYyfTZb1HmqC9euhvgHn Ur6/3t3r0NEID+tA3eMpuXIo2U8/cNCZ4sKCSgIwIXK8ukn+8eicJCrpwftagoO9E2wMXlgM11Z8 nJluFN0DMaZzivwWnK6mJl6LaPCDnmg+rPj0jzy3rph5iz139/GhT0P/l1zZLxnqjeIX4YgJ3cI7 nu0qo+wBE+qLuTW4yM4f6Fmlssi9Mca2g2egih+w/Q3L6RrIixnBX1rbicY8kwH0d0lkcD9DTFHg 8XFd+3IL1gYsp42MoMzRct7zvUutKtIqlJMTcNDaS4THlI0aZ+pTWUt0jtVBSmxGvh46IlQW5k6m Rw+6omTGQsLzN+qLrhMXtyvV8Fx7Zc3SeJ90iuCSJWrVbRZMkHI/3cm6mRyD0xuYAcMYS/niaKKe AbPN9ZremOrgNm5qOwp54omfjEMeHKVPXiNaG9ThvEX5JZbdKl2rSJfHoOV8Jr8T20gCnIbbcIvZ 4I4XWaw3OMs6QVRUEEJc61D2vQPG9c2wlS9T7veLfUUBO8/M6aTojEFBZfczs16MjPs9h0J7Oiyy MAwWCkLAdKzTx3Hlrtl9fUJt3O4XE3TS9ObtW0143EnnjhGCtTywbjQzn/igFplvCXyl9akANDXT h+LR8bLPq1Y+JvMCqIZ4ViVVKYkyhoCrxo8tQ/LI30dQzhzIJSUDW96obyByDW1XBSiOiEf8sZ5w TD4laa9O503/m2q1xeEwN1p0886Duua9jkPuYJ62zIQz294BIlwqxH02UQZyamjFwiiXqaTOteN+ hDpuL/kBXk9SbvzTp69BWfzGom4jafwjiNtZE26KJqhTLwy42aOEmbrAqFfQpusXs1Lr2LGGOg99 xnf6BRLHIgjn4Yd0XMBPXAIk4bRND5sE1M1Y1BZFfdZ6I2gmrOXNIN+WLIKbPyWgucJrCA3zQi9E zl5V/6tgiTKQKwuHuNqpMv831rL+V6C9fjdbzPM0Y4PEb7JBNTGm1sMiIay32pB/xzLhfNRCXZ4C V5zGD9Lpn2ItD3gQXz7/w+129zAfMfYuNdO0llMrnPhD7d4VzHBd7vl9mVduftA70d2q0mmF3DcA 8RCaqI5VsTmgT+/DtdM6wDElDypOabMtk8MZYcTALhrtwnOg514QIxui4OhKpYm+gbjnc2gLhvpN j29p269v6bUsqKdlx+6ashudQpgwg8mc8/Q+J9MTovnEBiEu8/R8H+w5qdwfKL5DF/PeD1tcrHwH 4AaH6IY8k/cT459xv7qpURBjl/ILGm43X9xiGZKJOYhcqRAfnbRiRDJFgH667Z+mlictF58pYorw wL3Y2cOF4aLwuHGfb2qn3lWSio2lUENjkqn1VtjjAd5oW8+vDselFx5Gh5QcwL6fC/iHurk93h72 KAwYaOZZb1Iea7jhO4EGgEz18bXww8cGxTU8gYvRtXYNkjtTESBOrpR/v3wzvm40baK8yavbA0Ly zAy2zMN0HranWkOyyAV7VmkHESksd3saLRx20DU6LJpn0cBgYHQm7D39qcxxFzNWp3daqzKbJrp6 0zwgD38oeOl4Jz1Vns8N68m9UgJ124wnG86/Iddbu6gyYpH5ObRjwqWunNwLbEPWht90yH5WAty9 Xy+yorsgu/MI57btJdMyw9b7SaS5XqG+p82E9UAlxVDVdUs8BUApmBviyrHn7l2HTpMzVr+QQ65P tGsI+9vPoPYgeSxqoSZf9VCEm7rW/M8CF88QUcMOF/oDao0l3aNnGBSzg/jUsJcVMBnurSB5pswR k/vigr0witdUYi/ZN/NZuQ3wanBbx2kujIrMcd7srKvGbqMB4LmzRouCs4Gcim5yH4ICW7q67LAK liiCTEYGTXaS3yivIC6FBrI0YPDsWQS8/4TShDuK1bOrX7NHSs4mDweg95b+C1sz/053+Pra+A+u BfVqYFe2joehs9DMpMK+poUFrGi60sbhqUjFV6EEEySWcU4fwcciKNZ2BSFKYLCMth8BxYx0o/2z Tsoo/UZIN0XWMG6OzaMXhwFuJAU93M8CoMQl4cSeBZ/sxRUdPicIlvDdZ/amctmfFY0gdyr2Znw1 7hTzTBDlPk/k7y3xloTRlHvXyGosehWrE7WK+ur3rhlkbrymhx9HgB6Vgcq6SJMvRCDob5UttBxs lEM07g9AYU7DuSPsYV0Y2Vq2sr5LBry6ZGmiPmE0JWjkeBVoK7UkycMDuF3BDvJGYBGSp3MyZpcM 9xSeBKiBbaN3sEhhVUQieKQEicA25YAZvAZRWvQ0BtfNf3JRvTpZ/pnNIQIjX1JkHyvTHd0mPtGv wV56KrZANbuCUoiSOG4eldMrwKZI0/f0qqDlWNa+WM434dw9dFgy7mvlNVXJN62YusA2vywtPcJ1 nu3j5rGui6MI5QROUREPb1cQOpP0qiBcPE9ai1WQb+NW7M6wsCteT/lDLex5eQbJJjeCoT6RLQ8E YKTZbrnkqu5U8Dz636gY+Koe4oYTDmcoaQe9A3T0ipdj+6aKD6JEHriCqZcYvGouv+dUvil8Ncb6 xuRNaGfrokq3KSrkuY92/CZuCLxAX/dRbp5r2ZuYHtyFJmvSCo8u4jQnZcZ+3vIcWa0JQzR7HRj3 x4FW8CuF4AGp4uf50216DPp+/mnKaLSaWHK1HRZkzCHWuA8MM2H3IBQBvFUQk5D0kXb1tBWShmMh gsTetNXyzk0IodVdAzbhQgQkXJ621Uxa9kjgRAYKi+p0k5I34CIJAm1XpPFCFfzqWh66oRZLN2S5 pQUiUBCF54ov7YlaUnY4ST+IPMouWQ4M7v8Nitp1OSmV7hEJE77NkaTNo4Q7V3xPBsgCfzTewQlw HHv5ndfS6wf2kups+9EeAlPNZdPlP88rB5OmNe2CLJ5JT9vF9/oAAL91Jbv3dvRUS3ETDF+6iq+O UauISBn/q1c7RV/40Jv7zR3eHKUT5Hp1FF5SNEmLdcipp1iP41US8KyEO9JGsD7E/stXa/6llDtu n2U6wVVD1xqGFXs0BRBtx0i1PJoPIDVplG8DA53ySSqzZgw/brzSfzWHXhR6E+ueYI5dV+iZotFs 5qPjMOhY38tZWcYqOW38QFq5bt0pQqmqUNdfbfmQu3K2uo9RSBJZXv/q45v6DcjwS/3iER1rilfF AaM+FX1Y90fiM95BdvZdRhK/tVoNuwJUQOjF0GG3+UcdzjXDIkkF+6EchweQuPA3NXBFsRSVW2vQ QPKmBteiMOrDTk0I+CIYa7UwefJpqcE1E8cduyZ5fUk/fkKO+sBsAK42dxtZ2/FCFQZWfcP4vMzZ LrrnDT20cgBQpJykM0lWeOEmyZDtENBsucatKR8Dnybm13ilvaFUK68HZfhDUEIFcDL5FrXyfQoq lwKciZyF+rJt9sv+8Dy4qs5Vhp8SFcxwC+9l4Rr3+1JOWMvPiLIBIO2Bev3VPVhdOTZLj9xP4c4L cYcZWamYmjzwjhNVxpM2F1/aj7GW7/Zcz94Ziq7rf/i8PKky9mx9bImqsJyGc3awBdwCjVBBFmPc y/a3yrKWbtqZ0D2Yi2FAHv1RcFW9Gg0pw+b98TfImNPBEOGS8n6yoSTmIiRet+6atX1zyBNXUQUe mkyH8Lubd48COSuRVkGPspGx5xGNMF0QsFJu6363yR0WNBVLI1gHyXooO5vurMVlDRP35zdxmc74 2PXnm+fNsW6RUQi5yFKn+zNktx+20IHvsPxCzmMnIyARR7P7l1mXI0DLDNYGk1C7H8psboKIcRcq z3rwdh5pre/AjcPCtrbBIk2epwkGLya2xyaTLAwUvsHF6ikx/JAC4/swP+yeIHBZnfkhidarNvRJ ldn6ZsOf74x0AaF0lp222qHSLcDvxSue0ZwTZGnofCIdxbs+1Bxi8VJInlad0dCr735TBJiHnhyS lfYh0zHIWdpZwnE+DyRl1ZCxFUOZ7040JHqav2p63d5xucmrclai/khpSQCvzWzH0olhzXGMToi/ b+IuZ2RIqH4NlhQMsNwe1Vl/xwDvulxWTCnJRGw4ohyUXBBDX7ckmd7A4CdLp0xWs3km9y5O6t1D JESJGYv1b03SEO+KiBkW0QsmEDWhAel/Ru8+Ievk6n0iEPM9RZea1SfbB18x7J8NJo3aPc0w3ezG oZ8E+IrK6Tf0vteimWUc+wyTUYXUnRzOWiglIFzmrrSRgbdZXDbbUr+VTAIxtMklWbLBDXteCV9W 0TNrFF0NWeU6LtPcoQVrWuJ5PQf0aKviX6FaPvvxSQZO/GzdMpJOf1FmjPMuqEn2zIIF/g88Qi0+ BHE2lZioaG9Q98X9LPjnNR4DFpU9OZMK2lZlQmYLnLfB2b+W3Opp8dgL88u3rKRLVguIske3hn9S h5E72wrU5HXrt2MgXgv6xpYrdY+xeBTQmJ8Lftxwjm/RjW9kWEcYj7l5OxWeWAklFalSaxwTHiaU mjAzX9bBaNeXK8POWwVJteU6qzfdBmzDCkmLS0wZtTMd63nE/NKRGC+0A8x4Df4GaknT1K8YBpPj 2iLrXrhLs4eIekc9BGMWHcwpu3kTlhIuS8cjkw8W1c0Cik2fO0SAUud8jEkWryggwM3LjSCIJBv0 GNoU2bkuk7gncuexfsOZ6JbNic+fLXmBLaR/EP4MxgSDxzTYnRTykKFMr4/vvIoopjtpCSn0+cbE 1qNNAsvRZZeLEn6np8i/MzVomtluZrc/tMP/YsMm5xxMGtE2xLSy1mP1c2DeLX3CtxUo4TT1alnU dLvIJnPwrW+pryYe3maWzUh2gj56vno3NaumiXQL7sVQ1w88ofc73Kae+irhyzf86CYwD3GIR1fH zESQv02OP/r/acrjjNrUcYGvDrBow2ycwG3ituWlkNTsorSYl6wHuACBCVj6pSDlLOpTpGgqTWvg uhxynmvDK0wCWxOLn7AQQJkrHeL5MHU6bRg8X4tW3bhnGN/OhEihENNaCy53gIaB66VkL3YggseF 3jW/9cTedlhtZOsfKf5zyYDhwmmDWw8DBL/lLxN5dQVXqe8xexHbEzAbZ/4iEjBab/xSPwHMgKzJ NwLe0dqsn7eVlaJdoJnNvJHRVIpsRFbjKoVO5fih+2+E82uHEx77WQp5X7xJelqrzikVsRSGIIxA ydSl1Wh4noizxOg90fZYSXa++N8Nmx+zhVwiMWmoItf9oZFPlgTGXzizdYQUWEsVtQKir90SNR+y MwYkXj1eTzQ/0lY/cRb4LsX+oGbgzM4N8ATdH+AUuOakru/kWntHiYk9hbCcpuQn/MX6h6mFIrNg qF94Kd1XMK/ayxMrI9G2secELnkgAY35i8rKCuchUoHKhXoFDr/s3jR3DJhbxe7mvykYAh0VQudh 4eqaIHqB/Md1bqxlIzEL8jX29udDMX2ro6IJbDxAg3dU7CKvI4sBWrI7Ow9ZowS3JdO0ZxlCE9SQ gx7vJhZhVcUs6M/9gPCUxy5r98XyTmkNjkQlDd/m5SG07jeGclMziYX32dDdYd+C7j21r77qqfwu hAzbfmQ3y/prLGMFV1h/CBQBpQjpZJPPi3JSOe0QITyfzGWvMTXnZ7AI7mvv+oYg6K7cVyCe+Qqn 5OWoDqv9AyVZM0YxB8C2NL99ez+P0UvPKisjdYtGNh3Nr+Ltu2WEBZIJAgIvneSeMZdXcn2tyXM7 uSL2/SbwPU0wiqfApYUEmvJkC/3LzASCU+Y4tNoq/QY3JYnSDHfV+rHiSxkcl9ZxCEre2ouWptk5 /tTh0kTNI0NXD7PGNj+FBm1JYb7ZEtTmrCIlFjynDEgBVHtHHYc2WjKap7IX8kzfZhyN9ijCfKa6 h5O92BpFjz3qFShtPzEOe/1wD/0rYo1o6uad2db7XMK18EgS9C2fbZcHXoPSJ8xRuN2dsER9xnQw m/TkIwiplq4gNgyClp6YKFQWNQCh70FgypxiFWA+YRqC+wJEVp9d7xvssfu7ddpDQg4wEbO0yBWb BOmJwq2MBencLUvgqdZcYp9sqydbQbn6wduH4GS8Ved+obVf4+/lbNjxU7Nu0IVC2Yw5Gn8jswtA f8Kmixx+dlVf9f7XhZ0jbOSa1uUJS0BHrw630/Ab8AdRx22KPKxco9LCbtmOv2F4djerbeMcjQbw JRppMe7jaiTqEW1/fO8ZxNSo36f0BiJVs1BpvzzsKVDLZiGg4w/3RiD7MD8gtieW48ARpWm90zqG Q+0KzBS+/fBXmYc1/f7Ql/okSmM+lZdBwJpVMEwOjZP8kgAF5HnrevqO+KQBvL4rjgkqht+AtjN4 8EbbEU8PINPwCGcpvoRh4xfC2kWwxMj06e4oLJt4Jg7N1AFB92xWfY4jEwKtO+iNSitQ+iB98yrs 0vdX4/6R+caFzYk5tnT0BdaR8D7u7cervImy64s7LoUwb+eHlAJ0R5QowS0w+IdCusuhv/yr0uet 8Wk8q0nooTbRSE7iJ7dcp1/AjDqNttgHUlgXnvvrPqIJhANrenChkTWwr7GCT+HOLDjwZUQao5A/ 2pyX4Zk9y4qr1QCyn5luxQtTdvlv52AYmv9EyhEgq/f5J5VN+DqSrSgox/zuUtBovMYPD5a4ViuD YQ4MnfIbO649GkEpDsEcoeoWa8uC7XgMBDKiY1VUueeYmeJpHJYu48yteXaz7DPgjvcCUx3J5GRK E3qHwhS88b5dUx6EGJkGFL58+dcK1WHjqMGtqV5uVLepuPxMZ8zd3+1X7pJ3Y71HfpR8UuydWX6p HeSZcGWm/R87WjEGYgV17w9f+wP5W+ggnab6xhaTrflrmc1sLRWFmKwd0snDQ/c8CxpF5zqapMUi m0ImAFVsTNYDwD4Lm/AsROvMbgEFdGw7kFg50REVYNs3iEtCb5fPmD4AEZuRNf01Mhywbylw3iFr FgfEzmJ/hCELAg5sQiQoBLWMCh1lx4WKA0tZ+h6H6D9ZJXxT64nLZAePr+lovZA8l/NNXHqDcnOU b3xFoF9MGMNkuQQQOkkvCmFDsfp+HmqGdG34ZZ19nRxAgzVM6RkFp3Wn4GhxJrakbVud+os0gV0T J6XmjPNSG/4hEBHSALPyWGNCxT0E43ObolX62hl3cq6NSFhooWpxWuGJi+NZ7RuCT28UOxFwXWXb EJGOHLsdc2TqbBEuNRcDyGW78SEsJ1V/19oHq5vcWMIP1OVg4a2XqnAmsNN9rLXV+EECImSBD2ty oVygqI+PIqmD4xAA8C2c42ECIg9hY0cy2ye6QNB9gozj0PNz77Uw5S1KhHQd4U+Tv4EEm2f7ojRv spIEjoFhwHjiUF95NRALAEsSYalanb/Ena+Ki7r9aFJlrtdDNCfjTD6b/oi9LwuO9BFdmXsH+0rc uJ1DvzKc4/RRVYXT7w52Jz4nJtIBt1ahN7aN8t2mbXK24R3wxKqpjH3zTDkItUNm5SktoON/Tk7W NUusoVBF3PekTTOELas4E1mz1eXjxkK36gheyJ2+OrP1sO1Ro2cDIOk9ppN/doOv23Azdyo5NNFu hJ5t9zZewdb0Y9txvCPmzifTeWvSNJSlyWlIpKFU+eHt8RKYxXn1Yt2whmob8zj7gc4g/JS5j0M3 5YLhNh0nuiPZU7KvGtu4mKjowDNg0TiYrkyFdUrS8ufZQKsVmandXp8mPgaOy+Pz9xQvxCNyGudj lPS5NupMqhUHwtYPEj05z0PLPCtlNFUJBgyd0OD+PH2czL5tE9O+QcjOl13nK8WCgjWIF73lttVv h84zUDiWs7ZMZeBBTYQvQKtok2iDJ3MDui+Oiy9jc1TQhvTUXOoO0ZFbvM3+Bts7Rw+KryW6dhba 62VRJZUYHRjEzDDlGLv9z7VstKEMjxjZLuokXNE/I2QdS3Ck4zBVGjgLhn9eAzbGaMM67g6dCmXi 13GvwrASj5An8s7WiSL8OylnOxe70lQKC4K3rQ/NvoE5gUr/uKX5C/0MJD8zbOSz9stLve0Hr0Dc WfRwnVhwNBRJvPRftcz79H80aX5kJL+cGmNiQiHim6vNrX+rctIOSxbvOwN1VGSgvnBOAuXEqLTW DSI1KbSlkF/6tN9PY+TlzUUOe30asdihg0JCVT3z12pvrim42F8GhpPA59b+hGUXTocyM1onEZDC ouiHKuNkVHzgOxvl4pJXCzMSWnPQBHBI67qGM0VK20K/TwZxnFZCFxxYkhG6tOQ/Wu27xs1CHkpg 3SJXnkHUbh/cOyJGfDVdq886QY08JK1gxhNq8mwOkvZPHXMxrA7inAIifp/sfQJ0RkWEPUMu83Py um2IL9m7v5g+/NYsor4ojHbw98UM/V90vqnROS3LQ9alt5Qc/Dfz5v+78L2FDVLPr7yhExTA1OH6 9TfL/tusERp4pxhugpwgvayaCwEAAAS+m16ievwLB6mQLmjB89n/zdLsXVrvRY5YH4s4+kiWLs4q 6uIe8IM6bQ5Kl6wMNg4ZHo+FzGDneoux6g/2HvaZQ/Ov0S9RYYgXhVDkljvTPKWBP5xFtpSwvytp b9HlC7X1G9THjNsjSZuuH2PUYywl0D+YqHXnLbB6T+ngCIzFkmK5bWk70xhISRUuZ2IrhHvU0Tdi fnveahU4dYHqgSuONrG/z3QBpCghpT2y3qYjNGU7GMQUs9Kd/4aH13inP7feQdXgUgamweLt9vFs r5/U2e/+dQe023Uf1cdECr9zc9XS5VTpJ2CvGWoPZqxnblBCOS4bIe3ycIl2uNnm3BteQAbRvJpG OhKHckH23EgtUsS0tLiwav5KOiNiPYgoI/H3lNTaBAaC32H/0h/vzulpNedPWPXvVFQNwCNg3g5a A+zvyIOFUXk+VhIb2JTl2FL7ypiF7YBLsZs/BYVsU4bIayEN9B815wVNjrl/WiMgXFrIZWXosQwd Dx5JQRVG3Xrw4Y9lMQbLhLJNMJupOzdfiqqnfLI7SYoTghPPTArvJjRrgTrNOb3oJHC6BRfN6IR1 q4kZ75sF5kK879faFnXQJSlZL6vjmwWEIDbVVGW4YLumrtoTv8p1XobR9qpia+lSQQDLeaWerufn Ao2Li1ByQOY8dVIqqSrHZtC5HCaPsXIzfap/3geWrtvtGHle9snFboh9m5TzrhpqEp1osYXQNKSK iy6HLaDqWFvuIR/PcuUOYAcuDg5miOtIED9y99Ba2qHlW69UoXd29Eb2HlCfYdnqpHHhVPYmj/8K zeBAiMWnCNRrIZe6Mc0fivfoa0LwzAefsinYAcKUY4fHF8+y8Yyg4WDorAy7lKcr+XKi7ExD5+ai JjT6H8ZQyovQsqR11Mol65fVrwp35fmXX6EMR4zY926Vtjf7mvnOcCQ63Bs3F6V6jUxF7az/AjiH 9hkJ7i7ijSxMkarkQttBshMOTsVu+9pRT7+DUsWdwM//vBezv6QEuvbI0EG93ktajIE1RVNralN3 8S3DHT3/0OnduFG4625zCzlKnyC9/zeCxTVdB3zWp01vpIxVRG3DxZvMNn6BMJLgHSsjqTYYO/8Y +dW+EluTUmtM6qQf2Qkyr/RuUG32rTvjfUrAqOS9dYmL0mbeVtSoEI0w6P0S/0cMxxYBaqVyka2q tK4k+QbTyEc57A+hsuVIyxbixOteM9RfteZOFkPPc2qAHR3keafEJ+rC0mE2En0ugWfTVUbXtQ1R G5duBZImRYAKrphsSdJLV+ZpR8TmWKrpPt/8DyvP6xIn9DpMEe1sAY6EwIXOtrfwLVw5D7fzDNGI AtHSCyPWm/KsUw1yTgC6rImNmd6UuBWCqhICyADKVtbfvtt6kDadNNJOPrgnLE7DudT0NIvVYApd MDJZJNYWrYR29aVFwWofnnpM7aWy0co5HAa19t3O36VnBxsAR+u2Nl42XStPXaiaudpHmV54QcHK 1gkareoa1VP69Nf78pd8W6bvIG0mZewxiAZx0fJ2BaeTGYNmMGutsQwyTVBNzUOZCTMhyrX8SjJp L64sF1pS5ZWGax7dfGV/9n4kHZgGUINBkEN6UCGpBP6h3ikG2t/DmYq/RTjiiJ/VtY2Wr9AI9fVN SI6CmoMVWbrpl9IHmk9uP5bxgHIHepmycTQW4OI1qwjYBR/wBhaYbop/22p/CoG6q+A7aATFKb1S kYTJDSYoi2oo/+lfoMooO8FiZUR6q8JM3IN1N4O5APObcQvVMftfgdJF7aXYpGe+aF+zbu/V/XiK 468WQpZ1d/0Vc+y612M6KGxbYz4obJy3wXnao91JGrJzMteX/ZDBQsOlBOLVPJ43QOkk0EomuEPh FuytB9naDjA8fUB7M+TEcUSUWDUUlr3SSvx8sdtcZ1OQ+6iCIHC9jH1721u+JQST7OJ47lQudwOs Fo0c4ITP/0cbyEpUQF6LHA4N06s1UTUZmOqjCyeQ4z5KCr1peuo3WBgP4GEO5dKE83A3CAPzQCfh zUpobflMGKY0Ccaxoi8P7ynN0XsUQKQVW17KMAZcrk3Z3K684yZCqY6vSCzoYGl4Fyst8U3MKgGD mz/KjWiNqnrl34RhxWyKT/CNXoeOABT22+Dp2WrbA5ysOOZ96kEbHk/BSnHmNHSOL+XPWCeNDsxu oVN9DeyNtbUlNmKzDYmm9ickdw8A4erlgTZoWbII9Nc0VyAa9ksS8zgpFnX06wB+E+b3CYsYPpd6 Oa1EmghkBA/WD2cv63ZhriL8QMAB7wh0diF4bC0tYN9+tXamiCaM9/J8TNTU0AuHUfnzQKaUnpip G8r6Woep6BVjAe0zriNnrnnO8KtIQjW4fLISt4Ra+6fwgfv+mmmLnyERh+6UwZDY/5T+Zasb8Unf ZbfFvgUos2oGPbyJ1cE182SKIW1eGr7gVdMZNcEb/sXAk3aJ90tE5ApmADtzYFPYtZRe7dW/kFRz G00S71fxNSSmDsfjCPuXUa7leVN7af/S4jIIdVVXXope8CzUvCRZoksO4sqPTsVfAuojjOoWbFmA 1FxTEvJNJIXrfWfx5TXhDXkWcVz5zrtd3VOUYAMayce//DBoOCOtLBHkojdd/w84FcuO+sm0/hVB Mh66xgkmvnO95CinG52h03pMiDx0qiBdSuGMvaUUgL6VW5t7o5/CuGi8t2dUOWaadX8wnWSSPBpV paFuP617LNxoGZWjY2uNZeLjwYeIVWDpSzRU5UPRkjx79Ve7AdrwAEXjprFg7ZX0PWUquy2SQNVL eSRFFsgE0hRjKtp3iccOJDpl1RH9HC3EdHNgiL/lWHzyYLQBThvTo1oAI1qceAk2rGwKq9CSULX4 GArI/phlM/4T45cjg7x228W3mg4pGIE2iXACWzO1wD8BjrKIe0ZoDccgbhyxOOjjyJsuYlSAaPHM v68y3QFbJiRGI0ZEmv+ui12j4G7AMcew4rvE/2PJ1UcTENbtJ/o1EiJBGAsXgblEp2scC+Ror+VN fsR6rbOHUl6tUQx+Zbd012Ud7hHNDr7ZINKLDwolAzXR+1uE4PGQwAxfbLs/w/mVy/6ywGOPXhvy jgZPI9LNzBKF7FFe6dL+tRJOeRqqR2I64yAgC6Cghazv29TKH6xcw4DZPtGCSOswFuevMJLErDYD KY0U8BptA3TkeU4fW0Lx/hQbMjw9QPshAFncsTsubDPAuWAw399OzJNx0ABQlBvb6F6m3tAEHZow gv+nGjKiIE+5w/S4dOKbGwSVrwj+IXVYD+e3ob2ssUodxVp/BxRnzryiYT5kbViGAkEhkOoZe9cg SdlFaQp9hIjLgaJWdetphp5x3ooDyrl/3JsQirlOXk82nFsUZNZh5gWpYn/c/9qGzMVObLXKcQ3Y PZ57YO0+39xb3UQbdXlbJs5W5x4eqCwB9lxWQioE0q1wffQmxAut78MKF/LDbs+WASgQnRQVx2RX +BgSmYnsA294dUjby29HpeyhvcHbWszjJz7I6P4oO3EstqeSPHkaRDy94HuuJtlHF3mb0KVMvVaO kOSuxcod/s08ugGcMaPXd6e2tVG1VYnje4eNZrEn1wM7OEvQiH+uzicCYDFMyxzQoo3X38JSId7F zYuZc8IMYQ8rzZ8VjYRt2+kiEad42d+KhaoRHkbV3wrHCGzggLPpkNa6EJDpSYBleSO+tq1IZ6Wr XXGBz8lbOEQGRWdE0dwnVtx6wUH8hBSm8vZcQJ4tFun+wj4e0q/VMq/iTyPT05WaeYnIdPElRWFp ZW7CD1dqsG7Sy0tXzEFJRvFPxPaz3GJlhwMjMDB4dIXC7lrmgZOrLne5cDxQK/jn8lwv8lBkgUqW trcySKNJVnP2nx1KuWNKoRbiO5VV9W0MYjnXMXLNKji5skVmDfw/2YSSV2UbQdO+fBeEkFrkNKz+ VCLzjFSJxuSJ/n096ZUfqzBv35MtG58TQVNTzY8i+t5FBOOtzJfU7ltWxeD12SFDY5+VvfQr/4jx ThoNoItwJTMbK7hSyeCODI0xs05E3qQP+8671CjE4h7+xhAtXGG55wp0kg8jcXZYlPOwp0v0zZH2 UDZW85e9etBkKUQ2WAJ1IRj5QKLvcnmVCeSNcRbL5JeoL1w8LTIXlz9sFZGGL6tfSUMFTwk6AGVX l90OkWjGW8YMjDbS9JncD0EZ33UaZruK9P8QcXHlNQpyT34wCzoyjWZmo9y53ljpIa7v93713V9a hwrt+kshKIuldS6jUi5mt38WyLIXE5L/z6FfxKnO0oAiYNgBm2phVA+i2sMmNd3VYZY9XD2Te2ub 1UdGT77zFZqFtrJhaew0V1/C9YGRVCslSZUVdZiXSFCdttSAb0dmQuSpG+4hG974rXuwjPlhkalH BKr/jYUbzT5qe9lHYDcu+BvZFCrwZP1AQsK1V17zue9Sra0od3BDm9i3Lvg2dWDkYmMmuOP6dHfe hE9vEhAdVpIyx+OL+dPTKDEl2MiJgMO6+QajIFhnzofR+PkYZi8GEaDORkB8BK9DCN4xh3kbxGo/ HbItL9BHVMC0IRL5vZxe9UB53gBq1LgEeD4HqnWF/kTRb/YV2LLKzyovC1pDFtD0oCoL63yN0jSO Az5cpvcjuuh4+1Jf0Ui63qcFkjKtojmGby0g6vm7urjUwdfYvGVDw2yU17M+gyyoFKE9W/DAVwOx MEZF/pUY9BHziPL/hQgkVfYYpaE6qGEaWBuqtCqPKi/Dw7a+5QpuMzMphnxL5u2w7jCtrACGFjtn l7WJJMMNo1LdN67FdcsKeVqICJyNOY4rzhGuqzNWWdSp8gn194KfSMyAGxTtWmlNRocYsB8Pj0Po S5C4R62K9UL7PPn5U0BE5PsbhIYVGo7gr1UczXH1wB2fS/nMb8kH4b/8B+3/OdPxy0WM/dBkd1bn F0QBP2jhqibKeWbplhg8VQKHreMnatUPua7G1RvqBLGM4JKpm7vl7tEmS1Us+4iD+BkG/e3RXHrN TCWzOEaxZhpD1o6VDbZ1gIH1QonevraH/MsmpVi84zIieSYwR7y7rZX+Ambj8VRBiI1Rene1Ee+q kvLS31kfyL9xUXLEiIOsnP8SGkCibesXYeqH3qFmODUuCu6favAtwaEXUaTp8vCVVcSfHrc5CRWE 8fDtDhCRYKsPj39eG/hCcyjqP4NGUzyOdIAZt0qYK8i1XdML228wCZvdA14IyxHJtQcqsjhe9bsF jyByGYIlZOSx4ui6yZ44U0PXicIZdaJl0Dmfeeoi/Sj/K0XNO6qN1RIYN9IZJyMobaPcEUwJkxj5 1079leCvLeAuxac7fErI3cmdWriN0jPWusb0ibbwnmWPVAmg/tFqZh9u9+mKRX+ZOkwu0cXCQDQK fQKAHlaS/2mASH7zxhdznOvhEdf9FQMEcMlUMGYPOGBqXCXMXVAxdtsBQJcj93NBS0/iL9SD0ZRd mQIBoK++r2WX8+u5EMOwSzJ8jUBMogaxLW3s22RoyxyvfhbtyIyYm4pvx4ZbyFRuLTHSf+l9fH3S 4ma2rw0V2xKIWTwD3X75HiagMY7CcLYMkatgVSXb2Yb6Kz0zMoA5xIWdt/51nWY+m45/6gjK19qR eOSds5XDQ2dIUrj8LDZ28lbONz88P5fg9Fs9LBRUFToc89z+9zhHYWqGscQtLsfkMJFhKp7OkWsu eDF1WPKn/bke6lB5YUTTGnyeRmp7r7zgeJQTqpqic02c3ncqTrVysMWmD1aHRVFdgFANyLgBZ8cl AyDdF0dMAYrcdSkPj5lrtpwWqkeMKcDQMX4xS16/KSFHFdx0GD46i1OKuKY6cI4vTV/o3rcU4Uaf z49TTcfiZwcOp0gk3csBRB2sSxTln3ZnkqzJUo8dgEtsISAvA+otJb3Zc0D4v+R1VhpkSPC9bFYf B4aanJnZbPEcB1Zp4YJmDALwSlS0jrnVjoozvHbbc9klww/AgyOTj4h4EwHStoE55onoiZB6sz76 zjbxAuShWwk7ttcHqYq7+OzUihKbPFEmHxdEqYWi5K4/eRMdNkjuJOB6h3bYBGg3HTCaVapxuMZp sxLXdKynKzlDk57Swani3k3sLfqW9kdQoi0aDA5+c2H2VYerdzWNw31qiQ9/F+GUHJSIkgmJUj0i vUjQ16tYKVEVnkSqxweZE3YGe0cTtIBFkvKhro63YLYYlBQFUzC767Hwqc4G0/GO1ECvB9/4+gJ6 YwvsneGjUq2Crf2sVAKErZbtV9XECTgKJlb3iN9uFnhdONax+DPaqGY7FOrRWv80AeRbUo+ncwZh 38sGP0OfCf20Yund438DhfRzP8IgtYp83sfPzR/UPTQfDb9gUuXlqfv7Ex28XZ7xJk0WP3vpH2Xw p7wzlTlCiPMSDqkZjctKIIO23TZSVMw+J8zA28Z9Fz1hvWQyAB1U3oDz/mgMMgW4/SG4IBI1n/NG 74TT9KhZakAB92QF7WJ6+OxQqjEKZrDmuRwAGSi/AJ2iRj/oVfTT8BdX+M5Vc1hFA1dP4XQWaWMg DjGkOCgd6fsA8YWuIHu/1vGIqdJZaB8LqK5+FI5JMpe6SGEhijQ8oRw8BqHthR1PgHmHNYmt+mRP +9ZJgN6h7i2QAp+sYDxZDRNTK4pKKORuhfLS70fMKaXtUDuVpHVlIrig/buAXLydV9qEfib80oTz LdjU/1v/dJW9JlgAxgI780dD1UlGipUBue1THfgsw8adsYbz7vOMlipqKyw7KrsLA3eaC13uCrud CaAFhfqP/erjO1QyizfPJbnPA1sKyi4RCb9GDX+4mOyr65y+FegmO10HwsJCg4OnLIA4AxGxpinq mC6tSlmuDS0j2LR8LEmX+ocX2qSvNL9cMIF/k1dajjCpYDwIJoE15R7ay7FJAK6FKYJSxEbSwFAX a0P9ruIUm9FC2t+F5S4pFzOGbmKrmZgeRhhlVrcGF8aMCIEcFJTKwonCsrbv99vurhLVmOw/SIQa bydY04W2yiA4F+4C5yO/bbTvZmGCual5R+WH1TddDC/Z7JRwAMb0u1dFJ7Enzo15s324fvBRcYTr dxjhyKh9JGc0KXI4xM+Fgp1OCH8+u6mk6rpAc+92QcC424aoNnLYk8eZKqIQ3H//NeUbn++vCvbQ 3cAPEqnzcH/HVH25uJG/ArLu/QqNV4LMunyV+9XvxtucdLPN9FSf6x7dPEyDTwjg1kh4aJ21efLj Kud8461M1zktcLfYSRIVYj7r1l2ev3hw+Tnzt70FAUnXEi5/8eemvPcFrd9V4Jxipb2Hmc3Fmc/R LndmMFv1ee5MjZdZ6VyAFf5uF+KAQeOZQBVI3z+txmg1eguucPeJSDbfyH++RJEeZto+fwlXvNfG djiERdmf6giwi9WEqkNXaMJ7+y3bKfw0Kaq4NdN2pXuvWTeGemzSHWQUTPO41eES9FtAY3FeRNXa yqdvZWE3SmYh6xsT7ZOYBhTwT4O0UqJoq++6wgJD44M2BKuljYKnm6bqUAABcaadkzicFQPOZOnA u52+2bYpgJmD5XfX0x5FbJTVwHMXvOVhtC8Z2qq3L3ASDn//lB4+b4/Ae3XnM65GExtkyjqUZXn2 cW/ujobXISoKJ9lKP83sv3z4PvoXFTKSAbXGwYQpfMdxuf4aFafW5uqN6sOUdvC22oENXfvWajEC Vb+dBzakxILjugQvuSdrQkcNV7VB8x3xUd7F+0njYoBu9qgG5sZMx8G4xTu6ifLXycBSDQ3YEJsu jW9Qh6tdzouzoy3rX2l+Iv0TVqviPAXeIXxccq7RDkGz7wQG0D6PX6V10O9/BMiQ/ViMCs3AbyAK WEvAcBs/+/Uo7eNx5KcgFnA0X1R0u4tPNZidetc6cSAcrg00CPGD66Sv0Ot3R3z++1glCrF00Y5z ksTPelg6oYtedL4TVtoHtNp3otTNWKRYMqYZgZ0c8TianKIV/iUu+s/i6jCa2e7ppWAC/mY7CPLb su4ujZjeFPFY9OtSCVVLYNeDZKXdOCpVmXDi3OAGpTSUxkc2Byh8cl3KZfc7/0R3msyANq+/BbYQ BWJ3wGaDV5skKltT7SpQwPjJPWL2r5Hs7NK4UnHEFdULjqPP6XYQG1YfMISvukb/OSC/K/WDpnvd 70W/i0exih2VyUW3AGwLLgJT6B+8BzlUrYvX9eT+Ztq4SS2TYM6PFAs3W4ebkfgUMYFlfpUDd/X5 PR7R3r5A7Ma9a4LU5Vz7wiI/UQQrCGDus6AGw0UMCK99/8U8EUDCIu928gemH26c5f2Ulcvxw1pw dDIxYfDhb2dlz7rdXrccUyrQS56X/dCnTtsfSTclzZNzScMxlT6nMZ14EcVMGJR6BzXMfV43SzTI 64lB6ebrPMNsx+vysB0+GosLOXMGfabKbqAUW+jPU4OByHAr8JkWhcIwh3vZdbHx4UqEGAjyrpXR BHhTvfCH/NcpsYFb5nXp78JHlkvMCIGtXFJ169Nj54Pvn8QSE4ovwZ4H8ZfPNBMSNHXNkgfo7XkO FxzYGV6nxKtEEAi3JXJk0JIBh2c+4npzJuNnKKlWcIdjozmbtKUKjPl4gHgrE9TP9GiVbFCODDTO N58JuMXSbTjb8k9krJyXnUAEMxUtIPzDUny+r5aV3RrGXOsTX0BKed/6d8c0n5Oo2QS652CPD2Ur nGqutbmaYx3oHHMcvMxIZW7F4BT7ppRkV5NhFIXEl9KQwP/+U3I1XnyF184Y0fOuMJcH75gCJBsA FPkN0CR6/a36R01nUhYgtSrm5gLNY81T2y4e391gyFvWFw7I5Spswt6HVVXTfJ5nNNTSunTHyvYT kjneIDNJgJfvBfnZHGWg6rkenIn+NBTMZ3Kg0YhUBwKvsjE6ivz+S1J91z97ivAF77O9vawmlSKs XkptpKF3yr+c5O1WufLRh4hOwJb6dPjvxyYxXc1j/n4D2phh3aSHYdssdbKM6le3zwu1UvTIzpRD Y3MWhaX/EdBurfaSm1CFzucznh1MTWheu+nL6gjHZ7oVDi0PtMxzMZb7MRbIo6PrTTbREab/s+Jo mTKRBmg/Q99TvIGrk03hTHVdbk+rExkLicdta0ZJsCZecrGsUnLJtgzRTAlKyXEid6dMAZ+9PkR/ i4ikPLyXI+XvOYMaAK6VkjiRxLUvC2BAkIbFPiRHksvIF7IYXrPkeZK+d1/dZSdvjcoxmqtNzN67 F2S3CcUf94uJKmBzZwKGLUtFf0+5ix+fNlr0D2LTEpTQd98nuXgn2a4q2Nh3gJGb66bkXW2KUv6+ w5GhkyLVyOLR/CZxjBNz4qcjJDmWgW5JnPL7KEs3w7gZpWtuIVmbT8rnQ7zooNH7zahMonz6C1U1 92bKE03SWMTgX9P148XkLLYgeuFKDbBznF2XcczIOXRICEoic8RFAWw5o/TnoU9y75kY8U0T7vMc y4Rhl41Qgw/XsoaGw6Vji/wQBMtrVlVEWDuJzg2/1cyNPDa4WyeqSC5wPyndtXK7qbU4OpZ8xFvb DCuK6pXqPJvKXZKyOYBSO7ob7OsgnZMvAbqkaIaFQoq1D9s7fpPbdk2Q+YBD/OERNEGnoh+voUPR 091Ebc5zVymrKHp4127vN7fN6K/V4/ez2zmpYuu1VXSrFSJjJHeXPTAoXnE/b/fKCiHvgvrZv16j fgLAgOskQ19swo8gfyexU4Y2oGJ/eFm0barT8TPY6z1n9mSXF9JeV8XANYll9V77MRS7kfo18JZz 4yOHMvQotbl7PepQ/Ihs+cm4ezESu5UAcuNbWClbTrTF4yzg450W1RO2ZbBY6ynP8eeWSzwEN+1S f+J+3FyclZ92X0wPCAv8Bdbjb0rfPX2Ae/wgVhAP3jrh06Dpg2lWgAlT0pYfhy8Mhq0buQOPeCJD +WKY0IWsxjx19ios1rEnccnYAIC2PaOwVyfH/gWH/TUAnkMQplP3HTs9Cv4RdXFh3gn08kkyMOFn a0QAe7e0mksPS9eFXIU5l5Bym7VyI+xbUX774I9wEoCBUFcq2pj2f5BHtmpnfrDUDMK3yvDXLS+I i796f6jKn41+zlVwgXKQqARZnT8W8ynJhszqMKaSobkmywKKJX2dDMSd0yKgyfUEZYauMdsxdKxT +Bb0YETFfLhhCCVLZrA5O9u39aXXpaGRqyQiWCdViRsmpUuaOxNLC4Whofl+G0iOCCu8l5P/mEXd u8JrbKGAnMegw3y9SF3ovwXDDmMSMMZAwwtLnJIkf6YgYIo51j+VlLJU5AnQ9m9hZx3zm+0EE3gD qqkwBPYO1CwRW4eBWc+5p9kOkFqQRQwJ0NtVnLl3V9JpihcK0d5cWh7IOZw919OdugssUNcno9zk iVvBi3Xh0eAfyIEcrsUCV4jXAN33ZPy7cNnta+pk/uSTiizOqZQvjkmPOFZNEPvTklJTogIJf3uF fYv0ob+qUEQniEfzxwzAE/vISD5+6Jg+6MZWGdk1ox0Ahjo8KgMVBuBUGGjlzCkFCQwWMIwcgFQf kx0OLukYXA83x88ueEIIlbV8KQkKEzSXm0UCQj4wMZ00SGJb1tVWaXUULXpfyffIdXgSVttxGZIS vHIdCv+HXah66nQt61rsTA5SQGdBSd1OUkiG6TlmICyoEGACc5cdDTkz6g0nNTRZZ4oxdR04qCzm vANLZfAWcff71riVFBzPyCWxjtYr/tZULO0sxpKHQA2ki6sGOGbBY9UMVnmNW01Pcx5OYLyRlM6D jfRAnTlRnKEu57vnriEN6z2MQgRDxcRIlajD9mU9h7sti0OUDmzYVkEMZKn1THtWgHf1EEQumiaD BRUyxRFymwW8+aWFXnwxT+c7IeDLXRh2mmcdZ5aoYKwqiQR5PtfybM5bnrrKv+wrEQfDATT/X8tG B7Ge11jLqwW1ObFV0E/wkjTm4xpaoWYPS4vgZowCEOv73vRXl31pbBvG6SVRpt/O/6jKWVbVz4Fx rMFHNVUDb583E0O6nrNvByg6/2n+3K+cLT7r7bHKqSm+Dgt+MuBUWpI3BcVNqbn+CSW6KKCAgaOe zW7C5DNn1J3cmDsSk+gPdIw6pNDbqj1ZYf3ehmrYK5fIxq6oRVRQPwgm09pLhadmTLui8mzcPofp qhXnW7YFAEWrp3d6GXXTwclzA6orUsdNJyiLnQJ1duA3cKJvC42D4CcOUITr8/uAxGo4FL8cUOWU u3m/LXBHAHlAJk9IYcduttHUJ+FYKRlfftKJ4NRsfVyO9jR5LnaJikSQmuO6TFFBMh7ipsh/982P lWMIsaUterNumhNRbnR4PeoqCiAdZP/ffaBgW8UyYbldwgG+GH1eEelKGwtEMa4QdxZAsGDTnk4D tMPpixMt8Ynxia8DQuRXN3ioEQNFnXuBbmUb3HwXJmNAdDiLKk/SUCZaGVPSOqxeLcv93wEVWeul m+sOGb0p03iolbCckHpJVU72ilsVUDl8eVry/KRof8/8RbEg/s39IZQBs2py8ny4BTATWLfS4h81 xPpgMPHEZ9E/qOVJi9HVrMpxdoHMYp/sxO35SZJfCUt+Q8iBxIezSNkGJdP1Gga0fNR/zoT/yEv7 3th6DJuSCmT1pTtOQozdOWcCxgVMLi74HkJZkyMCwPdoFnXmGULT03GEYupz6WyguoV0f8Poarny cEI9zm2fw/Oc9da7xrbUkOdBWshD7zlO35BFol1zuQTmYSDt3aHBsSDM3k1IP3AlFfCln3Nu8Uum 2gNUs2Pn2Vq72xCZMyzbbkWQPk9+juC/X8RccOrURSdZThav5+1nYqeUmkSz2sR/8MJo3OV2hewF Wvbgt3aDvmRWKdxkaGdcADT7Q5WOtOmANrhJYdQOEGmR2QmBqc6Gcof9U+XitEBdwD9o83VBPiFl m1e6gBIxg/7Dztu9RRnC64fijvPpI1JJRTPTFK/LdnopYml4IKnGeDAouvme3c+aBFnuvcqNoPw8 VgLImMrn10Rl8fgAfl2QL1FrBIk6xJ43HfrjTvJp7kXEaKWK0H7Vv6E4Z8IQ6vjNFjXVpEOroPxx NzwsCrtxnhlNGgAdsrdyOx/OcaUHWPeQRGzEEjfNSBI0Fh2J3Iwrl2Ju1Sjektdq7e4RyuzAtYlX oCMQYBPXQ6dYaPbOPW3n38Y13w5jgsi0RnIvdi5jY/yIyGww8t1nfiji3L/VJ6s+NiKzHfYcsvDV Oer28+PDUF805w7Al3Pam9kXOOyKsbW3NTBGBFZE1ILKMgtFQuC6FV/rXt2uKqOQxHLOVPfmmK9W tzc/mO0qkhQqQwVBHei37iAAgTgGgH4XuFzKMosr0wIvGjirx1A9odY13O7mmY2dfVlp27iL+BEQ STw3lKffqPO49FjHyML9n41188FrUAYKtx3JHCde7wv9AKA0q+pxYGWZxm+/uUnqSI+JQi+SpC/e ooyTueZhXKaTVGca3LINbY8mRN3+g+mvavM8KdZJo/0KghgaSFsLHTeISWsdOP4Z9n2PzGQ3TiZT ysNSRMvsvnkVa82HOAcEtbJDuEssrYXQ2KuYHBpCx25GiyGy8RKwwVJ/v0Puk0Cw8Vu3FjYwr6Y1 c5Kz3kHb5GaIa5X/7u4Ns2CelHla+nMOHAEEd9ZNVi3EhXfukvzr0tBcC2zAQsFr5BrDnyz7Kx9E Arm7/YN0vKovWH2+04TOEpPsOCrrVs8TLxGoCyFG0rHyQtpzp2yOpK9mFi3zVdNxXvTOklOy1qCZ d1tRUd3fN+cD5Amd8zITWcyDoY+5BCz7txZbfYF3E/S6MRsix2KkSCxiro66zLXPMjUO3h0NGqB0 1DtHRZk5bt0d9xvCgTS3C7shlekSNYCEc6kc35td1+AuK0upcRD0k4Cd3h6SVoLeSVyWqqXCHStk zr0RF1C5LtJDG9m2+VR1mxyyO+WbsN+XESJ6B4MkFaIOhZtsx4ens+dpvzEXjU5MoX1LKw1qgKoI hwlm/BdjrB1aqDkmJZSS1lz3yHlluyaQQmxO9rE1AKmvN0HvYesTUgboZBPtPPghc2vMXmIHc6MX px5b5LW6mmmHGPIuEBKPkDGHP/Ph5QtbYiRaIP0voA/JVHmMjYYRkQ5yr1V7mTrBlyIkl7nd5YqB O8WmKU1zrZ5+tWIFPs+J29RfdguJXTU+PRfTGRY/rOETmyPzxeXEmLeDcRD+Ko4gqfZPRsuPkkWA +7HIKfWkcKIRoeujC/3vV4zhhZbRKB/XUGELFJ5PTQmEdClzlhkv+APk0xv9ih7nGy9ii5X4eYMr ruYmOmValBR17D1kjoWT4APcsaI12Jf0GncYPyMXrOUi7wIGsMA6FD1+9H4OO4aQ21MW8wUS2Dss nfxKG3NO+EBK+fUl6tE4/bzVJcmZGtT4Z9ZXt/KC32FQ8mrB9+OUSanC0HEuved6SmfmCJW8LUuc e1kEghhmYI8PlfOfTJEKrq3uAEpyo93mbxhQ8C6IhZ3VRUQ6e0DXNVOIgQtZIyPG92mSvDbYRmtr f0Xad33Q6WoWaJFELTf3Ejx8lI5CWVzoEaKGfcT6BQnvO8n6y2LbeDNHrWW39Y8yib5Hg9RSKfQK euTzf0mN3f6IKrTgZD+Brh2qCgLlRXnLi2+YoiNyWzDgZIXF5AdIz/3hmuzlpbDXrJYLpmKa/GUE WR/Ptxrkko2eUHPRuR23R3mhou8sxaPKJprZxNzfmlqMDRTcHszSpDrRLzar3OKRoT5PBvjEgvv8 q3bsXJSn3djYzjNhws9mS9pogkmwvqgeRWxMIgAD0ZJp3SS1FPhHZceVJr5a6WHfcZBxFQ3sXy8f H9uaSnyDZRigjSk401ITQMvekB7ah5K8KkrkMXNeH+cO3XZDEcjc8XNwGGvBoVX0Pq3bYIGRI3ZN 3dEyslwvBJgOM49oEy+q9E7inPkj4isnGdEefcFrRHDCBy+XmdUS3Ln4KegImsqAnC3QFmGDYgtE Jwip2BLTkTE6xrYgBYGLNyQAaVW9e3tJVl3x9PONM0PinqaBxQ/Nda/KeCIyvYD4Xx5lTRgBctC4 Z0cbSO5Z1i88fKdP/ApLTC7TmQ1UDZCzEUMc6dC62WiskR6PK6/3LvNeirxfK9LaW1EPbuk03L8A utL6ms2qzPdaI8zAZV+ckjDbO4Fky0+wbOc30XNfH5xL9lAxag0fAANDabGD0Nwd98teKd3h+I7B X139hEblmEem14YdU3KSRSDSQJ+xJFQw+PzEEDnrCxgdks/4LjiMsPtf+kdBE299PZsN3MrkMp2N dEQGXl81Q0AG7R1zu3Fc8FBDe6tDcfL6QAnY3ClBfpu42GaUbcUM9y0DIej3JHlGvgJC7OT2c/c1 3F9a+j3960BKlhaHpuzg8/VKU4JETrPVedCVpLMekM9wMDGXKkHKuW3M+msgl8ULP8pa+6NK//IL v8vVznYsmzhLGIADrhqOSdUMmpC7s0w/naeiZPYlnK50IUfCDaoUHP/z9A451FDegOd1j9EGtMWc AjiP0QcZK9d58ev+go9rdeoNdGQ6smEICYTAoFfu37qqUSfHJEO9mYocw6i3KniBESQDie/cc6jl 3oPO12+1bi1t+vgTy+NK7YJjgqFGOcax4wmSXBK86NCxj4iLAXc+g3TStyMnOClF3P12pDCiVDTP UdQNpKElkCA7MaRaKHYDRiDQ7F/bu6y0+agF7JaIGPP4zMYht3S3/8hysftPVq/yQGz8RF14YjC3 4z0OsBBkUKG9b5QOySc8qev797CoVSIiqsdDa0n2OPkbDX03Q9b1QTpUzV17rCgg2ik1BsKhn8DO EuDu5lGEcBHY7VS+QwBGz1qQPDTgc0L+8Y33vKubn/KPO07F5phWcHu2G1vLeymmT6KHQ0+Kb5/F SbW7qlGak3CHYSDMi88xFmZuWg8l9FEG7v6/JNWVPNFSWwPW1tWhgASN6lw1s23325NGuMQOEdSl Vr/DWQhFORyr97wu4kL+JaWhM1h+bYReR/zCPw0sw0xgNYQq9L80qbSvpRKGJuDvPEkCtVAv2U8D H8b/um1VxJGm/mPGQJEBK8Vq6E3YCNAT9tDDEruWHRhLZBQ92cj4ET1zwwOkq+mvajRrvKjwAytE rKWH/EC7VSPyiwazTD64JXAMxlyN2fy+UOaAqkTN9X2/gAoftQGpJwIVvPJBt0mtY4xJO1e72qp+ ETQJGkLCaQup9W7wFVHFXmyd8/+oXIlTa4RygIIejNCQvHFyu8W1qPF07JySMQCUjvlR1peKnwhY tTs0wQ3H+nBGeV68EJgBOrFM6NiKKcIUA0fh7vclDfxKhMbcJMDA/KZJCZj5H5tFh6pV6AoNT9ze 66qgmwNTddLMjR6Lz8OTlPFAdAHmtgY2dO8ktIHeAqT5te7+0+HwfolBEFvKrA3DzrQkvAKHWCk/ GAeaBlj80RrlMAI/bHJzZCw95Yie2e9vZ/OAiyv3D3A4lA2OnTbowe+wvpQQSBdmRY1F/K/NEV1z C2/fJ/3Cdsg96ytr0iBIutZUqj6+hwIBX90i+YMZ9fC/nuGYOekWFwmjFQoVHVGSadUXuqW+8b2P QqKyaGfSr0THAsVvU++hy1scrkU0oNXwcGPktIQKdmYSee3H+3NCiUI7wcVHYVVPh7wp7hCkCxBh YvMiGiMMCBjll0zsF5l8QYEj9YuU+b7Y6moYooNJmpMdhRF9iYSWM1qBx9+b5pc6SStFOPELJDwH gxk6dHinDd8Tgd5+1Mb9vhrI4/iPLNIy+XEBHVpBmkVBjyszgqZ0Yn2Q9aJbvARZIAZDAYf6FC/h k0RrDvNDB8euSohhjgC33Rgq8xC9V3Iwn1Am8nGZBfBDenTMLkqO8LMQKe2enRMBRbxdLyYXocqB pj1OTi9Jxm3gRlxFOrqDaTzFfqKL42hzwsIgZcNDAIVUym4qFVGVKLCg3oYGSarzUnuOaaYRz9W3 3NAOxGdEHdZUSP6NTiQDmy3wDtAxWDwP8dtHVyeMljDb76VU1Vhs6WHOCFJzbZ5wMCkV0fXWar6c SGyx34fRCd4As46Iy0LXft1KTU1cANGiwE6RfBVwxBs2EL7x+dDt0ZictQpf/S59q9yB/l20Eym5 P50Ctr6Gc/cif0qpE3DtIBFyA9wN72nL1bhBkRmx+VaMwF6JBqILZS61Zj2Z62Kj7d9sZwT1Snrj 6Z8nHqA4bChYetRYv6vMO9favya70a9/YYNglCZfPLRTEsF54ls7Z1G58XKXhIXBN+NkV+7tIDMF oJWrOQNpdvFWpbHYg7djlbZP1efJS8ARO++y8/eHUpqRdNUI5RM71FZPTFYVgbNV559OFcQvyBen QM4rO6UsnCInMjTWTOMfCV2WsVBvis3orbLvveVxN/dK6p4LsDz4EgBNvXoXyC/EX/FMSqQW6MJg c0HtdOhjlpvWMXLdWFiMbqBHBQIHaj4WrJgG8n/zlK4lBcSpHH8NMdiEBbEZNk9jre5cpp7CrvWd kRQ60jCNqpH/n36zoF+Gfi7ZCXGxM/k8OV1I4JOMt4cajX55lozeteNm5SmqWIveOZhzYe8wdqwg dQh2yW0hJdbzS802iHDdpjSyA3qMHik53bCGUt6w9g9kRKkJDu557AJ+AlrOv8ut55AocL7Sa5O9 tgbJ7t/OrxnZcfLMSOke/706PnoxiRpYfGz/W8DAwLpWsgbjD0OvX6/gDI/NOjFahORzjs+GnrDN bMMN4tIZ7b5y3CyksJBfFc4eZx08S+fpqnUDc5tR86cW+yN+PW6TITq2IcRQ34t3QZXi46zmTeqk 4LKrn5zc6W4TBPj6v0nl/+yKMNT1SecA0Wg0oGpfGCnkKa8ZG3wPcHeK9wytKXEIhg4ioQtr9Vi3 7zwFuqlc/ogQ2/wWdIF59TRVAIkcHi3b9J/pYmPOmsRk7zF2yQG7fr6HfAxW8ORrQ+vbrVd+ntNy babj9551RdbHC3JLPnuEx1q4I1NaZtVDJHt3sQkX6yCBGuxeq2vYcnX0KJCDzqztHf5nVyH/N9v3 UKI3pN+vtgXGIfp4dHaHDc8AG8Ijvsb5VKB66IGgftRwERcMXx4EazpwgcpPNG/7zKM5iEKjyUZU J8TnzA6JBotaU6izYqaYUvViNHwPSXB+dsCRYQg4MdivovskA4VALenbsBu6QaPLU+OcVTwvod65 iVABlMRP0Qk7OjSb64jtXxLd4aleAarb5l+6RR+fPCvYaLWdJw8ODzLPAl74UoP2EA/7I99LQsTq A0aEaqh/hz3FiC2AchgMBf26sGThRYssq+2ZAItrNPx1xvswitRtuT0mfvOGQkGnPU8XsfsSomV3 IaRbXKR9SwKSxghOoTvGN9Rkh/A9c1YeAmBZBfxk4PPU7Gld3FDVE0F4xss/31rFncwDHSNVP74f vm8Zt55ABrOiiQRt6URISyTfcudI7bW/b1gKicKKttwurN6NNmdYLIZ4L61zumUcb6WVL1a3j1zB s4HQCLprDcTsecOKXWwrk5q0p9Xe+WmJWgrRPkPt1XOob/8AhkQFAef5jZBYh8Q3pnAQAKg9VbOC G6C5xuh3ALjPIwRvSuJF810YMn7AO3Af/nCtGkg5S3jWQ+J53wL59Yhd0gvkYj8wWI7X7i/EdoIP DQeINH9ZxKk24gExvHeiiFUJqDQQl66gQaTn8Upb/ppTmzMfV6Uv2/7TZ1s2KnmReNt+s2x+aBuV MhzeL5LIaj4NYxhnlcbKRcm4A/H870rr8zzof81CcuOZSIkdKzoUjMI/sZZvjs0+tfu6FLg/DrlU fgu5/nASbkabvFpycItgIiTsdiqrNOjsu0XmMpHHi/VBHFyz2pGYkYv72VjppLe8ctW9FOFAUspm RsE3bgCCux4Jd4eUy50KxqDCRvvCBJLBIYYkgm1sVvUu+Ubz2oL0hlOK2oTJsVqtnjBZGBy+XAQ4 /rmLBvrWZ+iGB01zDH899eeeXV4fVMW2oqsb5pBeJDGi1iUvneeFJwGQp7/l8OQuyC3KfeWhNPXv 0O3YwGYnTCoMWW8EtSfuBETpDHDUuDMljGgKxbyOqY2//Y3+iy5K3i+x0gTh6aOdP1yytMObL0zg 7wAu5xHaDAHLcQr3UMi8V7ol4n14caDrYMqgjpEodMUy1mKvtNP8mwzEbHjYSGTVKsUWUfG1Pjr1 ZXZlw6CMF6F/eV54O/eb3JLp3K45DNga+6D20RUaji3r5fM86Z/0En6A9tnstzEyOaYDziYidEJu wIbn6FOh25Hx4NcnyEmCEMR6Hp0kBPJHaffLcBlSbTYtlpXJvKxGwPLB9MhU5Tw/uPNNFiDpHds5 AJPuzHQbqd+KfCmIZ4dgytZ5d9lvXJkcTDtwFKrrH/oz0kfICGrz056xcdI3/kdErYqp8QP/hBcs eOKfIUlGkoCQ5YFv7g2wH3XQDEYSwQkL8cOlFG5pNKxyZWAs3UWKIZRLqCApCTzHlR5QYrSqj9Az tEOqegfWwaGMFgdcSbWKwIUTFtaMBGgMLB5GcINrStwhycdwf851UGZOs+PFktk2DWCC8RHDoM2V 6OmP9PVMXbMpHnXg63PFnYktrcy8TUfIVSZu2xgedhg8QZVGQOY/FaqZsTldla1cxevqtDdUXiGx FVM8RF9uaGjN3Xh6Kbh9rOtD/I6L96vDHsEK56GgENKWdjSrtHlQJ/lF28KeuW4uGBZP7B0aXi9Y +XWyu9GxQnxqOt38VNMXcD3HGGnUHE44nD7VSr/uD0LMILXEtCxo2G1ZJz3HSSoig1IbQkdDcq2x dwyYnqPJwkBkZsert++dTmcuqNGIRqKyvHVKH2D03plRroEr0nxYizlQC4sJChUT47O5u809GP5V bW4WhksZDsRdfPmlOdrFosrUBsPLm6yxvS5MMLfRTjw+IAzVjKpR0P3UqGADa9pBCSDbkDKsa/LU Yc99luXtUTDGVPrhzaRejCQibZRzAReZLFl8L0sQribPg8EOgdvKtCKKGXF+kZ+v7JGvfpO/T8Lb QS3WgT8naT+sYSJ5EgO242U0QgK98o9+cZ+0tz45wlAv8yz48UHl3EWayySTKQimMKt4nQqy2BfP FXmA2o04oO1tUxNUPM6h7yTS4ram3HYTqgwB1FvefjPQsw66fh5mIns3ECx9+Nl7S1IS1R9zPXlB f7rNBAu9ZbVn4dXwUlesMtTVbJmvvqUTGc53x15NX8IcQ8Ah5RTrunCXMsK/7BnYYISQMTeq5dGu Ek+mVa9vDyvpBxG6wMnrWoBJx0znavNusWKsVEqIYPxUX32yyCjHPhOIIUG5Cr+SlgUh7/J0uwsv dutwFOCb2MqQqKdcyLxK+shnaXT2S4ChFeLP+XSQTaiM32HPxnGjmOsOTZ4YevI06pEmtQ5PfDci nOeBJrCk6RLHVpzXJMcSq/WuWeaHR/1qe8Kq5FjvEZ2DVUnqKuzUnNfQAG8FfPlUEMy4CBJWCwrb 1ytXKLOZDjPbupbM380WqDiMxrRUoxX/VeY5WfzHjcigl0l9NEOCc95uJzJGFU+A8ZV/0pS21bgE l4bFv83/BSWcHjChOZQaXwS78RVF+8TdDeEA7ilYvirNsdBWXJp/TBY2Y70vdUoQOjnFrWzJgx1B 2SDUArbQ8Do1iuhwP+Kxb4jkrej9yFkYwF7W4OsiJc4KIWFNFApLY62QvQgbl1wpTnZ3ovnEsk6L f1+sHxm/rr8jd9nU1chuDEh8J2aFdDSSZ4d7qJRdW3F+tpMPLyW44gtH30FGJuF5peKvc73hH0jf gq4GJ+TqV25DwhshOL8yMCZyYTM9mZvirAQ4aZ8vo7IzysY+nTL8c43ijbBrU3sf96h7FtsAutBV Ejv5KAEYcgpXvDR76S0O3w/Qnqmu7S/w2h4VtEoR3NZkQmlRNGqz/mEZGYCxC6kEsS8z6bmlNfOw jMu9w6TkOHCBhHjjmSjSfPuQgvQrDoCbyoWR8KuCzKkFv2kvBDgcSxQMVwtDhJojRt5wk7U1Hvqp s9bEC3ElriH3zaFDDDuSis7y2iaHWMiDBiDw+QuG3iHB1H7UFUd6pNdK3iIOg93qYuKkGNRd9Jpz fX3/lGwFWNmBk7MtKMaYIc1pUbjaLZHpa9ggVniqAqjnN37fubCycC3NoTL3fFx478YB7QFmV6ML +2bbjC6DzVCkfpfEjTiszvy8MxJpEoMQabB/wGszsRJh2OilLT2JaF5nAzzcQnZ3de6m6UkKmz2g TZBOp81ngiRKF9B4ej6Tr+/F0lHm4mALLji5+lYEQW7llvkCJhoU23bXQ1khtUlA2csfizlv1lrd PqfFzZkU+fj4dsOBUQvWoDcFiyInBu21DvOakwF/93b9ntHLOz7uL/41tTPWbfY7dFskBN+XPwT8 tH/3+C8rCk2f/QsymUypBm4q3MFulBiiTwiD7zryRupGg4V+d1/G0JXbWCKBR7GcoTmYcH1iuTOG 8P5fATI7Z7genEKn7et+ybVJ2y2tF9MjwR7ID4q5bXY9CpYkSqiG+d1QnHas5DzGwuTTBacAMaae LzDQIPO2S9odr8mMbGMG9NGQNCdtWOafkNYtKFnjp1VdiCFhkNghK8OHAAVTpdkWeReI/rx/bMfL RF5HcEoX5xWJnh1we9uWjXHuSYXGRL7Gz4/hZBqpGvA/gjGuZwsRM+UYdqW+6ADIGewGqEfg9/JH 69yd7kQMYbhf68Y0fHvhnRH+Wy6eVsi6ry8LSnYVVe3/e9FMDZMtAf3/Rj9HheUwa/SKfovSqzI4 Ky0zYAtTs+ET5CudfRL1iZusdCX/+aI9xAoMh0c9/FB+1/sCfvlMrAj64TGnPUboOCcDpndbGtWo AN6VnmBbOjPSZ2/OXyzjPrZEsJPmuudR9lIt7HGcE9fGbDIBMECCWzVabKkO6j6hOZ3M3He8JD5+ 429L28xVhZhYQrtgFiRZofTJA9PFzBlcgflZ51accCpT0qbGxHZs4OlYl3X6W8PKj6w71RbaSHAB XIbgWsOIi72xLoKhpRZa8OieDZNaVlp4PImQd39vfXwhM5KP9fUu7nYw9ZRnyt+/qqii+6jItQAM rm42drhewb/D3mVamKy5KOIYe5pTZa7Eu6hXRqoIDn4pamQ28amEk2S8tZBGzjeQ3X91fKqbITOM K1CngMXK6Mf5tjG1alF6emYsJf8yqUCZnGuDzSQ15G8/7uJutgD/SHBjuypHEZBKCqyzsex5ujQI e0ownPwGchmc4EOemZBQqqYOagJtjLhlk2dkFmCBiQx8FylNVxxxy9LTc/ekdXZkrfxj12VcFA5E MBzZqueWs+MK/9r/sNlBSVI2dsICKWvCT5E7Y+UrnhCtSVgXOV6xEh44Vx5uxK2gMDG7126of4Zm RnZy/VKvWumKEAK8R9FaBCzA3/6LGVy+O3nDBA1KNfXWNYOrBKvvWygLEcFIzM4rZQzG9au7Dxey VtZHk1/C9IDDnLdOj1e3PbNF40cJroV4+Ikk/E9Yt5yB6IryML60sxCIn8WxUwid2aSK/NNnvumT Z3KvA3nNQaE1TS9U9HZOKYIu+jkl59Bk1mJjWwf83tgVPWAOL9xwilWwcTAKMXXG/6N3Ny7x8I/R dQ2Ijt7+7SWX7apzdWCsKqKpiU5ox9jBjV52HdZ6hVlEgoUHfcDk5dCg+pR9Inlznr/mLi5htj01 sKR3FH9XtgGJu7iHxg6qBYeOKjJE8YLGW+/G1QiRnuu4AUCTV2xOWRW1RzCHaHawxa08VJxrryyz xLw3cObotQNvhKzb+a1NW0wtpQeIXHoCLvK8m7pQ+QkhMCdgsDOsCKah30SqDmql29qLuLcwCL6K gVaSImnIH6TSRyOH1aIyiQjV+FdssjY9gfL6Mp7aj++ijFP6vw1tWO15BnIORpfmW43l3MPdYzxa /mLH+5/Vnxoh4uP5H80SA5u5plZExuZNkHNIh6FsrnEMg4JAuxDzY8IgsG9m8+giBMRL0q60ukvC KvMmsPdXJ8CasHoIbo57VB+xheOraG2Jmk/SGnD1aiMCyz915md3jGzzd4dB+syyK2fPan1CmRzu OH36aDZ2/DiJ2sJT6e2+9nIKstRX3OsCGH+xiV+jPqROACuUjszO0F7TWiAByE2glhzRbluegzxw nkTLaJGEF6WVGitCUW3SIvFsGZFwhoRMPwpeWbsUT4zyO/VpurGpboMa3Fepx9i4SVv5r9FmV1ne Rq1cFgfemv6Sv5s0LXBtoObteF4+vqGnj3ruvtY4qWeLsYmojkuOqIKjn0DfyttbGcHY2tcmsWKB GhsW5qPXrpqIhad/hFm/0DSB8AViL4XzyxF7fneSz+Qek0lWMF3o+JKnQC1HrXtsrUE7Y3dUX1nJ YnVRb0gfCY6lS4q+ftqierrTk96oKtRdsFbwZ8UAg5FMWBMVMBwblgs6deg2hluPbJknPKIfF7yA vI6yb3oj1JQS76xPmn2xvV9gqwJWB7ULboqoCN0VC9u3wOx29vBhBQFPU8aq6nyr02tSARXo8j3d g/NlE0aTwc339Ocbb6fSWuIGYAg6VRVS6bvpUc+ZeGd6tjCrp4DP/LHd5lQcCRZDi0bf0iG3VA1z xXGCehizD6dl+X4xWXNXSloGT6aVWTPUwcld868P/FcgRptReqKJLdHC8RhYxAJI+52ReEsnVjQr fmjAQGSJzkdlDT4RiOA58vebnWyqE0EjCzaA7l/XBRuHTHzcLW2GgehUkiLOJHxaWXsxDdq3aURa HPnwXgVmNSBCNe+Tq2vtwlOOEhTGRLOdyWyGj1n7t517Tc+AA1Gph50jBxX33uUBKQLojoNizTk2 N4r+uSBsgqpZi0cDllhM/v7e10+H6dntVUfp6HkIBvealvs5zgKTuJwPPAQHlqFAr9/vebC65Q88 aEaSNdHjG3YYt2hZAt7ivmQIzV70L5JDM60yub8ulR4BvW8lPLpPMoKr7XACxqgcTFjV+5jrTdHA YltoOmZaKMTwcftqPDCAoT/411Fn/QsSNQSlyqL/irpfDBGqI3xR9Rby/ZWplqvs3UP0f4oHlQTs v42sL1eTtnlS6MxqtO3WdvT47b7bOOOrGPybdTdiowyhBVFvR7bX0WT3a+Esh2NB+FLy7HINorZB GV4SQ3Zu4Dx+ajlqny+YkTMmLLOZYWRkRkpw2orE/QkmWdiksUZtRpPGjohqMdis+eBifk5QIpBJ OsuyEtQjiPFLqihUPVBtfsqUZL/N7WV4LtyPoK5/qUbGMmrjYDbCYwJS1l8EL3zgDzmd+dQhIa/l dbKGYjL3RLCbcF5SLQs5ytk6n5POMaTjPAzHdbtzSvwfWC/RKi4xeF23DARvutnG1G/EvCfYlRHE XVHVlqPS2xfqzhz5X/B0HStdBk7WQw3whfVWlUHCsqYC9CouZ2Cb9kL6fPXze5VbmI0VHQXbxGXH J47XlKMSiSVOfY6T68I2gwyTbr+8/BS6s817DzATfmH3J5XiwVyJ9hrUqHIn4lsrOQgVk04A1R4e SjQSvD9TYnmMIa7NfVX2lyeoiXegz5IILFADhsgULVG6gPXXJUGoc2qSvDABQ3NlCahogq34bgR1 kkWj+ex6BIVbSrNNopS1Cv4rbse8T2nsn53/Imr7OqKyoSIT+rtvU+l4FLF6AKToN/qgt+bVV5xZ Sn3yN6t2ILojuC65jIHa8QBPPIgyPpCzngUID/VItu5su8IC59o9E7DUvgOTj568P5NI8CKg4Mt5 MrJAKEwSkuG51seqwFDsNXvrfWVsHmFbtxO0amlaXaf1amc28HTyXNuBXiM301Cp8lswUIDNy+9V Y4QmTybaRgk5s7ByiSiR9epHnvEw04XITjq5XQfLapU8Bk8V3k/8skoH0UA3b01Gr28MyfL6gkS1 aQErU3BErzW5r+QTunKOLiJXC123Lqqjx72c9Y/ydpEyaMiasqITIJ788rbipk47uxmsTzxjbsAm dc7UR6kS7tCnUcfg6+ap8/XXu+SGjeZs8LhQKI3lPrbguYNEbEjMkTh9uRGIpKlDWUZN5fsllCWB fNmUnBfhjNaBmv2covcPXjQsYz2BPn6qwbyJLBe3HQduFdqfL9LLWP1gi3RDU+vejfnFgJ04CGXQ JJeXdmUKEmS7L626AMkMCDqTDBKO3ro6c8t7j9FStXO9OkeJhgF2K1eoHayYwkL0bURGml/YAL1p J0mGjBO4aq+pt20Q4dowX8P/1ZFts0SjDdhS2/dmKtFKHsCdgwugIHI0J0imyYWKXb65OMmpyGT1 ALRGPwEGcxuxAchsCqwhYQB2RhDGgS0SHj9gCIxNO1Ag/6Sbh+YGWP259GeHTkBESLoM+xo0nzHy xe0MgSTAOUgG0gIgTDodROIdW9h9vfLp1azl25f/rN3UgOWbuwycUZwKIGzbHWJ0aQ4RRni2Magb pWUseNgcGEqKr01AieV1R1C1M/CTdDhIeYf4J5nXVeQiFQEgA71muVBoRKu/Xp8+fXlpazPhgoP3 KDvMt8ZNf7/pltvMIMwr1aImuuN9WpyAot77cJT7usbj0w+99tNL3TVVkE7wmuQz18AJhzSakgnP aW6HCe7ZU1HzlF58XrdUYzqz5+wHAV0zerwfkekPOhBRIIi5onnqUfhfAp8apyF6Omwcyqy2iItF m6Gva8syXF4MsycBMk1zY0ZOWtdrEM5KmOmVmwCePZ6LV6NN1kTatBmTR3Gzkv1xxcDw7V1DxOQg OAF0Ar9Yo4rhsY29pp9mMMInedabkYRRXXjGaVh4sigZanYRVn+tZ+tNRxSmno+4Bm8J7iSKwday 5sZ6qFFvoYTX0L3TNEydgI/U0q/7cw5pgfJ2wudvZpUGFNtiTRtnXekAnv3zaYF5fs1LB6Cuihlb zcarPWnIj/8NQ2/NWBI2M5PpDdsxR0TQbXrUp/uvjbuX4F9ontrv+syZlDlOF/uuk2zL+lqguAio 0r/Z1RSS/8y7TbV2e1ViFyreFSJeF2HUovD+08c9nahwGNIRQomK1h4j6eEXGW5yP5psA8nz2WLS laoS+v9S0RhaFVSetr/dgETONrEBm7jCZ9sBvM6oz16cBoC2wm9JddBXp3p6x3pxFL6bzw4ARPKN JJ2fquMy/cPwC82hcv2J+3er7D2gedpsgrcZriF+B3Seoai3969BJczux/YPB0T4tfrW64I+cXQZ 0S5AdpvPrHykxR0Og0ARK3H3Zi4hUWtDTDls1Vty6ti0Gd8nUBDqvdzWS3RE4ZvIG72f+IGe9MJX 32LL+ozZ07forpzPV8hwayBUJ5e+cbGwTpcZM/+ab3CKKqghXlFcF8rJUPW8OuzZ7RrCRWodT6qY oPgxqah6HlgzSUDnxfhgXoYMFAHeVAX6F5/cNu9mgmrMoIq/JABGt0JXyfWSd6LQvzwNPvjdj1e4 gRL1UqOjRNsCBZ3BxPjIPAvqnAcxfup1YzQYPUpItRL9qiSiBS6+yfD8VH7lk942Yg7R710FggTH Yul/eu7crcyAJSu9Q5f4BTY2psZlBPLzA2ZP3wS89RPlE1tz8x/MXGBnR7p4vTohowbO0cTzg8Ts KcCugUIKjA6ToAD4U1qX2VumJQWgpeh/oJbR7/9/dmTatTldFWcVbUWx6boAGq0jgHiF6ptcpMNO RJKSUVRLTyhguCwpB46iBDo2UEy4i5Nag0kMUAuvMYcleYiT0F+2mccg/el/OVMvXvxCvrFu0vPJ nX+OlIZGsNS36pxA6rDLao4jFuY42Uj0t5rtxsLUYsX1sHOPpVpTaRNcCLehpGw/wTW3BmoXd3CT OxyaIFclC7D3pRYIUwRSvrsmR3otpspRtLyH/QoTz7tDxdfPn4pj/+TXAXkO5319FBv5jjN6/MGu jZzGOHIkSRmteye93dlg2oiNJHx/k/N8LdnF/y8VGWrRL2aeKtctCpp4cql2W9QDhAz6N2vpRjll NRDILTj8t3ktuA5vatg9Qs34s9yPhCj5Csf3gOFfhrbS9GvJC3yWOu8avpqJvXNv1goUNfScX/EY hW4U6qctW5stEE5PjX+wKwJHgz7SAQr5SMs89mcEkamCITkdCOuL0lB7WDbK6VxhXp7h7N7DMA2b 966CjhVRYQlEI77wok/kdduAowV1LQhcAZ+6XdxIVapuUBYyAK5sQjO1ZO30z7Ah70Mmiqj9eBE5 bky6YJ5RA6dJLA/iXSz0Sd5JbtYnw4kNsZvfCkMcFdT+S30iBNHu/HljdEhdpusfn4HZziPsDJOT HEL5tBOknS0qlMbnGkI8c0ZdE71kI8dIO4nnDWduz6BUai6CWY+brdz/UPZm1+TZ20KkaO9RJU9i k9NNYacfGXEcPVklgNqOuB80p4Xa3h0Rzbf420ngNlBLUbUQDUErLsFUBjFVNnBhcIeWWl4ZTgwn HNr7DSzYJEWpx0LY0X7IcVUVxt1q6E0kEuRxxB7yEdw3eSHqsLckWIoKzn+vKeCwY+axaZfNWtqV EtiddV6j3j2C0HSBAHjnkgMvGM6rEYAHrvV/oHt2Xip3X5NV9g2TFlc96dhKCynvOPu2rji5Y9Bb HU/0aS1X3O8vlwzt7+QuLNMUQtY456n1LEtjO/J+M7yhnsWQSkjrOYGlNhZ3n5vPO9YGIO+p43b2 bOv2kMzUg1Xby+uXm00aPYnbDjQSIDfuMbuU5YJ2Q0XDdkHAwDeL+ydhUx7Afx5uc/CsthNTqOeX V/PKFrsxtqv4TIOa3IC1upXqpD7ZP/Vdbu7a9FjAhSICtIUZfLp/j8va8aSOraC+oujkVEeSfslu RoTie6dULqKQgUABQh7tt3Co78OwTpMvo4DP0CR/q/pC/yC3++NRTXa/3C1zFsVC8/edEjmoPYRt UiTK4nnIU5BRT9MmmonFSrpKqYqKJw1P9jfA0S2OPV0S83uRnS+NXHeDjjBzst3NFsik8oPeUIKt 09gtkDA73YOwhbm+jbkXkvXttV0Wu2ts5SgaWu9kljhykvXKw9dBuFWOr+WuUcvzaeQGhnrDi/6J 7VE/GCRrYAaBnXpI4l/gJUBKeEOYGPVMt27IBJk/h1M6K1SlTx9t5VrReFRIZ/y2YfLEy6uHDaSr EYQvMRRx8Vgh/KHVd6bLIYI9buTnMDS/8mmpdIMeu2qzTeqqLChTtqjOMNo7jEoExK2ZcSfsaiJ4 kkh7RlqjcuV1iPt9+TcashJTC5eWCtT7tkS3Vyr01HKkK1CGZQLlzARcH3phSF2fKXQoTkD6WxCk HmsJcRIzni3ecqC74Z0WygfSfiJnvLQsYAeX9tc3c0haP+REdBrGAH6kDvcWBkAvpSkT6/UEVyB4 ChpZwxuBtKAmZARH+/7VFCH28Qdqx8KYhEV0ACqj+8B31rv4dwe1+W+fENi+VM5ddf878gooAj1y CzVNAAAHsp0PT4RIZvbfzl9J3i06ltLo811ch2ytR+clSz/531MQ1G4OB1uqpHiq7Z/22X5tb+9m wNl0jMST9P/RMx8xjXrMAhnr0rLfpYSDtL7Aud9yJF/2elHc5ERiJcSAMKgtZduFs9mQ37tJ1AGO TdMs3AYIDv+HE8hp5/8wXRXDzIbLwibRWJN5CkwZPZ4YpDBGOlO6sQjTP2HTVJAhaPawBN2svveD 7e+Qst+IjUugt2r7+2/KHXniHs424WHFUV67s2pXI0QkNn7UQYYHvJeWpES5HXy6grs7iGIbj9o9 GW8TvWQJ4HM2T+aR0wwNRt+vZVQ3albRFhL6+HkrZz4UGzgLKE2PPc4ykmBF1/Bl7oCCGhBewzBH aQxy6FlQetYRN6Xqzdo203aXv9jecJTMPEGilz8qAuD/L6W/wOCOTRMGNsclHpT7Za6eAd+enx+E Zv28Edvbulyf1sZfZEHo46ecTtI50sT9Vr0KaoWp1w1ukLjsSZPLiOpZzAzsO0XnlvRFReyFPmO0 Bjv64isR4bU3tYPM5iF03IjMXm8b/pkeYTtqv/kWS5ArdcU+Ux+jXY5KAZcPqm0VZ440wXTAfbZO /1Yv+Kv1JfeIQk1mn+YfDoleq+CZAa2BfukcVK2qjMJoEQvfb0Wf5iCY9Do5AuJrt9TjRVC34YpH eIrIUwEhztw2K+Eu/9YFaUTCKyjoK9fVqITOhWWUkC2dHMul7fqpBrjkposDh+bfC51OAv9R0V5z LjO1IiRw4u6ant1IKxq5sRF1HjEb1D39QiCBKDiWqF7huuj1L68meCcCWHjIn8b4mBZotO9n3B7M H8hm2HmFSXynR9w2hqmlZwvA5SQqx6C1mn0FVX0MpGvQDMjiSUUgGHvlpYiHxeR40OKl+vnRjNlt PdGloUnmHqnyzSdZyWhkmVFAwGXTHvE8/OLzK3ZZsAEQKI09bS+fA1GVWR1sCWuQc9mz+Hz+jdcd J1GE7/3DKdAnXltsFwp861tHY9swyv06b4X8zkeVqQpdzy+LW2aI9YlUViEx5sazX0GcTBItnpIQ Du5evxyrGIj7rmgqYN2DFAwhYy/WWNzAjE6CGe8N4OuFnWDF5FL9Emh8wcgiLs7tnFeb2k97OykX lU/7mNlzDAd8FQ0/LgicU9RvVLIvv3rTP8pnRIh3UOYyGaOC0SW929aOgGa3IkEdUenwXuDE2cvk 856U7QAO7Xq7ex0hY+zPiFv0JTlMxaTGwuM6sCWeTm7qgkf9DcmxmwipeMRBElODqqdmWht1uMO4 sv3ISpTiDIgYZBl0fgNA0ee+h6exk4pT7WOveBng/bF2t0N+ao89EfI3+L4D1+p+dUbofWbb35Pk zInTS6d6+bxiPbOW+M8vmmlHJO+4OJYpMYB0R8qfpa0y0k1BrE1Nn7VcwNnX3T/CjKr0XoU1AvWI X7H4IjBHo6j0u9DP/lngsN83BcuTOBrtyCyEEYaCw7gXW1mHprc4EiN5pbEsEva1qrfvkM5qaNfY ehArusL2Qd093Fo5kTbiSaLpmAY1ci3IrC/IhRJu+AEWY4cDWVvmSuElz5bQYkGsZ0HXUHvI820y +htddupJS14RF+Pn3wpSLqt8DaZpjvOnC781GtRgOWlVydVQ76Y8GMSQvvrJhp3FVuuW22bRqnjq y/DFXF8c1fNvCqNGBtnw7scN2fYzcqwB5V2/7AC0DLp3elunoRCHwrWSvAUyh2Oi9kyY1n8Osjcu T6xjT7a157Y0BC3wN5LiE1QGFDiF3z38DpHlFZS6VCeBVTvg0BP9KCGVDWJ/syDNdah3nCvkVcRQ VREPk2vXBHS5MXFo2erxCTnryhMrNIierqVU2fCjHtClOe2ViTn3h7GV1NwMH9WLAg09w0zqB8QT FZmmm5pLNrE4X7A0EnKI9hMublw9w/L42mSNpqHElN1wQcO33aAIZFx02c658+yYRPf6W3HllUd+ yQj+U+k1VLJqQrf2zFuBwOSrA1cgJKE1yvG1EfNWR6apUGO1KbN1zLSYMaVVew3cJ7tcYX6vGds8 8VFrdM1yzb8tvRBVoWIz1eZ0OVezyTlKajo0in01Bu6N/jMzeMveMJYLkbNy27OfdD++Zh2BSSnr JLRRekuFtCwwY2zzDG93t31iWmNDY2k6rVB+8t68rWmpP1W8KQhhnI4GTG4vbt4zE0t+hel78vbm DsagAIFC/d+lHLsUMCZSnLWon7EhcgbOFrhJfIqEDgoQxRaKSCptK2FbtrWi33+zWoFYuHesQkYQ OrQbAkPBcb52DEFA8bB2++vCz6gIbhinwBs9rvHieQpHwd23+lp7geztMUfcNiZQ3a4eLvO0PxHo uldLu2/O8Jte1WG5f12FooSOc3eTr9DW3Xp0Yml3HFPcKIJCxsU/Bvv8YyVkliWs546jhafsmWQQ /tF4Ddp0+KYmjnQzQwxK3piiC0se6S8mZO0nefnh3cqyUR/dq9/IlFvO1VaatTDPF3MfKjNHDrc4 YnI4oVJIdbiU+8ayXxCyd8nT7mX4yV2w3R+PMHN4Lx9AL+WRGP9uO0ShZ+zPq+LBxle91GnzBa7E SQkgLAn38RORcflSSMYn40xLK31PFR+1B9dGT4ppFzcpp2JEvcIDOdiRAjh+jzuAybKBdBrr0wyA 6dit32Uw8hv8TbSOXF8W8QGUEhLezThEr6af4iYXH8HnrYPLxD3h31Y6JYnrqa3G/Tgy0ucFX1tY vU4UR73EHXQsMf0klNfeOQQWRlHFsUDAMB1ai0BIcvvnJONTWoJGZWjU4BiAkbYlHlNqlrvYsEMK OgVGsfrNnkw1ryTrNBRfizuI3wf9Q+2kMoJ5RQfB2YehLCq1cf5Seb0uWfaluBCIBgeCZMkFnuIZ l0LP85f0outOKCJkHsUlTGlK3co4+qcFWwAgEvNaHhow0YAiZJPq+C28EaSftE9HyAItSs6Nq8Wl qOYpOJsjQezNUUnCUozORhUrofjBAw4bBiqF4ItIKKNzy/FqywAUeDUA/YLFX/LdcI8PxUbcRUkH i38+P3oIrSwlYA37ki7ebRmGARfegBbvCtCteMuEpl19bPUwGW+6V0Jlw8LTkNuDTs7VfCqCHA22 cu8BJP9SeiLCEwkWZvxx8tL+8a6XNtupfPb5pD4GNUygWyqy41Gz5nYiD+56BAez0zZrE19VIMyq MNLgPYHufXdm1MDab4WfmsjEedRPRlgq43kLf+NmvZ28Y2v2cMrInP1lKuYueBaohvxW1G9nM9LQ STXFc9m+keJxBrSVERmbZnyGiAZgt6ZNCzsDCWQboHA6M1IOX8rHVbBoj9Ln/gHZoRSp7q62lVNy 9/7TtSFQTSbMtRFXHuxNFnWuj0bed4C9Q01OwsqG8Sf/kan7fRYwg7HfZgpwXb1006Ck6ZOWqqn8 r7oXyQHJwrQpUmZXdmboTGQ0Uf+45DZh0vHoIfLWnsxep2lUhZA/O2lcrrn6C1dKXKDzYGrPuP9y dIDNmtHKhnjws0dRY3ajXo3RC/9vWw4shib4dsEcZlC+9QOmOD8UE/wqGpEWaRuT/quANYUitelK NvMvV2RX90eUL/f4zcWc+Y48XyCvQWFS1vZcqZFw5b2I4evVklm7Xx1n83EROzA7DER9WP1IKewU OSVCblhO3O+gGrwIURbSZngrpCIHe6HJ/kpDYYccWdri+MbXJAtzALB7BnWmY8LolCUrVNOtFOHl yneBLdrG+5XvArOppIKBTXNxWRhzpG36NNuFw+gbrQC3XbJ4QA9euHi4HcJaw5XLL/e9xfK2z1Xz wwDAmBgTIb7y0FTWmN8DAcQomHbqgANp0dFIiPBi9RPaaJkCLNVH7FAiwRFrC7K8Xr5XZ+T1/0fY SLUQZxxPPTsQvwv63BUaANjY0sdihgdAYz06Q6t6Ar4nHaloFh9HhO8+wR5MHtt4MLP/SjtWgMH0 1M0DrjMtyMgjhd1kOK4vigXEDTMvllz2iHOERXtqDfu+xH7npsMs8SG1/SV2Q8xfmB+Q7/ZMioOY wTgt0gk7+m7DATAyHGZ2h/j32FUowmUVOuHPFFHL7BEoOeYvYUBmt6t8ORcM4TFFdFUG7no5L4cl 8D5kONi6ltE46wJlN7MBTX7irKqKreNn5VPcxHVlVIDUY9OVziE6HD3Y1aKqk+OVRdKpupzKjMoV QEjz9EGBoemILqATkHyAp4QxbF3l7gVNqcceR5o4KRJI/3Mb/mJ7JG5HZbHLs4Bbewpv5bSjSExC jR6r9SmXS6cAOTFSGWFUU23kHwnK+bvJCEvZ9xw5NwRNrCz67U1tPZki6EyB07KfX8vbHB30Y2HX bYDoxzs5f4e7SfsTWMdPMry7sXAhe/+IhfR7j5AgXJ5hsZ7vWaPDStXMt0KnXgO2FFblByr/Off6 H9vynrZbuZRCQ7Zq+3Wqop/4hwKfZq+v6JJRFoGfxlMSrZ9l731NI5WMer2OS2xbFWlQi3tzWQ7o 0x/cpqhQ8Rlm/5cmC9uPRrBuqFYFgY/wnl3xhEB5YENQLYNR8AckHJrWly0mxCd0m6/zm8G73Oci K7LZTSOxF+A4oZwsKmA0D/RzPrS7a7CwdxvsuHqyAbsdHuJ9Tsd9hD1MxxVlvoVSV0qx90w9sYcB PHSUrrPcumAjl/gFm205zI2VoItR2j+kGOxzJyIlxf2+2T/YuYc29Kd8acLiy0fck81G8/o1C/WD LinDbIvWBEvGnV1dZM6gZmj2jqRW4UpMApBlw02g/P72TDFDHQWZFlP8jy6T9DM8M8nz0h00DC72 h4iYPcmHxxm0BmqXB8Y8ERK/N6GRMyKok6AOo9i2LFI0NVs8x+28nQe6s4enOJBuRc4AKdn7OihC PRs6Pf6DUe4DqDUEvRsMgZ18ODhRJTOojXgRdD9tMMWwqnxxdhNasEQ0gCjf1JvwPBUJxtYVtjR/ iSMbqhGKkDBlt4yz0oLnFdT6nqlF7ppzWpEDxzLxLVuOi271qjxXCQNoWXF5Iy5M9hUSFOjroTyC U88kIPLQn93Q/kBbmGsxp0/qsftBnO7h7lKymKQxAqBIC/3mUrfgGh2t7OmnJeG2cZ4/PnN0VjVv iISEKNaSRjsdRhu39qImQIpiwcgY2KE05TsPDjEZ62gJh47WesZcPJZqVYYRITple00EZsdh0Y7E izEt62flydgIWIjRw36v/kANKh4e2+0+U0V0woUTZnG6xUvVn0vJ/u15KdtTJdz5vkiIvdIVvAUC 9O+c3R4IOcfy+3EJKWw4IDh+lXviWJQ6BEkneIMt6xYt5QplOQOoV/xy3xTxYzrqqigyOB8e6P1A UDIo2KN8/ZPXKRfAzXZsgZpXOKjWY2dBdDEd4nKIsM8/cZ7NTdzzq8q7F7n+bkQITrQEDDFA/lD9 94ZQtW5TBjGHGaZ5dFz+I8E5ffpKDGq9DRzUlMiC4G2u5T7eDNXYAXjDz+PowGpTOHrlbzaS7wm5 rbsgGQQa23LBtKA+tRgslz6pMwX7zIRPzNVu2ChACC7YFqmX0AdpgAl3uqkjbALX51to7BzxeZJr Q/A8OFFN8EOZYYyjoJ+a4RTwbfCbg7/s2vRQyMreGZpvgmKIikuwmpSgAyCGLbgCCnpEC2Im7xqJ 8prl9MtqvgTdWAGuR/U2BYoFFjeKAw2uMvE7biwR+tc//VMiAWxwTPotI6RKJC8ZwbcyWScgPMiH OJNYJuKppLKq6A0Mh7nM0wo3m7DhcuRquB49e3CUU0Ez7+rh/3wJJ/kLDj/u3bV93SDyRLw0YwX4 BlnU6+qJdFyMKb01SIS/gUQQ+W910gJ3wX6tUd2Y0pjGJrxJ+0FLKBvgT3HU5phl71C1xPXR926M Ifbo+3sdbMx3ijg/BihKF7CW7kIAeh4Pv1tsVqI7e4IEzHVMq5Tf2G5hZEbCxwMGG2k+TFpnGgG9 Dw8MawkHpn8VKU64ubf+QCn1DNN2NgEzrRo22t7SAuhOzh1EMAbxs9Rm5bTEDfjqkKTbRh3DnvT1 y0BkjBBMrq/Wpb7+EBvz4dIz5Dsln8IQjxz6lwBEJX4AkMS2e/lJJpRNfhhqxeujkkalStAtDc3/ 7avIPMKRI+dhm8Rf9inAhXuDdU41pNQxhJ+pvM+EiDm6etLFOdQn/mrZLVY+favga+4zaySr9UMB Ll3n5hcXeX5hVeOxtcd1dwWWqGsuRBDbNFkrRFEU2S3Rc7dXG1nKuLEg8UMyblvFiqf4h01bGoNx WhclMKT66fsFWbkVkXKE+BthtduJu3ShoIrwfoUKdnb4mvnUGP36NAsATDZilTW8p95frHr2q4so AFm14RKTBvzA4/IU3j65MZNKmg0YyGIdM118GeC+l0WGeRcLO5bd77fQvr8OzU5sSgznT5zHEaC0 4FZTB0Oe2p9oN1HZo6XE1QcnjMLSmri7ArArIIcSqs4spU9TuPxGubJM91/IXKc6xoY2krFspSxj FRaTtblI09H9g10Nyt+MGNEiGn1WDCyHdD1d5OZ78jRtws0NpbZoUmH/eYBhxRiWkN5CVy6uSYTV 2RcoI0shKXAF2LBzlW+sN6mODBJeTVKATyILELSWZ4GkNfpAhJBN25Ne/lBDyElHMLsIunpsZIAx ceaZHX+OefQr0+SFciJAPTk9Zja6yZrMhchndY6zzcw3mO/DgKe6csC0pnFhwQBvmgCNGNwZKVOQ 8BR3agpTLzRXeNdRBixGH9t0qNZ1HljmXZETMbYclqZ6FQm2EwHqPlycqD+sRJVHAvfdHPp8VBsE 4Lz7o1o2JW/ROXBCXUD79ORPmsbwlGdcLBYeQph4pl+4MUuqIl7ouMucc/+MDnXcasmau4CH6Yog uk7GLnMMgiZ/L5L61yF64bdG8E6HkVLqMshfBp3KuIr0/4jsYR95yk0uK5CRFZmvS2AOrRCTd+rR Mpu26JO60cytijNYEiOPQAmLkYbCGhmfffBrOe4KMVhPKZlQCp/ZvFXo1lxeaCVoIrkch1een75U N5OeALT8ekHVixiq+KS+1vN9Ehdd7ueRDGpzDRRWZdpo3t+UEVsugBFs141E58ZnZKA3iwFazf49 Hoz31qi+S+ULRVElgQTPv59Vsd/q/vc1PK2ZGcT30CSvcPLZ5PQPV4SQsgepZetDM0y/mRts6sME 0qijzGLIk33nEjxhG9bqhvmmrrptUXv5pmX57sppELGwbO50VqpbdCCOURDXWWkUjuDdOuOLG1dF JM0JfYLRZHRXDCvn332a1uxFjQj1LNtZoeRkVxD4vz3Gxft9HFKQNQPJTxOE1Qy7n+gRLZCsrVWK kb7XQ1eNgbyUjXXxw0cB9hhA5qMUzZVNLS43YvnqIC/FIO6KPwOzu7Bo25F7c7UkaU98to6HiniU BYDddBRibGlQSMsM3NGzjwfGJhZcriwcqoUYnj4IFG/fVxRndm5vV3l3q2AaeaGfh0J3mpCs1Ye5 b81Ko1b4vl2CkaDsI7GRrGrwAh4G/pP1nlC3e2a8bbL0DPSKK2fbtT8O9B+tHXMCE2kP19kP+vjG 1yZs5LawLLFbLObjiqLq/NVHoA0jhcMHaVTeOQ0e4bE/+wsJERqCQj4bD4zk6QOoexXLSRwNrbKX ZOYBInKUqtj9jR4TUXfV/SVRTlQixrn9OAqEnp0rXyHyM7ZRqgKs2syiAqfOAQPDwThaMXFlaQ+5 JE6cnowlba03jUi99NwG0iE6gPYKvkKaMbF9CEwSx1HkwUXh56v5s9EgmQ5/zi9GCSp+SVRZhL99 TrQv99P+GrjaSDzwD7P/0P8Vip5rArVvCSqAwwCoEt5oTLvQ2nXEDPklH1IxaUSHeJsPBlbf9oUZ huGP50+A1xTHjTE0MljsuEtqTJwKjPxsQSgnN8Tqu4BCoB7DqlE7IC2nIwMdLHXZUkzzmWPgpRl4 sGbi9+hJ9zuehMJZHKQD6j8+QMz0bI62DLfkPuRdyOfDn4vi3cgTHel31ioWEwv853tAgy7bA88N DEBzfa1xHg3KapmatMYuatDyqkdCwS0OqnH3WhqOFVVcBI6XxLcvWpf5Q5Vx1CQFSe0oAFYXdJ+1 C/BKqIjNcZS60B9p7r/VDAnhOJuYyJlrziv0836bvcNp4AyInvwTy3XdCDdkPe9ycDLTVG1WJnOh 3pkP2NGg+EPEJPJ/3PzKWtMffUwaX1Z/nwH1DltC9fvrBj+C1MUW1U8p0XF7aF0yUdZWMpmWL6lS SPdMVE6sRfMyri74PQ7Vt47u2enpxTdkA/Nxq3RrigebO/MDVYjUR++jNcgbnREfy8dHuhPH7TLK ocqY/ALR0/Us6+T58Fl7YwE0LMsnQH92qGa9Ilu8PcJxzNhVsXTj2dtxcjNMeJUjf+hH2ZUGRSht gAXNDTTEOoGBQT77o0tRc6T/jdFh+0zgbjX/1Yz8pUmqYDiM9IW25wwdBfghi8jJxdKB46yNhVym kMCgqDTBxgU8cAnNTqHH3PYWFYcye/1q6Siu1TawIs6Fc6/hMQbWkuFf4upiY5ESGPhbGf8xziSX 9x2YrC5MNKyU8EZe/hqJAUTNLTypVYPHxoL64SJuor4pYVo6Z7FPfdmGQNgSYBytvG+2jPQZ9MFT URBa3TsbBKd14DGN4xKtAu5zL1IiPGEP07cCY3uXcA+xMxDwHX44saSeycbhGo100Nd2Qj/VyxQn jUcEFhjpm2W6GHxJq+pfq0BuaTYtmH5KhGom89GYZPZtxb8LsWAbHjBRsJQnnoEgYoepuYDYbZ3q W4Q9tQo0DZShp/LxNMxe91bGAkdgUYU4FWQ72K3QqcxQkoqgVxyAofozJjHh1fxO/1lYx3EhjpvM 4UIN7xfqWZBZ7f+h2C1zhGDEsNQWypqv/NxpzoNvrFVvQ6H1cmw+Sbn9vAzb2fB+tG50fFb0y5J6 gfdbtAS1sHhin2SQUX70Cne/6Zn0MyY6PbXkCr5dw0iHQ7WWek0v5qVWC0+6L2ULkBApenj6fJ7g cWRI5y8fOdWq4Rqlb838aPxGOX1ZCijSMorBSDro42A39J8xkboFfuXhW/J9W93edtRwCikPQXVv ZjA7gmwbziDGr2ZSrJzcxS32mbuclAC9JpVBklV/SmHbN/ABhsVMWDm+N4dU3TBLeyXagjPLvYPi ZIgeUIUvk8vKVMaxTATTLCmszLfmZdwYZ+Lce7/8pfNcBtxNLpLsEssEEfw5TzPO2rw/NsarGh8m 4/KzOswP3SJgcGLDWdMlLg7aL610tEmhixnkj9ct0j6uTywWhB4hSfznTsUiNghhnHiMcx6YrAOj 2TVeGTzDT3Rq72LzwyQGOk75WUPLH59RK8HVfjTy0JFemc2XzseBc4/Sfl+r6+DsrD4hv65SmQyM WBz71Lwi+zOHBmN2OcA8Xq1W+eSE2e2AyYviuSWL8bn3amZqrXje93P9UBKwa48X8OiC9E/rtK8l sImKAOSaZmcVuc/3hbpWhWl/47rujuUGVoZO9Lob+7mdyMp/I5UE+atBQFwjPiSxrthC8uBapNkP wJJwXFmdZuYrF9qWyqOvFSQ3PTK0Md4dik/Vh8AtaroHl/h2BGYPBh3RkZOQCmdYgulJ3ABRi8MH OgdyYfe8NxwK0HErAVtKYQReA+qjQzvhl170QAleGEyzlC6brU35BZ8uFUtem7ViO7YR8fRk8O/p FS7DpOGkU9Ocvts7+Uanb7+MHhAiIFQg5d0wG5RbwHZiHUzNTJlnQr8Yqhasq+cioWPJYWJPq1DB Q2Yjc3DqxmFtGn0Ws7rg0RsFITNfmeCx9JsoT1QKDugVDoIIxsxlh8OMBydkkmQE28k1N6uwrSbv TqdpROqB4gtUQJWDJQ6JZbeWUKD0g8aWqN34/LjVPEasB8cJuB2gGdnHKXEcRDtLH5CEGwEFihwh CrmSIXCrycTMBDQ3cF37LoD8Duocrx4E5bXGxttre9LarD8gzw9G3yhGjZlHmkda2UkRsxKtDoaO t3enyXP9mOcJNGWTCFF2KoyVHhLO9E7IPaSVAtKZKnAKoPMTet1NcoVgun9ST58QEl5M9p5fiBou I0pBqviEd3BP4nE3sd83YfhTnXQJDEqdSR27u7kOaranmEmzxGdy6gwciT1B4xnUsGBWtyt9mZbv r1XIRX1pHwOoC4u/TbfeJvf8XfvZJKx45zGnD0YjpzNriVJPrS8/GfK90810+rxg4nZVtOSVe5XA xtuowyFMcIyxXhurKxj3aQBb5fYEcCW16mrRUqmQaoiwDZ9IHu1Al+mmcf/rNdFe24M9+9Evdbnw XCHNjCyxyuM8IHWsP2ujhNkcAmlFhKsRCfDqBNzLLg6NiaeGDpQk55hRbXPPvUsdI6rj0rO5Sa4w X0oZbuit9SxR1UyMTMg7yz5+q9ptqb01dGB/CceCI7Anu/RasbEbfYzEbpdDMJSKNt23bGYazVML ATh2YxPiHTNttMhO9ieBin8k7ML0xj15ggq2G4rQCmZ0MylttrhCEQttvI1Z1b8oQlSgVFdCHLVx q6h4ohCXwsaYOUa8RKW9xuwDj6RlfQwR+oIw/+yWO26Q9aGPHZoXqvaTYBNPc4S/S6MCH3jpRno+ PS0bxzHvAjUxCNG5JGHXYayo1YrKBK9KMd0EqVXYC45n8XsI/khgJ0QLxBwfdvuf1EF7OckXriPB KVabghb5QxotQ7x0yj91YIm9P5ze4CVdlnsLQxdUDNZVXXZpFkPYZGFnLeXdcm5X7Ttgx2ClrkV1 rbfdq0Yhmuac9aXa3tBGIFdca4PJ4WScYSAcWqKkHMxq+wAY1h1CYDAkDyeuYtQi2VveUxXWiFfx KQViosS/Emo6TKh+cmc0AA5JaI0Uvq/hd215K8uvowZP+qqajcdREKMuvP4T5xSbxqXbv5tEZ3xG Vi2v7XfD5nO5+RMD6C/RosTIHuXREBTEdWBL8UbjEyM9I/KKRFspGXS8tAXPjRcntJkF4CqE331K p9+AlrTeCVG4gLOaeFNpk4XPev9CcL6+xhrTKbmFgyUcjwFrqf3bZHMPt5zITl9XGVoKhTYtx4OJ DHx/X7ea/YRoG7FRt1Kw2BJriAd5kPHuOR9UInfSq5aVMrnOBkl+y56N9C9sYuIR479abJoduYUN PJDgMbb2AfUcjk+SDPRb5Lg0vtmPkAQzF+LYqNzZt9i7NJzUIPwEEBnqkt0g18RMsUD1jD9AErla Dyp2078iFqaRZ8KY9Ps1EkOouj0y0JNBZHkKIxAptk175tBMpp4DdLmU45aby0tiH1iYprEtvu6K +ynEgWAp5fZ9ufDTU6OUkn+ZnLn1jcneDEMR/9xqErHPkr1rA355ohyXtIugiOU5jCMxp8kfKd+S MUCfTBH9dED6Y3/AOKJwPDDAdfg1THMaZK4zMIBRFpu3rHviQ5fNClBfWskxyRcVP9rmYLDrErQC Lb/GA4WIYcG3XaFv3bWsYgWQRMk3YRGIRZw4I03eJrqdXIOXh9WMnpLUaFEsFjvjSY7EM04sMC7Q 3emHESCGZf2uRsjdZCpz+V1JAKCvkaudemJiOPWro6CsYGHSPQX9C3BDnv5cIJKJZezlonNj5M58 j0P4TOF0ycr5QthpeHknW6/wVPeD1Dk5TqOQ/GWdkxoucA3KyCE5pblbKf+ptpAo3hDQ7IuLSy8x t3BhF1VuKIQ/u0+Cwi1agr5vX5UTnF8oC/MQEPQxcOnX2Xc/F95mTX15iYGFzkWrsENBtpV+tL92 gkaUwfzfSpRq3uw1CezOgcjSSq47Eg6FFgfld8RSdAIcfuJyjxEb5eAaYqvdcFyNkWLLXhJD3CTN 6OhMT+47oga1Zmpuji/5N+P7ID9SDVNXVN5pno0YFaP+jSoLf40JOWrnjl3b1oT6Slpir7nEodOW dqAfmttHHs6LdCNwoU12MhmHC4VUR8Qj/BOEfRfzX/14TpDuDpu+KSKouRbIcIOIW08kZJUdXI/H pDlvGDfT2mbaykLhgr4kYW3qDc7ROkhcNjKUn4gAG8dPKwHA+FcZhIoB7F5Vxe+KG+qssEzj6ucd vRXJ3XLWUClBzVxrphnBd6zY6a6DUXNh9ia/pKShEUyvBSTWW2CIrhEptdZ6SrUhrUE4EFu6fbH4 fmy9J8J1/XfKPvmpU3+J/zmGV7OWVuzPDuJBi+7gPtBM7Cr6v3+QhMDV+gCphVi/K7L9C1IIGgRB yIkwfEgABj/7tyWPC4IT9aHDe46yOA0WmbmwVdHPzAM353tKefYZqT17NtZraHa3pXVI+q38NWpG 42UvlqeA3pQ2R7bkkQ5ffutsuqbXrtxPWXwOHHAYm/Rx61sM80cxUTSVUUCRGJXxDvVRXhEiITfB L3Ns4hPNQJLHdOs/qv3GHYSp2S7henVl35P84AipIVQQp1rRb5PMqz1wcQLq8PDWbLuzcPHbF6RI 7lp4R6HCM0SorMTCd2+sfPPWlhm7oI/hcah4OMp8FvxC1iMJuwH9fz+/LattOXf3uS8GDesaO4aj qc8qdGJn0a+3YMgqrkUIAKTQQNiew3h9SC+54YXxNzWtoIKPbxDy01sDtzpJ+XPwSUGtNqY6v0Ia ciIEbcSa8WH/Vk7eA0k+xHF0vplJcujN7s3QTl56S6a+ZpvGNsNnXeRVh9Px4HEGqj+j0Zx5NsGV waKB1Jgc1dsRWvJxABr9ZjE96LE3mJmc10o7PMO8Xx6WB1kSimKvzCG/SzpnSYRJTvwMAiI7alu2 nGPtNuOLLm4m5k8/+Z67RJ5/LSVjS7NOhF4mNNueXSYrFTRdzJFMlHVI/DbI9IwhnXge6FYu7I81 Rc1DpnXqxGx79ZaUHyTUVk5ln4cnaci4rM2D6gJ0JzPUJ93kusxama6ri2YJbFgw0fpuK/UiKstH Ocrz+beOP0JiZBem3aLnWF3R77B+HVZ/DkkoS0AZxrNlaVn4WW0sE9Dc9ZoYplpOFA5RTteWQiys T5qZDfkm360gJuECpFyo/A/4IFbmXBWoTUKaiZ/J58N8ihIgwESIomhCqWsNRfm75i5bk0iEMH8V aNQts1en7D+FLT9Vt1k8XG6v+oMqafVpRB+2/lCJVBT5iMXLTXX4sR6Yi0TRnzhDg6rkpj5t8FSf M41UgncFFsuvQEa/kLeTZNC8BU2d6ARMoSi+0tRVxbbJA95vlYc5qFwNWZsPS5SmQwOkLUfH1Ssa Al/c8IHXLkaqwvErikZaYwEu0+LbKPzspKI4KYhIG1QKPVA+PKu075ZHMd+YFO8dAhkMjYkOmFbK 9DK6Zrl7dAHShXyrs2wqGIhcjFvl+BvLgpRQcVyc083m9aPUzAVikp14uiCpK6hNBSH3sIadnzlN aF9+Y7HbfA1/WiCEBYrDvazzR4VkHzLhHr5dJFNgupMDTM00MAn8wpbS4NSe8OxEAo2KK1ZYyiuH OmdsEmGGDjPjD8Pc/MtrUG65x2Rb/KNFF+ZsE/BTf6h3jhRTdPm7Z3A2FwWOb1kp8LTMbUIzQfwe P4eUBSArA+Drj4fqHGosZpuSWEu5yTSft+aEGxGCqCXK30bj8jiQvP1TDPwK+z17Hgxehb2MCP6q 8eTBoXKRSfijIpdhtzgIx2UUluR36vSQCq/axThzofMnHGh5DONu9ZxYqVXUKzwgZ33KVuRBfv5t FUFf1DctJDkHIP4oS4CptC4zjeYoSNLAV5mB9zMf57b7CUcEFEAy8zqyzx2IRZWN0QFakLdCWvgh 23gEJEDXx1UStYB76/QOHKJWg3XsSOh03U9u7XBlpVuaNp68rNKprvA8phhN1SfQYmF0/n/tCpq+ v3HrLvU0DVDb4C3j8tKmlkC2O4Z1wOKokO2DmDTxiV+HTf4VKfwNUQWcaj44omnTRGxk/TcUCe0C 7U2XBPDVdRxHh5D75gQ4C9gXQ0ZAQJWpouAtAPqBlwawYPW9psnJWWaI0GJz+wDWpdMa8gYSn1zs GfdNXXcPp/6hiqQEC9e9vaN3YUZdnrmv3h17EFlwrlc4dJHV1NYB4C8pIlc67lnwisn+44ITHh3J x24ePZNsxD7D8WedG93gqjUcwOwDJCP5t6Oc5pDJ6R0FTK8cxH7wufS/1oLwNBp3X9ucsAhg8Vrb sD3wEMYtqJ02pHyh5DzBm1OsF1it53riHps5R+5vc8p7TH3Bu6zieYHpxqt1nQHg1wkpNQoJaBWF YughK+K/9026v3B627mWMBs0w2mF1zGVDfZXN5sr+AIwnlXHkcE4XrMpzAThcgAGd/p26h0xdTVw kzB34LXoRJ5Y+QxikTelEr5ociW25REtm9gTb36OGEQkAFoqePhkl2LeUc5phRrBzJcQFPKpO3NJ E1RbfU8STKEMXhelA7UJbFqJCObqA2BWoY39Qv2hk5Yo/vbwwAi/Z0KUD6TCg2jbcU2rCjXeMIiv PCelCLjjGPulPcVq0pHzeinWMpHQEqUcyqntm33+lazvinbBTPK+En/uYN3puYPORUSd1kjsx7LS 7Ev0Tdkld7KeIR+AHTV4prHaML+/6/CH93qhqb+qy7YGMsxaaKNgW6hCR5dzFVa10pRE2mmiobtG yz0aC+4j2ewpcwLI5Iyp/XWhKchVNjQdyKLXlUEP/WkWXlysI6sQSuljc1R6xeeYMy4rCoH/2Oi5 NgVtyfZTd8pELMk3AsVU/zcGzZTC2WqyENIanfb+U9/L8qy3/O/lhUq62TOh0ZJ2HuOm6qseR3HE qLmUGkOHozBK/3xgVvsXW2hwItLfgdFeadmNlvZItrgZWINtL/TcSszaPYvvUkWEVOsVF00XtbhB QL591iaKDidn8Nch8gvCrIqRZRdf49hnuA4ZKlokQLZGx8mXWBmBGegeKstVHCFWvGyj56DcJqHT +Y7hyzrvKRldmQ1Vbb2g12mm7Ryf7VvOZPyYM9KwmLRVWz0OLzGOQJsbUsiOZ2Ag0NKzJPbcFwn7 LlENbQEu2bkfpIW5XQ1CDidEJF/5pbXRg2sPc/8lwiD7ORQ0/JK6w7AMJ+vo5goBttjo+zJPkYDN ZjgYh+7uVxA5Y0HjtyoF2TZYNsQf1T0C9OWqFTlTrN0tRPREciO373U2i6Fz3vp2b16yTqzkU8Kj Cqc0clETCsNnimSDwJT8TS+FsXPAUOUY6Y+7w9Ia5fz0m7rUcJ2NqtsqqAgidjap3Wyds4varlYh 9rrscAyLkeL5xOoVq789qMA93gR0Os5q4x+D7YUAcioz92W6pSNnOSQDNueVlFk+vxLp6Npy9MLW traul5X1P2+1LvkvpRiJlh10oETXwNo5VR/4bDdBftiw4IhwX9ddfbe7DFr1Nx5mnph988mR/gIS nQFRbuS5MRBSw9Y6Y/IS7y/da/WyGxSS03cx8UorhlaQZw+e/b020aY6Y/em9OvKDgF9ig6cKaeh 8JZdo9RiGzajSuXHGXFWO0QEttr5oaqpLAxhSiKn/+e3JdF4Acnlm6I20lM/Bzv9YP38j7F41P+2 E4htOR0ARz+zL7urH74mNEdbjG1rv17z4tkvEShh7JKI8VMN2RdzCdTVMwAWBYRZ6NAse1GqRmQo AUrZidrALCjbblSZIOm5vcBD6u0Ozpp/tt/FMu6p6Iv1alKbfrvbqG0KePxzJsXFYHHfW5DOgfIN RNOsPOUGjIPW+i6tKIVrkhWg8s1gpm3aTbaBZI83GbhAjJ9d9Y8C7ZsFMKIQO978bpEKVoe7RY61 RKrc+UdL7x6L1p+iQgPaBKI7Ie8DX8etuBVJ+is3LWNYN9NBDL7r1sq6VtXeDUd51qkJwFirmXHg U7ic9S6ux2ewmZ0hy9hDJt9L/Wda8pHohRnrHIpHxrG7H7cTpbJPXzuLYM3ed11usQ5vYr3OcqVO F0JtLh8GLx9ZfgjR8s1eSerjgX4rCZd1gPv5K9QedrhAEGYQRBkQkGxsFSdVnCLUTU9lpEzFU4kq sKeEbG/F3c6pv89lm39i9matzJs0c6ShIumGierhjCokFIzc84RpPfjR7/97c241H6HgevzDjCsb CgyzNY7bcSRKRxmKdJymLvMvXcQ26eOaVGW+G3aR+dno2Z7gRpUjievV23y+PZ9jxYzATKGDoPrT Yz2iPrzTeChskGmqsWMOKMyEf7Z5OZ05TLa/KzGbUcLkpsq/wrK1oKdXbZDxbiMjVXhKbODeheCx UlaLuYZO7BzrtAXi7ZnsJfnEXfhqIvdxX5tv8WClHbXIbYgizNqEwnSe+26fhulpW0GQlDkWmsBf mxC9URb3M+V48dBspSEZrpwZr37OBXLfDNpMknBGmcHT7vLF8eFB/5ondiJzicsS0/MhaATo+mv3 OxYP+ijhseRZVaE2CubAyIVVKbZNb1BMwlcrwT0IBl3n+MZ90l9H3VCzGShFTOxhfvvSphb4/vDU 1UnSnpk7nkFxtzCmjiNvvlU+rhRaWlMXJoF6Wartu9Q1Ft3eA9B3W5hd/8mfXEGObMKoOnLht5h/ 3KyMQ880H70PYH4N15Lvr6wJfDY/KBmeOFGMEzUAz6cMrO9aW2q5IdlEPbARA9CYg/uJeBpQSKRd pA7FWCG1AA32JmEVczeEOgp+mLfXBifZvvCXYgX4Frs7yN0lH5wIKT0DqgrEVduAj/gNDpQVEin8 S32xKmmGnny7j5yu/jEpMQwvkpkS+5JxQ0pYBXlf9OA+9/GLtSACQgPw2EDQ8h/tUMWq1cElb8b6 vtZa9AfwvJjfiaKaAAr0AemSf0X4hg5X45UwDVmSc5yk59xAp1a8YiiSDqiXhC9O/eXtbpl87nVN /eOceDk38FzbqmEzbK/HfWq7NYW6eMpwb+md5Khs57efuRhkC27g0Yi5zLGxWBlTQKIjjoZrVhlq +V1zlaVRw9ZjaNaglLL6IxwtgXtnCFi+8/IL5HHPNNXoYif2vwiG9bUYI/59w3QeIckR4Fe/8JCm ljHBD49XijlIfTfDcpe/K02CC8dOHmRmYc8b+pK231QVcyx868VZquybxfVnWGMd/9c3Vz/Mxtj+ qhZLeeCwwAv6iYjgd6CyPmSswakawGu+BSio4BZ4Q9QhEA4MoeYWB7zecoUJi6wk04kH/RXZYI1f aj80XmlI8I79Lw3s1LkHBX1fk9+a3+O1oqCQKYxNMpOtC7zwjkAwR5aaU3hE6KGFRK95fbiF+afY zyJNMQkegqNjJJVmjaow6QoiTlyRnFIlqNvg5uKaD14GWqq4iNx77Y6TgIskZNK1M7n7xure0XSm ou3MO/Vd6LpdaRaHVW+vH2ouR6C0M+nK2S44d5Lqqf1ZtC25NyTHQAv4SNEzXpwziqqVaPFdprz7 00nLNKm0nQoueztkFsWmErYuKEBKObAlPkL0Y7OZj4JxSL+UcVW/5ssBVoiqj+AswpyVCNCgHTcw k+nEpBjxMhLl3UnRdig00BT6rGgIhKzG56kpWf3qqNLQ+MD28XVwmViaDSYUnAU6E91d/+WbI5e5 c49yPQ7HJrWKZDJpp0kUB9pBO/xoVv3+b+MqBO3eAorQItw3HurWnMB6NQc8ohWe5aZK7s1LECiO Fh9AZrpgwd06n8qtxQ4MPMw0J70hokasulfjjo53Ib807G9ydpEYzcqKMiVTDzj50U+34L5frhnq hYa1Mhqq1JeAcSQEvXA1Qn/5Du4bqGvHS4MeEM8d/v+DCk/mk9cxUoC702X3oWQ5RyAe7RoKTqMz veKtjulGMyH1yDFBfqUBnEgLOLn6BEsTCs89ZOVB/KdhsAKEuC2dkwXikN2geAH38/AVxw84Ni+m tLvPqtVDEqbLJTXI6rhSinB+MgoAs4tJCnC5I0f29Y0X+rAJFvLDqHQ+gZ1YjzoKHAA/bLLIs0ta loITo8lwQNc5iJdlt+P6u06K/jfu7mp1gMaf/+J94eBNbKpFMUPP19kV9v1PHoW06TmMu5umBzgt JDPsXb4jk0axRM2mIADZbF6LPRREAlnW8fz++5qy8dfYrSnLLi2UoWPK0nzIgRGMLjZ8tNWoqOFD //zCwD/K47KCXnl9cVSDTsUXSOvHfZBr1Hnriyq6r93tND9rLGc8Sr+/XCI6p6YkeRJFQGfeLh1H 53OTffrwe/TjpjCh3lWW8cqYX7+jqX8b1EncYrHbomU3A3eiYGa0sUrd+UIUzcHGeeBUIR3J3raw FhH1BjLmh2f3sNmeBBseeGnzhMiXprKM1KRAfTWoYAQx9fTyrPB/tkTqUmnpzFLoXJ152A47Dw0q JnmZsCnxIvggo7MNoR4ZPKQHTjIC13/D5GFOBLkHLwBOkcvA8H7+6UGRF1P/Zr24hBEfePcYHQab Avt3aEJI78dAp5qGHa6tjPn/QrMVs63qfLOQjt46rT4P9hMTjxUiCnCZs6zM+RQEu8AyLWFHcOyx YmJlZdAj+BaZ3cK11FsORiX8rUPQjZVCYc0UiK+Z0zgTPF4NlVpdn5sqYp9A+OWItAbSibCioSxi HJJwZlnDAJ2QNVVvdoHfoQ7PB6u/UbQ1LDFic7QCK4dbLnB9i6KpbOUgNht75FavUqyf7CPZKT8k BKKv+PQBPNAh5b5qQJ8y1esxH9vdZkbv4XPek7mwrlcE23Ms9MR73b5UfOY5JHWBYMmhjcGwAWKV qQD2FyD/W/GZowQa71sGEQRQ4uTer9qEwyIpVz5gWvBf9cWJ33sAvkVsWjx+QF3mrTN6ZyPO+rqU +Pau5DehO26TE876DWGfQ2gmlA9JfqeIQjaMyNI81039sY9srEh0b7sqrpocme7K2yBxIk+4v8QY 87pFbYVlBFGrluhuL8Nft/xv125nKQam0QHFXuz47D3CpHrVjCo7Dgm3gbV6V5dxkFqFrjMNSGAp CTQETHk85WHbRXwl/0RrXc3/uKjFMoqHfK5QrxnpnbWj6PzgEHWhUuN1A9TwHsaa7PsjBpQGvom+ sSKffdwaK2mOIP4D0SVdObLCJMSXtJyKtLCCiEmNy1xFS4L1v/g4l/ertHT5+srw0R0bitcA24QO dvQgQxDhOw0R+zjskoqIMRXrorIY4XWIihRo+4OgkNysEcSkNWGZQeHIGv33JYr2b2hHeC4ojvDu Vi3en8KkKVq9CgDCdVyQC8/oXKLXzeW/Yuzt/+/caev8G5l7sMx7dGMJOMjIeDxHdHiBZ4NVqAAb /CRveqB2UNExbkDsaEEYu9A92yaDTMvpMOAkO1cj95dCb+r5FkVMZdP0yBMao+hFOPoI7QtR1NE7 8sUedDqMuKUSFKO4DBCHSCWW0IWgCizdN38jEILC3ZE0d62mcqu4oxHOKpqOHtNMOkdiR3Bg7PDR WSDBvWt7UZYUFPiWDRzFuJeis8XG4JoakDhckSd8wZiCzCZsMl4/Y6ZwKIONAxGpvzkz+QaKJ7R+ mFm7ClC8IkdRilYj7R9XjRU3RAvot87hxW/mikmvWrheA6w1rMrKfBXMOn8cfTwSSRE/zG6pTiai dCi5XQuZFkDr430tEy07ZpwKxPSYIbxzc5uPxaXIrU6yODt8H7GaciGDiEQcOGeY8K3TBD0xO1SC cXWE9gUvNO0LHiDFIHYxFdfiieY3Zn3PLjEvNBnfB8yJixyCCs5/9RLdTqs31P/DGp5otsgVv0bf RusRz8PN5e/R1HTP7sfpXpntKDxA4mQ6Md85XmA9oQHAVFfoHLPyLGhLQiF6YkyxTLaIA+u/XAYN hgm55SZWi1NiM1zN6ZWjXfpe+6x/WkwQ+gF3PH8lJyC+01uO/5iR8juYTfkRjL7PzzXYvd8OjenG I+RModYVA5fOgyYsvsuCL8cOWItoREm7kQz0Vp1RF9A9fPgpgyoK4M4RoRnrZQ6XN4uLzAdMcOu6 vJ3b3p0Nl5rIVbvngGQB7FpwqM24GDBM3C7x3UosUkyOQqq2pu5V4/EKUKiOLmtPMVwoQaBp2MOl RGcHRfuQrDhJfj/Z0AoTvaIhu1jAv8I8HdkGIOalIkm1vIdQ4FaueCmHTr2YuwpTLl48c2De1ObS QfAOuB7mjBy2M3UneUE3zQl5xXWx0IWNzeCa+YqyORuqbxE0GT32Z7+QZHrpEREJdpdtuNuxGPr/ hdCLZ7dvecz/ksof8Hd9eJEFKkbCm7d/snW/jT690ZPv43KkcAHtPakpRHe11wbjP330smEPl/Mg 4VfBpcTjfECfJEyOrPP3UMUwRr5feHLMGT1nDwrzhCFD3XKAEGYFpuxLvMkorHGJoGE718SHC4Bc J//DLp0NgBfXdXJHUUrm20PKfJ1i+tjxfYYZHcio2gz52x9z5chEDk796Y1IA0aruH7CRb+uvvRb TViCu9QdgvsPrnWXib5KbZZmEyb9LkJPGuUIP+TT85OiOzPfR7TpCARMAYEytt2Px63Zb0QF6ILf UFe6JRLDk5NBkLRKbejMCvPCTb2kR1ZxHXZFuVR5BvK1n+eZLw6qcZOO8s4d7mTGPjtNFHBqvLJr aAnX3eoECS3zY0gux1N/mt5HlGqowWK40kkEjebdrsAaTqYJ+ztkKGmF+x5utOFUJDzB9sv3mGoI G17oaZW78qnato/YB7ugXcALjEiCTSYfKWPcqRynffTctwz+oDkVPbCmQSNym3bFiwl7Mv1nf5yR ABcQxsBJ+D9kPf0G4o7wmG2YK69dKSka3fvGKwfxpSIRcruJv25gsjXqkDNTSv16wO9amlKdRz+G 3h4jSvY2/dy4OBq13nUNvuBPr79xmfeSpLidh055kcYU2MhR+jrXSVOptgjxFGSSFFlQ0z24f3YG Ao3ZTBGTTzohncn6AqHcpyO/kRiPPmldPhbOMirWMZyM7afxZk6qNantSCFIzS5/DR3QhhtR9Uhg 1xhtRMNyyLnzR7pdlPrs+5Ss8okYEQYDQuxOztq7hoKn5OZ5xJClwojc2DzKsIwKqh8PYIbnNpuD IdIc5lNYFuc6Eo0MDDk5UtrID8AVKO0edSPjMzUxmfMnhfljByNF96yqGVwIKw2BpJTYbSYexrWn Wvkzj09+0vY11fhKxe21qsFGn6vYShkzgJ5bnOXiAducV0RsC1L7IKh8Vhcev6AtEbcoj6Mf5h7J g6EeDjTIfCJcw7DlGRvB9qwr+Ik2UtJcFWCPWCSyLmjE7a1IUtGoZrk+VbgpHiClowYGvPJ6zLw0 QFnUKmZZkSWXtCupgiRN/JkHc3qTrBzM5qd0wKHuFs4D689Y6I09frCDEEFbtPk2TQqWV+YAGna7 wZcskCjlvOaDPvB/insHGg8IA6CobFVG+oIp017SG/fbxGnmAgFBoEPW7VPqeNSukOx8I6JQYTuu VYkIOFQEbLP7OP87cU52/FyujxYB/KvUFg5RAzfHXsLskV0xPXrnPJbxHYbRGWIhbTEpDH4GOyDd FLHoyFizhmV7jy9zegBOEk8AOhAxYP72uV8pX66VCArmWd8xumw/8+7Si+4EZmPQcZP5nMlYe0EU wQeATeva9E2ukabD7gfCUT+HZS7QYgVTR9T7f7Iq1bWyld9i2BJpJWKsbEsk47DWPkbIh2I7OCQD kHDwiqXZBkqa738EQ4qqyuuoMaoDU/k8vHNtOxshFciVr7Mhe5y9fSf0gEgGZGQe2U8ca/sjA/b4 eUE0z/DJi2dZJo9Dk/LXMsmuRYlh0CeqqbNHfVJkT3RpmXAEPexMbIVVmOMWE7KI4HN4Kpi7H5Va GH12ykjoW3iG8aU8MymWPCTKxUs86FZikLPmD0urI5SaZcJNbyFOsvt5L4lMyf2bGY/1FqoVKCoG uBifBVCOgcchkk2yep1M4ivJuXk5HjY0M80ovP/XOli8oZVWCqfo2vkguJYkXwDAgNBy2MagavKi A42XS1sAHKqzeETFlSabO91sxsCSY/UzG/+HE0vGLWv0nkla2ygbKf5mnfdgm+iCWLxiEeNDspYH L9jS55ajflBLaB9Ct3xDBK9QUo0htgtWCYBXLGuIKaoPE3OB6rFq8xuiMoDiBHrnqeGzWJEiyL8m g8XtuaTx0eyhwskg85zm3jPwvu5tP75cQXtw6E84TdZtXhQwRHJb6XS6A8M+D/wgHfNK03BC3L7Y WIvhDEbHU3u37y/dn4sEy/0V1nv0Xn26hYMau5zHIL2fzvjf6kzmVS+xMaMvIWEHJAprplKb8E4L As1IKDQINrZm78ZM7euzGZDaDYUGrNsdWslSqtsEyaeZeMwHeKCAE6bXryWfp2C6YxpjCjUvfxFZ cGdNdR7my188627w6GQTC1TlbcJHSrL5+ZoIqv2Ght9RxNsv8APhC1Ffl/bMRRIUmCXxMcUh78SP PLc1CRJwXvSuzqpP0zh02lC8LaZykZWQxKtnmzmzjKZLUWzXSUeO0rAu+wTfkO8XnupKJQM3AMbN 6pjCHnA/FwbWs8TSCIEzey6WOKwprwgCq1w27DZ9j4JeXWTHwHXqwTkMcRWLLD0P3wWxGx7RGnx9 HYz+XWLDrALasPeaHvR8LBZufXQkyg9PdJIpQDmSWBD+h7OfBsGkKnOsq6+bj/ilybhLXjw5Is6c Q5htusCtBim7edAHCOxblp6Qcew8yt68mm82QLsZ48y+6lvO9MT24tnpBxY1Y7i/5+XKwrW2E3Vn BBNS95R9wFqAw12Iqnwg8D16wUXNm60V0zIOape70Sz5ORMpq6GN6nIEXU1tqnLQwtJZDSt9ibAG VllvVUGx+KPYLUzwHLeYPk7g2719X62V4Vq7+/tk705bBro+j8C+gLmmoT9Dg24SBw4zePzKCGGR jl5VScXaFeXgdw5DhHSidMd055o0abSUiSwnVuorMzT0lGzSWFaARGaiI0wrqn7euBymcv2MaJX3 I1TKpQQ2M4XH83gIPmdmQaOFI0fzQzAVQM3LedXL3fRHVDWIskjzuN7t9eq1TzSISQFkP4YPVBXL qvKscy/hD9T/Q5iz2WDpqB6fFWYr5jw5gCOAKTwxbe5+xByzhzXYcYp9HiBwxvCguwbefLXOuf3j HiGPHilQhuq2h57e3no6yWJudaOT0PYwP689Z32xaDWW8LTjoH/KCgegu73kutZjx5G5ZgGnyBaP fyoc6+DPzyte7LmW2a4Vor5rMwNzMBnTQnTIbeTZOe9Cy1xtclySo6rPULWNC4nS2yz5c1DXQQAh erax9MjeMcqU5LqUPDDCo0m5Hk/7P/pQvf1wOBjsKBOIycK3TJrWopYl4p14Jq2sMwcZQhD4xnlY mkvFHnvLDNw3bBGXEguVDG6V+AQIlpnYHF3M0UOtYJ2QuFn9vHCHySjY68QJZKu56CHRbKYHIQug ekFE4tOuASEK4rd/AmTp3FbQ0h4BQq3GxQ8SDjiw4cH2zaCnNeY6qDkmqVlZjnHuO0UD7V2Ak44d fs+akRwtstCD8WgLXt1CYmfZ2gGrR/IaJ10VwTw5oCSMzsGWzNqAa5ZFaBTyqxyDLLUinfeeiMdb qh8mV5VaJb1R9VrdUYOMuQoe0xRmbzmRAJ2R8iUyTk4ImKamg//gRzIQtwQRO1oNeqoybkAzQteN xTFP4nFc1rEUnlS2aEnzdvZjdLqE6JOW3gEDMpSJyiulSAcuvXcqqAu4jMryUNu17mKjmDfv02R9 CHaD+HgQjMReytsLhaMTGPP1RMGoGk9Oa95aHqhaLnYNGehigUKHjOV0O7kZnsyWYnwmDK5GwSTn 55lT5pRF5FvyKq0LfSbhN4IwMjeDa4fBig+wYHaeCNdfsE0Uj3qzdwP69MG64LCk2QYvP5oJP01h ATI5x+s43I0jUitoNKSzrS8k2Kgi6MDAV0hnXFdp5LrDj87+9Jwd5ie+0bVKojA7cIO8urdEkZsJ 6k9y6wrbWc7t9HSyvTLWDTCFnz2kH9I9KPIXpSyxXdNA6I6ERgTurvsBQ7P56hCFaDVTdtGFYTIh OOjPXnw3TrTjx4b4fDkt8T3iyxlxtLHH3Br8mOwOiLzugm5o+fVXqytQFdgcpK/kb5qaIRFhcBYO RQZLZmo5AFVTxO/+srAwxXYv2Z8kNye3zrRxTHQbrooUqck+EynkzoR/nuLB0rx7DjVvJ2pXvhXr PHwYxcI/1UGuYOP6cbycYCFqhFXFloSD/zPcqbDSliaksRcOxhzfxbujj8HT8kVKZLjUL56skF5G H+KEtzix6J6fzy0CuZJxk+chpIQYmwoI7pGXBwqxBHWJJVgE9wccuatWgGT3jZmr+/yCE7uofScL WqYMYVt4b8haEHbD4tjLPdEJjOrqpptHyIxTJ8GBfwmWvTmPeALf8ETsjSumfW6YZrpXsdikG+fT e166/qsz6d+1YiKjHbqUePvnwiSmw3Sv2AG5Zx484srjIkx4T3CQRmISR4lqXENIfeH47UXmT6Mj QJF5FQyLbPPivby8iCEKoHitLvXeOtK/mpdrxUAH4OivDkOVo+D9nuFLe8l9Q3fkBgLBAaXFm6AV f1huYc5cLV+Msa1NMLzCUk6kyuQGexmYkLyyiXnDwrABNInzOhf55Tnwx1hkoWNdDvMPm29GIXiK 17EkK0pgwpqSca42J3/uuXgf7/BrEP5f3LobnckLDSRWhT8Xu0f/ol05ibFgMxIOxsXHz9edt/EK zzFTVWBdHORJeTdMD8PVUvR27aSxTVOUPbIzH0Iu0Gz4HCYnnbY0sfe2WApdyUoE1Ef0ipd5huaK t86p9soMMAqZoCsd7WgcOSnkda/SEBtsA7v4cEAri/+W0X7xGAXC64DjfVR3nw3r7h0RAlY0PhML FiKizPxzaU0twgXFzLT+gBZV8x9VEAp7Kb1HTlm+t762qyzG31KXW2hGX/CsUV8DZoYJXueQJpFZ vRAgGXxftq3SS8ToWvaK8SYndIezvNZNN0fAJdxYrMcCagkGaDv4l35SO+to2UYWMD8Kcigx3kU5 W93xSimdEU49m86JGTk/Z8lIs5zDYAbJIYkWC+SKJ+H+Ub0oMmjFzqVum2Q1bZt1dNzwIAI95yk1 NHpEv9D9620OOX5ZTwIOGnB2OKZ7uvCRQc5UlDANOQRZVeAzX/0hQoIfI9CjqykRKMsO6XSJmUhr 8eUMu0+ERRwFddP4R9b8Kx+gK0m3YTPyyqyMJrRiJ3TL9S53gG/LKJOca4Hu9kf9T40QVkQorgFf kRIc3U6GRFDddxBdn1MC0sz8PVDgTW2XlqlDXcciMs9n9HhlEfr8ggEtKLzTsWaSGdIwA42wX8RC 2HmI6PfpD/KPexRw1l1lARjBvFRTdWSbIxk1M6+yUxguoDNX/rxJi4roHPnBa+6J+7tRy7/YjEan xu2RmQLtyKNNcQqs2Yk5jzUi0A+PplhnH/MRQVigeunZfqHosrgYhDVISwfcFCF5Zw8D90S+G1sS ubDBo2DFPahkQC/KXptBXedypM1z3WJXcCxSplPFA0kkRutWAD895n+bl88xKRJX6S5aNuzJZLLO AI9cznyrmFHCihwb8wDM+il4W3iEc8XPryJiEEg/MNHwzLX65xnzine/6Lehu4ewc19c2NCwenGX R1eYKV7Gisr2JGjYd7Lm8u5t9Y22rP+l+wTYJA91qQOrkUDzJZAPOYLSU++jWlMcIWlnWQlp3T72 he0doJMQZMx6UmEeK3TCS9Gywu2tELXSwg5CN23n630cghBPUgg04IjOM+J4GjL71007vHdCouEM SKTdc4LSdNCDjIngU8LGGxGv+/l9FP946Q4a5YerPNaQH8/iXnwNg9WNJqRHfMu/c5U9pzlSfWrg GZSdUmqMg3k80JJwzdiXY37QoWQZ1uDFIfEE4AGskit6V0jtasG1ylw0DO69ffjKQWQGXGMdwlvR ++pxsdpWHHqoE30dDB8ffYnsHBvV/KlK1ul4RepbJmR0L94lv8SRe+SH1BnW+ADMP8s7vRrFmh8C iErMjGI7B6fzWBXfoXpaPBipQUM7Onjd3keJT6NBZXlSdzprYzPrqOPRFRRwS05uEiPEBfFWtwBQ jbixLAhY4HdjqNTym11xLtOxJd/BMrcLk+Wa/qSFXBcWoOsKCY//VusRH+4+fJuxFuXNSljS8jum DOIoc+xh9V38fYaM6hDUPOGM92LctHj9WSUfVCJ2j7Jqc0aBh3gsRhyO9N7vI7oP1tTZ7ib9pIH1 GsftHfIzmzT+2pNeGx4POY35Bx+0g2bzEy7Fi0ximID4o2p7sSjhiu2+qY2j79Q4lL7p5xnEwhME E2Y1suPlVkA+2ysSgFOKEO+9rsQDmew8A7iq8L4pRLaNUStHCgvFGJalz+94/sYAF31GmHz7Iuen 8+J6gy1tUUBNcbZGRSgLQ8t2WsBjhbkaaXVcjj8br8giDQ+w9umjbOEFW3hzW/N97ILjc5kCNhVW L8fckLtI/019G3SloNJJtrX95v3xyt7QP57BEPDrqblv19627QHPdeDrDYU61b9r3prVRYrYcF56 FX6LDT3hiskZ/QffbyyeDT2NGOjQG+oxi8nk75k3piBVdATh0cQ8OabrW82WazPVx8JczJMCIBIx NWOLCoDavZBTkPQMFv/E71gtw4cBiVGxxh1+ginQx9YFwaYRbL1YFMeuk9GpFWvqjTaesw+Gj3k3 rDmNDZ5+QbanW9AteV2kQJegLXOzCU0Bw0aLGdomvLcu6Q9RuY1Q8JSBIslFIvf2j/jEQK2OIhIS S+VPMlaWBP2hAciZR101e/2kQJW9F9PsExtA+XpgxCpaILzLRtcKgi71vbj5Pd1ghUgp/H3aCM5S g+ItYEInecSrOSBNfE7Z3v7dsKWo5upFnYUIIT8YJU/+o3FtNSfUb1cz/gAB+xfAXs4n7JdV16gq NC5m3O8Z8VGBwRrtQhlN8gX4S2xKdof51s7fUthzIW9dLY26VJ6veI9abzxk9CUF7mimBoIcR7iN wjxaZQGZUkHefxbeaMQox7TsouKZUuB4PYXUCCkoowKoO7RLG0G8sLjAn2rxX2iFZGeBcxZiha1c 9XyyHAH76wuvyZnYoHSn+G5cOYtzCAVBhm3daYHXxFfq0ixu3+PwhJruhXJmcVMWjsh2s5MX4l0k H/pdckfBvSjpRfm6p17GmU7x8xebQayk1RbszB8/ETikMfEPiCN8DO4/L8EqaZk9WMbKl5iX5zvD ivgFaDu6ZvfbsYzR7+ebXsuuXKAbtT58bR8A4WEvzLiA3Q/kCJPIHW35gJj+OUBDrd87eJRig+a5 9lqGnuSEAggmgWajF/CTF+5N2xPd86pRX90ODGGKF2q/GeKVCgnp/wMIgAiQgyg4pG0SAnHzCumw T35CPWRZZmFFBoUVPT1+IEUW9xG7BN1/a8CFvIE6LwHO9NGmaVQbyeVoiXWnDMokEgcAQbC0LHMO qilYusEhz8S6S7MuRfyw3MTvzcOuBh/ZfdNHgD0XFzEO0duPxhLU79OQzSUcPkwU/N56O6Y3eBNp 8zWVDVAM7Ku6OLZzP1qgDBP9DXmOYCM64VHqeO1EeQpIAbycqaimMBFoKT9Qt4bPgjfspCNBOK+r 6NrNCZElaqWSPoEpezPF11eYTVav6KR/7FYGeXoYuRifFdEbXzGxhr4Qxr5FOwzWKvpOKxH0ueuE wPqUrdA+h2LBvC/RqizhFpy+/v+/jiboISSyIjVLmgFIdT3Vc4v36mbgO6JLnM2zPC6TJmz/uDa/ XQHIG6ryTNQxlfxGu6W3Nd6YFhX69UPLCfTjZp1ilXOLpxAwSfg26ifi/9mw7rP1zvk5tP9zM1jP XvZ+32uLZtijMKF+WNEpLC5DrrSalOAEn5Spa+I3a+J5IcCfzp7CiL6XEIBYqlXqePv8t6VPQxDs KE+/b/NfhJuoQuY32dDfWe2prTEFwUtR24unObyMBuW9krClSOAbTVd3+U1q7E4cPpQxKb0hyfDZ LSZHUvakkbUG7qqYZY3NyxKgsHwSfwWj+GZNiOmHMnRlkYIU2fWT0EjgtMo4XkPUHIk5f4i/dF2L VaBsJMy5dPH5IUcLAjcfF/4K+Er6R++gkDm/wHdiEoWX/VwDAR4hrvrZKoIqAm21XHJHudV4KknE EXMzw5pFZST2FigyaKbWsrxhnplI5HrVbTYJkSlndq4ZIDXLcZO/+F8zM6iL7rEAJM4kXiM44H0M Jtei2AJFuYZ3iu9DiiEN1eLOQw4yuePGw8sQRowx8e+34LRLht2eq6jElTDMrNa9+dzd9hzufkT4 Wq5Qiupvs3i4WHDJt+hgJ+w003PdiXLFq4KNhXg5TKlCxrT68CpyFTZK8AKQXS1Yu0PkAFNWcm2M aswzcOz3BBsyJo02lpzxrMiDlQyIPc60iurlNkZQRmezsSGxqcvWrhlZyalMreVCVcSmL67S0CKl eAfQ2GNO7rPxnlaQwjMeEdp9DmuZuDTy71WvFLmLWVYmUoYuYE6+s++S/sDGop7oJjPKoAhLsh1E 6zQMBByRxUYnWRjSTFIXACPqsmuBp1BW+E2KzDPIxGPkDvNlUnlP0HwjHkjpzQ0VZbuAS9x0AxNf Gvf7T44gW97vE1R4koh08EB1KOLz+6z1m3W/WLi0B5L7P6CO/ROQJd3IznnUa69KytAxNAr3gJOB W4+RJVal2sswfBK/p2Agj0t+7HqgnH82P1thjDXEnO6/Q8GpalwJeOMyWYNVCAo6EArgxazU1Mo7 x9TShpOuh233hIrG8/r53D0kKRZOu+TBIJIq2DaB1KkQabQ8xfYIuHZYmSRVrCe4XXdODkVXZNQQ NrkT0NzGO5T9203lmX8Ups0lwIh9oWWCkcYi02jSKlLL7QugtCL2jvCMIJkdhupDOjASiUjdbEqY SLWzvsEfrcxk2yTCe1k55Ka/3IbCXPD6pdPrU7x3NM0jP/qadiTgPDXmwHyXJxBcB2M8fkbYFl6B ILJbQWC5lYFGsmthWWI0wuQUA2d+aN2SkMmQpSTJD0Z1TnbPPxxDkU6tG/84+w49IwdN7jRuvZt8 EWCX+XXfPQrGO+6iXBIzNre6trxlDN8sCqL3jHoGepD3PE4L97Xxb+X7AZNZeBcpkiCfxPuX3Dkn o6xY5Y51ZpvkbQ3/yGSAh0haXlrNKj4oCb5fxy8aJRyzp+POI67SHoxT3+17dTqHZN/rMFtGsF+H Lz8VjZ7mwDCwfnnWNre+qgF4NJ2XjykYBKeEwvAM+tJ4Hcl0iVkLuQ2c3amhOnWHlXw4jwhOlGqe /Zyr/YiOopQeZL+Ou7zmn9Z36f8bhU6ypqQrGsOc8xWSr+il2b8J8TwLlK125fRFeaysCgpFdpqI mW6Q0PGBPrMnd5pVSWYWQBuEyt16AGQnptqVNyW2tseCB+yM2a8g8Vih5l9WS5x1MuFTd9fNQ6kZ lHdXZigZcZ40MnsFQiDh8aPsut3ijbK+I/hUucQ81iXL7K9bvWRaxz/WSzvooD+PwNAAX15gOCul S53dAnO4NyJC4hVFyIHoBCfbj72OZ4vWI8SH9F4SCz/MJnYxiYw9wZA7LjpBFHOey5g7oPS/m5nV 7KjMVihJn8SEgCIJJsuSzy/MzYGfYaxtFl5sg6dJsNwu1ljYCjtpTMkfcy+vi4P63Iy2Lckuykx3 r2dFyeM2r4txQ6kOJJo6sSPNusYqHAx6cfqnJpEzxnS5j2Xdx0gZe1Io8D2iMDQuycSFDb40hrmV FMr5CTWfJUK05g54VI/Qgd/28B6VLnHJX7rVQYVIWOyLh7pUeRpont68YHI0XObUIpGrj0srvsO4 zE2JtkSZCW/FKq1WDIwAqstT8rk1iEOcKiMIE9wadAqO7ijp+buav5Q2BwCOJumAiYDxOScqRDjY zCUN+wDoGawhMxnwrEKJVw2+AjNHeZeh0SVs5xh1sNjJONGATHTg+yU4kn+h7ii+MuHWF/c6Q+J+ 7UQpRTnftsX/QqpmB2EldWCuN+gNh/bgMCwVvAbNB8Hepspd9AKs1ajqItn7CaF97SDTJHWYI6sR 8aY9It8jA5j372GOlgbONewtNIc/DCZ+UyOvPU7lXLDqp6bX221EjpUfiILmU/wLLsawADpCvo/A vkqAhlMQmEMj6qfdEnZX6t/ToR6onZX75xEVMaUqGE6YCqwLucaX4SY7yLAAiq7tDWktn1EkP5Ro VWrD0Yo78F7GctAFr4MiJge7dOGLvnQA4sBCPG9UrULJravDEDpPL6L4ha+F/B6EWbDE30Z34xiY vnlNVo4bGxal7qLKL05LEwuuc8pvyOWIvl0NRJyo/LZr56aQypEmANxrlUstqHQmVh2064vJpxQn /MApeiUR5ErAXhfZYGBmJTLaoDrG+Pmd8XCknsPualJvM4qpwz8NW7x/jcfGS9iDcVoPq86aNt0V rhyocRihdO4UyaB+cns5x1gynebzT3a6u1sH5ncN3fc9af3wWadGKdxE8G0hBzUW45Sa1oKvcyfo ZCq6wHDr2jnymZHXnNIFTOT+AdaIbglmeSgaXYcNz1QwHOQmJIxJU7uZSs+HjqWnRiy0rqNf5Z+N QGp7I5lCburp5GNDIOSZLpyerPJjMcjsw/g+CdK9ssDUkNKjduF9LfFsU8/J5T+U1I9g+FhdCZr9 91q5+c0p40dA0lMfE3tHE4SmcHXVRmDuXa3M7ohjCtVn9pAtxPADtybbXU2PTa7p9rwPjTZ4B7iT EBXus5VhsUC2kvqU3KTPWAGNcvEnofIwIRjZEN19II1MURNXueLcmx3QSzQBsmAvR/GdabSI0YDj mdYcFM+yvl3vPvF2r1GrtKgce4Bhbq6/gaTA2osyZGQp7gQ+9cG5ajrw6Yk7CJgIjlZQDlCtXeOD AmaKiFO3kjaS7Tjb+5YxHju0jKLnL2gEIHfwjfQSRiCK3ltsK6xvR5ji2vxJWHlvYBVlQ0yWFvFD j2g+9ERI89tTo5ltteVmTO+csFi3g7z8uX5AaMPjHodJ1G+VnSf8P3F2xL65IRNNXy2ZcaThifld huExnM0DDbaJ66XSstkiGA37NgKbwECtjq+jlwgPa4m+zrT9BRxvOjEFZO68o6IgfsM0WM9aDLXG TVc5JFx6DJZXJVPGUR3tpNEHKUl7AFRfr2RifzZUdrQjEJ+vpgGLbHasVs0Cp+hhhvW6xK2GWdaZ Y5aY/xbp0dFKVTeITLRnZAc/7CZrmNSzqtSwVIPCq+96dbakfSUkZI6UmGDpV9MrVYR+ehUb5fm+ X96cUzPVuWo+cyZUGyz213n5aKJfz3POt/nQ/xh26D3ULRtIEjMuHp1eO5hHQR3q90e9SYOEEfKX vPXL9AuBepZOHAATnVFthwspR6LIOljkCCqpx6C7rwvEnV9JUoVi+pxQJQu/nddWukaT7tLsrGFJ 885lAXyQ7i3odwg+nC8mjkSr/UTZJCmn8j5F7nGIKIlN6PJ+KEXySKiHjaVhsL+ABPfLufhk/5IA toqMZEgysn0Y+45RyCfN+1gyFL+xVVpEm6JUmO8pHO5Jm4JBRxH+PaNUsxkHewMQA4Yvlh3dPvoo y6eIbYv9j3rshuZxTAhlpm9OmTceU8SfTZlrwCZCnwKvfxzoM+3lBQf4HerwY8xvcrPQlKPF4Xs5 jnB/p1J8DafcA98065bG1mryjMQoRHuA2HdguEqBxy5U1eh+geOvwg10LXTycdc3zo12b0RPql7k TZbcDs5idX5WHdlAyXaWJcJ2rdJDeb2p1YLk/bzQdWZhi9w2cdqFLaGUZW2YdKycXcDZAewcz7P0 a2i073/hFP55N8ODpcbF08HpUGHJQ8YlUAblvnACA8lZSPry5nyXE6StJIIODUpPKSujdAVLf2Qt LAl9v5wFYjj42oKjzFmezbB8luwX0+Ezp/xbLoyhJnmEqKndeg+HY+/vJUe8XAIVd+lEsoA/gjHY /cvJZ775bQ3j54H3DWwPnck/ZLWVlgZ9HP0hlbxfiO7kyBFoQZCR/HLhwfVe95R4eTiCoLkTojKp KpCBBQ/XS4M+o4z4YnoM4rvTWR+8XELGT4DI3PUgVikRbMtdS2Rbel6sERlKgrs9CWbij1bxGuke b54IVzjM1byaVe0sYIxfR56lN2AghEs2Pfk96mlWDzbHgeDB5ToX4Nj/yA0TXJR09zTK/uBQdk+F hjZM+Ix4LRtnkxH+w3IdBYEGQ/3vJfq9vw1mhsYk1eps3aDHh4RIO9tvf1ggmOxWUbta3lGWu2qr q7ehoNsBoLjq2rkuFpiw4z+go+xm1V0cFNawh/qMJrpXMwA3/ELpQFGItWfZpIHYZekfhj/EjVk7 h3AazQSyaKqRENexJYm9ic1xZySZr7Wih0MMWAl3BETEoAWbQ+RrtinnLqQcbSdUC0DPzW9l1nUL +KvMOHbomIETDynjqvIaADzbIbFeq40UN/ZTgxgLI40jEmyEXKuZdHh2YS9u/VJIKZyVS4sdn/Ky XLglEcvC5qmU19tXFke/ywmkSVFoS7wUBGvb8wKMWJyV8Ke3jeIfkXf5vRIxvYnwcgtC6rai3LnK nr9hSA4LKW+DaMJBmyNcODIDcO4RoJWhOnFGketesn5oYZz71ZSb/VB3Kul2ysv2UL60DU/o6Mb2 wa1QAg1G+NozsZn8JQyiHzxuT+956kQoA8Yb8gn13hK+xP0QF4QLZ67wtvO2n91fg1NBBSU3dI2W RJ7WDa6nJdnizbMvc1PFbsCU+7Ot5Wol2HgU1gK2BnCUfQtyWRkeo9OZFPV0C1vo3B8x+glL8mDk p8XQPVE/RE58PdS2sjLooxbsSt57fd47tebLA//o9Uxl71jAvnhZmY+oqUQZEjfynLEd8pMeB5r8 1LAfvqN3bgRfUSbtzcPp+lu0a0H7iAkz4y1WF56MbjyHx6ilY3Cnzs3SPvay4qOz0sY1DE4C2Y9u M5aVmS8Ms6MEJ+88IO0ux2FHUA7F0AOMUpvEdr6K2xqTHDpAI/bL7FfxcXOGTZUEXZMLb92MXVWX sZmuYf5PekSghvWFVslj6T/RDZcZt/QlqXzQ8H7CoYYoyufhNchPqU0S5UyRgWLxVVzuvZRgPehQ 6ujesUfAEl/SWGh0briwfegGI12ViyNUqT3G2cEYDQJUsO8tneMHYrM0c6KmIQP7hrfV7/I0zbmS 2u8aRSVycTo9PcQWU+FdpEkfG/TESYKkVNvH2kiz2Oj/LtMiGL7FAqlP9mLU6zqVHfLr/HgWxoGG mYpRdUywcEuXsDfGc/LHKV5PDr0+D9B/LdNi4HX57G4QGd2kIK7NXnd5b7ROJQcjDHqfZSskNPtt FVsGmCP1ToVFU1NY8w9cvRtKFWtLXqRvzAXtERiUCTHCiEUQiM1CmKOgK5Z804TvcXY1/vnabTy7 2K5tRXXOZxXFPyBqO80PIyFJ1NpKIdDUlOU4MEoyC5BnV2+yz51CkFPPiyhRj/ELN+n4QNvdC+7N WQIBfzVmMLh9Tn+4r389W3Va02TpJMFKOqlPzpuLRLsDnEpvohWW5BhakCN5lHRuXFMdYwFi5Vaw RsrxNqIoqjCnjoSmcrUEKiCrYrTIutXfB5kOjqQrYvXJvyefwpJj4QUf4xYteY82KOniKFRU8flV 4tIDd09YQ72ouFMeU0PpCWwvglbv8ddL7d8oE9kNKIRMybZp8oY/0duStcFGr/bkcn0kKu5ciXkQ VCuQ6xJE/kQ578qxINotiM3dAjAlkVxHkFLyPJHdUDOOlCQwT2IwSdd6LciQ6zAAD4DK3NBFFbbx K33zfjQJdNyL0kgFV8L3KrATuLraVzzy8I+5A7uViOKvo8lpkHyvW1oognCZ9q4RXL/KDDxpokR6 v9z8a/VhVX/JWNZXOycX/1r4UJILsmMw+Pcx/9pIxathQXPrIk3yTEPPoGJogYEktHNAKX9CXHLH cXV0b2ZZsKzxPBXIxOJAZxpxMmw4qVF53lho6sRYJ8DdinZFvONu5nTy6qnKIPnZpuu7X+adwohC Dh9LsxFM7Ln5K6swPq0Il8OvKzeaYgO2XPn354rOHa/znj7BOkY0gtaIkAt7wTT2kOkDXRA3VzOr maLlSFpkoP7U3h7Voo9sffQncZBvCtXFDYlLab28Sv6DYyNiNqp6KFkoHE7pTLS0B0m2zvfWxBbZ m1P57FFpFBEyw82bNY5GLeP1S0DS+CQxCzOSLZwO9vqiOyv9X1qDtv8mrIgdBAfO4raJAuyAwpNq KSyd6rj7si6ePrpSZwdoo2tzfHQ1I7KoMn/hxA/ZuyoXFiA7rO2EnpheuPEVRQ1VvXmdIdln9ajv eWoVe/RJHIYO4qjDnlF3izQo5UHOWa/0NChQRjeCLNKJgEuCvp1J+Jb6fo0scswnR4LiY0547VI2 5qAPesh1sRJs8nYeytfY6KdNqysEBkW6obbsj+/Anzj8fz162hhV05tAh789hpRSRoyArI1sGIF7 1CTdLAA6P0ahni3MW9SJeMBA2freCLDK5gVCC/Aoxfnm14ngesmyha7vYQevpIEIrAjYuN8GcK7+ eh7gHCr9OBiMPsiWSI26qDygIQOIm+LPbkKFoJ431Kta4MO8l848CIihhgD422LHWbz+rStsuChf HTVC7XhscjGCRXOxR+Xhwaqa7On/PSRgT4qq+Yio+hCb0IrWTeCkUbTT5MuiW7R2U5m/1cudUi1J HFJnj3QTQJDcl1h9Zmmj3Mx9SnjGioqCKetMI/+NbAP99guaXYfgvRXG1wmZBh20yIeZYZuK1ko4 yK2gJfSQOcO3sd4eigqddT+V6mTPMoAhw/6xYjD5jdCia8dmZyR6b0hWTta6GjzjbdY+ROGJZxdQ o/xAXkOrk9mfIKdoToZNvuYoYOKE9gw/fxz83IEc03DbwmlwLI/ANPxdN0kQMcRoe9adLMvAfFis shH2WYgJacvc5TvmcpE86/4tOFEx0ogDs1pLpxi5HlYjayHF/iaLb+m7Pv0UCYhwy7gc6zrQGsfF QheU0F4gGoeao4nonPGZ3A50G9t9iES8294zkKn3r8N5LpSVphrpUkCEJ1XcQCIOFJQnMUzRED/F bAH4S2LOQc2XAoiSP+xSArKzxFGp9HNS0d1f17UvXO5G51n/ofzRnopZkLDbfCvcBFacZQDKffCd kdgNe5we7daU/VTiRLHA4KZB2kt8vbCxKn6+yP9Ybv7tYYXlt208HSFSvh85ckVoLpGBl/ZrSSw7 qhLMp0Ez4af1pxdnQSQK9YMIXVTISqBBUoJgXeuBrceYPeq2AcdNDU2IVm3ZnFyo1kg/vpwwOJRQ Wrx/ALngaBPYZBdx8Jr8bkkbIA4oRTI1KexJztpmYmK6MJRLt+DczcVnK6FUxAstC8mZsJlfyeGb RbOXZggrsBUZnstjTTRSB7CDP7UBJOYtgiNswM54DSPGi8Pz7I5enuqaWmxAQgKhGcdqjhz9KaOP GI2mKL1uhibUuZkLFe6eQyJ40FnbI7xPxkA7CeUxVaOWJ3qQcl9doRlRhXGxCg2N2gSGHqIyBM0A m/6lFTrUonWOeAX/wR/pXFRk3wLuUaKByewYNlInoCuERvmylFGBXD/2iZq4gs/VC4KekoLrUgiD F4iR/iWRFzwG3LGK3P+jcdFPQdckdHEPaduqE5i7tu0J51SwuZsGwT74qR12rrapixeptjyW7Zx8 w3OvPcK3gTOdUodfQ+E+DzvLJhN1PjDKZy+jLbzyhA6FZGDUXT2KliUxyC1Vk9opy23cJZqziwHY uMCUgqiZgXMXGc7l3AcKv7TseVkEeYlp0pxXZ5O+KUwq9vE/rUQLCPog2EkpBei/UBMqiVY4gkj2 ZShQ2kShy3s6Tic/WPiOC7wtJo0ii8+uhq9Tlbqi0jl10mPMqLoBUEmwhz9/1m483pdwLTr48FMH 55rS+9ma1RvxNiy0e7A5EfYDAIymep4ofzcGbgNyRNNRslTWlwPPyOwnOeKnIGdfnDn+9Ow3UG2B 8453ZJ9MRhRN3xAfhdOoNbrRRsFIzdDiPudeNzxHnuUWxTQf6saFfpm8S2LBLzXjMwmVIh7TIjlw hWJ+E8J5xwpzDXv/4kJz0rHg7aEUbgfgjUZwFeeaEX6jVOhfaJt+u2nSy2krflmdtRiIcdzTZn1X xc+30NE8lOCJqKJS80rh4TUBDUXLc9RMkuB7mKylhbXkNhX+IEgN0Lqhf+i1yIYsXJZgslSLR4cC e0Ud5xCBgo2crNMsEs8P1lUfbL6u5UUMtlHq+Qm8Y5V3Qwn4GFwy7rNgkJ2qH/FczOZpbm4nhjS9 X4mY91t/LmkZkBja8akU/WMqLDWUIys19ExLq7qJiR6knZNH0UbESqXFipukQrhVwduheYbEzUX4 Pej2gPhi2if5YT6pclvbQ1hk01D8HGzMa+gtDYDTwUJp3SWmwlRA198qGvYaIgZr9dI14/ZNL6wa fGPaeQnHm3u3Wb1Aa3o4IWuZSGgb7hT8LTuxwXOPul9Zjm7Di6mBs24uKh7mpvqiUYepugz00Val KWYIwVJWZX06MCPW6hxPEjOBU9beiyZa4Pni+KRQ03Lp8lIOk74wfJiSalQ7VJ62l+sq0iLjz3hr P+KnjKYPr/zc1jC0tKIuodrmX623synVdJAovLzbA3PI3Ef0p6+MaC2CAguYUrFn8eeOTKv0RkhZ hEATW6d7jT3TI1dOTXMR1T5RF+VpYuEXPA0+UQVkV/nidwIZFpMhM+MBmyVGBLy/n+4mzFxwfDWM yFs4R3HInE9rHBuOaSXuGSkjv4ZGTX4KyqyiXBI4K9zpe7uslPW4n2NWt/05xvufQahCcmLsK6MH eSpg5UUZm9LMOP0Rse04N9MLe/B5V0DBui8YJ6hAlJLsBEPdbmsgBzXttqpVzveKULKqGv/kcn2v 2qHtGPXVW0tXz57B/5ibZ0CxBaaNtEKdAIpWDqvF2CE0/zt0yCBgWBLGgm7ezjz0iRqit89Y+2rO LJaIX6FfNg+yVou0CRY9BBEzsZI1iQFQvZ3mwkTryNhCaYhgvq8cJ1X1SR42O0sz5aOOxE40oGI2 gk4k0Zo7LMUOnPJRy37j/h4d9RkoNLHnq8zJNMZm2DTGnaeOI3cpRsywCAsb0O54owdrmJKnZOZC P/PfDqKNcPkY1EaEXCEk1iwftSZzOCLAEkXWBAAkYIuFvB9HQB7MWqBFMdek/FDu0HXIgzffXzcF XFIdG/2B/HpyU8BQwCxhFY0GFdyiA+US/T2A7BnmgEmuwbOcFFuXRyMWtRaYEVqV0NVcar1P4/TN 8MBk5OXfMQzWEnchLRN855s5TVyOHXAmsAanzph51ypWTcJJXxIqCGJE8YxaALsPk9MjiKBHqHF3 AvPx00SdZdZjkHnHDIOgP+WIAPVzFXlToH4pW+ztRdXjy/5yvYdFlsQ3si1babD5THkBfEDBonpU zoFbx2YDZybKQirbyfIIAiXihVLKFyvswWF3rnkouchub1Iymu2WqucIq0qqNWCXHG7hN64iCdwv rwzEeORuRdwKMFJza0NLH69FhLohTSeiTngP8aSTfdrUvNP8V3HLHWitxf9FGUftR8lleW6aELXn hYVspPpw1pYNwH9yH+FEHJ5yTcrn8UElhomoVpA4xw0AcEtJHQ4z93/g9Yv3tdn2uMV3ymkP9HuY G/xwybbp+zhGMRNnGN9YG/HX4ZQ4c0DQbcGQnVgtLwyJMBDv/3aw7cajHAo36Ul+Fao0MIT5803g m3lg/wws0Y7PXXAWqUG3KhUGfTxX+WhB4NSsaDPhAk55mFCA43wr4MbSb+86J35jcw7TcKRHQdFz /MaET8IQyEmA3tGsT0ZRnZGfG+9XHCOLtR7XaB8HxVFDw3maI0jkSVH8S+slqKUYnGRkjlZrnNfM YIiga+qXH/Konv8fS5GDz8qQn8AviBx2SQ5MNNm2DYOLP6UglLyX6w4nhsBvjJqDwh3Du65Hk1dT to4ZoHhsp/nR/URJLyTDbpfsC9iIhZjZyjwWfnzf9eXkngLUaaF9YrzsqnnUFy65FoRHi5XPAQsv cn/ccXkBsDEN/1ILWlwLc6KTERT58nbqaXfyDPfsnet4s4RmnX6nERkfcD8TjOmW36tEjPN7vnC6 hR1Gf7RVTSvZWMG1n0xX3nknkDM7S5ceigM+Zt6iW6DNylVTxNLiOeyYetU3dju9CvWtw3tejluP nCTgCeh0DfRdlWi+6ZPTJvVfYnclnz1doygotM2opg+71Uxfa7E5tBx8PSlWNTTvDEJB19A/1HVM W/aPh1Z3ZgBvKl+uNZsRuCf8p1+OsP0+0QyvYojseTfCYEzZJjjvAxUMdzkTcor5lP+z5KUIthxC CxLRhzBdEOnR9sTVeDgBmu7fecV5SIqtP+mieUlD3TDu0xHhsvAnBKifVSua4JdJ9bwjkszCLRc8 8NjQDWdmGR2rE62PHW3/IMUp+tujLtuvnq1qMZ4EecJshcdpbA0FAZ9aQtt94JBW9dL6b/GlkBE+ dQ/Qcm665NwPWfqixroWAe/juoTFupOHcA9/oELe5ba5TvWgNNb4Axk62j5WawitaxllEGHll34Z +wO3SddeFzzbJO9TvzfiG2TDtcqNUBebtZuf292OAXIyDS/oQ8mEAU1nHaFyqGmMR7w+os4iZ3cf UpwDlibrR3/NOG3j56JzIEtZ11OtWG2w+XXQkq0FaIHg1ztGDmbW15yqPbfe5oJomvAgr4KbOxBS qAQDOHe21hmvEcoEL2IDlDcgYYQm7Pc/BD7WJCA/l1qsJ/s9tgQ6WQ1gQ/b9IvI1tyyvtG717xRM i1R1VT786Jxv1TpLhsi02LI2B4BJ+yCEUaI0d6ovRTAu3SAppGUO4I3uiFWbyf4olfrLKKrzjwfU UPfDgLhLWw0xtWdvkTjcrZYI5HWp3sl8OtKly38Hc/2i/CY2VCAA1FFYR4Vjj4+Ns6qN1CHPWH07 V1mi88fm7H4x9fQyGZEeR8FUG5YDN28Q7OlbghI3Mkj4OkChTp+GKUpyGfM43GL1VdICSWycYnUX qTFfPAuxydxYb7lme7AjnXrMSUtlAy+zUPM1RDE613AkcQDe4CW8/xCziSK5+9VdlMxJsC6WjJEa hSRR0+Um9gUba9gkhFWC+MdSUI/Xw/2GbFiKeJqfh3x9m/Uk3OUEV6CrDB9rXvuIhVwUt/FftkTa KE13e1nWLm5SqZAXOWkjaJyEVDh4pHYlBoFc1mZpWjoHEtJcUkKj5kENkIK3mYEpZ2glv9Dpb27F 3Rd4yC11VZ0eF9Ve7HqHIZg4ifBn5xJWj2bdX3HEjLdc2k+tM0pcDTaTHTy+/1Zv7CDAaMsibuUk HKCuCE+noRCGXBWjP7CSniCzMb2ox0vKMAY8loaK8JBF8/J9ARY5uLAPeunpifu95LnNQDeEK16L JZVX8LV4UfYFKvfp9xA/fOHvr+/0So+xl1l9/ZUagCyO7q1B9AYOh7p6hrb3qcfZqz+EeNIc3lZk AcVxTckfFjP8r0sskiYhmWNZXJAx6AGOozKAD5nfMHvEi0WDpXj6D42FtlqfTcdXHoCuvn6V/rmL SoOmHLUNdlPxxxr6dVY/2YCXQhDS7onAr1Jbdc0+QheFtacBvHLZtI1dAy3KB+0s7ppCGKonZb7O 9ZUvkGzN6qRI9b5o3Gaoc4as81207XxrKBQmKNgYP54x/KNnMM0RohFK5ftvMfn8KG5uvS7BZ+V1 atEbYDWkVBidMo9RaGq/J1c3xvNvap99iXIO2DOHSNCMjyh5WPmcVFsc4lr6nPV0Mo50SdznH4ZR 2dl0gZVVwsU6ICgupL809kwCN76XryYC3a6jygLU8TCLy6NHCgjSZf8IdJzQZjMEiT2SmgcB+71k voQW6nxoroifOcERAtCD+WwYgD1QVvBAWhaiTuxLaRqP9hyklHuzK9lzIKxYJtiSkhbrrhBbUlC0 D+SrXdNZpxgaeaHEEseh6AJ6Ol3iAl1VvM9HjPwpGNuJpJXcyGzWnMf0bYKRr2++GNNBZoGihkvK GqmuqtLN5bCsodFAwIcvGb9c6reNwvbMI2ewmFH9/ZIVGEURIVfYYzFZqg3OorGqlfNKuMNWPzhM B0Ohf5gsYU8OE8pmL+EZyC/mzqgwWhpTwLzuwpIYyb7TKuNmQEP7vWMflm7ak305y9Lu3FOizeIX smkU2es5ZHhYSMLdl56S320NvOuf4STM/HSBT7LG3Urqq5Usg4Up0Gvy6oBnJ6uhvMcNqaw/ORG0 lLfd3temLKqNdB9OHQmsxcrgVl1hbG1FJK/u0cUs5+nzjg/q3A65wY19j8CuzlwoM8mlKi3DtQ3c lWgCvRzn/NGA0jefS7bcvaO1nblSEhUR4GgbPV0m9bPTa3dwzoHtROCqao5dFuKjUYNJjZf+Z447 6zc5/8JpelGFFzpE5/YRdM1NPpfpSOl/bzVAN5wj7YNE79OnlX/+R7SEPdn9e1KSR7fF8uqfJea+ FrhL5rGMoF8ApqIya2mtg+2pj2IMG4D7Ien6MTm8gJJE3baAVMKnerkD1e87RV9Z1ho5Dkrj2nkF DUl6Pn0cEdZKOt0q2AUmiEORVQLXi1Xz6e6qLt+0IJqdjqVwh87sbk3O8QIodjIuHGwBoliNeI1G io8kADTgGLu7l/N3D9UNsRyoRq7sbfsM6Y2Yzx292a/I2hCRXqxIEKJO26bznFPdtzRPfNZye1ko 1SNUGj2Ax3/AlgjlzI1taApBsdy/F2OLmjBqPjvTFuoc4lDz81cUG2YFndMurLuD7sFCk1aEl9u0 Zhviz+MoLPe4N5l3hQ6mZJ0CtHUwWp3PBtADnPyRnSooCEzdUcy2G1fK/sGRBpU+q2o/KG3QaUTR xNtA//ADU2vkZyk3m47iVoYYlZUyrO7wicwU7USqzA3kY+LPVl5GubsdVuK8XfGviovMOoq5COl9 91+AuHi7/4gALSieEFOWNfIJj89hSmHGsWm1yiDdmjwLbrS18SXSiZo5EbVo8uWIlVvZUDyoEWpo lZDg48eIdTKCnc/rDoGoRRHoK2wGPBGSb818EOATcafUEz626poYEGZ6WM0fXgAiHUr6pE/vKDsj Ps1vy09YD/lDPbsuX+XtWZ2YDX+ctl2W4QWHJI/7TLRcsy6RlIKgOvuLxcWWDtzJ8Lz9kcsbicKU O9Ulkw/Xo65cyhPNHaE14ash/27jDST042/gX7J2Ga5u50z2GJPcSLAr6DHaVdwPDKpuRV4bBrx0 YKUSU9iitangZH7WDZFnOrkLWHjp8R8/ajqx4aOD74lbeDcZdJDHI1uAIkXL2mKu3+JyN/yto+ln kjgMK2dfxUlJopx5bgG00R8onFao7HfE6plPD0mfkxrjRW3HNQAYgVEeBAyNnagGOEcReYMCut20 xShLN6YAE2Xn4EF+rGeQeZEkGbpXHTbXoAMcjeiXX9v41T8xyByKDUF8eMEe1sdhniUrPv57iLfb JrtDvOo8gU1HXqamdaxziH4HkeGW7xSvZGmepcek8p/s2FTBoA0Hi5cJcrWbAiKTyaunDRN5NsVg rPBWJt27bssa2iPttifKdn5WOveR1QNtxzdaDtutbdMhA5kGoqhefj81OXug1MD03OhvMSk648qp AswmGCFalCWEJJv0EM8Fk6q6+G5r8h8nQDPG0BdqXUgul6SggBeM5KvzaeNbzSWwJMcZzYLb1hY7 2asbcajzGhJ2x+NpZqPZYOstKHFtM7G9CEZpqnzHYFXQJWVn8DUfdbED1GAK6fYsSDcKsGrbMR7C +a5l3MxnzQTqKpFmB+ZgIhTYn74dBEzwfS3YO8heP45HOWqK6k2PChZj1+acCBEzYd5q13S/fpdb yZAbqO3Wv3WbC0ma5HaNZ/L3oYyCtbgLysG4Caj36dRtvO1gAPgQ5Blm99cx5wQ87uVzyuTAM29v MPV6MszWMFXzgeK7bvAtZTFxj6oC7Z/tMIYNT8ZQgB99dxpXPN79DSHfp7V5SNw3VFX1b0A9P6Cf myM5bbXc3dt3/eNTiB23mNG/vaEmfpxbs/fDGhWPC0gQm4ove9KRyPf3E3uNLrcvX5ntFtE8a7e5 zOAxiCZKN9np/p2mMgMwr+5KIMAo0AMNdDkW82GdhsC+2xJRkdkp2AypULb6CtRRRQGvO17/qAFk vCgNABpDvXGfXj4xgbogOLX3St3OXS/p9U7vdSWJnh3BA2qAFohP4jDxXtMHXXMGpt5oK+GEDx6Q BTyuApqicc42TMTFKvjjeGX24NL1kMiYwS9Yeb0itg0whaLMCMd9CtqGnAmGKUsxtc8+306le98s VjO2tHFakB9hKwJc3wKpRUvGgVXDR00B5wig4EZyK6WBsbR09+f51q1ofLcn6feQobdOVZPHkE1C FfpSevVpDarPWLNfqYZDNbnhz0krLy5FXrqIg3gvGXL36huTDalxp5KDgYdn2FJwcsnJXj6s4AFP UaXJbQ79nuUHCyd36/1XwSolpPyAu8WVEA/qtqYOL+JeDBJKicAd0Pb2vFeRJfrnlJsf2HDczy+B PJvq1JCFywIilcYmp+jlo3AQ1O2q84xGQS89MnGrOScgpp5hWFPrwpvJvE5SQbBoT7cZ85jSAQZg T4fach2ePC6SaVUmYN7H75Wy/12gCqI30RcG4N1fJpjx5bsoHJqvo86Xx8ytJchRDDs/cZywRCaH Le+uIdR7AyY32Co8T3LGDCKqm06+6+KQj0HmgntUAS7ckv6gulLP5jsf3XDQFeNXicx3R682gH5n v1aGacSz/+9zMOYnU867r3NVLITBVpUCgq87WdG5LPu2N13vmL2f0bfB2ded5CyAtHT0ID2sKJ8S 6UpQUWaFMKnq42753IKAS4eQ3V15GyPD6L1x+o7K65VipBPJOHt5tl7Yq1u/v3wOpOqa77reDjkJ KucZsxb2muO9otS+vFIKPtaroxY093X0Z+HribciBybqa+8Ap+16E2vZaxaSniWwKJBjCJg2NPSY NmX944UTIhi5a7hFi/fY1d2Osd6z5gFB1CK07cQV1vFYM3V1K6lnaUjXFItTRT3lkpEX5pvtu19I 8774U8pcvlrKMQAbZ7l6gEbFolmlkEFI2eo7DplAIat6FJNKu5e+59ACXwmDcb7Ll6gtx88OYKgT iLHGaFl978lPXhVE3TtsxSTgq/fcwPRd7+81LAtDSEEwaqvtVoj/nC+ti5u+/HbtsmC3bCG+kCCd KJNMh1DfovjYch+skJmqYXsi3t+Ir8ofmecJxS6u2lHKJkmePK39nNZdAWEgVmJsVyJ+czCbduqf 6AoenWtBrPZDASySmy8+heq5QkK6QpSG0zaYfxPm5h81Beiafa6+wyZZHAB3AQE2rG0ZGmL6XJOk DX1hqz1tYPQIGtw6NR7CBR13ArdeGECXykrn1Gv+py/mWdQSj1bfLGLeTrBw+U+lf6+p2SwLPpms X+Ky7aQs+MlpxHnpnnUZnZG2ImJzNmEHGswHFEOiBcOe1jo1eHf+novB4vYoe27w+gq/+lbRNEUw cqsbIW56Jx9kbdoqZnyW8rBVXuUBJPCupjNFvaj5k+JYsKxAXn/ouqVrsI26+w/z/1/6OIzZFILc IdgMuPqdirg3vOCsxaxKIpa8cCWbZxgsC3RQkNjiWtbovw5+xkFyDIbuG2UvsqpS1nwTLT7PGus7 rM7Wk/QFSEvh875JHWtA6eUV4fivZNlnIQaOfZVcQhwYfsrBAkkgsZF7/WlNBkY81Th3EoPZfjTS 8euQuoTcvDwsdB4vRD79NeWVadMr4KtDhc1vVOMgTvHbNBYADmpshIDmlejJ+xfcWrOft7M4/gyp I9DdUGVHMnzgR4KF9gXPODes2xXBfWzGFJdldoGmHGgORMYkaf3C3fjpNX8vizA49QzR2AyB84Bv 7JI/3QoI3/GuR5FtarZZ5CR3CMczzku/Y9d1dW/hEVT7bjrY7qNhQJMospwamDw1/qt0uVsoyOE4 a7LKkB0mZ1Bzpfvw7NpfYcv/Hh1N6zb0+N9uN+oTCUTzEJg77D+NPQu+XZhNXCKQS7pp3o9fN1Hc NoxBtEUlUg8beN6wMK/FYXBSs9ho5I53mVy/o/yo/a71Pug/pHglhOILYv3fzFvl9BEpPOj2mhvb LdL9ysBKgDlujtKLT6tP7RYVNVrM/XmznmErZoDWfrV8q1///xs8nbjeb2ZHFkMCxgNSFW2ndgKD Puw//jGNpq2MnGmk0wwmKBSmC++E9/vV6pPkGL1wvRaSoth9XTQLJqgqt695F/w9O0xa8Ze6IZB4 FOZRGuTID/xZYdPQWuHdJ7K7c9WpxPDX7gdnkygRlFaWHkNNGSutGD1iOD1eHvi6GSpzSTX8nrt0 wRRUC2/iFWXUs2TS1Y+U+dLDD7oo7HQl4gnH2YcPpR3fkVpNdeEV/q/1BXgQX1CHyQDTEzCKnOkt SORs+InAv93QfzQa6OsxaAG+QbaBn6SUMZ3H12uEYPkyZO0o7vEZsPBjGRRozbBFCiG9Tx30lWDR YW1iMqjlKMlWPU6eQIo6RyYPhmP/vBhXPsLuymYYENaj3gx3+usiy04SikIgWiPM0fZ4ap8NFV8U Botx0PeocQD5HeMdhzvyAgiR5k5yGJQE05HDQd6ECslryur47b8O6TsOGB469ICOAqhZHB4xVKY8 NLWItB0MeUWR3hJHyl+9JNK8+33GlDCTwPN+uaQ5iDiwlKULIPYXQiz7h5q9de5BEQFd6x806Oxl ibA7qZ/Qme/iROKU9PN3KbDKic9zCaarojCI1cMkYE908vGdqiQbg41ne84trzkt4oc94IQZKfFc ncwiwNLqNMPoPl6708/67wMt56Ue01A3WhPWaVjLuzO3eBiqU9Zam5qQKwNjFNU5PXkycWj8lVgT Jo4KwOJWeXbL7GyaRSJ2BnG8KsNfJgHlDAD9K530jJ1rBLSeBe4cwGVbV93e7tmzpCK3lYSNo/4Q 3ybYw/RAjZlFpWGkVw8mtBkElLZsHBK0E84Y6MDs4mddZ3jN7Psr/EwerDR4YfAE42A/UM/kn9hC 3R8Yq+v3h1EPS4l9QdiAd58S11vU5xo2Bp7EiJCI/PRWCosTzY/syXesAnhcmuMfWrM5P9jjAV3T /xz8B/VYhXDJiJbApPFwORvYR4zqlkFSDMiAFuMXNDrJ5A34/a9Gaf8s29uJeSYwIEMYfgSOJwnv mWFh2viUmY3Ia3fF7jhdU6cdgpV7/ma+NncBqiiED2rsTAh+kCdslTCgDq/La9ElICR5gVCKEV2H JdcWfczyEojiMYFPIak50ldXsQn/zBs7RAq87YqHk4rJwZ8O4vSgGika1gR7NlGhu/Pc/B075wFw kESdiUmnt288+5h+QnFWr1h6AFeK76C7vHvNH68wg4LfDLdJOwgDSVE6gM4971SydXfq+Vz+ooZH zirGp2UrK5YtTQDU/NMgB18XMUWcF2keqpBysMkjYKpJPwBi38JWgasUfa2+4nEZd/U2gQVGSkA4 LlPM8McnHN3c+kfFuFZTItriRVGAKsOsheLYewK7oO3CHH0Sqp5iirsqJPyak8a81mD9g0ajV/7J MOs5U8dVgI7LD8rYdJ23NP4R/qIabM4jXb/tE6edU7yMIbsT2fdDryNp2Dr3mIHcF7D06iUX95U5 9MT05PkPlGN+v7gUVAe6kJkUVmkaL9m19vcYP4qL1vvfXDA+QkGrqCOTRvVOR6ACpKIZ5dwg4J0F CtISMq7UIeqnSU9doXmYrsjUv9oZqlAmzwym6bCz+0161cMjQ2hZXLjrNIdy1IUhHLLp7zix8y3P +XTCUI/G+y6SuwYCLT6cUEzDllsTMwhMkRyjGPRmC3EWO035eCAT2u1UdnuiuPwWYBlY6Y1yeLGJ vTjIy7Kpz5JfjM66hSU3Dm3DscQdS/CS6vtWt18lKa7NYEmJezgDfermFRSqpOZmXb+UcnVkYGTG 0ATDWkpMruibYt7TbZJK8hcJc3kppgV8tGAXL7Eci76zvgP5FCog+ogu0DiuW068Yr71CheWZUDA R1pRIIDqpydlJPljgejcYqqJLKL4XCLDCYQf1el2rVzeDhQIUiWNagflyM45o7G9SDW5yFDRsc+B SD3i2hXy3cMO7/6Gydn2/DGOT0DwUWkz/UMV4YSIcr6rC8Z7N3fsE2R7mi9s3Trga5sT/iblqQ7K G//QOgstXpstix1qERBv4r3s1Lckx8Chu0N1cLcMtSKvttOfgQ1ZahBkB/QRB7DVOcUzGr5aQpE0 nAB2ePfGmOgYFCLomdFUBv6v4HFNxwGtWqcUo9pAj4fVnRRUTu2vb7Hmi7LuVp+Pq7vZhe6R3z2P cGrpp7xQmCFw9jEmzpA6wn62n4HMRP+xHafOHN+BZXCrHnMY/wqY+HMB4bi6WIduitajZc4aLRY9 0CmmD5xlTP9mbIytDZLbID2mrbFy+cFQ+oji36Mr2GavPpWEJGqhfvAIsv1iTOz6Nk+J3lxCXOqJ oJHIRSKsiCDxySXb6Yp0ChX7ot0AjtIV1Xa952gats8zWiA/11gmRNl/dqZKMVKsUv/1Xy0JkZys ikKeSxaPoGMqF4+1oVnUspGwfBf2rKG6pRydL8DUfOvJKeMV0fNDf+hEnEBxBPyEnHNSsU/mfKmK KA+5phleSXIRPMV0MWR1z4pv7pHIEfqVAxDiDJ6Hub0ni2RdRso8Y80T/QsUNdC4F72OjNgOpWi9 iAaJ/2wHECkWmgF4D8DkXEfQvkOHtR6aMvZhBYsb/q8MGJru8JEmAi/USai3vWDrUPixO/z9oFlW Ghi39Ss8wExOC9ojztmcM8zQIXpN+SzomBToBGZKqDw1XVxEpvaJQS5dOiaYgIbHj6QWCbe5oQqP 22cfBH8qago5busjsgp/lWO3ZM1QhOWYzs03Fii7u2+If74yUexbClST+zD5W9b5GuK5GEn1mI9L +yImdRo8mcwfnwRshp1O1cSZ8zFJ1cRFfPhkFdj+1JTAGIZoLgnl0QXzxvu5X97oFhhuM3G3r22Z S1GkC+3h8DwQpBLXZTpS4Hf7z5Qy4jqVwQ6bqlX08asYboO8atMXHQ1gFt8RYAAml+JuknWjw8At gCI+OGjGe9UWPoYRSXXhkMr46DHI5g8YJ+yTDyGOF1qqkMYHGESCa2tAZfv4TQa44IGHgUxSH/R2 2uf3taaTB0H5CEkkubrHVrLPukgRDfMfW9aADvpEfjYO61ZgCOw8vUAEAyprBPhdQjw1NOwG/e7K ElYv3EmT6wYb5rHk5S8/8uHx83PQ4GdSZJoQy4e3MSwTvVno8jb2F84XraYPYp0zsaqf3qy+MAT8 0+GA6EaiMkh6XcXBMGvlEzuhPSTXiZbboNs6wbKDHqll6zt/LTTDANbyUMBizwmMJVzIj/R7ftur Ou/AW9GM5m5As3pNteWJWL/fPY+PT/6PWAxN0MPVRnlC4+5n53tK2Svd0jnImW+lNjXrOb1OXQfT EL2IL18ryvS5G3swWAsFBUTn18roY9yX5j6REYUtnT630Un/fqrV1ScQHuNrwMREsWmWsfOyj6H6 7iFGUOsiZ+02KnKB8K9uQwGhtXgaqooFsAa2RtgeLqrQYzZlMBc4ACNv8dSss1X4eTTozUWkkO1T 3sOdy/jrOGti1akDFpOFY9i7qkT0/euIknH0f4KjBAxnvW74XM0PVaeu3j3e2oiyQwVJ9a6GJD3Y 530799Tg6BMtCJKODwLYT6y2R3kUTe/F1gDFblIdNxBPui8rU3SFZPornnFFeRNoZOC3+/U7QqSj FrcbPvNgwJ416BY3KHz9k5h1AI0rx7yueIG0L/kjGLWWbtdy5ag/MAq4oZb/rvy2DGXw6oe9zm18 b0rmjPCOqUlX9nRWRpwyPvXUZFgRNkaeDnNcCzXtBXKg7m0a8n87nzkRNZKGpSnvtbw4upCq6zQV yPYiQOrouzvjb2wMuOH+8YnHCFHO4SGrj4m+qkJoCwWHyQAt5Nynk912jvtklc3ogPNIqNARvBXq uZ3aqexVwGbaJvnrEfEIZm+IUYV/KLuppJ+CdE+SVMvNhZwBIyoxvxfqQvvls0SBkfw6G/G5MwDm mq8Jong32jCC9RqFjW4iJyMYy0ktXQkDJYWllnsDbgZslivXKHMny+EKAlzqGrIKkIAgR36fUyRV 5JAls8/i8ymViJhoDK4UpyKqxuNjQqBLzPw4IfsmzlhUtjMqdO0+slfEwPtp5C2Vtd8q2prQEe3B myEc/MNAm2p94UZWQRFQK+njDbGdDezfOtJEa/WYKpWvb+8I1a3K06MCSu+wu55rTbCcdYPFNZvG aO6sRu6GvGXbtUgVkQ56bYRM1CQpvCSKP0k07xcKHQ6zSi6NzeiCcK6ak0TSWdw4ZKW+mUDNLj4e 8B77Ysg9uYwzTqLeRLpikIR73GEld2zB1DDDrxc+WhU8KlnB1Igs9p0vSQ8FgPafhoLVhXCGwf03 5Qs2DF+y+MSLA9/ALYwrMerVNuv/mA9NkPlTHbuCx6Iknopx1kjXd/L/OK04Kv/ElyPTA5TPMxvE Yzbs21Vkv2CJy/y2fcnH0P/Bpw1kBgztiDRp5YI27LoGLqAUOGrMMBq7kqeiU5o5r2ad2FXXNqP4 4CWBgSDYHmZRwdFgOwW0mEWFr2xv5Gyo7w/xFv12MdJoUd/nUb4RRpMJ7WP4EzYdg32lnSZCFyts cDJe9vXbCpeP0m9vhhEPVaZNkQlrv2PTPCq2zB+sVlnEDG62qzEHHFU5KpWdloMsroccUcrwpIsr xcMhLD69ed/KYJw9tfoZF8YMk/1P4COh8889thxGywdx8uLPxT5gcYjgBpXCK+/IaQnY8p2mAHwr /56L4EMQE/99cbxO3Cwu50Qb8F1MdjgdQbxmuUzp3dAtXiUih2oYv8XtSPL/mSEoPl1e2kcZffGz ulVL8WvmhE7zyM1Pwwv2/XJKcOfKjyTHiSB62XOx3+ktFs8Yx1n081aIOPCZuiAWG8CE/utKBlre HYkNaYG1/7cdeo6cEw/WXpcsGj09AIcjXLcP26snvl0p+qpL2f3hAi6G+fdaKsY7dmPdEVl/tL4L HP8W6N3kn3+L/dil7Io2OncctVMnOv5GH+6aHK4s829VhDjtwNvxnmz9ugiN5ZpLlrg8e8FBNDuh mS3P6zn9hdZr2LLCIaG7VKVl0OrpeVya7roLALyr4ygR4ovzAvROvf39qgmJDItW00rl7A57eEv1 Rk6wzZpIHwZnNJZ7WwBhFPEcy5catbCMqEEb28RG55AZ8lel1lca5TciUmjoLGoPR7kVKzvFDPe/ vy1Dx/Z7Ei3GZCxYWK8kQg5fc6520w0mus0WUAsdOiNo0AyqIwoXSi8Lp5E5pkQngKJXLcRPW/KK LDBzGY+R5qSK6DEsB3n5eQSU6BUJ+D4IIPJVr30ZLQId8nX47G+XLNz9vuAWUGQZWkkLZ/U6f/i+ pUL1+SLFYa1JWFo25pYi4htQR7dnM2uqNnlfeOUh1EuYbfEGn8H/2zycOSpRsPeTvwQKFki6oKDD BPCrDgUhGrGsUoNDStojOCiVP0lcjT8HCRpY5UYjMUC1kJo/q+iEbAi85fPMVh/rsBbFUFPBs1JF ZoVE06H5GuaIshrO91i+LNPGmCYTg8ytVZujG90C4KMVeqpJVK3lQPwlnDLhB2AuaQBokvRJ4MzR Z7hWRg1FpBfNVRo3IiP5RpS0cgtAs6kRi9Jl1SEf0tjrGGrYZgbnz43A6xRuYDWweaPYs0fcqrEr rxBmv3WIR2nAopFPKxmvd+ssaepk86UdcfvCa761AOaUCrmO41zCvc/fTI5NMriajT3mDnJ1gqoK pok48rrK7H/BUXdOl3rkdVAPlDdPaV8HeqsrjXv7vv2jI3wWROW5u2zF01mYXZViqBB8Gmg1saGL 0dGPa6L3UUv4GB3ceVr75eBXxHbFma2fuRDwPmsabIZwcI6Hxfx73Xs/KtUXnqE98xouhHBvwbGn tHYGbvgF59VpZkowWrV2y5Xe1Q4hRw1XIKNCN4ESUFWCykhiSxNQs/yh1TayPU9WYGsSUN44g6zu Zl1jxaw8ppzIdI4uV0NaomNIcJnSv2q2TZG2zSuwFW4sgqeTKltGjzmGDoUEIQKdrUtwmYIeBSHU j41iPnwgpt3dA3YroKGrYu0jlOLSQzpTVlXQEcxHCPeEjBpjA5zTpo7s0lDX1c5D7L1Lw2LMj/cM lUCICVYrWZEj96AaS/RdeisR+7XpjlB4Go2M4tDTVBcDkDZiT3eWODnBdcWrE3cmVjctETdf/jax spK8hpfTeyNlPhyBm9E+V66JXfPstc/jEY94hYt06BPGQc8nfeQLzzlyWvSNzm90Z2Tu2lq8SZ7y bcsU3eC07FbQnl3L4ts0sPTL+Zj0wpX65FiryuVVIlez0WCykXz7CQOZZBXmivge9bzdc4GU9GAu y/2IyYO33bT5cxIqkAktMIawU4VVc/tN4Z75lSSjkq+WDQpgDJAQ2n/3hQbN0bumfOxx+wXCv6i3 0OUkgFrcOOo3x3h2OsJQoIM5LkXJei5yMdnJGyAM+agiIuybjvdAy4DPRPwY8jhse+qEae0T8+Vx 1oBWiQQq7qE/WyGkTJcCBHxr86ZL5U6xJZun/ORNfvAW1PcOR5YueryvRAKy8OM+tqgjQN25D5In 381kn5AKCmjQlTOn+coNwLFH5tndamaxo7UCR+mgxMYoe6SDaOe13bZQsW2X1WbLNbUsVXwGtJDj 05FStYLIA6YxjG290tWegLdua7NQkiTnQMEtYXFvnVxJOrB906ODwOVPBRMEX2n+c27ZNTDgx/eX QODQ4yUdS0GHZWb1UG7noyewuPZEGxh1/KgjdDy37QmhZov68VyVjOYikFv1G5Nzn8GgYmNgBR6g tun6enNfsFo6DRJC9a7eDT3pIT+/3R4auwGH4mCs4wYkKO3/uMjqv4A8yfcwat5PqyFBcEqDOGv2 6zW7AbdfAjlA08XGlFIuEDDMqwW1wtkdF8LIekqbHKcoqwcvIu90iVe6ncIagPYu8IvkGP6/z30r IZq93e5YSoW7/TBlNgp0NhTHGK7Ms351Hz0qWMvB90wQrwvbba39BgBCoS2FBk/gjR7dCeUZ3Oef kvXPMgsSv9jPu4ttZXN4n9nVjy5rcCND10Gv34/Ha4+4777jnsBwxLrq345bkv9Jd9WSjF7MVvz7 tIOD829ObC9vhqHSOQtyBW+xY710DIOU8aLY0ULbHgML+VRIp4qrT85rl0WYgNb1VckNFpui7AyK WsbEbfUE2t4qM0eZBLBJ1UI7ZZ6Z8BQn4BoH36SA2DTsqdlG8I4EcBU1IL7gTXonntP++19tTNO5 uU9ccV4Nh8GrB66MRMWUmfAdJNFQDWIlTUSuqjrDaS+qqnmDnoaSvLC3cuWRU5mkLH/XqqJjLXua 8d33PKIbkeJM6iTHv48kVgu+iuEHXenkzzIwHCcmIbcQnSNrmEfbVoQP8T8nkVMmTwavTEHIoKQO qVNKfgp3xcmloElZYp/bPzqY8N9idDDA9ASN95Xvd1YoFD0MpfixFMYiIzCLa+OqDBQf9uHmF8kf JhHbqrmyem/WDOSGm6f5incvxRuNl47sndSwFCBKy8Zyelb8YlpVFIThrhaitfG/iE0wpi6ktRPP S0BjEtIsIJlgzf0kuQ4Qr/EwtshWhvzYpzvimrjHu/diOuQqOEi8fuAt0n8simMIRK7Vll7b/PeK Fwl30vi7uUmnLEzv+bVp2s1E4svGwFF7YeRF/j/kBCje8ogzHN9IrSHhSgbV7krnTScnVFvP/Pyd 4wMXrXUQz5yrOhsDu3wQXq8aEVAmEFpxr9l7jNeLLhwlgLgqEdkBjm/C5xXNtrOxXt07KQpeesf8 Fw9ow+/yA1WP1ntxh5a6YuUyK4sPtt6Vi1UHpNrN18B/bWAJioc+OqRVYn107Vrz3SFBv00nlo1z uDdGU91WNOT47GXasrn5krQwrLvKGfA9zt/4k1VukUuwt0Tck7dTgtBokugJcODuXHbqznIck4cb Uw4IvysaRdTVa4gsDH5oX0xTF8+ZbTM3Y2c/HoSPUr0YHLHPAQqJA+V4Kv7CmGvyKrQTdF07Jpaz 7lgml3Dm7Y/ZwYwctFJm1f/52+6vXr6asL/aqBXTutDei1lk9h9xmuiFeuV/t++4mp7XzJ/WeipO YghiuL7b018YdeKKCtMcRAgluZQtu2nw+wX0PcNLv6jHGLpl2UQfJT95G+rn2o/vv0L9q201U9Td v9PwzjKxxNPs4tMH04mXcbfBrxhDYwa+SOoL3DPRUIohuqkjJ1/tkB5ZRFQirNF9vlmEVtdRSY7r H7EHUFcmnfFWa/xiNh5pp8g8vs/jcsikMOsfTKzieFKDPYcplqD7WpxFIr2d3omu/NjKOf6Z0daE Xw/LNy71pn/s17sM0mtj1ALbkZHua+5yd+kKG5KrykobPDf+5/OrBS3fg0WQ1shhEwoqKQbWoiXJ VcqyvsxRaEMQNcqLLP50T9VCdviSP/5pQZ7LpiMQy5swOM4hGMmDlbh6EXgqPLG/J/cB2s9msGyL 5f/qOhTAvGaGxrMPTKK/W6dh1SgGsEenOfjFO09o5iD9gOm4HaM4Nu1x2LVQtCXsHFSmmlMtblPr E9v8VuQbGwOg3M8xVPSnA6/VkSJUDDY/iJWjRbYs/Kt7YX9YOEYrvhpyU0kzp0nzoRZAlJfOz5k7 iA5cqEz3HzZ4mBmi2By0AiwfPsW7PzrUftq0f13iazC6lxi7k0Rifw7TOYJjoh2jrIW7h47EaI47 Dw/VBnoVivaXJ9hZ3Q8xmRj/9rViZJxCcDMzka44ctDSwH/H2c6kVDMOW6xw+ynPAe6qkdcMSlN5 7ELjxcGHBa+96YugmhyvvrWK5ve5YRVoz1fVE11xDBY0LK7dm+stuPz34mexnKmenjnsWwjdBrDV OK+BnaNg6CNI+UI+DcTJ1NOdPu3hDR4awa/dLbsbO0++D5MT85zi6RuT5AWKveNNeUtkAA988d3N vtNfjwWEVpvYqZRR2T7qZeQUO7rdWs45uW7AdmxUldQA1YRX0EW2C1pg9X+Vcs3STSms9nQgrL0Z Ok11z5MwuscOAUvNLonxqSMA81rQaWZmuKzU/5Elp8jHDI2Gp3apaDM8NKqJMCFmO0TT42NOzKt9 xhixFWKQ0d2GHzy7K4z0jB1xp2GoLvl1Wp/E6QLPCd0tPwaSpHTc2bfTT36ASutKR7AaXkczdAQO hWcRNYXtON3Hn90YwS/om4VlvN8XNhdu9XdZuJ+gPyuBS8Cv+9s6ksxcB64GGDe8ek8Q0sy4rmJW X//uzxxefzTn4BUn3Wf+MhMn5m3TzIxLE14YbJjMgUkjCnCi1pWDKnK+6EVD8W/xo1xYDlY8I2q0 4CM5G/Df+rPIpffHOAf+MY0L3bgUt4liQLKdjzFrecDtxjMZiUWsf21Fd6Mk+BxogwYdatoxMQRb K22k9DPB+dwhhpjI3AIvPKHPwpqViVPujoe5m+wHiSR0/F7O2O99tYtNFFsAf8PJ8Om6d79945Tr 0hu5zVV8WKTJRrBY9WBAOKjZLrosC0P232f1W6mtgVREB8wgq8XQIsFIqlELtFEwBMMJ1goYM+ro NOXcpPR5/M1u73Dtc4Ncf5zvU98gee/QRqObEiu/r1/bisj0cpnVYvrhYLzRvZP3hMNrHKfRcUkh XFCtbP1DSpB7XPH5rAH+zpWzJguvzfUqDZkeR5Jq6LWN/oDoVlL4eBEs4CE4s7zXjWIybPh/ftbJ n410cNwFjtrFVGu8c6YOw8xpsr7EBb+NMSUYVEA2wO3aUCY3W711qBUPNCn5lZvyXVqeuPkQ7Vn5 jrn+TQEtQ7J56DDvKugsvGmG7iQoe8k0pnhs0zWAVL9FqoAvrpgK9Xp26ds7qQoGBzmUhtXc+xmQ CWdONnNV08y92jZa6bh92sS6+dsjsx9dwjqDb+s/6SUg51ib9OsTm99s6rrHZV3Dl7P+VmwQ8mmr dmhixoI18cy1G6tyVN+4j+p6cx/HXw0LR9gbSq7e63TpLkTjVDi0oZhacpwQmF3tIRf27z/ddrdZ sgveF8C/m7QPHJ7VGylAiANS8M/pVGTNWlz/oynb+qh6RImk80dWmzJRTgbqSEpxf19TtFburQQW FvxqIg7VVCWOO4lDxpcwIOWX8/gHrMQ2S/e6XqSVSRPNiEWT0V+3B5ORT4YJjcDNBy5zTH3c/Hwt 2o/aaFUyNgiCzAKQMROO3NjYhaxezc6Fw/zW09YS7JcJqb3y90gN0XdsZ3XetSr7dXWBsW8A8KAU XWUsell7nDiuoWTxqbcio27Xlw9uwMQ+dJoIs/L4hfMJKHnz/txa9dnxUNyxG+LgUQOudfsFcuRF jh+0CsxiNevoxqL71TtiGxbV8TleFT29f0Ho3L78gaAp6u5oG7RjysBtUQwKhCdAzj/PsKwsiC5t 0lAUFPJtp8oTBsCfeDeLEM4m/7JB3IsNKV2feXPlUMKdsQN9FO82F/JUutSoYF6N4hitY+PiWdVr pUukvIzkdGDiaCm3bwF2UfNt6qEIwJbFqD5Wctmap35zLWKI2v5WT4XrzRpn/QvJGC5aASYMw8tA k/3b6FdI1EXP0em66g3SJk2DlZwoIQW0Fp5Q9KuIhxv3iSB2it5XWNe0gyk4WB91JQr3SFEp8k7s 2Hjxxjdcc15pRN3+M8926QOiWYeWvCUvHnWDlUczwwwYHdaK/hkWd/W5IA/VTnWjRoKUcGN1VkPm ArxVmHtdhnnYXAopHnZj3/wXcYrBhtrnfjr+s1gKucJoovYFek6dV50mx6QHCxu4AVGKz0MsQk2c vI9R7Z+3+bmtw/QgmrLw3yPlXYcM/ejapATyrPM5K1FDSUxud1fvmHAR3lnCiekOAzrhlLVcE0sP O1E7aPhV8rxNpAfm5F51dPfTCxc5UKZdIvKNT9KImsyKFHPyY9aIhR8fxKP4vkGFHAHPrOdcXbD4 JYiQM3RMfYbbZGdkNtXo5BDkTbqg1+hCsTWNurqqiR+Kqpmip3/YfDEtDssJTODHUwV1ukcK/nDB UvXhzOlM4SCzwYoI/vptq+LCkxKWb/H1UOjsCbM9yY8c05Ti6EaGnnpHUjr2l+JYzgvdm4chO8ND BZC+/5czcMmRqgZoG+LcZoKeokd/nTCMrnCNqZpQqwIJNesrDOdA/2y91YTlWrtl8nNhpRrOzITH I/RCmolNtTtJdCBp+NNldIQoxiA43Af8rnBbo5jlxVOkZFKn9QGXp0ijn+UBysOYm6lZ4QRn84PZ gtdWo7Y2zCSEC8HqWox5CBNveUs4X8Qj3ti3ajxN3JEKO3DHCQgAtZ5jI4z7KlJ1mpip9qYQT7qe 4NII+Lc88a0IK69SFthGJIp93qHAHKKdN0GWzDMpwd9EZB7D6xllq5StmDU+UDbDvB9sWBPJ6/w4 ul5PDM0K7JQaAmYz7YQKpnN4S04Brcma3O9aNkg2p8almBjVUwsy/eZ8bqBIYuoaD2ABfzI7Ce+I 4IN0nC8YyqXS6wVD/jc0T0wtahxr7pATwPadGqJ1r3h0ukcBq+hvcVDhG/gxk2c/040/+/k2VDbs oYuzwSra1o7c96Lo1+jcDjBYKHBIKzbDsSt1+/vEkh85JwCZuSTLlw+Qfc2anLjivTUyuNNqtsIp oJ9NO7pbg2ZT6qH7VuA/gdv/24POes1QfRFAjHbSLiHq4wkz/F+QWJkiiitm3RvjhrYd7IltVi1P QdkjuJeA4ICLz03E81kMK/5cQvXFeck8caFiWLmIQy/Els8UjqJkmUrF4QVtGzjPGmcfaM24/e6A ZCqyBIUj7kbSABGGxlswJOLUrpXn1+RRukbnAIqw74Zeax5MsfLzKnEMYpouTdJttuNbHMQKlEG/ KH5assCp4vP7qeubdOkaWeA4wTW55bOvjwBLP/X1S/9tNb3vHdRoHmVoWIuP1U0mHEUTtpTR9jbB 40L/sp3YWG2ITIt7z8DfXmVdT+hCBzlhsPz+pYWh/L/eFKp5tCNXNFVqfPf3GmSAoF/rWGtWmOsN UxxYnmVo1sj3KwsPKdPP8+dQ0/KiSHM6EuKRf8zDt2ydn1E17ap3JOrqBlo5rw3hUeMfeb4mdZw+ 0qGjNx8XC7cbaFBuYm/4TE5EitpyRMc3mpIEZa67Z6pyTY3wChY20LFnCRCDASC2Rn08TRnj0V6B gKUv8OfkeXd1QsPHfgs2hYZslNmTahnIWBQJd2CODI7fL/hN9dxZQWSujutRqnyDcqHPX6skSmQ3 VUjqism3tQeyy0QICVX9kPHim0iAcvvsFP1Cry0SPN7dKsAQImgy/tBp/JNk95VZk8q3OtQn6cNg GcvVV54defCPe90//auh0wQkEA72ImaGk0Di/vhWrgpVq6vz15rL/plBaiKWhJto3gfo6ul15dnJ vHYD8gvO21W+goFQ34UVYDNKWQVT01Ja1FTwAp5YkJLnbmr6De8y3IZj2RZg7XzC7KMLvsqtQMsw Gi0Dokit1um5Vi/Ug2yvy3+0IXHBqcqtd1x282UIxbC79RJPYKvhdXlPMw5AU0QN/MAybVMOH6Yo UejkfBHulJ5MDKtLeWBhKhvlZolWfwP7RX9zTjXC1hMKnZCQ6aOn4lvbITmZiQOb7RdQFDKOAIwv loC3eWoeVDn6CLWjw88Mul+9WRXCrhVqdFDi1HZnSe/HdLp9iNiCkxHFC04TW97F+ZAPkkPgsXCT CKpD1K2CZoeeqS6w4HDTDAT8dvuP9fMMnByyawN8MbbkpBqm9qG67MjV/g+vRwleKEUrbA9+UMD6 eA6LApgg0pIQIP2Fjf0iSQeBRr8IT7Mym1f0jKO2agiUwrJAVgfB5/NEwotKHLEHdOQ4jZLBxYWP Xnq/WWzFxcdUXpjUsrDkdELUuEEKTDYghGPXEcLEwXItS8ZV6hGBCq17I7xf1+rQkH1ZZwcOfYeg D7woekyKTGo6FRNSKY5AvjW/nSwLgl8VE/yDhcOY/BsSo9Jc2z8DB2X23XI/p+7hkRdK2XVPb3W7 iq8hKPiYX9hXEuUeLbOe7qkGImr6gjs28robYU72J/16X08sT+j2xDVaqWtjtk+7CuUYZ8BLxCPH d96G3hMfN7VWuCUgVAxGD0RizZmZCwuo+XAlWPNIhnmUNk31UqC9tkjfZJQLETVYr699K8p9ofKA n1G2rHkxjfGOeaUe2zxiuUBnPvXt8WfSIOVXTtg6QORQxQNMbyAN9M+G9NeuhkNx9lD53p3T+Ycm WFc8TDUyZPbcoqZ+KUcCO+697aq4IGJB0eMj6nm+CgVt0HT49cUT1BbVMd0d4rWLNozH82tdxPBq jRJ4sR9gazW/Wpzfl9LriYu/DuiOZwcT/kpYpIzg1irMLF3WUAI/CavU8MQS7nCnFbv0gZFLYTTS dfxindILrnVlhp2ziK+zis/qivKz5H5QwHW5wVaRKvhJ4CEKgvfGt+uTFPw+IZB8rsJRDDtmt6NL EBF4KYXLsbR54KWpbJMzkODvAfM6LUGJlACt9A16vAKhiLeDp+4K/tEXT0volK8rT3MrrrOYbTlg iWmjA3zo85L7SLSrVx3lh3eiM06lssSDkGyoHV2jsEaPOU/YcydCQgzV8ViQAJMsl4NvhRxUymgc PCHosHwwlneQNx1joTzlwNN9exb0cGPY46/N/fFiLneQ6+NCJRNCL1593fk+YCU+vsdF3eEcfy0C AP9dVfyYH5rHi1G9npMRzntIvGX/iTEE/7JJUqUD8nVdU6sgIlvCGZUODJ7KktEOWLZkGslD87Y/ iE+WfAxYhBmMCGsvviXos5zybqoieBSFYAtamj2up9B74azSaU0hD2oJnknPN5o2PWn8QwrKuCaE oVKSw/cFKNLRBJRBCDPhHQW8xBXzgtgTzAbAz+3qin7b2cs7eV+1lSoWA21FxvBQVZh+E/aliBNS 8s8cN9MLKPLb85omAZW6hvF5j11cpr8QgmVosjw21nSL6VRfHapZPMHIDtrn4j8jE22C6oBQFNv9 CudlKXblVdV0ltosuvpnicSenO1yoLy7F074gbZJbpDtvx2l/fCNzGZe10zjNDQVTrCF4eZ8b0v4 B2X8uFvjWeRYKkJ+6f1BnNsCM5f5JlpZv8Xs+RFm95p95Jvt/LkJOskD1/akJchugUzzsnPbDymY rRtH9dXtrTe5XELT66FMNZgRY7lNEZOxbD/7m+FFUiuz0hopg2gkZOCS6lOGY8CgPqEf70mOcCfQ LoebkHSs8C/M0KoqrGgi0cg8U5XCnxUoN/rwixe9lqMFGBfak5fdVezANBrlCOPjOgtIyhyfZDXQ Ve3ZelY+Hocoo/8Ein6fMDz28ZszucTD4yhU5qkp2EC9EMNSBKpZ2vUF6lS03r7Dap5p9d2XZ3FY dZ2ridInhZDelG2MJDnQwonq1kJ9wIXPGjPfs4Kk1IfbCpfRBbm5fKf+nqgepzhKhl9LqkZROgLq KKz4OvHeT/tjwZeA2m42/ZS86nM0oolD7a0w1bggReRLNirolr2VP+Lp/2xKH1VHDaHcaNJPS3Zz M9UbPzxh2ZqMtcnRaUwXILUfid/oYAJ4ioFJl7QneO1al5rw85zpQbYm2Pgdl09qU0l0/2Plz7ay dIGFWUqx9DZz0loOlrzIuhbnYk3ZdIED5t2XpQr3Ort8A3tNodrnYZrKxeIMYuSf9ONGjeB/Ola9 kOOjSps5fMwrhoKiAd/9tlIXzd7ZaF1bnVKJffRFArEB/ipTF08t6HDQcwPlz/8W9dzsq9VUxoej G1XGryT6BbGHQsN38dkqZtqlwjClPlH/2y5C+/bK5JWpoSyleA9bJL+fJH249YTKBjf57PLtMamV +N+UOcvoo3z0up/Dw7XFURe5/7GiZabI3aqj6AyOkuoBFfczQrgsoT24Owky6NUeo6CtRRb6+WZa i9EVlPMfU61796zzD4/8tzmVHVhhqoozpYGPnbs9M8U5KMudnbfZORetTlgFZV58mcKVjTxqqeEQ hw8HHbaU92/yd+ZvAWWdJSE82L+EMlmxHoI9uA8Wvc2WSm8DGVwFQmjlOzdK2EZWQbuKfnPg18tY jbi0zNsreBdbsT9pVE4WBzVzS452v4yqGDAsTZ9yMJni2z6DBqbPXkb3Eu1P3shmlTZGKTGLj40K I9H6k4yD0UIvpf+GzNAhglOUQhXqSoKvjrVZPFljIl2HZm+UvfbiGkyVgjzh8uJQoaZOl9sYm3IQ BszOTBxn4F4O6+j9MlWZI4FJPDccUEvxlijrrZTBhr5TEeD5iSOl0ef8jt5ynGC+pk2TN8moYYlX 3+Yva0sqyozhhuxNKsXBybxOZ923gUW3nR9JP/QI/X0iX1rH3C0TynxzQi0py3HWBwkmtnqzKEM0 HLWxMO1tsM8aj5gBpLptwb4NklKcgwBNkTv0/Rre6EnHZFOYqFua1NZErqEFof+nboq2Aa4LABYh JljYnO2CdPOMzMasCQX0GTpSQCJR15abvKj+JYnpOWQSwoI1J+zFus2/gTV6ZqfgOgaWAvX5WlFC 0ykmm4BkEkHpf6gv4vCbfgGX+7qsw40yUqMqdz3W+9RrPmWaT8EW9O8o3KdoTYGd4vOFbxjySRUz OYpW4Jt61lyGmUOe/iZhL24sD7VF0UVLLNELMISHADDxNopaCfQVw9jdxNbu94sZt9jr+JPxSWnu bX1SWr5RpJIkHsMFdVlrDY3pBQQZ5YVLHwycHUMXsVjhjNiC20u0lyFHhPxCvlXmdK35uj7zdbTV 20Byc0mAkNykL+6kSaC0vnla2UuNYGOCk8vRGnN67mV+5EGG7BcPMp/eti4BeiLdR9FhQhkBrGdf yMErsZz8tY4P4K/4UH0iYhW1Am15e1xEx/Luk4adNsZwuZGylsTAJCjVaPFZExBck17V4s+bM+QJ kcLgOhBkxjVNE8xqTYS5mu8bzyk0aNAPA97clSQflj+wcPmigyceLN87OR4ZrZEV7Clhc3k/1EPX iCJdm9pCqwlDEY6qWibLQqlxEP4HnjKZ1QyAf0lHj0+IWp9+KAcVPsRNPPSEF9fxLid72NDQNQpj SoXfGG+TjPrvPN5zqzaub0Q0BUUlAKSCCAGZAHLZlr+2UzMj+4CpB4ideT/+jHZhaEvHkPC38332 NyeEJGYRMlzNoouBm7x2kcazTmCMpRMaueAR1Snrq8igy4sVyT34dqDhPSOo8jtKQdUd6Zd7ydT3 IvxlndjiCdROz4aKzx2mZD9owokeQMV8H3g6h1dG8sZNll33HTft797VqK7C4itlKw9oFvPI5jG+ vsZEfBdTiNx2eQ8vhDk6U6EXKkXPhWKxLTLpGFM9Bpe/9Vc6+nMGqUuRwRRQ6c7AVx0S7JBvZMQT MbXlCZUX8ZmS55NfdE5o8jY86LNBiXnUn1eIiKlr/BkoXK0rATh+SByG9uhUBJWIb+7W9DsgFuUL 1s7ScwnRIxb5oAtgIc8LeipMppqmc5q7yJaqDw+HmxXmOCd418uFHyujPsoGgYUofO1cFwXk+XwL 2VkDnzrmnzvdnLQsbRGUNCaNxS3wkWgGg6aPa/gjuLkF6I8XWznieb5AR5q3zIjerUMwq2VSZBwQ e6eWeLjeKpJaQDwKuUXHMHc1JgvMybs3mf1SCVAnkevDyiq1ABkjzBiVNHIG6inW3OaUtdZSQOcO 2loxg2jp1Hf5KSRRpqW3V0GG4jADNltHTn2N/6IBGevxXOyKhazUnkLOK51lf1t10FMwqrRm+G43 /FA1CnHpCB8ewTBhj/sURNId1nBLUy8xjzOYSPvqraN4z+27WeOyd7yhBFeCGczdib6jYpcGURpg ZQo7IVqAMWOVis9h9nlx+SD9im+XB6OXqhBbbjy6sjnurXAL6sxO+MPiebe2bgZSFxA/Dyk+hnd/ iHoc/m9NeVwWZMsZl5erckV5+HxONDvdntUPMdZSSuPnxlIHHof56OhHaz7FrYImGgEE8ynsEEGl GlE5/HifdYe0AlhURgqhVSI3yYYS/XUvQaK1HaBVyZikADR93y91SUEJqWlKrSXV5Nn3dYE9XxJ9 9nYRltOezYwEmKUXp9RdZbE/sBat/Tf1ZtaKdN+BFttO5wBw2/0+fM44yqpnYwU7Bdvzjguw+kb2 cvjNKFoheJ5MwSv6Ddk3D4ReUArK0VMGWBN5eUaD8AP48pGWe55OsdkEQsaSo7ugXTRMRYYRhU3P jqqtQTiszhy2PWILtYbSYBc5eZ7LudZ1aa12nhyC4r8za3bV6UeE9UVIxczrWxfL/DSs9r5IG2Kj pBcJyd/jcyfRily7/pu7CYlbaq5ajNM2ltSHq9EYya/Qr8iSYtNGbuIp0vziRc0nWLqKuuFdv3IS tX54f6wF+msL0QiCKBaUVcTss7hX0hgExzEFyYMJMmd44+mZdr82Pew3U+bBnH0jtlnx6NsRmT3T SZ5rqjS49zNIe/npU3HNPbLikoVO1kolj/KoXyx6PXI3KwGE3556v5/fw0EwUXzurhVA0VnGBpVk lqwfriIf9iYklMgqPgiiUsznvEaMFNuHdRJcey7KQ7wPJ0hAGEs5uBBWhxnrtcMXuPluZeJlcNKE LIv15vwW0BjUHF8eeaIwHMRUq24OGFU4rCULNfzvCbQj7ZlRYV57F4el1EB0UNGYTwR2FiaxvpIP 2sUY7Tyh72jIVFFgbPBXq5xjdGfRlKFBCAnT8AS3eGtJMypOr3xLu8BT2BKhwvNYRKV3y0Orxla0 7cqMB4sxWneEzF3UGGqa2X+73fpoV7LRM+te1NUWFdqxxObNqoxp/wi9WNSnD9H2LsfIOSub+be9 IbuEZtjy4Y67d4kP8flnd2m+v530t9nXImkMboh+zI0jHpge9ERLB44Rvv/2kakYezFbAfjg3bcw Dyc5GyGC2M4rfTDuvcq2VzMy9zd80WLmUNRjM3Tlk7p544wSw2cZaNPzSEEtJ0N3OUD15noyLRQA 3AazIIHNvgVRsFnVfg2/qohRFSiK03TXl26Vxocix6kQQ/vQobu4PS49NI1n+3SbcJWRj0R4rjN1 pZz4Hs+zwTttQcE6BQgAf6K0QWzRmxSW0d67DOllHBz0p5QCJ3/O5gWblk9TycwlHQH+eOfygXP2 AUqLvxZt9FrCgjfwwMmXgdZh9CQgA4svS2g8jVeu7kiiYlDX66sdE8Z0yEwPYTQqoa33iiVsCrpZ l6D7W6vF1q7GUXxYdqv+cSlUZUuBcmQtoUeZ2HEE0ox/zip7r9glIkoTLM6Hf7zUhIz5zbo7pe27 O6UkwcLo7c4PGGsIvl1DBFdzuTXNN4eaZCl++wXqIgjGHkQ7gJlRww5i8BMNwG2atYGmnbdBK7mV C6cBZWz1r5AYxg7/l5vmGxUxH2zuQIBV6onYEKordVJqsdkpnf1SvqOXLj31nhcqJLmMH4hF7EPS tYBrgIcl6voe1yo592nAA24h1rWlVIpWRTOm08RGm+gvIZmM4QzTxOvbWHvA1j1FsGZnsPToWZD3 iBBW3m8pVMZrTn9cpzF/xQN7+zOadmdf8+DcPvJK8gniSOq+2sEQ1aD5vsdvFyujAEgpdl1JwVVW vzUulxYGdnr7j+4nByYCI9uKMZ9uU9PaY/0R/jxcmtb6k4mrNSJvf7GG0t1UwZZ31BHu3kQ1jm1Z wH12EBuCLZKUUsieZyIx9pytLIrQprM9VMwlZsZMbvOUQ04Gkyxo4Emf5T+omoY+tPLnigTWCWGT tjuOEaApz1oMUaMv4EuxBhLjHH7Ky+aaHBKpPkPUT3sSP4dCn1QkNu4yiqEQ4Vd/vKN2/FL5OefQ drVh+1O3mJdhXxG3rSYrgSVAkIVgGRi2ksJN8fuxerV6M6aUJcVu/PEkRnm7XH6gmc4s0FjdZzYl bZlte50Lk1PyzyOJst43ErBu6KAP4ZlB3sWoMX0blI4o/IYXamYfIxPn0y/LZQ7UODZFIcuDUHd/ DIcAwVdHYKp6O5K+zStcwBSevcICBcgKRjQ+GWMcBM4yTuU4MLwn80/+8CFTUNyLIWeRKedpqVXr rQoHlJz1f40D/JZOKSX+urStXTYUjh4QSaSxnZEeU43z/tjlgwj05QGddjl4c9WMu58snNc/e+lo +sPF6nkbVQ51Fsn/FSXoK1wvrxO5RfoyMWbD1gv0WaeIiAKmvGBLlkiwGfzdqdi5MkZ2QSpeCkX3 ttA4HNhhy5vqsfWAU1DWIQC1lFVIPvEfhR1/FwyJuzUnbG2JegbfolY5LdJi6M6ILo+CQy3RE5PL RFDEf2TKsyGqw6oeo0/Vt/B0//Z9gTIcA6Px/fO3R+OL8/3TbP78Gn020wBgys4cQohHfJFIH+mb XXeQoQmVNIRDxyQR2VWSrPSR2adQdT+bK8XWMzgptrFG31DrY/jQn+4wOcBbGXSnfP1eekOoTNxe 8o7irpebhqroFUApvNI1P9i+e6S2UrPq3CudXhMiRwzWKaoAazgZB3d5Z7CPYypF3zdLBbh1mc6O uiTxhaVJMY+GfQE0lHdg10rKufD+Pr6Wa01LYx61kjkQn4xbxRobai6mfEVhisyMIAs+HC+KMnLg cXUYl4N9EKZYtP9qHMlZmgpOsNQMB/upyuNBmahoC6Nr0uDy5w1BjeiLmmTk7S5a+xltoIkTOwkT eu9BPqrc7y2+j4V0/dNIV5SSPQDan5rPAC7T8X4lvE3YpZhMUS6EuLCko8x0OW55yxWHAB28XMBT +eYSjUffYr1xJWMf5VsUlwh6bh17JLGEFeD8GKFIhY3rBgQc7FKM8H1BxktaGfXTQmdq7OIYexLs AOdVSevBITb1xZiyQTxf2wexc+xQYqxNZaRH5Yl5iQgaTLruPZ7OUkPq2HQ/LKoGbCV2z5J6/43M ofI7EYC/w/gxzkU3qY5eIUTsO0OwmrHrdZSadfn5Wy2iFFCEBpFlt9nYQuPOP72fWN0HI6XwoyXP Ab7RFrF0HnHByOsq2SiKv4LU9wHc74oTmRL4Zlpfj8T22V63drv6iSXWviIIUkb60fnfEH7+6yCA 93Cq8KoLyGviH/l7zi4sQqEErIcrZ9g9JDdJpQN7fO5zMtP26wYN5KbR+ky/gcgv1xwAHRvMA8Ie VJ8181vuahGMqNchTXBTYxueIeMU2kHuOtan4lFYpQ0xXXkyEQV9Likqbs2L8HDdNnqJ8E6Qfs1v QyD3HdwaeNb4oOihJkMiNQfA+ZgX4J2k1Y7KU1HOiGltIJNjvFVP0KgoWWyQClmR2apvpHOtq6tA mOBKmiKEvPccewUHVe/gZS5n15ZlKZ82waxeHmgJC/wVffb4Bstd+cPrvxTsa7nB/MwYrOgBFgIE ScG4J1z417nzNxiVsfWK3prFRqvF+XuVdPNaRoqkAaNqFtWYJD91z+X4pKKmcfE1/o8EfjZ+pwn/ goGQUd43FvA+9GZ4Av3h0EhOfcnpPn7ADBjVTinHredMFuCIzWQ4dg+RzfZUwuEAaKPgm8H/4jyY 5ECzwtu1qJqfoVabCeCQtWW6JcrMImdwPXB9hqJ7f1MjZHK9t2IId8rwoUMv5b7mV/GW/PW+7Szj wNZEIikzCfh3fpXXDWQGdkfdIYhaGIUyhcAdgjCFxa7ij1HmJmMmD3bp/GvYwHWUaDKO3lb+F2Ga caqNPBtQ5rUX4ULB0WtDtLe4Gz7slJWWpwh2ZEOyc/oVuRgNU1NKc5Hus8WrvLFlK0Yno4tza6cK BOhWtu3cO/jNQ/spQql8QVrcxleOB6xzBgGTFEU2BDYwsh89B62Z0YO0p3ypE70r6D8smMvrENzx aKPxTZhDLbZ2bRQRvUk83GksTdAjgLCs5MaDvMIw86I8frUK9Hs9sLxxPDK37BaSBNC7l0U96xbQ wQPQAElj6ZdTGMefHXLs34qkYfgicUW+XO1cZ3c8NimVvxw8fW7mFxqjsf4PjFf2NjbEER9Vg+s0 oVXGa6HA8LDQBnkSEQ/jq1lEp4Ckh29LNNeBvyfaDsrBQQeiofMvieL85aAbTSkJbnqbdCJNJSsK TihjAslX0pLa7lkX9hbV8Vbr5KWZFD8m7BxB4rrQjbeGFdQKfHim/EScWJpn0XZeVPypXAwVpre+ SG3tY7ckkWKrHUpZYElfu3sruAD/LSDbdVfcY7qhnNLYIwItM5/9JmkHyrQ1PwnI0h19yqYkGp2M gjC0tGV/I5KehU8vUtUPeT9sKAtWY+cLtTDNbDCk74lB2jSpehyvIF1E/NLzEUn5edz2E+5uwLCJ JxL12h8fVA9JVXVE/mH8WHF4Nlb7QOOH3BLd6/C/7MJ3vhghhyZKc39VGiP+2xV0BGVJr2ZEqNaG Dz1UImqKiTOJJz6IpBO0gt+NypgZW1BkbTL8Y8jZTKI+gggWyOx2KtmXYdEqZK5f4rqm50pNGUWH R1B0CxeXsj/W5q+HofE8KGdpg48UofrlVLn6Y/Ggn1juAC5q4NJlnCa1IwhGQu+/g24cznM9fQYR p3ijKOqS3D8z+xOOq7PUoy0tXNTpTQo3FShPeqo054Gsuu3xRMAYWng3A5uJngCiXrMZgeZYKmQe GoQk/MC3A08g9SJ0etTiKGHBOHBDG1+KWOwluuowQKZlW/hbrJGxhAhS+5H0LiIP/hYCcfLJfyq9 qqDVH6Mg5I9k3YgVmffLFv+lfnkSXGMWdJ2RLWKbXZtGUFpSy6/kHSmtBwSP+0jiCcIAX+Tn02q6 ZfMTj3brVE2nNIglsYhmn6iQRF65sJ+3fnXaafT+pFCdluopEPAjr7rjfcjYV0GzfwXikCaP/y04 wO1huLw475kIIS/Ig6bfvjuLNlQShEWv3+wpOT9MDhvSR4PEmaHmMMQZzWdaLlForPmdwMLkWtzT a+yCg2Fov2fAcw0wV7JtdbBPuDDQIK+/GKRsaSJeyKZiOwwQxybDMs+9uwEII0UzG2pcD/r5nTkC GU+XEB4J4WbTckX6eMCJlEupB7nkQ/+wgeFy6pAh+bvva4EjFCncOcqYXiYTazv8+pOovCscRXJm sXZg0/ssUXU4pS0cRjNtVQcfWIebN+346PLBuD79zNi/DO8vDbUAjVaZu8/1HWhVeU1CUp6Opu2M RT1i4GXZrk3pPaghtJGlJ4NVsWdIKNb37hWmXmIFTK+Og0MT7yd5uRL2hoXHaVOyPA0SoPAlR/az Ou2NPo5aCY2Fs3/zyoeJICzqJ2pM4cGVzJNW6nDi8Vu/lfkW4Muiqdd4S7ZEp3jXT2QJ8rMpK5VI +3C1AZAjtaKPXKw7w9E8P9DwHMVYnO1IuOhBEuXqcQSKhNb9cjkNmf2sgo70RdPcjuURbwr5Qo9/ vMOooTy4ELjCxpMcyZT4kTOugukA2rgAPZX7IcZhOrjPfgwu7pBDRAcIYiNGg3clR3RvAjLDjf+6 PAXT9L+IUOKvLUD/07bt1tcTe8SJ6qy3IF/fLQQ+WAFn+y7RoTqGN2k9j+Ng521D4TIFzj7ceL2C l0j4jQ/vERMyRvxDILbo68T2hMB2Fn7tIylW/4TUoNnlCKj3/QSGYdXjgZkQaO0Cn/4Z1ZJFy5Pw VnHPzCmecol/kkXvhWckaPbnqWXXEbuFn+8uEALSjERwpnAtuGIAK49sylJIeYvVgtAVJIZwIxzi b8nA/+WJmevy8HmCGf4SsjGHJeChNYWC+TKHHOztl2IdMpKBxcRtl5JgS4ss27WdKCLm43cNngXw b4UhmsRJOq0HawhKjeyNQjaGVsjIXEk8GfXV4pTg8V4g3jR+CLbg0kW76gix0wdG3F2TuwkLaRFv q/MYeFsSpOzbYMoHaHrWtUtGYj2ZjUas4YykU+gsFBLjQlFcEvU0KxMjjSJs723bHr+Ve9MvKJMG vYy3CE1lvDLImrToa5aVP5qEHW6ZFHsCC8XyZkm51jZ67792V7ZEPEfP6kufGS/sRBf0c8JGJD+H Mcn1eGtxbmzJX9J3pw4MpWJ4c5zRPdDFwZ3qeQeffsz/BjPtretMYFQK39KYfRUyHDy+JNT/XSNY j9QyNi3oD9uFcwME+a5ZUTQkATo38XVWW0iI1NNqZMr91e5pfAaQw8E48yiNPK5f9aF7vCLhN0bL OGan1hzNIBfnvNluytEXZPb470QITtd6BQ7PBB+ue6xUWDvNANKKgt5bi6eU51Q/3iOEahLF9rU1 7ZOp6nND9XW6LcfEklBbQ8zPbkZPlnAc55Nfv05JzaLrOelUtt66ky5qbOauJ6SMM4rYx29aXSH1 js+CINZvdxDcexzYHQnRw8N1XyIPbTWvQsWAVylUa6sPvuicEcird33+IvZe+qGh0wpy9dtwpTws zpoClmuPrzqvyN8vG+D06sgZrIowJMRRSy2+6tsuq/bn65oaLdHMJzAPsQZaoqTU+35tTGxtKre2 kjCJ8OuA3u8Mnp2zP84hmGBe3Iw0duNsLTLTElX6/2rEFKX/SbtHPT6FN+2L9LVB/cuTQ+jwcyb1 WOLAZc6rsEavpph9SxlQMKIAJAEbaOhqw/dYJpRH0z1eO/aVIMTire/8g3/8QG+fDaujZykyBcTW zX3scOOE5OqiG9HRKaJAqvmehj+Hrrv8jILLM+BtdPG7z6yYIRGVL1a8lK97Dcaw9JuQqP81Tlf0 LsthOGwj+/hxJPnzcgvYgfgvSXWXB8T/p/t/n7RIZy6o1ZDAsUfJrXoNNKacLGgrGiZHgPNDNKgH jfW7jT1v9S8h8LkdAmJ/urJJMgUQwOnjDia6l5mSPD16//3KHiPm1Gjm2pT5UX4VgschUMOZzXaO tzyATyDkgc5UzWu9oy0G09gNnz40m7+Gpww1Bi1McSgiPeByJJ3Dci4IC78V0DC2zuoQDBOGHrzm kQQKIKLhVFPKNDtTe3C8/r9bI5EPUBMQtLhVPR2sZcWIU5MGeOwNlbSm7H5x7Y7XGNUjZ1vT7t19 /AVkodlWVjTy8IW65S04b+MvOH2drCHlFzzH9eTs2ywRpTmIKjjK7pWhKZxcw8aifQnhVSFIQfkX N9S97QtUI0gKzX6wD36a8H89hE9fzKRh7GsBnvmrBaKnBzJ6usysqa2X9tQCcmo4Vl9o0legFeR5 Oq/K7S3Sscegsu1Vo31CDlgD+EFXtzMoaCVqaBJ5zVWxBy/83KkQqj5hEvZIp0F4wQiu8Lu5V+sR n3ZE+Xd1d4ffXIgAU08Ro7x95gAXQ4z4EuJ51wOcEDwzJZQEhSmq0oq3Nus6YWicA355Q/jRIVhh MGTqfYcSfZX0WfjQitt32T9/8EdIhb64cUSpsvQKEsyJKXdJtQd42A7lgVNx0kXtWMqOqW+jpKn/ UqoQQmcJshAYdXl9LSaW9XX3wjknj1PjEMSTLm8oUNx4dTliMvYaGX8cMHxodd+qVH+nSRVOS/XM 1Q1Z7TOrNWpEre8BvPPP1/GjYHOsGF8TYdulRH6OB9bjkHh/9ed0MzFsgu1mx/Cm6smFzH1blcUw 66eLBCGM681NI7HjrvcX24g1jsNt033WbEVqcy1MxEksFXiLcIQ60tiCrmHyVusOwAkJPY4vciAF DTDVcHKiNMkUtqneyRcVLaUP4aI/5F0pBbGU8ZpW1bbnk6s27iIQIm1tMX7Jfl8XnEiQCheFCM9t 1OTVxdAxwAXS08ve/tfkfxz6eQJ+f2nqoJjhkKU6X58AHWyGf+PzLNwYcL9YgHZSrMFtU/qbCQNY ur6iz3B33n7+/10B9RSoktJlFEAeUj0IL0Ve5k73Zlm/FrEoSWf5oVak5Lx5uOAbHXajqAxiiXli no8BL9OVjRVsdoIO6XlMdfbzRLKSCQZbbC83F8YRp1sO1kihLGW1ogB8s0l1CdmNzCRb9Oh/C0gz tUkGkFQv5w5b0W7J/GPilicVUXHUIOWz96EkUZkUqEvQLgl7tcA1GQ+prI2Qqmc10Ws8U3VkS1ar eOJlT15c+BpY537+c+vu33GqHZ5H/6MHZWGFSAO93DxvrEfa9MSZ6p3tCBOhpHyXv++KnmFXXEbB 0XVlh/VhRQZjVHEe/IKqCs0W0W7GrQKSpo42SnP6NxHWf31jmULGmcz7LfWUY86TWKlqTq8MrVQL 2QqSi6De76cJzebUNo8Wgo5sAw/cub+A/+TBi3GufkwKh71ZPSgOUy2f8bJ0eevIjXqJlPLwcMcM qdFdwCraADFQIJED163/Lq97s7UCYAlM8bfXvIboaoQkyJkUu8SgOYqNpDCGn/yJG2OpM0Y0kFPv lcmGUXDlvhNy1SQpYpGrMp5IV/r4VAcnsTImfCgRMvferf9M7cC1RRK3eiFzAxDpEvGbFSXV2YqP GLCVoROwqfYEcIcVzq/vTY/esxIvn5ttPZk6je4f4lfWKpVXeDT+h8cwau5fBVNA1+Jl/fGp5GfW d1Lyf9a+6gTqWLN8dIeA4VD+9gZQe+jKNG6YCh8Zo7mli9WPcTF03OJVwI1djSJYKAyZz68DSGdV kayHQAnuf6SuooBXkvH3uSguATkoc/p8FwU84vIHBxURI6ZkHkmVscp3H0OBsubAFX8n42S9xfZ4 tNMYnkFTs4+VRJpBxRosHML8oNzS219HyGUgQlP405pH61RfmqKPC/C4LzsICDt1t+8XEnLjhmU9 f7HnJSKzVk3xRJG4mwnP7ieoWYk/7C8V/lFUJquMuFFQ7HUFhL9fwjw855zNnm5gt5U6jNAi8dMC Ye3MkZDkNP5t5xwcf8RMUg4KTxC2I3kFtk0ckocAauCNaQswYbVIKRtUPu1e8JbARbPWIoq6BwFe Imzmt++iNEhUWXsBGlRgjE+FrhdhVQBnvH9OZyvFETr+VmLbYT4UsgjJxb9Fk/D1+GaQ8G1HaoJt Pl9pT3urf50+xWq1OO4/vFjUZ3wuj5qnOQpe1SN0RthwuwNt6TDyeCNkAukKLL4SLLLHV7FHQxz5 UR3D54I3xZwNnH07ueIWrEBKyDxGixugfDhSJPvJrgGMYJV6WWHtjFgeK2+NSkK+trRib/QGv+lg f/q+TTLOPmuhjgww7+3/glrk8h1MsWhVHS+KhJX02RExUs9wnDeaofG3NYGifhZ/HpOTf2XezG6n 1EiUNXTRtPfuvvTkuHxYdxjYwC4xDkYFHPcuNJMXEKbXszJXPwwdygIe3xRD/TcFmn6Fu96TFrIa NScdQxz3lgFFvuYuxLP7UbbnZf3X8sAcDa3LVFzMf7ANk6aMTB851EOu0aSc1WcbX/An2c8DqnGg xlZF4pvzSRPesgt/yLjL/o9AxCkLPWECWIm88byKya1rUmyrkD4ki0faztlkZtKyL1EwjENKvt4c Bg/qqxeNhpYIuNnp3WA5QFS9WdGOwvIqUfXHk0RJyLsk9DYFtt9LiojSNoYlC4iM1NjwSpF5JGc+ 19cbFi8v3ewpApFTN2uB3Zg+2CecHw/ko26Arthn+QAnFEcDr5If6hm3vodyPfSWnNsWEbuXP+xT nBKPxNxADgTeHchFoasv6QmuclI7BOrhFkG6eDSngOgPAumqbBrnbS1sB+4Id6LWcwHS7bH6GGmD aD2LY8/o+gDNtskG5zHLjkYDsDqnK4YMRQYrsIFFSwZpm+Zy7Dx2wUPoAkkt5zh6Mxwpk9f0A5tr +N/+ytO1VfwugLCSF/IXglGLC5HBfDxN030rdD5T813J4UaWkS7PgEWR29vAWRkIIaAcsuhiu2Hp GOL2Hmm9qODhGziHpEEkBzAn1runxciAwVJL48gQETNc3nVpuj3Y/Xg4Ja0onZRme/fcIN/h3Ao9 WKlCHE9otbE/vPCMAtvFc0H+znJHYACaZjQxRs5CXxHxfYYGgsAi4tw4/jZcJsZJuWvZSDdcc0l9 O945VZA0nTTZwy4It6Ut7kw9UC7ciJbrFkft0cuaF536kFAs3hUcHnZLuEYZPCHudl2cR80Xuxl5 /B0TELkwysCOfFj1H7rLsAnJzbndzS3voruk4LisHbMVXFBauQ7dHsnvgYWWfwO+O5Kf84el1KC4 gIZZfGrHSVPOsOF66Nh8QO0CMi7UNnLSE1zggFbiUnCEjosnihVJTBnR6Fuu0Yx4yD36eHd/heaq +08kxpr2JwvLLD22p22U9h8kec/pZxplm5C4u0SRVVNfG2SmCUaDPxhuFjRJBscjDaCcK5Jj9bSw g38eh1MpLjjP0u0twMB+gG6iUH6pyp92JzsBRwRzBZSdlrQMD7T7KSczzNUuQ6MDBw1jO+EHLwp7 iQL5SMAgqv6+3frAr4mKRAt3KJI9HGT3P0pTA9wrsMlAB6+2oEuZE/ydCwgYxSdVUJAs3jTMAEjT BKtxuGw+/cx7VCnef1HpMqVripDF6duIYd5u2NKLGCCsTptI1loUS2ahPEVbJwgoeUmCzPFHc/YV AmiKYFN7eloV1H3jJ2fv+H/VOicoi2Et91lvdTLqp9ITfviGHNz1Dzn7cI21aYKyVPZHhIBJ5cpX 6UjIkREDBtidQpfw/6mFsKBv8Sfm9U11FSPQRwF53y7xApMMpNWqx3l26B9eVyNPWXN8Hmdk5iih 36TH7f47HpY3V1ROB5fRCMzH+u4EbON+NXEwg3L0hnEhCOS0t4fQxnDufb4uPpVWkHFkieCXbzm6 3TpZr8BZti64CwdpdVCgqwuCnG5ReS/wVo3OUFLASKKLCeW/JO1hqqV97GXIoddwyxkFBat0D885 0k/DR8nXoUSKMDWonKZHu+haZyJlz2uPEvYltukWFSI4e2ligQRvIyoXu+BIz62MS9IaOk6cl0u8 ngTqwKp4arNRFPJqNjZh+Xqcg5vDkxPDW3Wk3sUbrnGoUWsp/D9L2JldQIObrbS3pByA6bGhX6ln oSKKKaQ6l4Z7uz2Y3qyy2sI7ITSqZw6hL/bwhns0kumAd8iLiYn0tz0jDGFPENg7Awmi3WC0SdvP Z0OLWQR0GqSa6uESh63YwgNRH91mxCFYgmttH3I2l9V/W+ZU2FM+cm5TA2JfMX+0fvduOMyN4XJL prqgE69259vj76qonwsoftxRrTzR//IWe5moj3YXsiX7JLlHawQKxiCjH/uZU6rk7llpirmE/eCZ FqJZmspgR4nlpwdhT+jxlIwCDrBi7QDT7SbjbkKB2MsQjl++TT2ptxjngBD+Uc4fiHf0B9TThdRJ 05RwZEg69XR9cBtwlr5pqWPgzbDSbYVtQ8uwwjXmZEBVRmiQNyHFUT7w2J1ON6+vLRZdTCgGx+o2 jYbl5sBG7uKke9rK5vsY7chUP4MQUCftaYJi+Y6S+7o/spP1r7OZAEDUfeZ2SMIEPfP0jCONmC7M Ng1w4FtYcWQQ0FcHum7pcpIJBb0q70WwRSieCzmcG0NHUdIxXcpLrus/YO32as9WCzTcfQqWGJyz m4BXiG/X+Lrbj5snuHftATPgXUYY4GdTjB6AF3XgsaH/sroYiBc+x80xcTzku3s8sKcIJtVu9Q8k 1rogiHdW1xP1+eRZWI0gmnWu9+Z/sqZhm0es/hkoHpUoieV0XH1uG8ro61YKyuZTr30pPk7FsnvQ K+8FflWO2z33co9PrmCNbeotxVDDqv/oASnCRsuIS1XmkzYMj6Vbv5b4w/9Ipa9lDC2rNuY+8a4s RMbgI8R2tOgXPEskqYBpRXvwc5B34eSU36Dv+R0A4CdAoAaOe8aRGrMnl6xX247QYdYa0kwW6UeG Q0UcKxzkBUTSQ7vT/Z6mvJzam5dUfRkFGA4sMcwjxZwOGrBdze1hgQKEtlR8TT4xbT7aEzIoEhbN V7kEF09NvEeONsdGbX2Ix5F3V7eLkgWq4bd0/fZMDgzyBiw0+34+aLAFwLo59EImAjjoDmF+Ny+v w0FfPh07ULOx7S5KPpIddL59g3EG8NcKy9EW94yqR3zVg4TFd3F96Tq+H5tkZuqWHnuG10GCOO2A 55o4GDjv8SxL3xM2Y43Aol/ipiCTePWewHJBo5MAzNOjgUool79GkStHg2GCDILuYLDQblS9dDOu tIXRYkwGtvF0b4bnTBzWJmyXEp4tWfjwzX3UW84iRa4IbL9269DTn0Se6Y41LStLnvTfEWVmaaKi Bikr/Jz78FhCUJpqtBf/jYdRz5G2ThuUTuiU6BjYTS0xQIq3VNiYXBSOgux1IjUW2g6VfBNR3Wg/ 8DBzLps3ked7NiM32pv4lMVEgGnYPeeFZM+cgSp9YSU+skkVoT+6FfYyi/oeLN8SFB2WN4/zy87v 0g0qKwp3ECTnqxfSmWZVTrTDuGV/irk8pIFsMsM8HnCdeBWzrzNHqa8Way75+5lNL0qT7ZAg451M 7NqkCEmmcW9Rqe0IdepNXoCzdTBeamvBI/29DcEbVElBnqCUgmhAEcga2Q/O5LeR9ZbI2qIk8Zi+ Ny63EtiF+wtrSpnneN0/l6uUw/7zXp+/toAgLC8azOHAttLNpEtnzR8JEis6rQFKZ77FQIOVILsE deuRAGTt+w8RGBop9J7ATlvw2iw5EF9f8TSH5amlQuLZDYsrlP6Pm+znrtxF6smByUrC9waei/mA DQrsJjfpX9tW0UyujY5GV5R7sLYIG/MvPzWjbG5QOAi7anBNy/L3OlYsjX1KNZ0Y0Lc1Ge7qSEqo wDwHEPBEy+IBlcHoXXg4u6A78cqu/b3U9bb8GIxFK7xTL7a3CaVFMFhBfhsmTn8WOx/d+aa0J8d+ lu68zYZk+VOCFuWTCOmBHiFrhJWopODdYqCVsTDVH9tx8S97CcckzkyUss5GNilU9AWQdGyzEGKS qfqXMSRB2MF8qdNFt5c7bS9xpkj6abZB2+pJz85zvNqwFhOTmAT2VlQ0UDzwdGHW3dymZfTHYLBj 0cSTCHp22Wn5TU2twm9twtuU2SkPQKURRt3IOdkibmd3DRq4EklxQTGmWgbOC7UlkqCDFQZCkixw l0wdv2Xq5qNUXiOyoLnYq5/b0sNZBRTSYsyvYdZlY+XsUPWt4ePWErmSskMcDe1Omz2NHMO9OtNm wnobDWfZHub+eDmHOrl/PwlpB25W0NqFHTaO19wwE6bONekyIbnFwlt4UDncKPJTgKIhMc3XFUTd 5BHZ2h0Twnw8YDjfSy3Vzzrt0UGoGPl4m44+MhhV46Ns43kxBD1EDiRO3VJHuRLHaWILCyQxT9ls FxVoMUcFd3u5AveajlmC0tDxblTV7fdoygzAMSk3PcPCTLquz04cHXI+RSqXopf+pe6WKBcRM8Tt 4emXImT680bzKrnG0fIgKc2eXoPtdnZMxOpV++GlXvx/slS7pFI01gbZNOai1DayVHG7eiO/Fbes oWzlLbYNtvbQT1ybeYbhs8x9R6fvYMlg5BDVLQB/Km7z0F8f/3oQpqGrXtN/6xmd4HaPbx/7JZbg vnOEpJLMdp6f+r9J0/WsO4PKMAc4hPhbpyVm7eCz6vLBfd/6R4dhUGUCU6T864F8u/bUpjIJ6lJr DY5OytEVF9nevQzqyMdw/F9BJBIWqU9xPb/AQNShtDiX92GLv9+gGvJg7kH5zwKD5YGICnb/Iowp cuW6VpErXGkryA4n9tbyiMfbRvYN6tBVlHGrn2vAe61EZBVUL8owaqZ8z6AIMOffeF3JAKZfsXvr S3d0ZgPTKXS6w2+DTXeR+kpRangmeqcTvb8W8bDwAR5gqi5eadA7dL/sQeJK3yPGSkJclVe9akc2 TqTKXwuX0PdMBcle4llOn7IX/w2t6zkzVbP3S/nALQhb6u2M6E+wwSBBMpO2kTvndsA6yL1BA2U0 SnCrEF5f2ku1VxrB77ryD7zW4WohBMAs8LAfbCJptt58m5gNDwBLJ7cPaRDFsAjroGHY6hJfyLGb gSBmJNr8wJsOlnQZpmLFXm9pP5IVNVkH4Iee+afqqsCWq/QeOHPc2jWEKyFIOxPT7rBZzsrrXViT QGJJBE+30aIolsjydmcPWaDMZ2fPR7YLVZSvBvoe8v+CTj9pD1yqZ+i132JlGkfa2nZeIp7+xI0k 3kxw8Rj6U4vZPmUTC/oMoVccA9O6pDGWuWbk4t263ej+wnC1OUKpQWAZtqt94o5n36IsMLX+bcg4 soJk6VNMbhsnt055KMzoj+9zCjxV/j1TWSQdu/tclFbmySNEVMq+SHIfRVEsG1DCRkT8NBvMuAiA QOxDAo30s/ZNbxZXYNdg8NnwuFu9lknPBAqxcVSrSCQtpUElfEgh4AQ8jEBL9JBK2GdahetprvEa RjslV/XEwoipwe3AuwuRB+u42qVP9II/7lC/ARhcaH9A8ilxs8cWYg6eFJ8G1e0OaekuVQ4/JGSD yb8GtO435jm2X+4fAh8dzfrNw3fUT+PdLnM+HSzfTzTQmmsGCyN//vztB3cyxdVDS0Iy7K/0SBFL v1QT5+lC/Yyr62AIs8K4I7VKMILuCRE53lGJbdV+Grq3WUe7f907QHq14c1/JzAnd68wa1Z7cJKD S+lNDTtEVV0pPMXrYL8xy2YIxoh7S5z4lHCNengfgtbWslngPwk3XVQQ1ha4IEwS0+mrPKIp9qpS T9y5mTezfb+/b85HYpPTU79wybCF0wKMgcTRdyhWLmR5qpN4lXHzWdPjk5pocLk5Ws+jtROKs0Ga hhwmcxF1ZBPz2jRcfCpaog8RI2EV2KQ8H8BKmcKHf3OfgVDCbskfNIdCp9aGHilMDL6jyFpKuFon pum4+m7i/l+hKhdo0AxSZnXqrRGI+MaAHqZBMsN7qhWvZepGtzGAJlq3QsYr56Er4lp1aD4fHrjg A5ce0J9eq6G7AKIXK7nHVRt725YGYcbk1UUAocKkm3IkgdmV7g2qQJYSiv97kAH2zDkTsYlnI3Vg wW1DpX41ccWaBsKu9j8h9cybjWiAmDvBku82ku37cMSvW5Drj1Ih4RFS6Mj77nWsvyUnG0U54q/Y PzCCvmHtZ4WvVydofm1izrSk/7jaP6bnshNhdM1KVlWdBpP7dRbiTRUDfVgVyQWLF7ki4pCm0DAS GXIVyiI7RyXYx4XuLE5N/qoTuoojXrcFhWomtSpTegWc1+2n+f4seZM0tDftioRqFHAc7cYS+G3v P0QPqv0uVYDgMd7dOOJdcphwfIBtAWJxKKy/uZuwvemRn8MvEiSZNFsw/K+7wZQzRSXG5WFhyldj tELn4X5q5TavAoyAWtuLi2eKwx3FNfT9HkuR/+4+25wx7LILE78wtqSEZ0UDoFTQQECBSELWqO68 sX1TxK8BO9MhnsFwB2nJSTr5LubCGJZaGdhNNau5vaXH16yiKgHz77KXsW79fwm4EFLjuDZR8lkW HYiuZCcGDzmEYcrWo6GIPZ0tN1YQCD79GGLaFhfmQREZVUF8ml1o6Vv6sGso+mHM6Hon5nLxQuQO U2NK+2VSD1ryF7Pat8mF40TyLLDx/fmmTLhe5xD3HzyRs8eKtZ2xQdmZp+MoU4QpZ/dl6FaqHFuq tSFU/ZP2kPdEJwlEh0r/Nu+dxzmfNp0vy3ACn/0wUFErcdDhv+rssWvzon4Q9nrflKEtmhvcj8rF d7JsK3FUCZWnpzNtCGdAd8HML6mT2s8bKOrOc8maS4N06aCrYWG9cJCO/W/uMxyM7xR36fKG4NI4 8u+tWT9Js3Rhrzyzk/mGkAo6ybuog91hr8Y2DAVdFnq9ohT8OW3uU9oYOx3jgCGXP6n5ZxMTHwqM jkw+hysXv+6mAidQApbN+D1/Dvj6ajhglhVyKK8tbesRABMxP4GaGO2aSFdum93QPoym/vli2UMp Qa2aKFgIyhbHvzhEpKNIeESA4zJJmo8zdLgoN+7LXtMsk71TR4Nvasc+OY8RDbBwvNVmV7cbtHO2 +kUImbg5uPboFxLNbXjjMrRmkKllvgDgfX3qmpdiHgt8rLg4zRm5x6P9S9mEO2s53qrrkgf5fwCk Am2UX+N4iObxYH8553KHh4wNJUPvjAzl/zUqGAW484wAqC8qnrJ+5mMq4HzOethxiBD1Zx3F7mD6 9Pf/6eFga5V/O9xl9ox845s+Pi8ptm4gNNmKxQnGsdrloshm94h67aLJ2OK6jnUxET5aTobyV6HL a8ZqPjuPo5TEfu4G1jR0d46IvjMrJw428zqjbbPuYBZuB83Il7G8kRO0ep4oyDanzO1qTPuo8eaX 9HFCA4QlvMRoj35QDICn1uXePreKmVtMEoegbBFCMMkAag1u28stdTPZz/soOIKj/5zQVR5DkEHa AKzCEUs4w64lQJiRdso921SanJlcA7efXN0rufOAaj4dOzeIn9nO1/z79nJ5iIt3E/kQTF5i4C1V oEzCLlSpV5caLkJABTE0XprSp/AcMJY/beRCUqSjqBodyAdnR5OpSq0QQeqc/QG/f40lUiwm1/6E QYLAOus/gmGRWyb1Ylf/yJiZRWPbBSIV1NJZoy0YDjUzNRFhxW9MrpMpNf3761htdsQWUD+Zju66 EQ6Fcg0EcpII/NcTqqXz8CQxahSp3P8WbPrHkTRZ6I8cmeep62zY+OSZ6kMCY0icYKZdDxy7xx/K jqXFW6I0b1yFYV/vrvyW2YglTYETtxkW9m8gDO7rTkyEeJ3gCJJGFtmlRyEbbm8rPEaSFDz6YsbY Dc4JQ9spmf2Mn9a0rIKhtuHj+kFRsSzNW/lTzJgRfSP4Cd4lxg+hl+RY9NKIdnLYFMRu7n9owfow nt/g552fzHmyAq1bcrTe6e/nc+31AHiaBZcu9jDO0V7MbP+qP2B8sDTHR4u0QVPTk1BqaLfcYWRe 22+++1qAZBWfx4b1KmLlZEnxShw5sKOIQ7SnrBNiqBP94UmLVuqFrWl3Aj1hooVg5j9wnU1vhZfI Vea/slmO4+LCAWQkzybN9FVMDBJczlI69JzBQSYvpvGSzp9eE89ZBv6+g9GAE8Oq7EyDS3mjqB1f Lz3j/ypWNw0wCd2IsdeY4WzHNCXVtJGYBf56CUh/Q66YSBQ6mkJac2xVHmdkWBtp24G0L4Sg0O15 GnU9WE7QEepgyosw3Wt9Dk0RMZuUS2/pIfvArdg+1Jyqk9LNdNkfmTFD/1eLBUgLL7cp9FvHFkT2 KodO54RFgATB9cJzdmK4kmlxvi3PkR4hwJWA2lu06n+OgQpA1swvK5Itekhop79X7s1pt/TpBkmn 31nvbe0C4qNh+Znp3yJ3lZNPJQsY4gYGcJleXwTeq+dCy/9ct4zUvBDCUptUwYCFtA01kjnQre8/ ZeKR3oGVpid7wSyEf78zY14toX4TovX4G9MOM+X6WWITP7wpKdMsKp0ProKfvsA6UeT0maFVQ2UH D1uC00+O6PkMbSiLab5huvQ+3zrEGr2FlqO1vgRQvZ/8DZDeA7yu3WnLRnwbIzU7mXxRnTIYrDWq IRgeoPkFUWuKtJPDvxBXu5jUAwmlgn5saF3MmJKQbJ+hxIem7HX0Eg1Vjf0R1NLGe7rTYFTPfedP 9trVMG/Udj1CDTjnofU63+zZumTpQKFXy60oMtbj4QtJBrKh0aZkLmA6A0MUN5NFhqSNQUY/e1kT iT237z9lh1/dQ18Re5ZuRYxCOw/63dfxgvFu+SHmfF5EcAM4MlmJdr3ZkJriZK2uX7YzVw2L4v4a oekTTX+Mne9QVzEnpG/EymDgyb4Qv+CwLEXCRJ2JvyUc6C4J0MOBUvSLbH6o4kv0CWo23FZIYYyQ /ogabzpkzJExxcutwcJWoJraZUnV0QkU2cxE3kB1jrMgwu6yOYaDjJgAmjO5Mf3KC4Eb6MPkyCp9 cOvCJxLMous3gCveP6WsswSoKY1N92QlNKY7vSrTSqB+mDtDXz9bcp9f1abWyj8OBZwzs3p2aMQL 3cZCD5MSgPfdPPWE56qI2UcIEI92ds3Dorqvgyy4voemZTRSHXHehAW9Yn/6TvUE5KesOD+EW/lg vkxEq4IKeG9Tuj/w59+fY8P4fvAvjXnzxQgn55LpwPaN3ERw8HNtAI+muws6N0+zHJeaQCXBQRqm y50roKJ8rr9jpug0iZL8aFkAx4GN9Abu7PZ606WvFgNmedgdJjpxIEVrojWaBTRZsIkHmr8yczeo 5wrzrfeK7oBm5DrvPTT++httmBKNkNtZ8CKIkej8Ohee2zVWGVkEvDZUxaWAxj2CaFPLqgbPEpc4 nimSTSNCGsfyRtxEWzdJFO9+7GJGb+D9ZgH0Qd/Q1LHiscH19BiMj6AYALNr/hyo8szWWmdU132E 9s/AhkphjfIqYq7mC0zxkXL1V7k9WQfb9YVl+m+bl2nCvWh2NgtNG5M2Nj+DVvlMeusyMBWPpdWu UzvJumucZXm3mHx+wRltYEaTCBPWgBazrmld7mwqMsCp9TRpp0zle0jEy7GbFqKppTMNknfEW8HO t3mIyKwdtjAFjCzr9GsTVTVb/suf5rVh1QMrnpg79e4fWFAk4j3UlrorSnNc8ci4YfdAU/lZMoeO pEwDrYdQ1yNyXmEJf62i2HXHrSFS530rDokfQb2d41xEU7mY1R5GUEjpoMBpSaayQeIFGo6TVYHD finFwZUM/Hkcs3iyWfE//1UYFrWFJ/8fME6nrr5OElwq2K5uKrgbRzzTN2Z19nI12L6TrEN/J0NE m6yq3A9b/F/euU6gNkemIP46FnYasbxF7b7wJ778KkFCAin9zULwkkpA8D0OWjkN9bSHCzFd7GGx 6Z9+mV2cSfV1cuRW46zm0HEMcHkbV4AfeNmhWqNPW7UVPmIsARu+tZ7KqOjvJhVPNJZlqMRsCOFI oUn9jIxX/csKZtIGS93KqRcPiYlzdvz+5df+XDiwKfH0mLTr0GricoIQ+cXdJd13sQ7KyWz+HlRF m6uSfF8fR9wumtA6gdTvOfp7k3p+/xpMppWIMSGMyNAd3iWxZSvk0nf6x+uvgFLK/jDgjlfJOcVw b/IdRhFk3p0vA1LEqtCGCSmnmKUX1KgNxY5ixVhIvbWzNpGgUdVrhOqsx+lZa7VhhaWachy8X8U8 3WhIej+LJgRJYCr59WXdRJ6lIIarZgsYvJL0KkCi6GWZm6HjpbcJx7pMPvpQcg9QGHiaVCilECv0 8lfWEOKFmNpWN6dUgdb7jF4z8tTzH98iO4LTlvCmUXeurfjzMoAlSqlBRIG+B+jBFIY9q/UiEaia TnR98DKxjg+a0Vt6utVEed6cDI7mqt/ydQCxcikcAyBWQCDjdCfSV+8cWQ/q3hY7KkmiFWeCkXS5 0l4cjsqTNSiUNmZxa0BgQFk0XFvFSZpCmWIUIAgb2PPK5faFT1H7wDoze0/UjvotRM0pt5aYfsOD o/oEeP59WKpohN+/FxaR/tC1Mh8jST9n2XiXA6GGXR+ftU09D1Gc+klvFoR/qsJKxjCXBWR6DQak Y1sacj4zNbsoEvJdbvr1iuVrZBpOhPddmBtvRexvWxyDABmbMItwV7riqY6BXbWrfZktWGl0tdHx SVImqtT1DANLY6bpDubo1fe2QZauIjxHGBbRa1JcS2r1XRyyBLPnXkwxqt4dC8HZ/3PZycFjgkoA feNNTJyM9kTsYRFEVnCC6yJw13mJsNdhPU1JOtotlAp9r1LghfsJkSVJeKusfiAqKfIdr9cJMgnq fXYO1pDKwrN5r7ika8+arfY2ys5qUubH3Q12IEyM2tl3Uks+yF+QJUZ4ake0Kg+99/+DaZuV8rr8 xICvcb+x0QKTqAksaE7GZ9mVciNBEQ433QZ8e4UBzF1t7IJnhBEDH9xqLDf9G+pADXQrp285Ek/D qxogcc7KvbPOAl7N4CYIeqNSA1SY3UQqDWc9CkU73B/GRq7xRNqqW9TvRjQq511F6D8DpS/4ytWP +2GJCdh8sHX5tHVmHV9fY2BH/tppqpvJg8K+a+bzwipd6d+CqBqdYVuezE+92xNrZ5fNgrL5cOjq BsGKzBlgZ39xK5ms9mzO3qJ6BztLW6evwX/qZhYXlpba+WarUXVHxntyrrn2948vKrv7CGuRvHMX 94jxtHIIMQZEdqLey1QVi6e94itxeMosqchRpQnZr0X/n3FEDhOlOhGGT89JMxMCgGQEr4O8BbJL gLrhg1sPyxuaURTTc+THJT6Yl7vo3AJodmBaBOCLiidbfZva5Xz8KfiZQj11DD1HKxsk2r+jBVqt rLYpQSSXw0IvjoQxqV6w/0z8+Ywd8yYc9xd4KBvV3O7k5uWKp1djS8JCnYlL90epn4VJjYWvPM5F gSjK59Ps4GLTIBkIM/7emAkPjPgSepu8SMyuPJKQRz4xdU67T7x6DWTDxbL3hCDDCzNOn8asaUpu kkxGzcULVWp1/xfrG6lg7pWUvK4q7RMkLgoL4vsxQJyDe1U26YwMvvKdFWuw+nIFeT50o/Tn3Q++ N0l4/4yR6hRbO3qu6QQLQzUgi/BTvCIBxJp79fqVs9g+1jI+KEoNLUjR/NIvmz8gN3IyoXzFLdPd W1ZFu6ubQRBQgrH94fAi+q3WGTWXOULTJzOxAVWV05AZkD3qbfxl0MUnYwu7KRnOnJXFVVWUFe1i WENnGw3+D9VTxDXPCv16lwk/AyVGr1xVOaa3Zw4jFGi4EsKYXUF0ip5Am+5JzzOfWoeawLqELjnG RcpJc2p1r2vw6OG7TqK7JwwfAtCamyouQHF+kD/94B6AiMqTu1+4Pr2Gs3kDt035wIx2I/sqAEzX Nm1BrqBbIw/Fai+M+laZql1fOmv8635p98TKTCz0LO7euPVt/3E/6URufmCDnYWdmg2Ip+i3bisi aeXNwekFsdp+zHDlFYv4IHXPSD3oU4g4IdmnP2Jf7pl14N9AqMi3GMATBkIs0RivrSmWSVIizpgw GtBnO5L/vj7cNqoTKPlMIB9knlsNPxQrhrJW6NI51JOD89NZTdytVGhMxGKEymnWeJ42+euodFFR wPuy7aJzRog175Hh5A8cRUk/7Y2ccRtj4Vr8/RblR1zcLADHb29NlViRjwRckCTY7/5gp0ef4RS4 2wKjpHts0FKe/i0sbi0q0ff/mV1B58DPlnCWSnQMc28qs1jm7BHI+BozaW/g2QOXnbTM3wk7eMmg IKiuZXRBGGHrw6OgdlkeIxMZlIpPhQieT2SU5WKUNcuVu7F/7eA1J4nuG0D0psmf2/z3gtDolLrx mWuD8CHyNjFL3DaEFnDh9/ckFXlFheQKRlzaMgQretID7au2u+tD4nby395FyEL3IZm8GI2rxABR dZfNwVBNkYlS2AvKDyyQJIeo5/u4+doIZrcU4sapBW+SnNGyer4OKN/MFf6sRoedCbeskJS4OS2Q oj51CyIXoxkdxBAVHhlbiidTzscYzjEBjYxrvbWhXodyPpM69fe0MLrbmPUEZWBwB0crvHSJ65Be lxSDUk5Yl/ZBqmDSLDNY60D0KH+/raVMXFlRm8ZwAymeoKRxlquQ6q1Xo2f0iRhdDX+5e2r34KMb gzNXqZkgU2t2drTELV+UGin6wKXuH84DwFxoxzYM7wxUpgpZD51zI3CnAScwGNXxsqFGFanJWAfM /7jo+ODdEDAKK9ANTQi2s4ycttjWdde/0YG7tnZDqumuVdzSRTCIj6tYGJD9ddXvNY7YRHlJ/omu YEn/pOv2mA/YOTsQnVqGNbG6nju/hV7ONcrFsxLM5rGhB0Xpr8fs9Q6lo8rDnNavUiUSeP8n/7ZI vgixZkPw/yZtL/jHo2i/x8duYPTEnyhKmBJULQc0O50VmOzv7m7p8PCoh1NhhXXQQdkXDxhsZE/q URzJ9AgZ0l6Xb90iPq4tPpX20sR/kyIYEO35pKVhQRpmffNAPfRrgh26MbfTr+H4xpLINsV6AZuT gcxGUAcEE6BVskeLxIHuvGNB2EU02Zx3rqy9Cok0Z86Vl3gPYLwBTntiiUzr4cHX6mXBKQ1JFMmk L07+0d7DivXVCgyiSVExVtlI54IW5pN8/BVdLXg3A1wrmZZY1I57AQae2QGPUzZV4CnGoIEZmE6N dDf2Dz/DA5o7xF3P0SIU9PdK55OcmaKOUopzI29ZiT7qt5OgwTBOUKpL8SYxJEvixwvT0NRw4ANh K16qaAo2ePgK6FMXdDplSdrJP5GxtkyAYZRY8pzLgLPTK97tQhgMRTkD4fVxwFOEh8PrjzHD9s+p jDuLYAyvdvFOtZVsy3RJvFp0qVj0uiTCOl6YzYB1sTgXAYIwZpsnR1KAHJuYy9oUhQsDAKSAqbGn s8fXTPkwMrHSH1di+m0iXqdFJvEXjqyAqwBoxbgZWsTAggo9bJ/4ngBLsDw0QnQCnFoJlo0nZIs3 65+aPjTuhe0HcASlQOAx3fx5svR5ogI4IAO2lIIY6ciQtxfkXwJs1VPJTzYVFmXSYgDWA2kqHNDv ZPPqtoXIdyNk8x+sMtkRLmnmOMRh3+C660epL70a8cqJagK9U1nxJaS28YuVYSLQ6HxwFhS645Wi 8T8qxBErnkrCLUTbvn9yP5wPMaN6Mb9fchjmU8xTr+PFrwIt6hQslCEYZUC4IhXm6DqVxofT9LO2 8hxO3cqciSpjq1z0heTw/4+VUj5RgBhPV0rAIIqtlcw+MpwPlPiB5+s9cXOPMlZ8sVeo0tg/1EmE o5B5XQvOez457sdJT8ldL3xonq1B/pqnjE6if5cee1y7YUwCYlq5IAih2goWcrpjq37wimpX+lf4 EBrNga+UJ6aABYbB4AoENz5Y56ciJwXAfFVGtOCIXLDE7E4kxCQjyLQK6lOuwARgzpNE01c1rPuR bbIkrlGktrbDKqMu1RqQ90gyysLGpi1lNPAmQmu+25Hxoy8xpOmECcWAWCwwO5dDOXQOvN4ohh+y nsnNmCV1jnhpF6+Pl4IuWBItLSzJwjnEvE+lkbwj39BT7xq5AnokMvM1W95JusA/N4j28leFXu/b pK1dYQ8q7OVfq3K0RlVpjb4UlTDoS9Le/ljHbxLYMYZb03UpghIyHvhPDrFJPL27IaejVawiMRSz kd87N01/Fva6GXRmFoJKv8+jCqDJXlmgBMBoGbol8j7izN419LufkbGyXPzyn4QZhkrWsNhjYeRU TXGfCUXCU/7B0Kqp2fP/wp8z41h3aWIKhM5f8aZ35y4uMqm0fmqmz50g7JMP673mkFWuA1YF67ax O0rP+HoiQJlINJeh08enF/z4rJuF3UG7ur9fdKoGOLzOt5LBEH1us7iaugpSS22B+GzaXsK1PI7g 9V+Mt8fNlrGi0W3FcCEKv99AaNt05cpjpXwXwxIJ3Lr4YGOuR/+P1BapXqWadjY7Acf0NEhDC9bV N95WFfYbywQMIpxxAt/1fybGMH9L31PURHhmBff2WhqDvVg3BmfGmQxjL4xMGLtPMWKzzVoKLz81 DS7NSN0BcXRWzMg+Qr3C06O3q8gj+xserbWZux7AZrMay6dkEvu5I3bZ8ZBoJnd6gbwWLxvKwfUl yOIsfnMM3AUmFj48NtiBKVa4Epyqz2rBhn7/oR1NoSOZd604NdPUB+QdGLsHEl2xKtCRaEASoW7o NZcF+znXf9FHD54Q7ZuY+5LeptaXbdz5OfM+/5PEcY511e7fJFLc9iKIdEZ11MRhRBWeLIu6oqHM Wzq7LvDahCow3N+Xur8n6ls7g7g+JhzfpNaMY2ifgee+bwE5AznLyMLHE5kTj5ZsOF+RLW8MuKg8 GLsXvLWYzxhnGlU2KD1gc5APu64FWDUqpJRkkqr7yv4gD6hPylol8ukkFi8xhYPmGToJf/9YjOhs ksCgKlae9ABlLJSXz8F1FYtM506y2IWKRrD2tD4K/sNhrv8XlYxWSthTDsV6E9iQk3YzYGKB43Xi sd1OPjy1TRc3O3RMzPL/kb+lIDgkTdC3yeLCTGlIGEF/wxA2mJL5UZhjRlUpg9kyc9DB2tGutUsI kU9BzY5WS5rYNKhDkVUuP9b5Thvg4A80/QaezoU4qg9Nh5HYX6FB3fTX77rxeATxhMBi5kMtKwdg Hp+E81V2npkoEiVxyAsw9BA+Fs2Z+ktow1fjpfC9vsOeQ54VQ0PE4tJqvxgIhKeTUwwta9P3b3EV HEyzvxUoK9MuP/CvJo501h5/LWroFmIhYt8mgp+iqLhbCqAoJaBGiU2c3ybqeKB/Bu29Z5pZ8Cgz 6IZnpcj1rS5ZA6JT46dEi1S6lK+sBBLmxZkNfojZ4aksAhD0UCYd6HB/PvMI5qGxSZ46XXavHO8I OOKiY0TwJ04MBnxJwETGG336a2MqhTZxzAoQNuz78ne9nelyJzIH2ulpYTstg69H1OxTWygrSLsI 4Qp0AXX9+3JUADxPvWPQC0We/jCYcKp5RhDnsBvegzeVCNyKQ5EhqyCyPWJUAFRTTPIhT7g68SC3 bFP9yKIxWVGqraKR15ZB40oLvaJ7BfNZZIQbCIs8UsPG1NGg86Adv2kAqbkfFpy7kck8oKv4UzSQ DyIN6dMnsNowSzay1zjGXr16zAThMKBFPfEc/Tz11HEWaZ3WOVFet+hDTqL+m4SndZvogU3MJauL 8LJjTifIde3IeFnSavGDWLAAvXIw7kvpoy5RUnMO5dVRE0fWsDzIUtqAooJz5sbqW0KXmhcqTSCs AF7YRA4ULZb/a3eSsJk8UhIa3tUn7p6kSM33usz6DPdHYji66/z6kv/vnEavM3gtzr+yUDsjLm1J zV3ZKQz5R6p7TfkPXec0S+f6uJCnwXw1sMeugc71WhpZgPXE4pOeFM7x/Dy6AnCXUt6pvtzpvb85 MP3jLU8MS/nq8cgqliD/kYSheEi+1xHLyRQSP3RamX3QovB3iuViNmd/4Chd0l3bZ00FG0C8bj5Z Q/YvDKkPvnSuo+DL4YWwXiGijj26uYyJUYiFFPn+zZ6zN71eci3pcosdsOY50HZ+98C6zyOh+Zft 8vf7UtNyKpayA0MrC3Ps3MFLZJYHT9ROv3wA91zYo+hgXFowS5hd2GLSFDsuhVWTSO50t+EJ1VOk F+hBJOmCA5Siu8BHipbrAMXvAdcPcGfGFDntXwQ4UJPTHbW7+NkroD04nD3/ynD8Ug5/DZ/yzbfc 1V2cEIIwYtepCB38pVlkMESro8DU4WGRMHQjl9Qc0HzWoPscprBU6YdmNm4yQuVcpS6ZOFdNXvmW 5xx7kbVAZ3+5ztKzOLxF3n4dnqdfufEF3R8cMegNEiwSP+XUhQYZ5QtnRLVsJ3EMz3aV4Agu5xdH O3G4CixUm0NOyv44qWCxpxIu4xRVsFth1rgNZMXBSGpnnnoAnZuDroUcMNB61zSWkUqbIDqihg9n E8RQgbmEYbjhFaym/NT3HoA6AL0DpwYg5/YNUxfKbUKd52Fu3WS1/zBcv1mIT5U7y7/Jwt0/xn4Y KHbhgzNTMHG5w2D9iZripM6biizQ45Pqj0xgMr2DZXo23iyvXJLJVgmaxXn2t6XX8+mXVPWf/tpN RML8H70w24MGqWyY53hwZUL9mZ90jPaGK/WqOxCCo+8QlmvDqEZ6IRHO5JoUZaZ0wBO+ZOFQ9A2s 2zJx3/J8XzMeAwh1yA3FfmAfA1Hwpa7RuhjAiK8a6QE3fR7c2RA3Nl8go/6TYaH8D20Gph9zMaRs 9DrEscldlbE4Y5ah6xPTUCNrN0xbi0BPSc4xAmT9INtiRkQ4x6eZB1YpNI0G6vFSxvRyrZnQBT6K 6YcAu0AH4aHXHiZZY6Tk32fnBHmNWIzys+4OZhYPPx1bfYb68pqgIINKHi2iFerTrdxP9rcvEHND fzcrve1/bNpV/Cj/SQWsz2owgn1tSSfyRQ80Pk+cux3j5UEkvTfXeCoHjKvXk9kbbzHH6BOw433G 8EMuNtsbzb/faYrKcGptSWCUfL1qAma1wV1FoLvY5bnR+dIq9O9tJr0o+QHwmP2Agx+VRebqUSf7 iZYKGLLY+kw1kvB02oOi8D3Lh9jKIU14x4pqjgTeDJkZiQTNBkMvqAWkX5Ki9eikLgH16nTrYTsg EdiwjF+oL+/xd6wbxOSw4SgcxH+bEV+6RUe4mGU2lR2Hh9c/TKMFTjPaieFrRj437WSIYR28fE5E 2AZ5avt1Xx3ZHdiw7odOYLbSlzWCgVJRdOSskNNjr2ttI6wtuXIX92od8e3GSs4OCRrEKc14fWES dSwH4c65rKYT5xnAiE2L0wIsNXkfpEFatcrSVEKJaBwCZbkCBgCLOX8o6OuwlwshNiWPlctT0+BB pbM+9Li4kGs/zQg+Qjgc6wE9ixHsc1euZyFbPYX+WNg1L5YUoHx3Gi/w+Ui835jYL4Bbf4JafyUm JKyDvcYa6YnA4y0Pt0252fPGSWkAsamGlkE8EfP6UDIeeSvq+TjLnizhI7V9Trtjj82+8seMc3Xy Q+QzqLzHsTRr+lHDfkIYpGD284OSnD9vx0ZmBCr8FvaGZyu/IX2BiGzQRDleiC5MedXSrucaU0Ti 3NdhoJvdgR4YQe/FqOpHwfTNhI9kASCC+WiNGB+muUNahsZIG9ag/Kn4nBjrZZBSZttyLGBmxeuX L/jKIAu2wz/ucWI0umfPQSEkqKqvllkGDvZaGFlYMbg6sFjxoY8jBvomjOuZW/OhcEt9kfrI6NAm BjB9dhjd91gyU/WC5QlFUGcRxpPHq5nTDhy9QjQjP0YeqgP3YjdQ355EkICo8oadRQoz5YfAg9Q6 wvKlynJi9VEcHFm80A2mX3VXPDyXG55MLGOQcIakfNgSrNnfkwtrwF9/ewmTWUCoNZGFDZVL7tTl 2F/aGgiy7pVSi9wD+Ia7hNGkeyC7KLDvAmsLgYlHCKgeU6LAfXy6/4tSri4YGdUDFQwnvdOq9kAZ 1CN9fU/w6lpSJL2vWJ2F0VI7h2yx3i/ry/Yg6r7BFKYALsxRpyjKYTgMkW/FDDvwETdRGDr0Ug8Q Jaa4Vr2C8NI7FM/q7G/b1ZsV6SZiXxdvHP3aRRHChNL8348By9yulcpQBwHTpJq1rUAX1z2e7ldB dou63ZF2A4DVEswtMaMsPsohNuzv+GxEJSZYPMyZcYg3ILGOBseqNXwRCxR881hVAP8mqAQYLiJl XF3RCRBucYQyNnWUHht4Lj26QZWTGBaNTOQNj4MR5HkEdbuKfOGkHm9xKEH7RU7ltR6Gc+EyHDYx w2A5yeW4Cejod002GUkn+todSKsxN9mdOMU/1dQYRw5Pz48Q1RstK5OAYPOjYQTe4dJDqGcnmwPT Z6MWkhpSvknI49DZwh2MaCbMRwgXKJfB3H9MLuEMR+ZBqqBdb5ja2mUoA9cR2LLigJ0MhBVB8oy8 aBn6n1E8LW0OqoclhdMdSGDFlWF3qXBN2BjbEu2kSmQ2DnUKsfh138xVd4vZ+MVnhcE/WJdbSVz3 G4CYV6x8oKjmZqLtTmsQjjLyWf0j4QTyGGUL3nwXoVQ5nmZqyCInwzthsF8NaHQF4nukxhWvRVtv I5pfCjXgs5M9QRAvLEtddJX3GG4NWYS8CBkWDdQx9qocbw465s1ESpoVSh5zGD/mCoriPmtmBY2Y XuFProX3PgtcV0n1BLXHLEWQ5Hvh6uSgNraKbgrDszRz50o5ezpx0/l/huSuNXd11iCvYgYmUKvJ YH7qkfMS4djcRZ81TV0LwUoBDWrhntt2vtPpzyPcAm5GL129kvQ54OYac/GvRRWNFMSFbb7B4eMC 0UoWjpDfdhJsyIIDdPSgu4dn29Pb/YhI+CRCnqykYJuGlcnTgiMRidjWVi0rsSjk6Y8bwuoBvUaP 1PP3GUEQjrq2zwYi7MxRc9z9ILiwRg1e5R/Kx5nk69Fs3Y+TZ48xitmM6jzWQWVDHfftJZDsWz01 zM9W+iYSws5mjDxWKQY8G3BOcl/M5rkEVP8uuBoxtSjKuIEngLJ/Zz+8v8xvpylvVlU8XaDdH/9R TY9ksGlgHFYp7k+akY8fiWjoX72Kmlpc+yDWXEh8iS8JknZFzNDHE2b9nGbDynoUKYlMYNwquq3C Ik2ho39nx1ePrApzYsiH4020B5QAJqsPX0u8RTY5fIbqbG6VHVSi94Sb7JjuatipveV9b8vndZ0p qJMSTNhGTwnCu4xJi5q0DO+P70fjSfz/6mpxB4tPE/JbsVSP/aUndPh6XIUttV86mTBcBxq+PSRK bi08jZfJdZoG6QLl6qyRvleXpD1l8nncm/IjXxYXi91ltkhKNacfziaetQhihyHoTQa7AmaTkYHq SdC9cfrv/wngPXtegwVUeguZnP2Xkc/8zcPcQV3yJUdVT3vHmIm2bybtcl3iMEhK1Wo/TrBYtQ7r 8erZwGOSawcn8Ya8OSAD2A6kJTG8VIo4FQta6yFFgp8jSqcA8SQ6nvBWdlHcUlFaKn2/069pemFo SiqnaFpg+4NUVDrm1KLMM05TW1Fiig73BcH/ymMdnwIujZl6Eu+rrdff993/QG9EoJtniBdy1Fm9 461LGe+o84VGsRCXMSLJyECD8SXXvjgfkUHs16DXiIoeJ9PCn+BVkSTsiEMswlArsuEEklpCi157 gCJ6yGkBbXLsxnPg5l7rG9aKnL33sAz5Fl/qj3CEov4YIDqGLXGcIKDZXJWvFXH+xqBOcNgCszNg QVFxfUpn6t8JvJVMdIcTz3KFX8wtqs/DKEqKFNWwE3/vxkh3/eUVWKeNeYH4iQHCZmlCJVzL8FW/ kg86XVWpeco4ahdkV3BssrF5q0YG5pi323EzcMYtGYAFFo/+6CJv9r53u82KaE/4ixTyIAxZJbZ1 IsTD3Kp+S6jFA4yA2JK1x7JoOZzahXn9rn70F9pdVcqvbsZPBulenHjK8G/7q7XlkcFJopUNfgz+ Qm4BKGCsQ6PjETl2C8Cn/qqZGMCyfXIs/uZX0MFJSVHSh4tLxjwZW2LCSJmkzaroBJ9JlIzJV/ap aTmH9cWFmP8SdjD5ZnlRerJ8Ro+ltRVCFcHwgxS3YuGmasyClptehjO6yp3Kh/IzNIgqZQsW9M+P ejIVKzl5FQW9lHHV+em/VTt8OtQmZ2QxkDirgM0a4s7nClMyN5GBQoEJcLbv0KLXx8oaLiDmuGuB OCHxE1orhT0IwQ0MnBgoKqjvPkSbmed+ejU+DXpcKGj2OZSFxkkfyQyEnlON2E87ofymoUloVmcO 8SRmPUUY7np+Es71FOqTzIrVJJrikn/xjEy+ZXjmeExFMd12SJL9+YK0keymIp87p/YEUMvi6qNN IBuxEkYDE4UukVgxzeO0spTUYAbJ8YFwdCNvGGe6mE26jVKIux9/LFt4Z8v8wPvKzl8lipuj1UhZ CXY74Hzjz/1mqjrMM3jT9iK8+MU2VYFvrey95/5mMuAm2XyzmNclNu3hKOAhW1wsWt0+rqsbIoej HRPxpXq1Dl0EoT0w4bYeYgZLtXc4Et0QQc/BHULHpmGdvOAtf1VGTswtE1SGO0aYD1io9tMXGLmE 4B4bOEsGiqcTkwbu4QjyK3Ymu+GnG7ITPr0zW35ieL2gL9eYNyhYTb951jQTtJBAFMps5V1NOQwt gMGzUX7Sg8+LXg5WhKQXtfMCo8TTKV5os5ksoNF7IqrdWu8OWtI/LtSAZEDseMoZes4xKhTU+UbL 2Eh6LrTm849hgt6y5szXBD3TjTAZm37OEAwjDdSUuCz0nzp8ljBfu7ijCYOhznE5VYKXpWcNeemW qWyBWE2m0wq1sEkLq8i5wt96/2HmvLPMrwVcdwPpv5P+mUvjUOov31pJwVFA4Yff30bSr5PzbwCy fSpehnKrDTaLjf753lLn/T+hu/kEhQbo/oRKyXwcE7NxgKyJAqk2yzy1EtNkC5k7sUGmMl870xAM RcTK9R+N9aZhNA2J4z4m/lfTNtOl1GnAjhZiWer1JXzguSp0KtTQVeFwE68Rt0431GLRFZJZ8bVF 4mJDv8AoIHWgAJHrYvhMwVaLVYGjEKmD4N8/T/lFPSJVb2b/BJVNoV0hDzGvzVvnSBHpkGoHsLuk wvqNkWUPAfqQr66P65xTNsDjMublaGlZ1M/CEeXnesRad1s7JU/YQ/i/cQn4lBYoHtNRTo3RjL6h Dyo1gaL950XhliTpehslKGZg7AATEwbEyqNBFG3PxS6jlSsdM/AQVfrczC8+vYaS91MYHrqlsl2l NojtQyvbD8qXweAlAzH4hra79l2yeliKkcm9A7t6JWmwfPV/55Kl624LQ0QD3SadUtM+cwKwM2m0 uPO0CJ3MmQIqGNTc28rjBjnxjhmRYsSOZ9M1rsNhKffTUE/DeFiw34Jid3j1/ntqRzc4iF5N1GbZ dqTgS5E6F3+cA3xen42au0uQG5iHZbJhFOffAInZNns4a9Gk5CoN7+LQgjujDyJoCMC3nLAOux6B 1vClPAcuIRNvn9RIG9kbEATIaeaO+Bj7NeABNy/OMCIXaXOMiewuZX1rk8C9IjK8OLpGaOUA9yNV 1ZlNt+eJwW/ex3bMs6bR0Ymii0B0hM2giYC8y446zTw99EUM+bZ946Dg2CedShTJio0UkS0ZAbXd 3aD18ceKHfqZPbxt44mtAxWi34Nf5SDAoa6A00Bp5COLE8MNWmJRjf3YDyGC8eGfIPeDX6WEb4UI aICilhgdijjDte3E+d1PpP3YFWzOe1gbVLjeI7WPpSrFh/ScDCuNyaDYYOeaW4Hl2/L09IxLxJjs mxr/tiMz1h6C6nYLQ0xZnAA5RzbxxmLrDpMPW56zfFbYfJjYEDmZwYpk3y4uBNlVMT1SzWMkPVjT ot35+zJst2hY1vEaEmPRCEv6B4E+whD82j0O5YVHnN5nydnpcuNDO76GXm2bfCADFKY6XAbua2JJ 11Mr/Bp53uoYu2ZtwUoRzyTfeGZfOeORfpdniNbvQAyphf/PL8a09qb+ah8JDayPtrZMBCJ70wkH 7RfA+uL+uniA6+6i38eDym+TQZkOqeDFKJjcIyKLEEZMeUrEGF9yPE22SwEiHX9+E8t0IJpP/dWf YGbkCY8ddAiSChsORWoQeS25qg9T8C9PoUGOLuAkr9bWIMDDcr6uFH4VgXJDJGrE6Esl2sF+PlT0 mi2erctVWh1H80jQqhp20g0Uy0ni7dYEfIwMT00ybK2MK7bnYFtsmM0cjmxLgLnPavwhO5BzB5pO QbWZ3IBRWuOZdlkXzZgAYJAOegx1TFkiJDk6pksfdpo6r/4XduP32r+mrT6kv2Kgp8nLAfk5/S0n e2Sc3DcKxzKhxLceC4tFKcc7fcN5gxOTwYaZj7RzsB0fqVRHkGOKMVU5wguMomnat+oILGneI0Zu yYFeXouFlIH5GJMk2TMgQJEzDbRIdkiXVtwwhNFQwA2vuuU4pL1MM7WFJGCv3kcZ/GFrm+t9Ap4N dRJ8bnjB64oQbw/Gq373NdtkG/kPTg1bdIzy/TXwcYjoyORzcwy7Hbbm6MTx5xOg1hZqQ+ns3Vkj gSqClxJrPoxrCUHntKVa6rAWpMP/QxqMt0lbcxtZlIN0TPaqX2e2NVATl4rw0k6qkgyrrtRdOYa3 tUy8CDz+7uq7p4gYONTaMU4P2QxdSbKdCthQRd6A8088VIOcqk+2tS3AgWdN4rAYkdsCuRpaZ/RT OKjPt/yP5f6WsTQP2+8KDWH8QsU9IBGoHkI+hWxNmDcZ9u1vwpLyodqPbkpAIJB3eSJVcnzzuyCp iqtnhrTt5L+GVTbRQkhU6ND+0ZUOSfHCifxQTTm2fQ0jR1GYfibreOxNC6vLddEQ8uERLhsxe3Uj tkUBVmARdwdqOJ3Dylilh30UBxKSYM3qqkJ+U2JfvqPCJPizvWiklY/OYP+F1tFb7plQG9UByn/b TaMqHz7zb/sWJyl6gLSIAgq59wTY5KbAlhS3XsuY90EEsT/ytQiffqCCUCyVe71Wv6ivW15+JxSg //GlX6jYWKVtDBzYOxD8zskxdXXn1cXLgMtwBeoOyvMuorT1e+ska04UWacHSLImxMygeJSTpgfW x0Ab05IT13JntPIxxapgA/EXuJC5NXCuOJ8+BhEPumMHn0CubmTT8dOqXdlK/7IOsR6PMmKD951u k/f0/iW4NzdtYtc+C5VW5wGD9Q0mzDqbVUlbYmkHNdacyAxpshNtFiqtJ0x5cmEQ11DhGeSiSpXg JrFd4NwDCsTY6B8ITZP4vRNVirV+y5egoeizaA6Lg+bQR6PD97v/Q/LihwZ7pWn8oWP8uE3jfPWd JQwSYCpaAzAGpiqNAkgdPa7WldCQzDBNgrhU4DiNAOq8PzU1M7bck/58pbb6fyEhXYrwrSHoN2Ir PRePi44wgp2l3RpKEmUUJQhza3ZUY1UoqB3hn2zRrph2SAZIeRS//4Esrn1mOUXNrG6eN1DUCgAJ e4pBKx7DK1Msg6JGHSIUMwxJUspIV3PNipTpR6eOwQPg6PRRRwqubU4UwZRHH2MTI2VD6Or02MfN mVadXerowuo86k0qbQZO7JMaDbPjykHmDo77NWTX6RznS77WhdMmF4Z6UsDhq3M1ex8id7bQ457X 0g2ie6YDJ5l6g5yUMjEBsxY0Whf8XpdlrekyhpDa94BIASzrEgzLHslkhrsYFIK2XcGxsHBrqc1K Vj9DO37uLpyJR46GXWPhzZzR0kTycfMs81iLFpRbqSQ2DwDv6K+ZlggaU3DeAjRUuH0F+NgDnmLm IfyITHX+pKXKBxSuqa9o6ZiUbkTjD7r0KiK3S+5ljGO2DCLBo5mUVx9ClXHifSCJqw/B9m+C3TPU ZsNlhyfZbFrY1dxICT50c67ynYsJ3Lhi3AcGpHoihANzUZEILQVPcvEnKyvb7SkE1E6249hZFBUg JuCz9QClWzrkk8HtTuk6PBcmAnMZtNkQLgXTr1d/BgJgo1N4vQBRt4hgKXFpUVJTk7xJy0bxfvqE i6lzmatV1+vlDOUMahVtJg7vafjULkoYH3bWAGlsvtxXsnW6BezfxzHBzYYChbByt4ZbUSFzryov SI/c56QWSn8f3CftY7KSRXLiPuqWxiwHy7JTfuCtnV5NRR/czQ3XbgeSJqmlR/yXNyjDjVkaPr/Q 4pMgLrK3wrWNlYzlZb2gAuCc65xoljxkDW7LZPrPHaLGWdjBiZCYrKYtlE4qyYQ9452TQiyFoxUK T7YmQCl0BgyrPsp0QoXDrnpnEwP3bxJMLSU5bZPjzXP/a0y6ip9+BeWuCjwuBaqWswBEPHtP1Ydl fX1FQTiSjL/o6Nf0FB96vJmke3xSB+hG4F80yBnx3pzyIH6H6s7d4VnoMags43KuPBNRuDPeAJOz 3zaWpIp2pTwa3dWTPRh3DMaVSjlGYykBEpsccG4aDC912bziC45jj0QwcB0liwlnfmssDeT5PKzj qVUMnaOvwjNMyHaBIaZiPwyksEOaYCx27n7IcWlGugfMUZJujYhd8cE5dp6X+UXegO43MbKq00cA q42OtGY5Seppj0FTJ+VzasVqyc7kfU3iYpbg1K0EeNS3kG9nYXJ5vWvzgUngdVloDwONNp2o8U+S 0PsmZY6Dhdbi5Ql7oXbzrBn4097ywQg0kQ9nkpvGK1TuKotgYiJCW8o8G7HY0aPx94H3xPflVyyZ Fj0CdpEFSKxkKlGRQu5iXHTpJIFahAGDyhFgp9+Giwa/7pslAPUiMEF7oW+q4fTBASn5skTCJKVk 7yrATpS60Adr4XKnxNsXUWmqPmRdUIwAxVgKe+uTDBkhUKYFb30RiEK+JDLO7gtu3lAh8mLgEZaa vGnDfsByXCoJnJDWlrJS8PYCI9VJ33YIFcSRbj+3jXJC/2ouXrrd3ww0xyY5mNB40dTw+v0xn9zU xJQTuUgyUswcK9+g0JBQUiLNc+ak/5aFzrCyUxGs0DJu71OrETshZUxY6QN/bAB69xBm1Oh/mHvX 5rx3PeO64Odc0O0h0XRnLgBNJLKJUhlJHRB2dgouO1ipwce/9uChT2iwguUPSNtFa/Ts+TXaHXq0 Ji/CqLhbyzc5uOGMytQqfFoGzG3cGSysemEuZKw4iNZFm0bO30wQel5UbKsIOobfXrNRNMsCwmrK gIUCGWbPhmI1y3HfPE6Q/LGlAnp2dC5C2JQxoMus0XkA6loFmRg4iFlXWYaktfWf2GLTOaHqMcvk HX2fBS2PM7NWSm81jPzhkPQ3q4aVbGZOxJR3SXUwYd0htPtTHWBUqMcrO3IeMKZR6hTdK9IPPYi5 Oc9TlwhmpmB+PhGEVPPt8dWzRBIuz++LCSoWpVX+Z9sLdhGJd20juTKo8STyy1sER9OsVliXwWsQ xIyB4rkcBHUWdabT/HhgvA77o2BFDeTO9WOv27QKnn8KzGsAGQyLaLFiGqqUe4Ra8o2Aehl43ZX8 Z0AY1iZ8eEmLFV9q1AodhAQzuqZ2XIBK7Mka6Xa7uPCp5DHMNlRzkoubuqsSXm7Hq3PBTc3lZWsW 4KhTMsBVK+qax890r42lS3cjqa2OxQAbO8adEWVZraTolepZTg1sRGXuvdAz5dKrJqkgGN++vzN8 JbbirX/HHn/evRWQN80AJxxR8xza/CRw/2djwfLhV0SzJVaRuv7u/RCbPHGswObottJf5yo0mu+T K6PA+G69DxYA33StEukbEY7kZqiqZ12gKWdPB2/rr098zO787gpYx4esUcupyKX2lRmWsVnM+zML Zi5SKLVhd8bkPcYnMHkPtHAV822ggzOtjxD15TR1L5Cyj3ZecLQ0JK9xNic2YfmW3tyAC/fgZMld xfVS39QzxajqnNxdJXPFl6+aKblHVTskIWGaeXXEfaKKTakfGN/ub4nYIj+lYXswQfepAoAh4a9l IzNcbio7MfDVSr7CpNX5X2/I68zJ/lhJwJtjvlZZ+4tNuBb/hYar1/f/p0DV+5fTpM5Mw2J8Y/yN hFrt/ztexZyXP3NVu9EkL/qLWVianmXuMyY7GfoEtZ2aKsRCTpMwKHksdeh3Su9JFJSVE447FBe/ /QxC6AAaP8J87J2qOjF5JCaTFBgTLefBDkFmXZCGPd7Quz4fkIyd6cxsutNkee0kkaQfz2gUOivK iyzaakU+27QMiq/LEUcbKOCqLkfccR8J9NgvSM1n9wM2/4M+rq9EoI0kE6ZLzM1YZIgkK6y2U/VB 86LuBO9S/3DEmiqAdBaqizYCNW6dHHue1kjMq6l/0yecArvgknHgSI68xfXxUgpR8hZDOhpwb4qL 5tSTJZSs7ILSD4kQ7QOvnP9xJ2IHe80dAKYNJkD2wzD3QlO2NB2JUj4Caldyi2QKmwtH74OpHnZ5 e3FtYHYVYaYXBk13Vnail1fv3NMXKXVobynAV5g5z6xGdgnwnYLe5hiZLejRFMCVa/VckbrXai4I Cn4pbawK+062/xX7HqbKEitRO0RxlD23fcvxIYco04aoBbvHqmQZt8R+DjAQ+mVVWtxqOQu7sizV qYe4VCdhhh2jIi8DG+g43q1WmWXs8HCNqo99eBxbjIS6grK+ADUqXEY6Mt2kIE5CzNwE7eP8lv3j VL6qJXCl0Y4cXcS4AtCcoGpQ4PwLW4cPvdhRXw2et5O40w6fJP70JCL3i9+rIvyeU0eobZAKv/zC UuaX+otVHmcjpOh6pjB+eD6k7qOdrfnWfNqDNa3MISY2gQMKytsyqx6opG4jNpMrFgpgk99NAzoK stz2wJjUTdqNOjf+FJboLYrR0rpBrEkYoZHKWFxz4/wLJc2FTXh+qQDvwhDeVfrczt9RgwyuEkfF qvWOZFuZUZk2k3eK3R2ou4XGxD6U6ONjTeS/ubajHOtlgoyR20UlEJM5ITfv94aJIwI4hNzVCK5c Gm7EyJICbDht7bOrQr+R3ymQTqSyiufWbvtV8wjj8gcTGmSAtST29kcwTTjafFO9TVAU40pzyZQ5 Dmm1of8L9Bzd7Qnh/wslaCg1jR2kqQRZhijvoLp2RO67PbytuVyWME+3rC+UK0KVrc8QHH/PleHu Sg8buwP/GBS9yiAYY2J6JpFZ1MBZNwiCm5c9pnthbenKTMoSZ24WPEKzl8hNkPusNDCq/zS/BN+f V3t7wkKb9DJkng+0zp6EWAsDKBZYlms30x20qX3Jg7XfPKmKZpBY8GsYU0fK1Be6UMpSWpGqEGoc ui0kqms2dz1rjKXKTteSOLp9rntZlLNXvsml/qFhNcmiqTZ2FrX3SlnjI9FW2ur6sohrgRb1ycRS 9F1h6j6BKEQc6c+d2vP77OsE+n+Z9++0eLatWdDIg/+Uz5a94aj/OiTEyhrD8AaF430BKscWXyNJ 3opyqjXAKTVw79lPDGwFafAXkECbReRYv7r101xCw3LsUYhT50izQoxzFLXLYCnw2SZHvBJuuTpP ZBXUEjP6WHqDILoIAATa5KJfYpCRYvK8Eg8dch8grVqp7t/sJxZO63d+WRs1Np4rIzsHC+h9//6e AHMiVUipyIG/8sU5dwQIj1jQrIpPHfd/sgT/wIzEs5gqSm1mOkVNMPeyrQzt2HkfgP1LtYncdSGQ /ZUOfO+RhwMzCXPyuF+fwiEcsGE5zKoHIhFa2K63+BNNua1LGJ0VZ4ARxiSL+Dqara3Vj5B6Q0a7 eGcz6/7TD6/DMg7BPCvWlppRqP/VZu9q04bbUCiANYfJF2w7PiPXRGfU0mCc9g4rPp/xnUsdi3OU oi49av23GdosuHV3M5vnDiNQ3R/Gdg0J5PQ9v7lJ+oLMjCP/nrUBWDQBhYT7lPOE5lAz90tKNExw UnegtKSjwXtLtI+Yn4fQ2p+8IcPt6XPePnMAu9dNdgdMJ2JazW0ujmF5YFjq5yK7RdT9bmqsPrDD +h/fEJd6j8U9yjEvZBICCQZS5zxMzDYl2Jz5NITbFJm8T4eI/yxzAU4r+s6zkqkjGL9N7O64MHlW d004dF4s2THtNrROVqH3j8qGDjrLDvf22JAIo81N8jgUlZNAD8nFFcLWP+xA2bT3lXw4lOVK1AEt JRcb2qa0XUiPTlNXeltG6ilrL5ggWYVC0i5Od3N5rcaGJXT1h8se7NAvfdsDdiY2DCYWdCsrayCC 8FJ0XDWMX+elzAr1Rn0GSXkD2FYqQYbE6b9dUqK3EZFERCK6LKyW7RamkTP+yUz0c0uTGdO+CBmf INitStispkjv6ZQOk6iK04U/R1g7Pv+6LTgIgtzGiP2mTtqWsuUVjrWbW+UhXc4Ab78z5m2BCr2F f+g+rhdv2qXgA9UBp05OmT8N2/6mew8sGYZUFeT7QZWg0j2+DOM0I9bEra9Qz2FqhUmg5LPjc0KZ bMMTbBSMCOc8tFs+pmN1FnjklZM8fnie+1vik4tvwNhLpwBv174Brax9+/4hlzPRLw3ZHLCSfqSJ /N8JSIYwDs4qlohRmKLf/MQBhNyvFUKOaphIuO2c3yNHppDpqWFf3oKjr8vWETmJTIc7vc7XZiw7 E9HmDd/hB/VMpSxdEUdJnRkiyMybQA+h/y/Zy+shCj0Kv+VYffppamke/b8RJrXk5Pb/36pW28AD rjkEloweW18PgphPI6Km76iGEXaI0mB+8FgnqHK3kCMgSdqgVOnUmtqowPk365MP31VYKcukw1LD AbxDR1OzOrTpG8bEFBarQ0ol5xLVmLXxKNpJeDWsOyHN0flNmu8XHbltcAOddTRmgF9uz0RIESR3 cYA3Aa87PcUw3dZvqsawWabejOjxJzj1x/0OBuFYDOeTfvK4laoTeDr7wo2mTjJqG2xP81dXAZcr FJvvx5Pdy8Dh5k+wJNEhhrnBz7GAwh6y0qMy6UKdtzbsxgrRtrTe7Fk9M/g/cQVaRRhoRMCeqp0o 9zZcRxWgEcL4cGdLG5LK5RmBOdVQ2A+icv+0J9J4HtfvkH7H8smuxgi+TXZKtulfWJkkEFpTmupf QdF2FbaHlCB7ascR0qmaKMN722TYCQz8l3p7S+z652W7K4C1W2FFjfUhf/fgD/87z2UfWEFvRDbe Hk1o+nKuEX9qA1DtbSmJ1p+NspkWikC4prTu4sP8FZ8z/hCqN6HKK9Vfc2pgflg4V4piXNp3Yis0 vRs4t19piOC0aHNS0noFR3yyQ6VKvv46Cx17uDqsCHDlPkdXMzdAP1/0I4cTia5O5TgDONUF0SOT 8GZsN5b1I6EiJzsbMQS+SHM3z+aRj+fVOrPijMwa0YFVkQI/1kxajHLHXeUS6j63Zq+7Y02bgbmp JmHo/CBEyYXmlhc84O2s6VkIDjbAqsu5cI5ADAONCYP4oS+r+nteRvO3u0zjekZq/Jdl/iKyC1ya pvXQYPBFBdkezbAGdMHkMIyf1iB+Y9e+rFJGquGbzkJYIUusTjUMRIJTbitEdxEyWYHpOFOm1tTH m/8iptb05HcgttkOBis4p3vdGy15mY4UnpdIsWUNd4DCeZxk5CIs2xrp0fKYRTwRnQTuyIv9b78P FEEcPlusIrsT3sRo+thaU3LCFs1nTyjbsFe3Pd1Nt6e8bg//mmYbb8L/K9GG2Fu8zvHAK4nJXIMZ +VfFDX2frLBXDBXicvU7R/DOZ9RPKvwQgR6XLrqFmR8JYj2Wv3Mh4C8LI6JHY/Qv9q0n4VXIYt0T xoMC8wPAfRkZM+ircrLjlEmwG2FFVD+cx8eoJJEWsuCU2C9l/y05hui1qq8V/f6JRHg6FIv1FxmH qZlDrN0RfshLEQmQogSpPWb1LmbzO15MKQj98y1gHMuVqcy/z4hoWFUQFmecQvPMbsUe9cqvYz0r lP4rmP076+SZN9WI5yLtBk1vfJRH0pnP7Oy59TvMJxOCeOyspe8VdGzu1OrNuBn8OhE5xsEw+OHl 5bERrKJxW7t665YuMsWUDmiuxIo+8v2siNIV9oHht/G1aV4xKaWdBlEMCzxTYFfj8ukW2eTzKGJw vn69TKpRAtSZ9W4ViEPw6CgXERdoRVwBG+3gbRFL37kVLNotZVVheohxyBQgdEMARTG2ic5y1QeC MJWRG1s0vsiPMq5SU8X/HJIZ/Of2KR1P9Vh+Txh+T701g7QLReyfqlPxwEiNCIujTYYwEEeR2STL 7Af4gx+dQDDziywXJkiC2Bcr4ix157SU7DM7KFgLml4lI0DxOcbyK4mP3c4RnN0oRMM/eft3N8P8 w6CaGc1qs78r2he2h+BI3Cjtk3m4SU3bK8lsGZGkwPLEteNAavX2FhZIXv+BxN8mIpVuNgQLMQWo WzzNVWWdOetfxru9kMwIIL7hg0asrtlMREZv4DLbNab7F2bj5Y1DoDMS/XZFkLUJ06QwR587JNqF KGLZ0CpZMvvWj25dnPZE7YhwXImw7ZllKWWlbc+djTdMtAkH6RNYWbaahEwCrchEQFNCispHxIYQ J0bX6r47o4ZWzt70GY3vHtxlJhLsaF3cG3C82XOptHybPSmJtDBkPXwL9KUxcbiJwzTh0nUvyAsD 232zjELnBoSIhjMaZ1/XTzO6rQDrD4PKL4aZQ+ajeXU/Ozfv8AHamTt2dkW3d8SFsYcBerGgpqFQ vq6eR+eKic4DhKPrscFEb7Ykk+5UvEWkvCR9YQMRNvgYFonfSux3WSSiOnNXPtBA+0XfGaucQdjC tE53gXIEnlamFP1b6gNNgAK4eNaCAfexb2CNxYTB3nXzQgAzM9vypHaAEVz/4gHL30T3JpRGtcwf fXkO93DQPUU79YRa41v+wwijzgvFABZWeulbj5pwoaseR5pJIcAcTyoUA64dYk+aG3Y2YOYD37co ya5yWrjnFIkJxxwzUEjioBZDxmnwtltk2TkCLujtJ+jTIm0QWETksIby/h5r96IjsRfDUgrUi6H2 9xA2wIeRUEL0gWFf6yMMDSkaPvaATE91UZ6lYYO4u/em4aSi/jZZ6vyzXypUDK9LKMqvljWsZ7T1 lr4FkCsucIzJ7/nPz4UgRGbsKVR/NXgjtBZJ2HwlZ33BwtBQCNdnAKxGWRQk1xHt2zyOwBWdkPRW TZ/MMXaL5QgYcNYdFZwdGtVye5r8NqpL3PKW+xsqPhJoxTeertsvxFgxOseSm7BNlDsyh2xG8AU/ Ozbu/gfUjIByFwY0Awm6isTk+w7hMHeikTOHdoW6mvI32b0NJuE55y4bWMrsDjnC+bf1tybK+Yq8 duJ7TDICoIP6Mx3EaUZRi40Okza2Aj7cpaoesGJotuW4tQ2C0L4RKIVC6m1uvl/PqE1B+hlNFx6/ Wuomrcab5BQ12qTB53Fey0S2osqzMWhbMV+Z5nV1CWPJpWD0Eam6QzCfGbszT9aKTAx63XArxSkc hwY4vuvDb6GDnlh/pfjcMibH5DILLJgxtDnpeykV6itLwRFLZIHB2SJbxwwLUyYILROmgHjP1cpa dJCCw7isakNuh+imPCas4pHWtI+P86ioQWsO5PMg5Yhxw0ui8yG78yIvQK1WbIjYWr0tEpBRPMzS zELlADC3JkLsKRVo9SE8LK2hJDZyMOquV/HRFA2fiOWYaFApVHDaudxmOlRYlYMU5JCqA3lp1jyc 5dJy6WCwEcaDEhzbBckELe+kOlsgC1IBbw2YDoPZlMXhQkWdiSQJjaygDsAwrCMjspeHlTka0Nbt soHRwGJgw4VcUsXhdlU4I7g6wLCtzeOQtJTOeHbMDUHx54+a0RJJwKCDEGMe0kFnsJg/C2QrnPCC 3fvhJ0wX/HYznCoBkO800Y6ueipWo40pd3acE2++i+s2hLCOw0z/juWLu2FTDb0q5NLtV0uOPKEc tK2FQlvT/JAYT8SKHSVdwRKg3pTvM6GHQQE08lORqSo5Wf/WPj+34wKSVKpj2j/7sC84Q/BRpMf2 ZdL3oXU6b+bWWwD5WAj8GDoaQYnoXG+Ht5TGebTsAqGo/T7vwbLQnDaiokrvjr+3f0sIQgyRSlx+ RioEOdWm8jXMCTFWihlAj8Lq5pb0z9Or5B5sJm4VD4hfAkf599z6FjYWP4D8/0wQrs1F1Dew6tI9 xQiJlRYwaSbOjoYqDF0Pe4zhie/lA/CCoVervdKnrN+CvUjtV4N5Y6lrSUIhz1xH/h/2x1Nx2dv2 Fau7zRQfa1brq3fqvRTJcBP+HECBciJE67soBQZtUjTZnYkB/iy09F7FWmoZFX7hG/uSuhYjyNKR LAFZgB9yh0eyBVcOtEyaOLtGuTaY1As7QlJV4GdPplVMlVD9NyUTeeadLMLbZpJEnSeoXfK0RltF 9ygemXagYxypsDMx4Um1LMkp1t+1PUcxIrVvxKwwplHJ5jzx5O4J+vNbEQrWP4cgjQARAkyyUSS2 GNaQ8d1VCtuj/+IBeBx35tYT/iAcqsRdJjeSZXxjJDEcvCAck1svd9LIqdT6KUZTxby6Yp8JO7Op Eaq2lmpXRlYxvWJG4FdT7rA9Igef+eGrCX+5zZnpSdq7oyM9B0t3jJ+kEVzRenGY+VT7FAodp6tl +NT52Tq21qaitM4Jg17MgLS9Y5BsKyp4SexzWjk71Ud22AA9E6wH/qPfM0IThMf6H4XrQY8FeYDp 3t9PniemxBYprqGQbYxMsJOL7vk2fiReIU1+C32Fd/KXdGBg7KBq/iHJWuFpdqnw427NYejejyrI wSXDVvIvFCYxnzxn1QYBY5BEqo/6wZQfqDFvIIYEpFNb+pmFgPoy2007+jyCSNgp7huu1yS1rFC2 kic8HyeZuMLbUK8mmPiPI3bwG6JU8zl6qm0TS3CTj8CqfODDKHsmxdPQ+uan/K3J1+uBX5Pv7IUY cWTia5QeB5vUSoZFh4r0QTVpidzX44GJp6GLemFvhffDxPAEMm/30KAnQG0jtNcj2rlziyx+IOhw Gl7brOdy5OeqKGna0r4krlSxKtu7kfNOgUWXFizKx93fgNMCPauh19LvPkZLZW0AvjTe4wNyV/Ge gzyXG6M546IttHUt17GA9z3tjasRRzDZRRwWHsHq+utF1dbhfazFHhJPrX2FGGzpRKd9z/H7vdMn YINJTS11lGTjyISE0c8bVrhBmT84NhQyehengt8Un3Ezk2D3XLOPtOWyKd6HTPR8YFfpAx9vM6bS VCFtTzDtR93L7/rH2SabB5Tf+gUaUllcSvRwRFne2ezRI3mmX85XfpvoOpAj8GCyv7F5SHEYFR2w CALosz5yemOfyf357qxMRO5G+BYaEOp5pbRJ6Z2l3EMHFTi0hIQND1oGkCiLPlgfbcoAs63icpLf zlkva2qAi/X352/ocFVpI/Kbm8VeTSNCPxYZeaiTw3CRKpojYELU0jXHLyP4yUL00feyAUlC5AvZ rZdCHA8fWuIsoieFLV8T/5/rJKjBhHZLq5KSw7Qr6S+aPJkC0N5TaCYQJ6fRnf/jqolW+fjviiFK oxNyi7VMpFpINS8Plz5dZNvKj+udav26FAFh/pmhtGNZebyBVwWoPr3tjovgU/J1VlcUPWvVmmSK dnbcBUA/S4JKFxEbUxWWOL0F5TZGVcuiYNhMjTxw1NK0lK3sUQ9Shi3XJGiMRKHM62dMEz+NQv2F VOmwex59yZxI0E89C0GXigfaAMfyUndSHF2zvpJ7qvZYhpnvwfiSe0oewNcxhl+dLaa/jvcdHBD0 RN6/zD4ilImEQZeqn3/7AJcJlllPt20Fzpf26UlFDeJheK23yoqsyp47c7W145w149v7Q++N82Eh ScNh8437eaGZF6+QxZyu9cW9fkb4KK9/7w8BAndtHO29IQo1AaCRxg2nWdByw1XqO6zR0Y4Bmkab ull6DFZM17NZSQzMEeZaVqCzAGrYwoeaFtfSyHF6/0Zek1fNUPJ19bp57PMBmwUnkrLnyt3aXK6k F4k8RIi0a20lDyQHGLSn3f5g5iJSTsWumvTumdw9pa//meBgCAXVqTvVUpL/Q29TExDULU1vQFhS Pkp1wgcX6KLc6Cq2yP0VThLBcgoIWlh7aUh3Y1polcbIbfw3DXPZvyDZqpYOA0i+GYljsyzZt4XN GRiwv5I4gOR2OqjjnIPNGKl/N9EvaOyOfBkAGk4KGYeB7nMWTV960uTcTHLtady0Ftz95OpB+Fnc VyGZzjud768bHOBwz90FpFy1USeFX2M2DeHKpCuLHOrvMb4kBT/5qZ/epNWDgHnrua2le6MV3eQW 9a+EqQnaaOhJNMLfteOFMjAo60ps20eVl3COULq/0CxTzTHdsWYl97n1VXq3UJtR6CWHeVQz78tB OyUj38FxDEdG1hQrZyKfb1sFiNnixEl5Zh8p1GnWwbS288C+qVRnDbQhNEWUg2FyBKAzU41Zi/tw 39YYNYKP346a1bBqLOnAXsp9Nd4YhWxJm3oj9EvBS9NGniA4Qx9WyahCH09NBGgaTutoVY9kqUyK nq+rw9wms1M+JwR9YVP3VKzUjFEs0k2sYnRYQErq4O0kVA0bF6+gdkGXM7wgFEyLXc+yFkqNxq+x U6uNYJuPQGMy6ax/CgFhF9+GQDLasUnJqPofzbogs4abVLc7QGs/GtNtEcwbk1W6xHzGgaClaBfA MN630AkW9b69aftiUL071MJfPYYHyXLJJx+LmYDU7Kl+vRLOdf3DXlw6fNaRXSMU3i6ycRo2bQeI u8rbvqTnBnH0w4zf9H80fftfrBp3fTDqlpZxXDBl7z0Xyk4nKaHG80wH8aEB0nUyFTIoBGhtFio5 Dm53RVhkT69qRLgfrOSWjRKwg5RMQh+65AHiTc49YkIil+VnmjRQOEXT9HRsjxa0RpJ8Z5YV3t0F FfPQtyPpzbM3oUpeGmeFsqeVpvyPOQXSgOnJEudf72+UU1qJarMF+KbHSbskgVSCRhBVEPix2cba JmvfHUpaYeZjFI47hQmpbGvuxeeZff7wZaiTmteq6O5XmOp2HK9JZFrtb2ICEjmBO3n8dEUGGivc tPyZBXFwwqEdJARaIAC/exiyhVS93ZYXC4WvpeleeCZsNJmn1uyZC/3tAx++H4LtAEEdv/sGAKAr bJehsqOGfTzUE07go75Lw14KznnjCjIsNIGG3QViRhDl7Vy5v8PPGF5tWAvE9JbDNywvm15gbzEk bx5B0LQ1/BVTYd6+ZkZ42WS6MlfqMgBPrgQASIDfRJ4TgO1x4W9CmTCvmHHf7B2mzHFRkY+MZMtn hzrQxuBi8UFZ2DSG72oT7latEHMM9padkr52QqvNWCDGYErcsB+pCtnEDt3MSkUbEf+2rpHNpJmK KGfQ3GIUWZCB8g8bTbKLJW013H22glrauQbKylhZ7lq/81y5czhcFnAdu8hn46m2InA/wGOmh7Er isJjb6A/DNemesPNcrMLN2uVWAyefbIHiq6yFmPaPnGYFaEvaKlETLf0WgctZuadmkxdkYbfkn4G 3YqAbyXb3MfL2jo4igELjj8DdWs4geh7yRjvJGRCkPpSuq1fbjEvC6ZJZyV8VjdxxY4u1v61piZo FVYqRn3koPnSRxzwQeprLFIMw+FDoXc4MS/+nBJV4pDPTovII7aJSU3YkUx0r7KaZ33MD7EKSnC8 jYz+XQVydMPNHr3mWkXAvlvzmHLqZy861YOJQXn0uSwDFgy6Xcggf5ZwbWu1OzC4qaRCSXDa7sfE Da2OwNbdtHXNP1CuI48PjvzXEA0JcGUPKZ+pt46SX5t6oquOvYM8WFMXPXV8c5eeQUje3GOHNyg/ XfNCHuq8dWfhnJAOTswIzvOG5GhFPUqzYDnbyL3wVzT+1v5mMzuzA5G+HROJWAU1+4t5fT9YoRR+ zDjFU1q8uz2tmEYSbsJh/ykn2QjVBRh4XeNN6bg6B37TjOHsJfqSoy41TMJFsnzVhRLI96RCGRiG baDL6zRi0HYCJFBZrmt6ntBoEBkU4Tm+eEUXAzgyL6NitzeOXoBfHXUgaGieV3DdQcW46gV9VvCR c32iFGPC3Sm/AZfDU9RubcheIQlUmZQNYrrEaPwxce49OTeEScLx2KdZ8mQ57Gf5/n41M1nIJL2Q 78pEXvGosFiqxGNugGU2ObhklJvzPvCdLbjiQ/K8PFilaRDb3E8TfeRDZzlDDsyO6CkX4SPJDW3M J8wdI7D+YnhE83Bn7OaM0F+KzeSg5FbwHDxo3F6fTc+OSoQ2jb7R8W5raymY8lq8soZoyYTpIKmt J2SjSMTEfLTqzI17t6HA3QVg2v1wXSo/mI4RlODpzo6P13RcYqy3n/vvmaqkkKt5yF9OXBe5ZxN1 QqGMUfgYKl6bthGYiEzw7K5k0db5lq/EAuOt6wW2UVS3DqzSjE3wEdqQznFMz+hBpul9Y5oFzD1/ t2Zxsmz6cF/4h1wOrFYfRVX4MtYo659Mg946G91lg8YJ3lntcDgdQVG4RpZsP/DS34omtkyEZ1rP kATBQKTAYnmS+XgRh1eltJTAS4yncvZJC963sh/+3+RyqL5+3leYpMBAHJ3pcIOun1opHSRZBLE6 Q8JTeCGU7ku1MxpuSXAUwhk10hToCIdemE0BXbejxfnzkHkLf6hF8MXKrW3U10WtEr7e9IsUiUrC 9bkQzdOiOslPzFsRVFFx2PDxrAjej8Eub2WdAGeghc6RBCPDHESKeK8trWftktUAAeVETGTRvA46 DBXJqxPaH0cNhrhk2menbQ8YeXik3mLyo4J/pdoQjMPdc3/M8ksGTlrnQdfmbN6lUCqZu5bT/5TU HDVtQq7x9PVYjldf5/xsp7TJmAvx+RrZAIH4Tpnx1CybA0ilW7AYHYZcQxXzAMoLz/foGuoLGfYi sUG0XUmB9auJJob7pWow//3SaOtCVQtxL4HDzcnbkVaetdAIc2EdlqjCL7kF/fI5ZqHt85tPfQDB i6Eye5NKqWltcV17i2zJEW8MT+Re9WLLN6rjbwe3P9P3sP33ocBwRcv1HmBTled0X/H6FQmmjLfh V6SSrblyipVJiu41OWlS57AQ6bglo12t7RMrlt8SqryTcat+cxABlMWOpT5/k4uJdzZ/mqIRSPdX RlV3Q9mHn4qgBsAOZXI06trxP29HfUHfCE174hlydlpH2hjKIZ4iQxKCFzumddzYjidmNZpx/vZy yEJ6/BwRYcj4+CBDcON11xbSz3YRm1LNIWpMlpgSDq4LS71KXd804qRSAR/PX9Y9+sRUNHqIKS5q wq8i6c9HnQhHtP2ex/AhDP1NatuM3DqZmxhX9GqJPd5AORnrGLvBMdjaABEJYriPmIb/zDvDqCzV dGruOlPoO3AGEyrPEhBK9XqvF9go1q1JqKIxnT5tfuyRQsmEstTjpWGRJWxMj/w4Ntxxxco7/Lzn JXTNAR4WGnXnCwEci3Nb8CmlFugHbW3ddZuYSYlQStzH2pHFX4XPjk/aRI+Wd3fAJ0V4LCAsIbLZ HF6QrfK2b3PrFeM/P9N1PH5bfCUe//CuhG6dD/6YTMlhd1l4MJ9CbjZv7XGYvUGAOSy9AxtpQm2u 6cG3PBtZkFZJlmkKY2W/Q31Rh2f/NXoekP1cRkAYjeZBvUqRMxd3w1sUuc9l3o7yq1bmplpt8tBU QsjkPG+6O9EGpy9XD22Ew6Ik9Fn3aasL6TSU5Kj92Lm2sToMlxn9W3DwqUa9XxDTEa8d0x3Vp13K iZwyHgv+2EFQ9gR7NZTG7lUDJOqMOwyv7dw+nIiYODHAuZ2jIpCDz8lLwnaGE7IVFexdrk5+TSxK og7YwzE2dhRl4VwjaeY1sE/USROSgH+DfOPk6QfQhC8E0NNR0CWDdxl+PJBTk3fTRm3FPjLX2rad OLIktr92wVUSY6sFkHmkYh+E5u7qD7ukswV59q8AluiiKpKsDwtJmLOPhYbpCZqhRsqhVVTG+oyW CRPOCHgUlAKpPv0ICqS32FCKbc9zm+tLPUQwvLi73rtyinYCwOSo4HuFHUUKLlEl8KWHuKynWuZh UYbCAcH5ORzhDkNl6LAZuCRzq2NdIbsqJzErAZq57BHESYG+gnN3CAoXjqxM1MHrTRiZ32mV0taL PE5W3XtXQern/wgb+bWCYmZPE4CbioiD9EhdkwCBEKtLmyHXXKhAA+CLjyFWsmDZbEiSTnxHTjrg jHFKQQ1l1gsQ6Nls/uP3GkTgIXrcAQkgMKjGPX7W7+3qpkc+qOZRuwVkRyQpk/eAPdeRaDCgm27R i3bZOps3vkaGufenCXqWAjSRJ7XYZnU6wv+UyEUy8U49FL+yGIqO6PSg8mbshxjYkIEI6p+uSfsM Ls8v7LzcwAf3dIsaarD8fYimX1PNmNs56pslawmB31mGkmwhuWm+RwdK5B/eqB8nMOUOFeRG21g4 ZmqnMaByg3SWY1id6h6yUQfZM4n0mxeEaonA42cPwa8d4gibRBt8L+rIEgpkHe5EflI3aXyK9GD8 8fw8Mh3NO2MMEi2UPhlvW00cmxAe+Qg8460fTif0xSP3LtS02v91HBfTr5S4+o0jFmvw6XdtC66b CLJeUlLlBwW4GsnexE1ahDbZYYNHB0Utkj/zM9v3uwPACBlzpey8VndqeQieFOhKFfl4iX+d0Aak vqfXFxEuHfmFjylUjCJPu9JhciVL2/tsHh3U9PaVnYdI4hKHP/R4aTmw+Y9qPlzP/s9/i6UiFp2W mvqqtkz8quCfJf9P8E1lXoxuAWzuUwBxMgIXXHLKxz+WOIHFKmcSACzoveJt/fMBUG6BVAoPjUzw r55iYpQMmU12RhIt3dbJ79GUPTC3el9rEa2CKwiH6ecXkTVzbw2bpnhssRYjUbQ9k8dUVEfGMSvk BWaWhlgAzFmvz1GFR8qrEmvCX9vKA7k98RteIj7ZbOcA0z020IGklQ12voBzjgZNUz1KsS74g+UD EzbZjMDh8r/lLsgJ5NUCLnzxZnOB5uum9bNrS6+XFQQuOmLyRYqrsRhufePFaYi7g2vqrooMvb72 ro50/bfrn8O73GYlE+lm7veeITORyBuW3rmZJqVo9ullDCHv9SfKuctE9x65T7NmLFwcjkYDOVSA PhP4EikAz7a8nCrRHXsK/e+9MGkno/T+jOJ1zOm5H3aYbUJHjLWwOoYbuFe5reuhObPUqliSK8kN 0/afUgqMU1V48QnRT+deBEjyXd2HPDVuTebzatZlVQeYSclvwEA95fKHKEcLG8yplVUdjRBL52NI LqUvfQhoa60mYRaFPjbicLB1Cve25/hkmK2fIc09FmiosbKbK8x9wMhcX7Rz+lHKHUD+4CP9KBFf D/NRh1TaqkPsESRtepO0ppjiXIXcc4yLroLzsXqb0gE4KzHqT/LoXDIk5pu3wTlCR3dPLMqW08Y6 2epSfCAJ0qSNxqLd31FusBxU1VV0Qtnuf9PjfUwgMyIGSnbJC1rsj0392n+z6IbZoxqrLFQgfmTO Tn7axLDCVTEGMHMUC2th+u/5g15ukpu4Hn4AhDi7hjIOO5XKVKD/BBo0sldXM5twTiAp/eyHBLj8 gexIMzhisV98Wqd8J8S9vOnu9c1OwoUP9JmJsPE8WTeKWmDJ5dn4BCmt7V/uYSFf4lS1MMFRH55/ Jhv0fYJO6pHaM79n+zoBUqvOmHz6P+8VbnMwje8tuYSzIClrLKEzJLZf2w/6uLYAOQFZC31zLhv5 awPFZwI1lXcagLqUrBAnnN+YXCmrvW0hJxhO442//2hf8JCS33+GgCIwwksZky/5sf5pJ8K8ICB4 gp+j1nQnyQ3XLtSILPLaDD89DgFGCR1x6B4CzrKFCj4n5cBlx/NjIwo2W01oYkBee+6RvyDnvhxx W1iY1KoHPnRoeF+FHhxYtYcnGanr/onmc/D7CIK74to1VPiIOdXJSnNjBLkZldPNXwuyPXH9yYBy HEj/IJRGm/ERFOXls45q15/KdbB3XuSaH0Rsm1dg2yx0B8NZDG2w5u02FhL7EdSkYmB3b9VIb/EU 5aTUhZIl8pVT+oJM7WgJ06gHGG0gAkCqk0M3EX1EmeKx5t56n8Erbl3nsDSstjtmP5G3/N8E4Qho iWwtC1cNNvH1fI/TBwonEhZniNkR2nSPUHxsL38gn899TycvAPFQwdoJ+9huITmgXZnGSIaab/9W kEOis69T/DJz6xXZsofQJ76kubjH7Srv7rC5hIrJhMIDHQMXeXiiKLPuLU3Tqh+5sp4wG5nBPcKF lktaIkti/ytYmQek9BR7YAgzpTU7+pGUD99eZUo1tg91gB8mXfOhMMumjUp9DnYo1sTu4SAaxeca ByZ1nKZDi5WeIcPTUvIRZbRRylFnSx4C2IiZ801HcT+caMUk6Ut9vCl9H5whfmwnhHFekwZjC3// CyxAq8557GJJr+36u/NX12idE4d0qSgYHvk7ebP+/HGzFTYsy+0XGAx2MdIdaGRLDw83BP3hSvti xswBKKUHbUaY+SVzrGGPSH/M3tLz6HwyW0H4anRfu3zXCxLUAlllmfG+Z/VWhIHsm3HOJSt2q37M 2D4L3ma79jj7Nf4l6oKrqjVev3ZSOSXB5TrP+5tXi2YCO7qerQ6lltjtjadsWHuD38rxf9v9vdx8 iumLq2/L+crp1mGDcgwb8FMn1HszRXDe9rfMdMN5ET+mN/bClmHJ0bh3pBnccUwIW11qO7s5cRE+ pRO5byfTyxkiaSDnFFLODH/grivAE6OY+nI8aUZyT2nk59gPHFvLXthvVQoJFmUM4KJZ5s5RVK9W wlQxZC2+ckEJHlSjrdTXmBeUvVNbI0Pi6ieJSZZwRT6jif0ZWgxdQwdRXM2nGnRstCqUnsi3bgh8 2njDugZcrVN3HqfEJpyxFvq30hSbqlrv1d0NiCNi7LdIKE1lNVlBL5c7utM5D1Iti2X5DAZ5gC+1 CzlQF5KGTlAgy/qaVeVkNS/ToeSb5jhlhnYoYomBaDkPnsdROm96l30zOtH0hElFIK8P1r8MPADJ IjTBGvu1TpflHCYVzbfCIFfoKZTyL6OKkP81w7rXDwoPipIGZiyHdrVbn0wVavLeKm4Y1fSdtMuG 9c+E6kOJYmjUdNxLCWQP6NOdUBNhzj7dwnRhqMw9AZ0Tor5ykOao0x6ruaofs9uhPQoOCPnfQi0h Zx4vmD41+Pe9AZrdKEVbfUI4scQmc3P2YaaJYQqXw9jac6GKBrqpRXHWDGhmVxJezrirFK38lYx9 aF1HH0/Q0ARfLqnN5q3JjGUr+PiPN15Ckq/Fc915vDUNe9UfOjbXZryskgxfBNp/mQI6ALu5domh XqOe/bfOAnplg2eRGHjRs6QbvPRWV9Yr2+xmkvLSXdoHlVtVDJo9k+j8+ntXQIuKmqGsE+ptotVI BB1yxSAlUOeJPrFJCBSAG2HHbSR3EOhd0mbkNcRMUTgcKa6WiGzNW2nkkxQrtUptoo2jNJInVttR omXTyDnac8xDpZIvI7pgRvHBi3uHGnG6gmJr8CoJnZgHnAiTjqPP0f7wBog0/y4Scp47czWU58ro 4jXbDEEF8PXFZZWQAHSwLIi4AbicOt23+oKApWfyLZyk6zS9aZSwC1EC62Ic6pZ5s8/0vs17kgpM Inlvz3wuv0ftkUyse0lfEu4ASy0GAFboZ2WU1DXr4CzafJooWakYSTnS8EI6RUMe/mIBa10DbXnj wDx2m5Msl1ejieVzOpBAHOM7xQKlBqcjem7ALxUPPbN+tCIRfVBzsX+3nQUVEAPQGnmgXwcux8w3 sm3U1xHZKiByGsaa3GM5WuGehzyBMB4eonVSvgzejRtHTrqs3CQFqMMq1TmdTFIIU+Kl8LWyyUeE +xEXkzRUkYkSCeQUbMF7HzzGAJq7N52aQb/CfBLByIwF2mcznkVgoLnsRSPVK+Qw+N/8c/PyQh2S Hg07hzRjm4OYthS0r8NktoLqEfb15RyEfg8QfRlx6ywjgwYFkKHc3+eCMVe79ROaeyCzYtGZuWj6 N728nNYHUx675KmBYkm/MEFJPeDyFtvBo1QtK3glZtfVtN4Q2BULtbZH/E6zazsmWRQ4CGNY36BG qM/3LCaWdaCwttmyRDBvBisdPUuTR7vEHwkk66bfPvac0JKCuTovl+e/asGidQr75XvdqkWPY6Hd y1W8+pMNKnjNkejNHnwNnyeE44hVHHI7QMWKfxauMyqO3lhvCUmhV8ZuTZgD625WZU+SVKd3zH7h 4l/jUtrvOz7/xBe2wvRheMOfm3DftzcuJZhPlY1nYeZ6tdFGbgT5DeJaeDerx+YeHFjdr0tYzSXc A/6dp9jr7VEeRMSCzb9efbs+91dhb5vWxaCMT1EbKlUtC+eZC0ettHd5ZfW56apgnxIkhcnvC4kQ qysxBHubI4uEqfwLsWzBlMWveGTo5SnMlyBsPMmJ9r8zIv8SR8kQ+AjwSJYPqxilGTRV25TsbNat blQ4rjc9HxSewnqoqVShOHAqLYijjBBfkkLa/ZhOvy4P2DvEsGnqS7Q6o/5CiKXn129SaPyrtq5p tgx9RLzTbqiDXZLKkxpnKHFs5fwjk7DCPZQvqQqb0GTxm/+1RqDhCxHnQzTz984W/LEeTBgRogmy riix//fOs9IYPetfGFkRTaapYknRtrqavCFMjZ1A2rr03P+a4NIyLsGFi+L6pS0Y2AVhTA87Fm/H Du82edyc3jVHsJeHZk7VrkoknW0kv6xqOZGxq8LzEIXfjrlMJPCECayvu5/+K2y1Z1PogNs3yBXy +HVTCiKiJXcBuqRHKyi+vvsn4jtyguQp5lYya5cjFB41/Z7Rr1rgGC/OjQEi5QY1nZuvZajiLSWV J9Mpu69v2IYHo62iXjwkeBNIZRtJeW0+j7Ii2eRnEG0sKfvLYnOnP6N249j/THf2ydQOJa61L6NP vxI78bMDj4QLzQDNbeRi77lzFwqR8kRQMWLm1ipFEu0WYcNZ0/+O/ySiQnLAKMc6zUHLXLDu3LCP WsFDvHsXZPlhEHq8c6vPLmVX4W521UdlZz4IHElGxSPXauRbm0EwEvwlcIz1XNI8mJOdwmiuh6Iq sysSoefGffHl5hCMrO8faEJ2OjhVKvGqoIQot+9gYczuhJmxiYrWMT4jXIn5KmM8ERgq+gE2oIkR 6z8T/z/dNIa8YwqHJrMUp5YWKIWoTqdX0et8hGCWv66cokrJxMmam2ohpupMzUsSr7jtYDKBB1Kh BX3uhVERTq2D37lZJ/GWX62P6AsRf6G7CyoeSsarCJltuXRM/D++MuSEFwLj65ZyArqxW9hl+9vQ E0tZ1wxx0YRSOGBHf8mx+3mJYrHOMmhnNWxXDNN8xPXy/D2x3DsV3acPD1NmLLQYVRzKqO0aIXnZ 7mt37xxnps1bE10DYYM08V0L2Vvl5Pxjt45vj502M2YD6fXhWR+1cKg2lIxWFcPOMZZzkolc+kp2 VhL5KTcodybf7JzdV2vWJAf5mUMvWCj29ll2O/SSptf6zGWLFcNOI3Mt53rcDMAKnFT3MfTHOW7W plkQrl2HeIfJeJMvoImPjOQIh6gnCRrjTXKM2vLW0pG7e+vfBQDl0lU87fkjyJxg0UJ48DUHavnx UaIboBDLAGKCKCJFA2mJlkKd0YOaQ+7dy2LqmpBOlFEtWw19F0F+0g34Uu6mzSYdNa1RxmxinE2O G4qhkdO/wERUaoMyH5IlctICG6V3VDK8bi0Q7gQwhBX+dJtGSP8dbVYhf1+niO4PeWdOWzdx/97p nPA3LGmUPDJd98G//0Rm9nEHMw9rRqgBMb3P3h5KjUoN7EUnP3LoUUKLRnD30RKN2Kl2ivsyv7yt e5iOlqGlVNyElo7kDRPcC/hC+RfeJw86+jytUO2VhRSlue/fuU425w4QriTiLfB5UjXycFfiL5p0 sq2H4bYlMON0LFn7D1sVCuj6cE1IZoYxkSnwtBVNab7NOrI78sPfbbLd8g2T4IarnaBDSn8WEXEL S1QK5je2Uzd38nGMZrpLOgG7jc/kDYshiKLAXrxjIABVQ01P2s09TTVU/OD04j4y5PAWm1oRAnoq iBKpXwFhQQK9il4cO/heNXHjudq28sP8MifqmDVZBw/J3RjanqtHd+yc215Q3I8TupUhOGJN4Zrc ZzxQJo3DKpPtjrgNFJp3x/CanLK1iNU2gNOehTQFwjHeEJD7+IFWNGZA3gAeLomywaL7GgtKhuHP QwOwD269GaAUvEsDsS2QbcIYrt60ihZhW2trt0dny7vavV4ogLVkXCO2A84teYGjHeMTxHnHGay0 nwHUgrPNpbYyXOAGtUEEe0vkXglMEwhCtgLw2ATKktUH/R1Jui9Tt91J83NYdOt0zi/vhjWQxoVN 26SKC4KMC2wl1InWVrMA08jG60RzcFqd6OzCnfrl/Ix1X1Tnej3Fq2IZUqNiHyWrrJ+opjo4JRIr y46lyS4JiAcPhLM9UhMMwEyZpIn2MNlLNpZi1xNbcxr2Zqs8W003oncOM7pthHXRquyQLpemFKpI PmpgpDJiOp+wU5M1YeIyvtE5eCWqOe0NT5cnDukBfaKQfPhvEWPaFLXjHBNNNDqWoUKGyvVOp50o s7VC+Y7YSU65XBBwE0n1btKsei8RhxZaiQ/7yOAHueXZPgMHt2uwx1DlBi12N5YWzqHM6yrjyLAH EIx45Qwfkr8Bb0zj3dRTdeshhrt2ozRldP5+GPEHBIH0ngtDYfgoPrnfkH0ubt1Mosa4aXIsVJUC 6BE37/Wu2NWGHUqQl7I0WjpnVIcJiG5Qy43hfpzBWHRyGRYYDkA9jTr/Mt5r8FgcipEWuVzo3Xi2 puNpQc0dYw2XN3v9QloBCfI4Bw7tRB6satCz8KmpGy4VcKORC7i5fxC/hS5dzIDKmH5/jrhW1+QY DHqsRYx5N5X/obDkxriQo49lSZfLBKbkyYgxip73S3DovhzC2L80OCIj3eMtJQPAlkVHmncgEdWS tjd5AnqymMM6SaxOXA82WvwOAtDZKBfcANaLHibsw1ZUE/KSxK+osSipmbsu4HwM9otu5phbketA kIKHIupnsQENIFDy/rUl0T91R8xLrZH+PIkjvIwn2umJm5f7gl7QVg5DsWRmrcsyG0K2PVMgmM9m tOZykOd2qw+kHEX0wzZwZ6XpqojPC3KY9DE5oOKiNnK8K/WPTIPSSK3RUQLgAs+9Zr3oaYUisrIG jxpatIUUPadWrWk+EZrJX9oG4IeU+XRH63ZhnYaNe7gvPjUmicYllTP4g2BEO4Bx219USb2Q5/RD RAIdCC5liSKfSqJXhBLX4/3eGfpoud4LiFbtNm7ALGyOP7KezKXq36rIk2wwUchPysa2r+9+Br+8 9XuGpOSepdfD1TqsVPIqgIIGf03yUHitjIeZvsA4QAu/ErQxsahsoXe8CQDYfSsO+CzPKwHZlKzc cGCZqelrkjLWzEgpjzix1TdgXEKITMA1uqxXH/HswOlxvgP7JOvSJ+0/RvfXh0XlAZBDcN1Uk4Gx pATbXmP9ewDhwwys9B/ke5tEF2NetVwr1XBnQSc23arJPnhPFON2o3wL8sTJtUoBViQ3U/csXwtX lmok9fepGhKulbFBITQmTii254gkNbg8F1WMyPMvRAIZV6Ic663LfIl6+MO8VG3K9UDRgPJHJdvu /DTKauK4cbZ4CUS4Zb2wHedgC4R9V8gvmbLONz469QR+Tey4bx6ChQZ2qJzVWu+PErOQza3IdJa8 pAE9R+3NX06zUhi00OIxQi9AAMYE9hA0l6RfvY9e6EcfxeIi/INsg0TfdqaGYXHavms8zQQ7zKY8 +W6J0o0wwjcNjt9Bp9zO9Rl8Xo1xLR/AVXfeOBm86ytwHQIzWqd6ZiYe6l5BGNSmRcq1ZvyrjT1L 5VQ9YyKvFC8CCUpKeD+1jD55QOQX2/sPwH1Fgo9ThKxCZrSSaMYOTiZ4PCINfSqLyh+QmlLv2rfT 71tVlK74kUmKSkSqkIu2Yc3uEaaQ4hlfcm68BWVrbeolDi1SWjXXRSEgqS4UHzdHxT8OfU32EDrj fGI/X9UCzqgcqsTKx3CZ/WH2jlUAn2ATXBl7HIkKRjkC3MllZSz3EuJRHce6er5+0dyhoIjobbw8 QujukM/6fv/5aINdqyIhxunNsaGSLQXF9eQSyNrQK6Z6ZhZ3bPNJl7CfGjiLutHi1g6dAIhyLVOk DaIKCaqQENseL6t02EqqmIKOeY93J/pT1XFRDE5H6F1P/84zOMZnAEKBrL9/zeqxpEjnH1jA68Ab TiLCPmwvDw30yTrdH6TGhyYTlCiaw71pgMWHAorRBA8sEDBm/XxIxeSwqjx8VeDaEL0h2jHV3PeZ wt0NX7ZCJ34uH0dBijBdeLkum2zZ13iZqqSZiCVrK7axuHNn8zvBUltw093IoG+pP/TfcMYe9Cks 9uxSAKIfeRJh43QP1ingoDRjduM5OJ6JCwV0f2/2uptMzrbo7hS05BIEAeEgxdi9YhYVCLSpF7cK M+auaNkv4pfQ4h6ExasJQBTYnfg/wrcIUAK86VTseT8AGbd9XZNXQHt89hEaTaBFqKuxFi4dGT0U zaINOKDe1hFz9SicP2QOmZeWhJI5nRl98U6ULnkfZw71uNwaGe1bo9EzC/iq9WFT4JrvE9hHLe3O XHe3w+XkHo8yISUiXePFn1oSzMcJ9XrjKFTxL49ZObbTN4Dv3Ll6CAA3c4s2aHz1Z/5NSiV1UhWE kv6POB5ztFBBFWEVq8CcPyZi+0/3wmzesOFBMYxAaVGZLjfihNhSGFtgB+KPCfJN4piaFqFW3F5r IlWhUWM1wDte/WGwkt9wTl7MDCa7Tpl/aEjM4F8roT+Q8V7K2pfermrZrobYLjXNAWcIHI6Qf4ZN OTyu4Nw4lUBVkbLRG5f1gKypLWTdLG+KXwgOX/eRas9j9DzyKVw0eXHNV2yFabmoAXGq9kNfHKdH 69gbNB9p+E7u1JBx0Hf+WSQc8fyNTs7b1cPlCuOBHsFaXREoZqsT0nz43ihergFYFOmzoSqP98Wq eUca0d7ZmS+0LXTbEX20fOJ+tmKqqMk3uzKmnC/lNt1++6mTSQajJB7HW/yf1Fc59pUdLVAQOp8F qxjh/UU29pCDjJ/eJXZsfYO9nuO0Yz0xpAqP+dWXb/dDgms88mXsS84b98Sjf27nPvLe/gGAnmJt AV195fC0RMluegdSlfraUgXA/VjdO67/L5dzK3eY/zPWRg+It9WNUi7Ph2CE8SW0vOQuoYmIrwcI 8GrD5vUcX/VdL+aC//rYE/XWrYaGF/3jI6GIUMonBEQeCBlncpidGPBFHb5EGeC75m2X5PUjdpCJ xZJ1cN99znfPS17nrPLqXMHTYVK5KTQyItEUI2AdW23XYORL3QYY+mEFEEz1IV/8yL3lMDDPTSnE zje0qRTh/1stuPq0W8sLKXkD67DJaoC51XeqJaPvzTRbvLay3Tx27IS57iWAIB+r3Wx6gfDs2/xv LdfOVieK9qx/HThRcM9ClV5bYwhzR4AFpG3EUIy/8Sn8uyxaHG8WdkL0iv9RRp26KuvWb0XluAms UhTy6t57JOSKSkpl/ioSySxazYr6U4iYqOR8vUrcAiBFQZFoSRQOk2w3lh9noQUX1PedlaHNpP+j 0eUkbD8/N6V8aorFr1Y3oStmcOt7ZM5SJRPH1T6HUvwX61MrqLezfbsw5Uh6fmNipie/ccCUHpFh Zf3NIa1Bap3SS7G3hN9EjKxRr9zJ0r03hYkHvfkY+c2ng/ymLcpW4WD0ByNTaSxyx2FYiBv7UcKz 79xZJ5v9CyiBQH5KXw4K0JFtKgjZZ09GxoCb8j9UJt6y2ShqblQI3DlDD28EGt3y1lTthL6478Pu NL/Rg4Gdb0Jdegbq3pzH6zvODjHhCgpv00HSQM+WuwX+BwPP+mqBKcrt/elPbHaDav/ySNKdb+h4 xTVDW35/mMKd5F3XejO8SJEvtKUgC1q3pUe/3O6cxxD0XZ7M8E/3YfVM03uPoH6asfqPwcHWP5kJ KJ4XuiyKEth0n+/3P6J7hMWbTiU/WVVnj6dqvA9q7OZIHQaz8lGOxrduILR7vhbLUblhDlu+D9Ql BYW7b2RUBVuDGASmFyKolaQwClq7nOTerODhGNM/OsGT8oxfr8/c3qNyQgoimdRmWD+JJHohReSZ /2GaWmazZ+KXByYk35bRuvB984vMwS9U196dIdyYLpjkg9CX65XE1DRdVjDZJuJ3TcyY218PxFYJ JzgR/qLjAoLRjdCntEF/r2zi+/b4rrWLbFya1/0w8bAoZJcrSne5xTM8/UoNEtqXpJmv/O+3BStE IIY5NTYa8P0AhzqXBgFP1QZef0scIfCJbNGofDMcLpNlqDcL0zUNBCT2t9LL9kZjgCRkyEQ219Hu p4/ElidRNwlp8PQ+mKGduE3YbuSfxtDziqnKfS6RBihEjas9oDkw/zHeGJJ/FBAcw49z4E9bSWkr fELqFP6+H72O/LynIu2XQ2qKefT/xRNkWqGVFtXi9ZahUC6pv4LuJ/VobokSSNXDgPv1uJ+cDaYU BhB1iFIWTLLPGjTuB0hKjSUidk6tJW1Y3KmSuL55PGeBusEv2ZJiAmlBza7QACH45D9KMGcbj3eR kvsqfZgXDpIJJYUykJwMEP+tXxAZAjeVYGkGlOWWhaI51QJROEgL6OVsRdhYO6GAiAMVXJhkyacH MHAdzNrp57ZhOMpGzcs5k2YFuORSQdODW8QXMyyU7UaRluHLDnK8eLpdOmfHZ42QboVL8MLyhVQm 3UyfJoU9NxAgldGKQqWCB66zY+0vJutuihX0gNV4lXvli0ynNLhzLh7hksiqts598Br+HqytOm1B uS+FGF2JPVNF/Y7LP5bZfr1ICJh4CHXdtJvTPVHF/Yk9DehpxTZkPMzJz9ayMr8xWpjj9EJ6XKgo A/xwAHEGSefqmc4iLgHm864hh5d9rjbsCFhnVHOcmpvcPnBUHcAyCUcoDL+ymmsoDGgXt8WqtFFJ Sr3Y8KhHXzzPD8XgcIPbrfKsexyCg+yvA2nQ/jROR0XX1qRA4UUi5PvPiPrcfCgWRO6hCV8bwrxM kDGaoceecVfsXLwixqby5yDzF7T1TLA7G3864UvdVRsSRPSiUiC3j4m+5dq9K2XNIeJ7PttIquyi Hrh+Ps2ObZk4mg7/nw2BbEq5pVf3F+KuVyKZ7cC3L9B76qrieFYDLmRa6iO/HGw4yrmdyyfLnOTf pffZz1axKDMTqRbZBhOqY/UeHBLMxWHWP4j8Ul6xm1f6jeYG1psrQxn+zxMSi05N3o0oATWQ0+S2 91PhPZlQLb2qiXnRgBsOwqi0nH4je3DYh3B2d5p32XM7ONlm4UUR8qruJoQkB8eesHTXGGM1533s X/KuKqI8RvI29hHpJxxKrslWTcwWby64h7Da1sltLCCz59nPjPbS1A4cdIvB3TB6hpduyKrPSruN TFka4kBPS0FxqwYyGdcUogpmbrfxUDdPV6wlboh0tbu0R3fdlwlpCXN+q6x1/Jh9eTxNVkKlHJBK U8mzPaGofODZhIcXdOSjfFrMtUO5Miny6XubC78x0d2UNM1IA+G0pMmaA/SbHJRLX86MmVzGuMf1 5hZJcg+6eCdDKmzJTVZlL9CeGd03ksb/8m9+Lu5/vsXrJjp18e5X4JEBe0w/aWw9kHHwgrAKdgIR 3rXte8BSHC7sydVX3hJdH1qHpMMc2T1dwSRrVjcwPQ6X4EQD7pJyJFMUpDqoLm5trJ3Xyn9OfcBh l24I0wfc3nvMSwJXIhaWFX6b/BwvZQ2shgzMvSRUwjTvaCdbsY3GQtK651mQ9/7TI/0yR0RX96iR 8l5Z+LxSRKDHacvcuBhXQnzI8A1h8JCLUnG2G1HXgfCvyJ8g1HVUUC3Bp/2yrQQki1F4ISToqz/m 8s2cpEt2hkpRPtKqAoFa3S3Uq4zKeWT0fniCHYiUKLifcUjbil5uxvubMGEqUu+n8dcZzVy27L05 WhfT1q7jX/D9W08osPMDXm9t+JDCYzOEz0fp1cqCU4CguFV3YFbIGKvyO9v3OS1mcDcENAN6NXDR YTMTaeo5oH7kNPXlnFZPZTvVq/aZj3pjJJHhcN9zhoi4X/NYnlccPPoNpcXhgBvaMPSuao0sMnyq 7lK6+H32DXl9nS02Xcl5IKnqulU+UGorLHMGZGLnbHizlExe6n6xNfiSGtTcdWODvUzRYMff2Frb xL17rEI31EdcvPfpL/a7t7o9S5KLqBObpdNxzwcO/CR647LXkQqNa7uK8kXG2xUtYNDuC2XK+YZ1 LHq1+KREi2kObPWJxN+Qj24AAF75TG0JQmTicg9IZn4dxC/Dzt1AJSl0/nwOPwnO3aKSSqaKArDG hlxlsc0Kt0KI800VZzIaRv42vYT75KR1ua3Fb0If8QuUAuspaFcdiU53sB7+KD115jTjy36r008T i7XqH4GysJtGbEosxLXKnNxxIJIFlF8xxLwZ0IXob/YeWIWtyQ7zXeUwTG0n/2+H82kqpagyFgzQ +5Y1UGkAG0HkTsXCCb8lSDcjEdVHGH5pb3Bgt/2jFD4XW+9UOZVh65cs/Vo60fpX+MCThZAGDbcb +latmns/IXnpwp4IK/cP/NJg4xo9IjU0aKv3LFjHlHFdYEScJsBJR1C8kxTy7NoD8uePVy4jMChI 9aAN6CDaDxFBm2kkW0MGzvkGSpQ2l1sWJzkwNaoA/dVISGzaK3UzgSADkFHz9Kbl/ZMsJOUZi+5u piRoABUxzMFfOVMplbgVAH5AQSATQ9BWMewtyGote75BGvgSV04hKf9rDEk7K/cXwaMNpxBJAsE+ TPjDe11sv9QU58dh1ySCOx66/TglALtSa1x/Dm7NPN2W4ns0pqa0m5q1sHW4TYmY2fHr0dJb6/0t JyaVV3pxuKGFukoyeCAVfoN8b5aCY+rtuvuYQP3VHtFffnXC2jD31jAN9ZeQKcOrFsxjt2n7m0Im jwCA5SU2TSQAfEt5gxP2XiCCJ4t3BliLvsxA6+OateatQWvHQkK13TmLh+UiZK7Q1+CUWJhS5UuK 5ep96d3oV8gkIYMOtfb4jrXwkIaCF7nm35kIubDLkr1ha123p2X0ylmazrQoGYwF2oHbPl21OOEk 9blBlwZ+1nBBf0vE9+mALVIVKdq3scs31D1N5Y01BM3jFZ9ksB090a6d95ilh1sZBCndQxBRIzwm 7iEwcpWAsBF02gRT/fjiocoJj4KMA5SokYi91FACUZ59O/s/vOXZHIIGtqv8W6CftnfcTBG4xWCP u6vBJj/tZTQGqCp9Rffd3APvKp5qCjIg/XyEhk4kgxDlr9JEUt1Ny4AyfwSa03FfhXsYnV5ZqxJH AZ+IB7ILGCjQbp+sxjrjPBhHQAKcBTcHtOZc4+ArPPHUGPkCoLAzScokxaKu4aw38VyqPalCvDet IFxdXgIfSuloIleivlN3Pwsr6+UitWGhoW7qTgOVzu0hNyny6dXcXbVrxdpZOwKXSAGrjb5gY72A 3PhWOY5bBYyIVOZ2iu3SHfgQfsJTgFPwwdmjN81FWJ05oxW5TGyj1Uy8ys2cZMrj7UgFkXYzlT+j 4OZAjSqEEbJ2sEC1usF7AjiGKhQCXF0TtfRDUcfMEADQii663NtoLsaKr+M6sWQ9KAwhET6EkIKk 8TdVOHf1u1rrTfAhn4AD3nVj7GkpDI1BaKneFeOkwgDBR62tyUU/Gyj6cwRPceS9FQ3sY7+RO42I AyOZD4iTLRmuJbrwZYfj/4INB3x9Rl1vqyYrB0/JdlxAGU5qNognuomrS+r6OemJBWdfF52lHziJ JAHOk/JE+loZtA7YIP/Hoa+G04DBdfmIgvlK9B+GfTCOEGtV3hVnsJhzh9YUgPMTPlUqzVpS3t+O 3uFIu7yfqwSculxMbQqdkDJ4P+LgTuM0EPUzXWwKEu0zxjSq70gBc1/II+RsSWn9bik+TzxBz2DP LUNU3UyxNuIXiBaaTrSDE1Tda0yW0/CzCpUN4Bh2Jxpd7kZO2DXDBPuIu5cf/yFKxiPFDHGIPFF6 IMpI1/GQHTbbSE5FhdHlST9rb8KpYAchu/q8J9YtxiVm8dksg/L7LMVdCt2d3ScvkfdFtIJBE738 uqQr+2Yhyf+jxwTTJER82ccg+JQkdJKCLarbFiTQDwFVvkON1NW2YZFlTPUMu76F32ygwlNIuRGU orXY04Q8tab69RLeUBPgOH6/Hkl+CkJL3WCt3r8TJ6kvk6TdulXtDRScPkSvD1CXBiGRIXKUpiU+ vWj0oGSAbxU75RWJT5ufT5ZtB//TZ0rigswxZlV6NFIOg2EuaQaZHXTO0NAalh2WW6IhMyZnF5Bz oq0OQEHZZL5t8nijUH6W5HwcIfzHwQGIQ9IflAgsuh7eXvZmnrH00KLXFbKnFCEV0zt0qQFcRumi yzidwkMlYVmhXy29HNFShJp1hanM3n0Asq8NQl+YcdDht85xDa6LibQOrbgee70g1PYtylOToyX0 hlcGsQtGn4UrCLSexaJwNFR3k/fLtg8BANc3VU2Aw1/Nv24hZbjS3lHQlp3vedICgQGOdrEwvdvn fYRgD0tC+Q5I8FPvl0pxpZLYtSP8+79BYVABOkyIyyeZkfzO5eFtUi1twPIqJuK5sqf0Rv17lO0F PA+3gNxF99nRKxGk6oD2BIf7gRf8yKg9TQUpRVF7xBQLDEdYqKDZgxvektInRaBUvCNTmnZk/7rH Ox4W3/KM/O8p9CT0OFUlhElRbh9v5fA8SxniATP5j1L10DRqFbQcimpb4DSfRJOvwcQfrM2cc1c4 Nw+QeI1mlBpl+XRz8KOS1VoQzBmzcF/XW2YFUnI5+Founp1/WXHPDrl93x3hKUcxRtBS60UxZFJJ Q2FtyENlyodDna7mszr9ZmQa72yEWfoVVMokS669tyeLSbWD6zjIB/nac5XzmAnR8WTV3rf1cwcp wks7l6sQrWUwJKP++1VJHB2q3IQ38vmS3dxzag+7AFWNZ74fgIV/oSt4pQf2XwZ46kwximTpLrO3 Y+XACTe8nk9PgrEFeiy727ACei88bZ14MF77pCHFX5/1O9etzcLJPveOiPl3jqLvALaX35u9FE9m KM5pu27CB39mLV7tXbtAij+3sdn+K8RP6N6R4IJRV9OSGETfMJyNu3tgozsF80eRZHtOKQo+DJT8 R0RmFr/pbeqdtbglTfBkMiyG5rWO0jxerHgUgYixyltUaXkvth647+ENAfhY9jJvEmFQd0wwNcuJ iLSMwD3u12k0erE3arDrndXofgxD+Y61s/5jwd06pRjtsFRqrQnsCb0e0Md6+kVPbfCnpcYgMS11 xs6fwBCxl4mqY1xoRe2wFIhHVtt5jryimyvEV8wdFvsLxvV3iyOoKgmwAkpCv2UgI6r6likE4KwJ UAomglUpdW2U0jHIR3hCKN7QmC6jegOcUGIUDdzKrxecr1PU0RctG2x/G4cBib6o94YEqhTGYLKi DUUYKIPX+OAzfBUqz3tUfn198gAEBln8fJKLNhMYKaSWbO/55O8drpvtSfRhw2pISBjMr5XQNsaK mBGoxc44qb0Dzutc+p5v1qohhKk1frglXbju4ky86ARR0aYAl8ZNH0sxG9NO9/BUkzo5T/MSTBoV H4APIrBLPbAA/3Vvit/t2RhlPpnRmv8KKO7QWzSvrMizRms8ldyfF79YwQy4Pb7BgqbDux9jCHqj rc/2K7C6SbX9dzmr9bFbg1hAMZoCV+aAtD5pzqnPniLHjLiwOr4SATVZHv44XAYaIz3GAsPpjwsI km/zR9baMl0gbYT6lpfkleQhuZnDyHwI6vIwarSmfN9hDv1k1fm1+UpJ703kieq7w/qCiGrtmakW TxSKUTBaQM4sHcyC6jjrg2mQfCxndja6OpqaHSW+wcD73kcpdXkAKwjOc9F5ojYAN72K+U7beQpm YIstdGqvq22RqcrW54QW0X8Cn30ZWqd1D2ygsXM6h3vBYGG6KfHSLEekq6PEBfcr/IDN9EoUxMY4 ROkp9NEDMImDQphSGBLbcj7L9ZZbqVoM9scmHN3ZR9rD+zukszjuS5FQ5fapYsNJdvpdGRqzMGNe 7KV8P2hIhDzoDY+a7oHfp7F/WBO/uLqnc+PYQZq+dbFX59ZPNgWeEnHaNRIOFdxt42XcEX2zTDXm A+H2Vrh0uGxV2G3JnO2/6jExBJyPD2oLjmcTKh3nWyLq6+ki0+hUT39mjILDw1eJLFp2KCs4aZKE Ckq9Y0ThBr5fonvksHru9h+UtSc3eXzAb1GGvnMStO5WoFlo2uay5Vj9isFqZSHEGcG1QqhC6fUi 8RHFqCeXVVshd0YA9xZuLJMCSBVLUc3GWp8I5VXqLJhe60wv9DvCDOT1Qf2pNUIch/lggn05VHBx hdODij1aES9T85r6cQit94PC2BPEGxHhofl0EL7Qesbv3Xe5Uccft0XU4+YR4oZ9omKR0j184O7u ZXGgVuYrOasy+Zf9Pl4qDKaXmsZdKzNL7Qx6U2FMfNdQKO2rb8XE1x0rCI6hOs8LYZCt6Gf31wfD i3IVLdGNfAvVueZpkFlLzKJe427hPkgkZ7SjNjd38ti79Kh9AW/KcF0YP2QL/JIy5V45NhB2Cok9 4MwlgC/AwSCAsQ/34JO3ZGMSCm5HErFwZMgOJwtz69ZSYUCe6IAggzhHLD/3CsRlmSwBZUgX9l9G ENrr7wrEs5VmMcbkJiaeX6/eQ0vs8p1k8g6kO/bHrwsjVlKjQPHVAt8qFAxorVSOMxjQ6RZN2BJ1 cu0eJWF/CGECe9b/Yt+BapRZwkrpiP960pAnHcu30jy6o+FlTab6WW2NVf5Ai8vVahdkU48n2Xz5 4gUCvVyhtdNVXb3h6mRcOx0/HUybelKkAYURSJLwZtv5fM7X95uNEoH5GqqySYF3seq5646AqUvA FlGGrYe+IoAk7nuv5nLTlAg7tHiYGGiNzdChuCk6kdwH1unz8a4S6a+0UjKXFXljSdJ2VKu77Jjq qklGUHGQFVJ0pgwFXPS4N75gCqisWraale5r6S2x8BucLdSaKnBCGMutbRT4bkRNgsB5ot2P1ov9 oKikg3kn21FJqQhSub3SQ51ZC51veRCpSH4DoL3Y7I6GkN/SauAJ6KOY2C7fYXkmdiYjmzgMgmZq GzgM1z1Ovi3EJfAkvpckdU7S5mf1wF9+qgCPONlMZHo8YItUWO4nP3hd4h74Y2gQGOz7q9NCSn3/ +I8Wxdui2sdCM+ubZex2qZHofMwH1KKL3IZgfYSWE4q5rDWG0Y0H+D8+RoO26eCrGqqTyKjBhczM 6UKiNjerWT/F1NBhhfD54Vn62BEFgA1LSFuVxiikjEEIEuZMyiu6avkUi9vPKdZiyGAhz6AckfRk DkPRexpVN42QM+caYlq5Kb5umvNJ9QZYpVCgBglCCBdzBXDLRUZAdrHr3QxJBlCjuT0C44Wuyu4J JEq/rG9YmLYXTuNNoKHwG5gNeeu+jCa+i3MSE7SsugcqsP/ZH/yCDQfA+a03fvCNtUrOnpG2/Q5/ Dj0Ac9x6Ok/J2bf1aC1lb9dijk0niVJ1/cadiSfpj2shLbMrF3Ckqs7osMWSxMiLykpcQoYwFKl0 XcnQbriC867L1jHgjBfUGwNKi0OTH6xcRT4OU8KYOKrIWVzsAnSqUDyXb73bzx7HaY3Tl+yaE2sS pMaHwN+bV8KYypJrNNhQF8nB7UiDE+hSQypzcKo295x6dJFV6DQ1XfIjw6mWH08zc8/Qrr2HFXfI 0H+DmhOnumaQT/FePMTloDaES04oePhICEHuak0qcaKihk8fmXU/8V/h1EjeRJROF56scSLS0ckO LUUkzpaD3M5ihgtMq1Qw3PvagOdYQSX5OpBpNTYPUpH74N+UvM/TKRzmsQvEodPlNKGYl7rgkacw HOhDYhzpoM4PgSvG9QeDil/6qn0hFIhG/dgmRfzFUDgkZkrzTbpwvED0R0G1PTAmMutS8hILyoPP /+YUZz7s8vkGls0pJ3f+aOl/C6Ia2Oot8jAQN/f25wFomx8tsohOvzSrzkBPgRFp3F4NouewfyAQ j2mvKE2IeQA9zrxQx2Tqk/O9DnowoFIRFhxyp4vS0lqahmVirVMM4YGDpdlTjBZxKzFOM7Vy0AOd ba6k4St7MRaLVAsM04Kv3BFxjmx2bxKrlqAXxpYeYNBsQvIJR8rhljcZjMqS6JpuzSqGoWZoclaK VwLT9fgJrQM8nMACZ0Pv+lmBFpYcpS1Dqb+KzPshSP4FJwTk+3gSsnhKfPWUO3yTUntpDkDvMaqg OGgagFLAgegMMNooyZIp1+t5tKSWHINTb+Pq5o+3HZ35EMOHl635G1n04awgwg+3C86xQYmZYIuz J4pjMtPhmeWEhHK92YjsweGUeGUXDGM6wiJ/oAafaVt1LMFT+5E/4HAw5LU+/IKDtx38JKww0lkn gV4kNeuyIx766/mXuU/bY9xAZhoo6YQpty5ez6fk6vh2lTyXNAW1NO/f6ZM028Z7ckGoJz1l+mNa 9ay67NEkFvgBzP3XqZMmdgkZVTob9vFqvDwKn440qDk4O9cq6DzcwyoY48C3x/tPHEjfRXeznCTC LdY8xQs2ucssf2gcZqaAOEfdC4XKss3k9FFde6J3zNcu4IkVhC/Mk1PbbN8jFlsjuO3jCP7RKXyd zMVEU8sBZwG5vfH1B/A1Qffy4PIf5skUec7TJXLR/U8NHzHURlq0hBopDj3DUaoT5okWjMRmiQso lCRm8Q6ShIdhS7Qva3p9q/lrVDeWCvVe5695QVv+2jxuDQrkYpoAupDhIvzrmNG7RqUC3FzFgWX7 fABeuz2ZWRAxUuBDCzP48WGSZFRfTfPxT4cO+Fx3FdKlaN59pC+vntvyssAfZ7Xy7BbMG3eK35CK 31dP0tYcPtrMxWzQ219ixGfoywFvhVqK9Oy22g219yuBLz70iPltxXz4sh5LXntJcx+M6QWOcdcu MUde0vMYAVXuxPa5dRt7oTUeWec37i3nmPmjtsrr1BAAQQmqjj8FOYjEVrk9yVr66NWBoMFCcDeZ Ab23ngVchXpGiNfK3D/mx1NfcSCMVGLJS6if9Vr/BAqnTHX3Pzny3bQAlFkXDTn5hrBdB2Wb7nkn Qq8LIaApWF2K0r9Ijx243oqMNnl+Sao1Evd+hR8ecoTlFoEaeNg90zwlhMmiG3hqcehtMVPBWCVX CuSQoVEXxwJWWHmHVcxAumYHk+9wvlGDjnDzmwNNpxDKfWdbT8O1ZgUjUOgJP6VzPYZoFq1a0WLS P6C5hfJEK1m5Fgyx8J2jfdIixJ1m15j2Phw6X6EY3nHK8NMEWnOASKmNWs0FEv0etU8bazM+ruXf ssmFmUqMeJqsuSW4iVGQW+I9i4uzAfQKQ0lkGmawVn0K7is3EXVjZUHew7EPuOwon5prVb8PN0Xn WxsLixZfKOT/V6AJJ86111k3D5qA+zMJrBMtTfzBUJSKN5lt9OgnEe88ObILjqvAkrQQXmtAQzom WdECF6xyfoDLTb6/dBatvtXPH8HlR6Lt1fxSzGMS4y2mLzWaLgk55xBB47S67hKXMtDsVOBwIPbw QqscOkQykzRUjI3+QJgBcDwOiYFnx6wVl4HXD/stE8cvAtmr2scdbLClrM8ERQXBQRFAT/8akWdN p7m5gnHmZWrmZgUX3muKIAG1M8UB466nwtgcTDiw6FobZT45+WoKLy/ig5+AbRQiocjNGeZHynJA iItjvRl4WakYy1eNYtYgYN85ixfX1cWKxwKExkoLbLTpvqepYkI5G13g4wnV4DS6A9oGzPtnwq6b 0O38sSgVUWkucgi7GYRwYQx2UIob3gORdfr31jUeBqHzutAstC4fEMbW2qHP4ADMRqbJrsKHl3xJ CzxcqvAnVy9+oMu9hHiQv3kR4DoeHs6g8/JcLtJzn2FMPlI3dvR2QJydNigRczcegUZQvyCtMfiy B3K6ha8wTVpMvCp39eq72Hl5HSSxufNQf9b64x5JpBiMTc+U0kFTm6BXyEpx1oVHFui1VTXtUBBN a+mjYkMTr+DCOoyI3YLDpKqq77qgXMvX7jxhmMDoza6Znpu+U7SvP8WBTrp4c4aBcUHRbZHo6sEt yy8D8CFvJ3dyTZeOg1cNJ0u59kKhkSFJheu2SQvXnN6EYtIP4RP9mUWr89AfrRhtwKzhuDpXiAbl 8IGXxzAMIqL8DK/5t01e51MWHmCzZvNWFeGDyC3Q6fjC+Bx0gF1Y7ecEcpfMFczmLNDfQc6YfsDs 6UiMMFN3nHGwq8KIuhD8gpLNpgVyzCG8tqlNcMTkWgq672denvHHHt3wVm4kdZaf4ObAU1hKdFpj ZOfoc/NwT5mTPqKC3jSTGS9ePjojtEJCKsslVOJq+dqW6+V18RBTwxN3pBECIymkJJ0nnHCqw1Ya Phpw5QR1dRIYJRFkDV0Dk/kZPOiKIZ/dQbCr9+aTzUt7v83HFAPaXhb2dXAhD7Tg07guLj6qNTeq KuvWZMAKktRE8XQ7blfgQdzUhWHVrgpJDBeOaUuDtC/JYklOHKO8ODV2IRYfr2xJ22o76CDkkiOB zI0EU/YaerItk4tSTANwZE+RY5aq9p8i3orJOVY9ci+0nOJyBi3QUE2GK8mF2ryxWSCGpVdhB9FC nsOwRaNi8P8IS881vx+GCXH7ppCZFM04bFoiCubsRWPdYr4v6JS1DBnvpsEH9joo/YKJy5CMI/Sd K5J/IceJrT6Dqh/M67c9mDCQbdCmLCy66n4ocV2Iz5ZhEKM/nX+Ym5APNd9w52Wh57YoGDWNIr9q phjFiVHM+PEN98cxos/PxeinKO7HHFgzBZbiPqffKiKvRYDfDoHTNKDHPWkle5kIza7bbcEWPs7J hqtsRb3cqDMlHTtZ7s//C1sIZwmvHp6j0SYoF7/BJBJIlMa/+SPFdkiAr31nBIsozf3kG3Z8uakN rFZQVVzhGTArykYsx6IsS3NLCSJM6aBO/1JHieREpzk+sOrJlW7P1MQuTdgGnqmm1j0XnxnDcF8O wRuBoeazpZ3Lb0HadLgMUtLfiq2+ujXtraBylZbcmRad6q3HrRidGNg+RlnXvWu/1ZprVDy3DhTB rhmlkzo+v8/FCHrbij82aEln+fcRjXeUjZlvNQqJVeqdfUB8axnfvOTZH66B8YDYVytXc51LJK7n lyifXxDFlfAU5mjOm4oVYNAVMZWbu5neRH7TCT7YBQzDoP2FJCscXqcxJyVZos+x4IgH0Ge9Vixw 3dfNh5v/HKvsUVOsPnVDzfH5yez5VxAM0web5O5g3yOHGcjIin8Yijo78vXG/4YcS+3vzcqaU5q0 8F/qSiR8ThWFrbP4knXYufFfS8ULVhDaM+bT8Sb+KJ5Fsp/pWlB2oVv+hCl9kjHbNJ7aTAqb1Ws1 wSiiaTaP4fsWV8BI5jLFmk+KYXHsid6FjCn5xIyxaPJ8HNoY4e3QUmnOMVuPrL1mzdhMwzLJYOCR hfj2YGu++U2mhzzy4TD88Vn2gs/SNVr3tWRfmt9jJ/1Nc6Yj3njnrYpvlVbBuqbUo+JEz4TFAp+y vcZ6OHiuTmC85zzrdc2CExTIMEBqVJzrSACaN9uOJEhIujUHfSuIDegQ95GBGbLq+AYtllti5l7f CsRi6Sh6eTlYPwmUlcSf4D9Ben9MmGnyN/hCyyy9VXGbm2sbGgQzGWdOB5BH5Px3JTPwI17xHOBy z4C+skYR30BR9HKiNBOQhmGoBPnta2NyqjlBgjuFt8lIuAUg0dzLEOc48xLUBSWv1NW5ltZjibQr BJq3o30WrD2hY/WAdUmlS3Trd5cKLOiSqvgAs7pnpwZvr2WHZilaR0TdswF8K+W+LQxqVx1A0PhA N29YNoJd6M84nqMxu5vw7kfcDnRlsDhIWy3eEo3pJUaUUlg84jSL0Zam99herenYHShBEXQGaX35 Itnf2wyVqInYnluSK1srdkKdjE7aYy1hbfXVlS0viXeqa3FmbRb2uVJwr10bYlHWDOT2xz4IQ85o mBJHSK9v5ldPoYngHS0dEKP7Usb8XFehUGUOjpa7qiZHcziPW8e/wO9oc63C6mxDTM50I4cvLpaX haFGpHAaqdJD22ggTpB5TZ78JIET9Sl+efzCOllhGQNSw2zuTR3oJIGFgq+FFmF8rBTb0VmecspW lmQJT+GMNUVeCxRE72lllpeZ+U1s2r2QWvZiQKQoz56bVQl7DMFsoqar/xvUVyU7l8F6agpZUxCB t5hzpub2d0GWepK7iODMCC1dokZ3zKfJ/2g5KVbvay/Qgb82PUjudj0vq2rolAM9iE+DRRm/5ojV +mlzYWDB1hJfxbBHAx1xBG8WMQFrMbhgD5LYuaisF7NIbgvgoVu0l211d6rvG5FF3INtScTyt7ep RyJzZYGpxU9H9loCqoQyf64JxeBrIEwDSXHKR/6BCgQdD5jZzRmgfhpaQMbgH+TkMTTrgWDh78zh +SUHWVer0JW7JJGfKjpBrBetzhJKzgSP113AtN7dNDljwFa5w20N6JvZuuO0G/8fjIFu9wwzP6cN HqPvEXZm6rtl/ZB19sc9LTSG+1c+K50gGV5PKR8B+3pspyPaR24Bjm6Vmpf5aT8y84YZVs+ZepiW 15A+D06QoelvI8SxLKu2xwfjRL3PeqEhtbOCqVoMUX6dqMfrrXri0Q8lu8FsWYieYTn+2SN4tiQL B1cAnEOIPWzXI4/Ey3s73EQnnw8FUof7as5zgo0AGDaDIIBe92ihFAAJ4gOkl25mweiCzQfqKPWG RIBoIJp7QEIScmtscKZ9XIEOLmqiWqFgwOPQbnuOS5OrgRhZUw3/uTLmMfoKwnOtmcF0kb3pCdW3 jTgzl/DiEs2g/SFtFZwd4Umle32tfYHY776nJskc60qfcNyqy5r3VzWWcIShfUxwsLgs+0OJhNkR Cca8k7mc5hwVtvah8bKA6oP7IvtR8TjyZ9h0ticrdB+YYc7tKzAFtdPZ+5OOO4b30uGgswnyLvDL JVydzALGGR3QG9hb1syhceewPzr0BTiWeV6Vd9jdm7WE4yOu2czIAWSVXOl88D/1rjurzzR0cZFG lyoPqRi4WScT9oQmm6B02Y2NQlHtEaDSCd7EZOlblK3yWgRVFLDnuxh2DNNWpPAODAECgi4mCIhc kkovRH8ecsU9VGJhO4ZElpW3/OkJQqBlnkgQDaJslJQ3cnlUibBzpKFy4F8IFjv1OHQollXEQ6lm f52Ug7GjLQGoFNWVNOCveBVl2VOdJpBeE5AD+e40VfZdJ83QplGaewOMlzu//IPFWNbBwIV54Pon HsXAIiRlaELEa1hCAjoRRv70LLub9VTFHVUU4kwLrpghT0yP/t/9V8PdMKZBT+E1x2DbM0zaNDTw FaySx9+XGnB5KfVX8Ode8aLEyvHXSWegVCSS5ihdLjJKz8Q0omGiMkZZQXkJ7/I+RpqeF3T5oUhy Rfnjlv50rNCj9QAsAi/svcYNAC45Ww4xibgVqrJlatUTpClvM++Iax9zuolNcijZIAsSGXWfocFB DJ8/tcCgh1kBn85T4DATME5l1aw4U3mPBAygKZQpf58ATyp2MAegj7/VD3G/V5w030Q8CsC4Yizz KM4xWz4hHDHF+/0LRNjS3Xienr0KrEf4nOgMG5UH2f/CY2phquT7MpNHA7IN2fOQJ0Vgf18Gcy9h gZd+8HPTGv9vNKQxshNPhYmkCQmd3+E9unUyezQteoFxy3aSwXgk5UxgZCliCsnUULdfNKLc4bMo oUZXHYYzQljBejIKVfC723jo4zx0X6aWarHTQtRtxGcGBSu6+J8CHOMa3enuvItn7EjUi9/9fa6H 9d5yvx3mB33wcKpzls7t0nF0D58KVx2Nnv/dkoAJBRUCz0UxcNyUA9PNiE5JosZut684hWsSrygd iPUymjWoWCPEyhWuAYS4N8gD9EQ7OAE/an0hkEun6ggxywUUcPDixH+v51uznEQ+kRqA5yT+I8V5 xQj8VpPJ4jHsHNj70K6u27k8f0VF6mwHqrZ143OXsUiLG1wKt5kKSXY38qUtvqOD6GGCmSQXfpaJ 2cyJJEjxQXWrmCMrblBEql/TrVv+/zxpgrD0wCx6t/PxELGh/5y026ZCWuCBOOpbtJLNFeuGBd5Y MWdCSc5T+vSQM8aOCudqztu1/O3HAXchfKY//V1+7GJsLnZ0QvC6KuQi/Sn+sFTXf0LF9a1fgImd fp+GDtUc03GrkgP1g9EGqGnNqjWiui+Zy2ei9CYoqQGS4S5X7WTWVqC0TqfgqEpJgJhdX8Gw2aO6 4K3gJuQOg5PtGCKIPgurUAOe/Y8TuKh91nShzk3u6d9/5ZGBO1iThNVzhSs+k7r+pUYTABuH574G R3KVAWHLLaTfsxvb/SMOU+VivzCOeJzaQIqf89nAJSrY8GTrRj7RRPhbf4xkF9qAFXypwF/Ydg7X wQnixPsaRu0I7xCXEKcosLqg7TyyVg8VEBuWzBIRNNiyckKJkYdToCiT0zeNP2SGdgbLkNEq/leK Viu/WAH4MJs0a0O4pEipSWsienROL9J80utlkTgNtWtEVHoDzthfqq0zJSm084OjJVeE9gsWFAC3 wjKGnphGIW3mpSFEceBc04jKGhkYyU49dj81ho8DW1IXywwcQsRjK1F2XL/rwph+/qQNP2WvppYh kLLNZ6fgEg5fUOpeO3SmRo+RGObnuOd7emHhWVa+JdEjVdSek7r3utmgbp083O6Vcd2xDIMxmcJ5 RSqOP1kEjysVvpRjX4JcHClpiX69Z8+fWwIExFVIUNpLoCYg+Q3goXDEVPuVBy9w2XHLWeNAstpm jnnG3Sw7VOCoMqJvxEwsL9yXUUvIdBezbhGgamahAsm5OQz7HWGC4oJNMmOrY0taKw75YecfQ+bp 1nanIDIKOzq3Ozl5yg8S5i6USANL/eMVB0BkoN8h5NHwyB45A3Smphu54r7uAmq4kdikp2YpoTkA HRdW0+3b+i6Yzr9/WCeJaO4HHl7wPbQjQvszGN3ggaK7ZqZ3byp6a6u7rqF29w1XSR//W7URCKVR FG+09D52rVUi/1AqgAGNW+HCDHJmvSflEdK0JD9FOg5AC6dnbga+EGuAg52PN03jzBLPbkFiy0S2 Op+3aptId/kAYO5k3kvI8vqfyHElEElqMX5YdCsAjebjuOAElZGb2n52i0AE6I8B4W40wVZQiZRA ITIMiy7ic1tUYhxTYYpKoEaA0l5tnVNC7gNC0V/pnoDfonRo5bh1a/jR1QFSDv4isHXtJJWxrBIo LiLc8JPl7q4phZJJ2/CfTFkoFnbTRwuloAhh4qf7q+qPS05uagH+jjt8TiDu5IVQ6qWp4LrTleQJ BvD41/iilVhJ68r2sg7XrSBF+D1qTA16h7j9DNSyKleKE214YcenqenDMleNjIf6Wbb5lufZ/HMR YTXWoCoNBukKPmlqsP03W/z2ePjezojNyfHRQg55GVll86tPnbo4vcKDLBUK3E5fRmZjE2/aGR6X wXyHFLGDztwDQrYBZZ1rVJxDK8G2LEJYlIpYKee0RHU9nA1lqrHNKlRKrMGqmbp8MGsUGDPmd7oq sJORZdcoy6jVJcizWwiNa4wHoXnMWZI/em7XAiyzwSryycDCFgroTFzYpFRf4EaVQ5EcHpQZya/r CLCcKTEDP52TRkf05nZzZqaNCVrNWyIKpjlf4YJL872mUVdQ7iekmr5EzVupGmkA33b0jw+C0gaf YQ8TUQp89lJHQLnlErkaXCM0EfiwNfXKBEYWfVJfAfdu/iECKII9d/H8b/S1hb/HJS1FBsOK01DU odGIrW0lIo/+TvQmuwSxtY/GuvbTPn+VkbJf6UjVJGlSGbC2vpQOV9EjyPy/FhWP0ThjJR20aavS EuPwwWnsbjxjks/gLab8LuTHubB3P1OXWfbeYH4I29olVJ2v623b8hWGcggUGeDA8EVkjofzlyDa VZcXBQC5eo6VmVelXnZdLG9ZSjw+5WIwCccgkjRxLYM4g1Y/8DkLLIzLXgRjdBeTEAd6eWUqrwZX JIJN78ZWjJhPDA/laFinL+vFwrzRXoqFE4FxCh1R1tRJyi2FrUAJdEDqxMZCFeYNcyXt9SZUIt9W 9DsQ94bpGrDHCgExeacoYrN603orL/xsgcOz8Vpz5+e52eUnP/PkTT74wPzgf0QikuNj/D1+5det gIw7Yw3xgf9BqsMSfa+TWaxhoqrxveYWNJLDpiFD274uJCaZ2q1avpkouBbnPzOITK84eYOsnTNw WlXwHWf7Xg4KoEN3a6gLmHEk5HYvI0MneaHx1XZDjQgtUsm52R9BCxG63gjd+9gM/kY274qLAWTV EHVmVEOOL0BFdGwoWrtb/7TomaiXJAFbeJqdyZ33nitcvzkVtP3c3W7TwBHgQY94hd+tX8T2Pkkh gQsfR5N/TW+T/QLeKiughJ8vSjuZq9fxKCVqKH1r4Q3pxMhgivZVifBvs8yQBXQJofrW8DfBwWTm Xr1D4QZRVSINvR9MwWI01Ggs20SX6gze0XFjxs5Gm1AZd+JZ4ZoMCqMpuxUCUzDpgS5oKgsclzUQ cgyirrkwjWvPt9Y/VkMgggM6k0UK06AsZUqTw55+nPwWgTLn1DPJ2d4+P/JJRGW1PzUXdvdVSxJN 0e4ShzC937gVQykF2gQefZ6k7AL5E8p/fDfXDtjgwLDjJQu6rQTlIuTh1hkar66kZEctFeyhnmTV BB49dfTeM4dVGNfAOyxLG19hWgWVJUB2M3thKyLAoqUwUsOQO6yakh5bt4xzXJxb/s3iswctmnlF tQdg3chCuvXJe0o5YceYeBdGOOQVWl3Jjw5nX1RAC4tqgvlYJuM5JndieCQfPLd+U3wz0+FtWMVF HY8mAD19ZyFmf2cwaUx+UQvo6gmeaATSVk4571I7dzfqu7OQYxitupj7vLF54uMjhGLGZJHZtvpO ERDcwOQCNREYqPsLE+VLcXVkdcmH8T2AYOlAa/7kb41XWYeVZL0vSjmBCFwWt1de1oSfT6Nc7xhJ zKYjcIAJ4NoqmZN+tVlj9ogaI3uI4Xeyfn6+1hPt0t2IwpwdD+Xogs2sgAHKCJtr4L4JlgA2uWYn RJ/sHS+KmNTQABM9MxooKBUVbD1uOw030FrFDd1Ye+qfPdo4H+InJs5aiWfVw+UspSGkNzf6PUPm zTjL+6OwViTySpyTpqWt8SrpNXDSRlJQApKSmyebBpACbdGEmSFZyVpxfL0gjtxNXfCKntMVjuUj MbL4m5eynxAlVmDUjSJl6+cI0UZlQPE6phhE/OBWy4fVGhdJ8FnE28gQB05ec9drjSGdZAylpjVa bOc+LcmsRnJ2NK+rxYWEcNsoRxVCPzFxYRYAYevUgZIqQq/hvqwhqs6NNlV44eiWAI54/7KYkq59 w6oOA+BMUkYJyFhcDOS2CG7ZmLUWGBLTOu2FfKTw+4f1ncckv8DUu6QNY6UbBFLjWHy8NliIEaSw 1G5dVHIEr+yfphv4ACnwzbLmdlv98wC0mTJV0Eh6Q5G92Xjz66JSUsy7EL4Zi+RDaTxBkL154hDq uuAwN/XKQTq9lgufO3B15ELTHTwIfgBeptdUosNBpC9CVgQDaJOgMI836+hS4ELxAkRqcRA9YRod QL1MVAfsUdNj3CzLD0R7AZkOO9I0eGgg2VsbzJ1qnwEKbvs7D5AhhJUwRi42M3b851hMj0tEegRd IOubhKsuEzYlQxv+o0r+tI5Y9zALx5kheac/SzRiFAsK5u2/jXPwmx15fWcqwmFj7/XEx7wBwGXb EGEaBeqwWttX2+UFAE6tU7RGrbVY/UJrso+T4cwjoXHWJWYeeojQTNk+jU9RAJa4A9s6NTIx7CZh 1BNoU0keDiV3B8sNnoIdAgOVwcx/8gZPQivR4IVTb5aUwyFU6Z123fpPs689vcjNOjgVG2AZmhF9 TeIY8wj+agJUdtPnBXQ17JhLp4DqQoyVdZeSYx63TsxDAhtRZ3w+e7GMMCj4QqtBs8k84GA1AOmV kkvNmlK+PIOsMr4XXF5xxBvwmR+WwsfARVkefji+EWRIukESNX5SfGFN9I3eGlAXhPg59rkCIYzI Bly3AhE6AOUTpho3oR+ciBsgUjnZMq08uABQtGij+gQIf5ygSM61EDC0xWIP1qDyyfO5xNwAmWbb ahsq1Ud+m1z+kHUUdlSWZm45QSqd5dEjuLQNqVFt3iVVDGQze9FB+O1mhVtSHxKcIy3gXBKUk+/X wO8Wj1+P2k+aqLoSbNNzn3xwpnoTj7NiW3bRGXzGiPop+nOVBqhtwUSmkVA1BrUuAOkFOHRqRFdf vJlq+K64Q6XfIBfwp3iTXfl+GoaW5JBnG+LGbV6MBXa2ZeA2QQvFq30Tg4q3TAdxLyr2tx/6kmdS G84R1qRMSE80OZe9omkKNXTE3Z0Gw3zx1hbunvUWgVJLk6gDjJudXWJS3R56bBVLefWJVf60gxAA JcyQRqYPLc51TjhKuqJPA4s4nE4mkNjGsX3+VJNNSiVkbCBjkPArCVJY9CY7SxQe5L7k8StvQQU7 22J5yohnNpUtAxuxG48cox3e2X0dw9AUdlXxdneDffU/YZXjR7tnDQuWtRVGmuQgvVRS/Eze8Y87 GSxRejrzsRy9Vb2bOH8BcYBgAo8dDx65qbUErcCJmd8Zev/UWb2pI3Ym+CIY8vwWjczKWkbHrX/d 1SzktoQ7D01c6vFQnOPF9voBfpCtR3kAMpBHWX8BDn3XtTzUjJ3nHrhQlEWZ97fjHwNlGhqVW7Hm 6OVXBSPsWhReGwPniggAYjNGmKjPBjkWeGk7wog9JHvZM+osya50QoiUcMEMVghIzacgcPVOF4gj iG77INm7ort7dGVOJHz78pdsXY+RlxXrygwFoSCqfVQLXIJqyx5/Cf+pWsacMqSny6o2mG//Vach VmeubNCrF0gnWXxHNfdtmC/TlgmIMbfyqvGAxdyhrK8S0IFLgFEhUlWK4YYCGDl2x62F0sRPM15b kfCkXZ01B5wDb8N5xHz2PiK+xYslyucnA1aMfHrkjWuH9tb2atKvmgf/3Cegzo5D+CIX+W0jVQtW 1mrOWJRwRFWHs4iQIh9iCuuQL8o3lN5FO4M0yLd6O9FYfYssUZpd9qiWs4F8vGgvINoBTzWv6FqQ LxWvwOC7xtINgEIqCCk5+5vTk3UlfQnfih+D83DG38Dvw6F1B1E7fos4Z0rkjYfyk7uij1RoSVBe lEauIsuEZvRcTHA3HTx8Qqoua39wSK7+5S/2MAvSXbS9KLkQTwuZfszStRbZO3qIte0Nc/VYc0JC yNAPgnCppd3EgaO/NQDnepBPA6wsNeH0HgMtUaOKqtzdzJGmfSziw9+VL5E0rHq0qZZHHSsFxfdF e5vfzRxERZrXAsa6bSIwOoQq5gVbQCMXRNScNYN1zAoKDl8vB95ahsA96fjwHhghKsQYqZlGjSGT ldss68z+QYkOD7K/Z39vXr+nmztj6ZXGeTE1GLJkl5MliVGV7vpT33a01gOSiJuh9mDVr8leCfdl fGEROM6PJqc31LF8u6ZD+H83vMPRohgQkgvFI7JDOBkn0D3pPqghQ0eevME9z9OOOSL2Oxsbsry7 efG6ukxBxwtgBiIjmq3iaekLKZzJRLXvIW+HFgTIpYESgVOx/wdcaK9eaq8vLv55SKPnMJxoVmfg wI7gBsYeB7KEyh5ccSfe5u7t44NOmVtzJRbSUDt/jBkvVCa+JNJXOzzbdpL+/hp2e+Nq8vitVgNI uoq+tnvxjQEPy+bZgb4jV52EHT0IJzSQOMDJaRNe1xT6mDux30JuwCceab9YZ0TS0XONolmmXwEH i50mtED8YWUBqeLUP9kBxZ1x/fUCOlC2w05IB/gkyHA/zegJS4bB4w8OsQGIraKGo9xsW17e1nxw VyoqGfO9eaqlVKPIcvNOGq7wXyh44FGKay0VhSVfgRfDwa1xmB97rCczh3VP033Jwh11rQdvnP4w 4OgY/J6lJX4N/gfQ5qxyM2nQ3ZerTs8Vz7IAxSJW23RjkWurgmER49V4kU4dm0MPfjcvvgoB92Lf eOWg6XJckD054dLlRXJAuc681w6YeYu/qf+wmiHf4qTLDBkUJzp4AUGjGDfuWm3YyQCXAFJzcfg0 n0hGgRqfuqZzb8u1ox1+5UqIgRAJf9pHW2kA0CPy4v8Bu5XJ79RxowQw6uI2Dt8mSU8SPSS/3WzX RUtT1l8aTVFn+qILG/hAQ1QaaI54n3f8V9UwhfuDuZPX0Wi+NoQmW4esFH+z7ydiSJQCiMdbF7iw F2ZGcpSDzG+4ItLof/QHUym5raWoPEFjKwWjQFhNkG2Uoht50qGc5xY2QuAcbFTljTpywkK6+ja0 1cMwA5686XGZVeSGN6fqZ20sShFXmVT0mVc4FmmW2InFRN5JegOcBGDM87yK9rJ2Cfq+iBocDLvA jLFAklT/f6MXmqbAsg3nWMoaQiZwycespJ5kIBMDa197TW6WbwKNo28lM8dOOep5h2XiOJKt9lEM RGkwJsIqE0tJ67wgyUuhTdQjwYoxWhyd2/30fYrpRagLVfYtQdvAqarF0z+xWhXNSpMsxGaomEKH cu8TcNUWeLQpoClEJoz7Cj3HINhQs5cemjSgYX+ZOY/oNy2KJ6vUurmzkUCkdNLrnjojkp8RrSBj AMrv+qsIpaIpqTcnEf+Ovv09D3kb8J6FfePHTWoVE8nvyOBLPCoNn8LdsvPuTVs+zA734IHzy7jt KxD39jgd1Waq4LUuDj+ZtH7d4QgN06MgyH8rCAjSzy7WT0TcQwamrnhgZufXQ9P19zy0ybDYCNQ6 bb8McukvMkPEQ5wV/M2rgcxGyOJmbJ2VVcfei3K+1WIl58FKcEPc+rl2qR+mKzywhXlrQ30V0SkJ q/C5P54RKJPxZ6AGla8ehX/qotgLDuTPEZtaWIfw5AqxLrEHgLMvQP21cyAjgRqqDhhfj7ciXMwt 5ACY90AdrnAkbZGLyOoZGkSAzGOedWxbldGoraiEyNhVqoRLpOkjzy3/IzZTZz9p9fd1ZqsNaovK xF+FGvFFnaBd+yHwpuqnrCreUPshyXhSGFhUctVeiT9FzFzzBz9SI+x64MJZp884UMsuAPdfHuZ+ ZNNNolWqjX9dFKE4euLd7AvzLkOzO3sfqE7E04hDb/r+GqKxuPDz2Phc5cChpOJscPWzUCxZadvm Ew3FX3Fx9tu+hndfK+knUi4z+Ee9Ccny4hrPetBvQQk1ZrALdh7Qfz+u2HJ20phk0AtFaZcLJ+Dv Wj7TvhnJ/DM3jggjSspgFD9YBFpi9ff64cJEVg+/yPGH8T4oIgRk+y1r2LNnDinGix4ORQFt5PN1 5josIJKv3ZSeIWPg0m5k1aSv81qQJmQe5Uf0+47SAJz7UuOS9dn4Af9aJpq736jVYkIpr/HrHoLT 7X5+VYYSKtFIWmuVk2l6ZVa9wWqbiFfgd7DVXfuWgCu+veNanT2xhy4Z8zjU6m9mILdYlNifo5B+ yPSIpVqT6KjCDA9sO1GiWkhnM7Gf5f7AfN3p6urcZVuCoLG6DGnhUBvVUo5Bw8+AO3o8XhmZgvc4 TvBOe3+FD1CMg7pgqT6npyYpj7pRYMxWclKAjBUrXrYg4VeckUdyMg+m3xC+ZJF+b2fF8HphUOzM jp5jgii4yLQn0lyAviiSzz1oBK/3Qqb2bx7YlFkNudKqX5Qtlt5I0snPQ0uiD7YasC34OoQt8o9R 0Zmw3DxEY36Q7iGCNKZ390Ztn7laWUmx+3L5Rsdad+uvNr/Yy/IcmPhM7cXgxd1AE2CPy99q0SdZ viRzgBm9T1A++szYmG3LtaRhDut8TifVqXlq3ItLfU8RQvFVTFCfuWrMYRUpy0Y1fXIhdt05rImJ F29RL7z9hC7L8a2r+ABH18Y5sMxkLsUGx+Doln0Vc8hQg3fQJkhmfWMH4QtUmi7ifEs4R8B6NVg0 Kn2zFxFND2NuBJEDyqnXcyCXli2NrEzlw9UCQGKEIGWQFtnn3eZj0LR/cvumN4ZvlENIpQUjpFl/ b5bwiu9aSQ2rLMx1aeRpqrJ7xbwURqF9oBAoLK8jR2vC5n5HSV3BD/FK6acV1cLs71v98ub07VB5 Uw+cvY7rTaGXpnHhpMtJCDlMfWAgLK2TGL4HJRbZlKGgZ2J90aADrTSZ4WOmC+rCn4lPEkNYSv7T JklWiDcJkP2FqDwPza3DAjSMgulnkt6Ee1ymaiQMkcDGez+RG1bpVf7SDZiulkrzO4c96I3yhEBm jRagzA7fFDFhVqoSBQrPz4+SRnScTuKH0XYSpZzosDqlfLoKlIcQF9gVqRsrKDMOlsINGg6P1h4j 9TTHHYx40DR/AGOU1bj926ypyCYAHEZC0k/SD0qTPV8WZpjBx9+qpwwpNu8kAHNbmx6i94Raz58e qliqrLiTtMwh18fCrp7wXwpuQU9LovohESyZ/udyoA8al4NBHNZ2kFIz2Yen2E3RlZg2izaCokuV z0jR3zZITtO/IxHHNpP7i45pssoWoJYGniNDyD1L+BN80VFo73nHvVbIfwer0Hfo74FpJGXLLPlc IImotgRLbULLKJ0iKi941rkSLuY7/3RMHxykPSLWq6sVDRbcUVJVyF+nNH/n/OBxJwUFnjIWfHHG VEvbB1/RfP3TM57DphnNCnEbDfFbo9xsuYn4a4EQl66841vu5AXKADAQA1uh2aOufrx8/cAnM04w 1ONx9NT7X4hYvRo90d0VG9p1/vQNAKpjjdXgNLzkSQ9rxJRvaJ+OvIMb+/maeNSvTfN5nhqcKVxp uhkBKXYFLJtiQflpLYZVSeEcpo2WwPOViJ7uviL347AmQq1ljdfw5MPjE27VoNRTCgGgJqBb896D 1N+JH4bBavD4cLUZRV6kc7XwTMdLr/uNnCrDZpXeNC8yqGT++ledBEiYMJcsmC7U0FIxmpO8sJxB cJ0gHo1lES+oh5RZLrPrNICPs+i0K5UzBX+ZYU5VMLovhk2NNcbdOcXwv0zNCe58/eY2F1jaEFEZ BXV8DKkNZxaoArk0H0VeckEQFMu22wNts52gj40vqG6X6V+JJ/PAHHN6vdGLWm9U+NkXqMJ0S45c mbUIOfZumIm0iHn5cQOJ0D03gQxz+bHGixPZMTVrIsoL3z1MbqmKoTzQlsK+Mv5lGXs5khp03V2U m0phg/AkKUH7n3RDrNxrZnMgdEnFtzGYhoCMb4zlUx10yFZn4co6TdVqvlHSZaB84YBNgS34x/Wt f4KrU/eSsckq+DwqMPUy9PSLJVfrHugXepDHrTPlyLKYkP5PS1aB2rVr9DCyz+ZPhiTIaYuBPWX8 qmDjubl2BuSsQa+52DoMp1TMpbhJ6HGyeQnMkmDtQqZjUTXZO0K7pHSQARsydpbj4KaYwOxQr9dS zqqdGbPFaaZ+cktdgQxoe4AoCHMulFNxu5lsM46e1BbkA4jgpLwgaH+Pgkm6QfOCTSxUKQARnYOD GClfezegveQZUewZBJ0TUhuuEK6KEg6TCjc2F10cfNiuPKpcOIOe0qpxmAFNpzMmjYVsj2TH0Cjm +QjOA7WwKdKAAPPU/2HFAI5eMrCVxjZ8liVrhPsSp8goQ24uome1fZm8npjyekVKFIFG+Zgk7P43 yxxsezDnZ+bFbp2/CXEM7Z2x8p4TC/vXxysURej52R8g22EvddchWMZFS9tuM2HuRT0Op2jOBfen kjDCN/iPv0fJ1e/yDKcaOK9+LQuPKHFDti/kfERavMaKiMUduUeKnFU1II3IEXPvpNjH1WwnN/f1 DMQ17lfP4EQvVuELmzOsAok8gR9T9XeMfeJBqQwBF6ufX20tHkQCAMOJyvHXaXoSLtzmdFOizD6t JGUWPHiY8XGIdY9TcxROto8SPjZo1KQHIv20beEVbF6ANuZM3DezY/+FW3NQxIdbYqUO/eW0/eax g5O+dBOtkygIA6FdoxILPsdnhEFtjus1HhE5u/fYlydBC5FVKPWpxgmr8R/DFjr5dJzALEHoMGpI x1f8wSS026IqpwLszXofyWUXzDMVtomR0F4BDZBpcvdZqCC6eS5LPmzefH7wem9cFQMhKAKQpgfI b3yUKGFtqwssCBAmkIzWsqeg/BwD127YZuyDc71U3H5G6e8dMtSoKYsbEy7CRc4WWg+Ly9PDbhdd JlujQbWyxDp3FXs+DkgFuGehgubCurCBTWI2p3G9kHPlFtrPrrKBePBVO2c9EV4PsSsjmRzOm5Fq y95XLxzzIkuvbBbbM4YYCkzge6BAOxBKfc7g2yicg1HH1sL7ayv3q93n/LXCuE3kArkH24ioolRf gniDAw0htsav0yUDkximEkdjvyQfJpz0yIpyVC4Yu4J7QoIjme6BWE34AuvfIY0a71EGLqgbAXG9 CULczBZSXUl+PjvpdUbDvEuKn+ELVLKgbPNS+3y2LueP2rLK54poD7A9YmmXBc8/fXbin7z0fou2 IgaulOh9/bDeTXVjgd2EYtECR75HbrGdyqP5Yg6ZKLCezxkpdgusjmY03R55DKnEzo5TNVeT2+fq PYOlrJGYqKyxHM6yFxL1x8MCDO+3cT4yKo7xSZlG6gRSQeAv0llB8b5N9sUxMD/vkmEvfGBpUzL7 Ftp+6rEmBEKXujWDNEdvahQNzdZlLlTcS33zt3tIO2nvTcCsL89ViSOXtqn775/oL8KVTTNKPjig oz3aioGvxkZgUEHPnKTFGAIF5vaqM9TMsco3nDvkyTPjloqOHtJGi0DRuMnZXrb8y6HsaxcBMlFR I6K8aJI6yv0RVUmwHQKWu8UzXXQ2GVsF5gCWVsvR4rqR/CQSC8LcqN7YOIiIolxt0fdTBu4X297F KOa7kaDLIqTDNSfDrJ9DEIDw2MwXAi2OI/pa9fTebxdUs7GcfizVbm7OVN1yOC3pQo+F9h6L/asp B8EUtWp2bn4qpAW7dI1btAGcSIp/0PzrroJye7dZ0kRIKRgWpBKkpnr1CJKTx2I7srOmltfm9liZ k3jQFomeoTLbxteKYXcksVjJ/8hz0lweWSj06FrnT2jGtR4CdseLguKz8L0XDk0XljnvQdC6DyWY SvBIXGxpnJnu6qmP/rUEM1hx51JAJC8SehmHw0wnggKukBO5xbbfKDlCzZ/YYg9myHcFnuJimFXG gthc9xz4DVhiVeaBR1HM6zmiXXxI1qDIFt5526JTQjsHzT2meF2iqZR5tn65IDjMvkIX2Rn5CnVi 3cTNK4eWz17ePpEM5P4SohXzd9O6Ur+xP8vJNjxhgfeMK8xBskVOM6AgZwnpT/67omgVxfTqz1LW doFyscF7EdppQyYjyKDtClmqAIDAJH5pIkQrtL81bkLnZTwVqvN+6l80Iy0/Z0mTwRP0xK5Hm3Cu HdUsTWYytnYOsfyyzb9O5hU0p7+hDw8NnqJWVJQGK03JTz/5K5GbW1VYpSxUK0YMdLqcveolopQS Fbf9fWueJhGoc+Ar4/fYyBTARZvU98Y4JDLXGTgXbaEpzTvYyqTglET56VscxMhGBKYRdueDwRR5 K0qBcfHnfCQbCxBcIfR2x3E8Bd9XPnDdKcZLRdGNL/90BgfCulDFpJSeUh3lTdTx/dRg60Wzb2YP mjavvBTPzg55sJCXrRwdIe39+qehEHfDIGKk3ZZ8wD1weI4pOvYz75zsNuVBSxC8T0wwrTb5GoGr 3P9QlJxCFF5m02j3Wc/h/0KPbYTLfXUpaTJfk0awbbG/CN00zx/p1AFS/McGQHqtkg/NX53BVcME Xo66BlrD4+R/5koDhayirbRDJi3upWEaHC5t0eI0cIypLavuYDbUH3pGASf1KTlOesxthkzWIXAp 0Ki6hW32+38/mzplka9sqiIWwaD/5HNKGpckOhaw5LxD950IitMF+sC/kt/RHJHsG8DjFpiF+ewl oglXdvvBRoU0uNNttqyire0vjEuqWjlW8ZoxdlKdzdKUA1lVVs6D2zwKqjX+bxY++EUU9OYw64dz k01GR5F3qpZZg7cNXY4Fjr8FWfx8rBVqNQ76RObSm00f11MYgMrBc7MFK+ZEu8v6u0Bwk4aYFmUI ZLn8INymv3ZtZ59nzrH9sep2AxWqF0FrWMVNjigwV2eBzkOFNhcuqupb3wxhXL2ZyBcA05f3Rz8x 52tWtQH5TaWge7VADO0qEPBRmCqL0sTig5mbRb4u4ziIBt3rERz0xVpdkhEUeH7NOq0VZM6nk26t PLPa9Fqutmi4z4kmWqD+ZiobY1k8Cx3H+nSOE8eqeXxvl3jFun7L4LnTESUh0l8eZrG71hjjzABP jVt/0wAwiHTAN+BB6z9ZR/nbOxQRkdnsb832a9IOk2j2zf5S7jlfyPbFTTA4XDwqSRicTKef1Hf8 Cgc/fwUgnKwrJcuodb75VZc3+auSlfhDmWG0kpR0GAcc9vAO9G8A2V5sFXCbYI6eQJi/OArEDxIf Src85S7+oB78NpSj/l23WlYN+33ieLRyOyke+svHHSRChv3jMFQXTgkjE+gHZTmJWnV+Ts7Hi3Hz Se9mYFo8fOtVRuqpg8YtaPz6ZwsTQ+XT9HftBsGlt7Der/pCHVcJ9x5gzhRf8ZrvZeq1XQSbo9HR 5/9c6gWwCWQxqwmhxcN9gXxyHsjZtv+Q/OjyJc8aRK0KYWMg9LHDfEEXPdxQNhlhh5k/eQBdOBpO rTOhK8IkLOfhVGOFFOMkOh9mpLrGHKUqEFscmnj/NqwcpMgDqbnj4+/0ilpHIdaIiy+ofeUHlM/m WwsQY2Gsue2ijMItNGq6f/uiN9dr85munNQ4MQFQzqsb/az1++Snw5RHy2CappSQmFo/Fvc5aLnM fB5w/ibanMCziBB5lyDrD+IZXY68g55qeUve4Jm1+1vjrTCMHZEgcYImDr8G2+OyokOnQ+pm2CA0 N0dUXGofH3eFJiaE9NqAeCRjeSnzxn/ThJSlBZqe3G3ChuEBpKgGdIOvU3eBNWCfuowOZAzPMb2H Z1h4qOxQSpYro32QgV0HiPIPS3t2DVDKlaIcsmVZljQClVEVQhSfe0Kc0KjGlkJwhAd5zNVYsOis v2YfPMh+/7OaSciUSz/ZYL9Qr9xSDVBVMTT4ygUpp9HVYJ3x4LbEc4ETHwhRSUnZJGBvpRZmOFMZ omTqJnXdzPPwanOzZpfbCPn994FPChfmKzP+E5vc/LaTa4fooT4nX58nWA2ubO65R1RzTj/6/+F7 zyl0/GxoqYAFvsQHK/mQQ8Ppcjsfa9CJe0r1lRxze68nhCk4joeOPmZNNguhorPPTaVMI3tcaQ8N Yn5QQx0mIISZJbeKLupqmXHFZn5NYwW9SJz9kfVTCAeKea599qJM25LueQo41oCH4mWjqwEt77QR yWpMXMycdiO49OSOD1Os1uvLmfcQd9eUx5zHmBujnZ8UR4iKU+6eaMXfWTtRB4tsQAnHeXg73P8X VnJujTOrb06A+qUdhSRkSCdC+xva66uRwBnimfSYtICSl6aoO3c6bqtifT+/6/5evb9ei5VBOhgt piecGLOfZMuy5ctkeK2HIFlXQMPbsdd6z76hlqTReaL9WzY+p9T4gfJ/IWw+PEFSy6KF5E10ztYp dfUDTgT3FRlp1n/A7ybzrYb/akK4nsvlqRComkwtkCNNLYpzjklevK7BObdTIropzavItGxB1md1 JXO02/I9w4zIOUGJTbeSzDoa5eM6IRldZKBA/R4FRfhTXBeLmYHg+VRnpomVp57uT4h4zS6v3nQ1 nf4VEoZcan09pFkkpdKVXNR4Oh52U0/r6FMKGnV138hH5GtXXMmuzCpcKD0glwLirzXhttRPcd5R eKfehZE6LGhsRidEmMT9qUtUKA2yRRDse3+JOqiHKvV6l10d/yPziwTwAieOtwoEAnof1C468QYi ubPZYv9m11XTmLKJV+ecLb7YNAciEgVEoUNHkE2zaMN47WNW8LnYuZaxlP/F+YnCOpIh5iJF3ay9 xhjNCqfMrYwlrYIYoF5FTflrVG85QD+NyaWrDTj6KW15LVb3Y3xRrb7KJwDyHruxkWtFFOYbU6X8 ep8T/eGhnRsjXH0jBYzL5X4h12KwoyWOuwI7s6OJBq8Rj6kuNzHfScC8xi95R0H1OTE2UD+XtYhs TiQGGaMobA6lvVdc7/ZeZGRFPfYOCmDK99RbVjG3bnnF4H+Mo4Oc99uWi04XeYgHihin/8ybdgxV YdTVP99DHKv9Tt67yaTBWOzY7iqIXBsgRg679PS0G3EXe+kKQp6hAO+wjTsHr2oSUoQM5NrNhfUI ZHOOYE83kjoRUYraWLqbs9hx/08RaG5U1SiBqnM1UHDkvG7zHIUZI2lIp6Jm1DmItY+blBUp8xos YZVnw3T+ESf7yHbW2ObuI0xnd7HnaQaa2ophoZK6d8VGcpl04CnOSbjTocRwCFjkaxdUQNJUXDNz 2BN6AMl3ctCZNNA4jIjsMhFNA9pTiHQt+2suurebTzwsRNKiC6DC1/J6WpxS9fK3aisXPEHW/LRr hiFpSY2m3Flz10gcNpKWCDWQDR9iaNSo8dhDdoyxGXkQuHzbt7oc2ostYn1YrqZsa/Hk1fweO7Ya 6k+k44YuL7YRkZnmAt2s3ck1PLgNwUhft+pFM7CkWprBnh1whoiLdKUvoZ9hayYgS3a4IeP9oZBU 4+5JRHdZB2HUnAo4NKE59Fo89d5G//T9w1ei5lsSvuYbIu7fIH2XS68BKnaToUT/zm68oMlf9pJi 9A041V/ZP4vxlWxf09xapVAnoJDK1QMCWGAP1BtyOWp1zon58ACdBbrXSjMYY1nnpQ3LAphfjlYG wvpxoa66vjbNTV6gRHvTep48hr+77SmVmVxJNgtNKo5LeBXiCy5kXsXg3XU1a3fYZrIgV/K4D9fm vBhEySyJ4YZHcQDQtQrEgncNIpIvf1TtV6rcPkDc4ZQSVr3XVsaqy9XO+9vb/xYaYlVh+AKIUqWc rDDvJGVkz/mHpHYED79n8maDr4EwfANeCO+v3iEUAhqN8K7Ipm2656k4rMItkfMJQoOluyc6dWz+ azg0KWt5e64ZePKFYSxXWNOUsBVnIEtBpWm4PgBsFRLYhdYFfOQhnRu3WnJ/ccmS6t36/Gfq61Jz hSJjln7y9Rfkfw5FG3LP3/YoO3JrAIZmBB7J540xtG0L27sGhiUS58mzR53pGZnvnGXfbIVyACM5 ET2Y+c9HcL2a8USvbgfEW/6ovz7OUCie2PeU1NCFMWAX+KxSyLccnJe4WmMUKTJkIefERy06dg4c Nq14oXxk2D6uHFYhmHwM/ezn3nX4SrB7F6b2UVVOLyTSi8wNpqfR7/3EOsWT5XmIS6wfFgpUhSsG /2ZRlcGtz4sIXhPZ97ZR4yLmVKz+nEo8Z2aehE/TQJgkjNdl/xa+/LTvwyBypBegV2sGKi6IPtLd //kXv0J1HC6/Bf4JqGufgsozoVkGZi+IiCvhkQyZEUbg7xg9RYOjcDXpQr65BolsASXOnP5bIjCK seoOhaAKRBSCzhtwkR6Phcv3B0G1D1+JtR7R9ymMvAjf8QNHhusN/ppoQQhRcA7+06HsRwIyHd8E WvutqW6u+F/OyZayijnbvX/pTAfjC2R+ansiy7LjYjbAvsTbiVQSoG9UuqOHUt7CVXPQFdWn1LbW y98YXSLJ3t9OfHu2pJNbpb7w4Nt3gdlpmh4KrSQ67yeTxwMwqQjKhUHVIV8Dz84L0f6soLM6xgqV RVZVSQtDFjnls72cOg/+n/Lj0e/VAZAt/zQYm20PvjJbRKzWxj4M8WZezOPVeiOrA9NjJv4aACl5 hVvuTl0ehOXADu3GAZ61ZYpYhT/50zR9x5+JuGxHLGmoKmTyjChGdm/lCNECNxgdhLPxAWpBfO3x WNq+9dNsFwrX460yy0pCCdl/HiB3zqBGwE8KD7PzmE9uoB3e/FcADpeNjejDMoYg+zm7ius56OG7 biwF/Q4UmVwW0tCtELjw7V2WV15Up2cr9H/UEGfnvn8rB13oJHQ9AgRCFDk/iMMTbTmZ7AGEahu6 JAmTowgDiq7YsBfYvLwBYNKFPDZhEHYYQDlp0eaNPbgEoO5MCfo3VuEzsGfM/Z2sF7FsO03Q2F/c b6NNSyh8ki5kEizLN+7xICAkl67AenGWxzxjF8Pcy52SWtTHzvwqFFAhglYe/2dCO7qcpsM8nMtn iC+4LVkvnezkqVZROyBQeRusT7IvG83C29PXh0kCpHQ12ai/i0Y6fn5KZRy0FZbPXqQmQE6VztBo sw79yjR6jOpw0a2l67N8hg82tTsPxLQ+Nm+MTezP1v2x0KuEkftlXSgDvt2wD/A0o8OYjAo5bb5l IbpKV/SaWTvjfxdXqM8yb1oxY55CB4olXFTkumgpxj0UGf+F/MMl5qcOwrW7+bsJ9Bhskt0n4VMW RlPDwdbVvde+O5S8ORGOdioV2rEep/0L/+ZGFtGyCwV5Hepi5XvLcyqXphy4abeXcYFQF2Yg30hY L7OyxZ8krXzQi2PaAi+3JOC4fCWHOELIeFPgwkiHrUMmb1uMt3hijTCDW/o1TawkT/eiqJ50CtCW X6DGIOYlc0CNgCbowLSJvr7AqJm+RgsCmoDiu7zSiXFL9XMgnlljhKk9hquc6wipaVzAAzO2Br33 lhSLP0k9hA2G5kFV2H47qJd8MSAf7PAtyGbx1pDchUO2B9fyUaPZwKYIEQXR15tCek5zIf3ARitc LLSC42OtaGw02nxoOC5Ims+3o1O1cRwFV/eQSwfUS0LffzOLo1UtspTDs4KXs1ZJMuQC3JzcNoh6 f0R1hzkyfGUovdxvibZE6MbZ+FivVYItphAITR0QefQd7PspQw9cl/3rtzmtf/p/z5/Rb6rPHslV LGih8JGxyP7W1iOMs4fglHKHUQrXRTVqZWk7VCyBLBg5DHbjO6MCyB2+jvMnzH5oLJu5LbECSgam HAHp3NtB3oCpqiJiN5Y5CAfj2pEqI623JE6EUK3Pc+jsyCSo81e+mojhC3ZJs7kOHY2EzUWTcP1h Z4FzAaf3hjHvC7snDuOJJz3DNYNqWBtUcY2DQfftOyOpT3MAVxo9pZKH0HzrIYDQRIUnQn4GZ/BA N56nZaxusJtsyp7eyLRYcPnXqRbvtR0LAaid13yZY0zGLhjJblonMXyfDi9gjWLLwfZ0EKIIBZjd x8usqakGBVu7GkFU3kldrEo4pvRLA60qVQ8HV0/az+C+abJ0+buJzHeGX78CBNvLGZefBN+2Yf+u zsSsqp5PelT784MzFIMF6Ai41YUMQpmhvEfOULbSoALw2ImQz+fDULbrqI43mpfWtJtwJ1Zl5boR 4rGILfaFIwZRXHxbRgiV/m3MVxUWcu065P4v5GKsIJIbqUfC1+Yyu4x9uclY1tfuC6x2V1sjkumq yvPl7CEPeRoFoR1RzRnhfQE/TriwpXaxXHjliuuRtGQwjFBq63PUfws/yySb6gVLRDTHWsYs6cLq TlJH8YcD1UdOpPaIdZnE3D6UhsiVfe8srf4r0kDSq9suO4ibJVB2Y8FAH31pdE2ctmDC8FmD4U9B tCo/kO+d65GuaffxdT8Nw7fY15g6S4uyeUElzqx5pmbxG9BpnQAIPAAty7oS8RiY8u3YfhYElhgy wyBbIcyMaZLOkMFSiZIIzPX+Kvd/y+n04IP3o8Avcmr4CuOhzWFIIWQQKeSNB6UiPI5EiPjaAK2U 1w8CK6f14Ewjq4lqKpeUhjoI3+IEHW7ncXW4hoiloLeYuzwNCZ06OL/dDN6VpN/RDIEsfv/NcF7W 3Jl6SeJiG1JnWa7Arw4oUppW2eLPMRcia3Xk4/BwAa6UtDjGeqUmQcLuXTBGDzaRpZ7HfHkNb4k3 I9PEK5dvehF5uS5+7qpEGyO+y2KyWfYZlgH7hr5gR8CWjo+WeQOYjqApxsVhoMve76rQ5V1iLpME sk+g9tXbtIEh3XJOk+nMuPo9dTdMb07KX7htDXQRa/FkA33vKEhcbulct16Tb9vmQLK06e9Lo8pq +NagViqtKUucJL3hB94ovLGEu+AlqTG7Bwxy/Hjfdm2F+Oq+oPKZ+i5+1Z2MSyQpUius8Rp5svt0 /VPDsxu427BGf4NUuTx1O2lcVNxguL2IEBXAETgRkUJ6ihhISXNqO2GPZu3IXBsEHgzhFDCJogTB qQjUHp8s6Yk2ACON0StJVoCAdVJ7zRRAxr/Mnm/bkeY8wGcJs58Vb8My6pSUChz23EhcYiuW3ZCs 1XyawwyUgd+Pu7tvl0puMUEDA5JXE/kNQS+gxt1jV2uAIjNlcRST0GSa9MMJaJY2Gnfp/rympamq JbpuO47w8psIpXCCs7c++9wHP+slvm7cz1bSCu0pzhg3Cqr8rjJkpFaMBvg+hHzL/mD5Ylo/h8xK BTjxRLnX205fX/va+OTL+nP+dsn0RRo0Dn5BVK0KXZftPrgufHhL1NqX9nADba48+OF29kfkbrZd CYHCDOt6C6H3t9YKJeIPWWoEVRTGOPzoMKInqiPlUhZgygtOD3xD/lLeLdleyOFXAaGtK6bPm++W oSBEK1o732Wxf7joCqaG9e6Q7i8nR9NU7AaPmXsnm5fr5qv+VlpVvZKzrj/yp2D4kbgNbXIND2ee lH26/ejmTifMNuFzWtTI31nsdQdxNneqFQ682G0UQ0VrWCBdzKfIiw5yMqiBGe6EiuilxkQqkAxC GJAEz5ySsmsvITtm9GLICe3HWE5oapcFvn6OKSDx5WhRj8OV1l9H0okTwz9g06CwLPqRfEUW4D6/ 0p7xkeEB2zwzSTkN5tyYPOp1rlVKSejErq7T3vnPqx38fWt9PHQ0JGiyky5iALzYQ5XY/i6gQ6V8 dy8ewETB/NOPnbOUiYKLil0k1qRlV/FmjA6XphlsFzRXI16/I8+bD++SMKooljyHoY90MfMnSzCt Gs3GDL8HxoKNfHWMgRsZ299by7flQsmO16OSK6g7kpNOsudJCcGKCLcBTbtGyQGxyslmfL3lCr1W y5ZuobkRVUSu77C6Pf1zxiULn43flQY6tZdoe6Z+xb69RL7TBOkCS8N4+XAdk3fT3wVQ+NJAHoLs xAy9bP2DqpEYHJNqocsfIcs6PbVF23Tj/kQ3jkeVtb7P7iv9BZ2s+XZfxef23PZMTIrhY4h1iU0h 06Hm1e4OQdhWhbQqTHCzzHf8VH85HA69w0KT3XxdQiJdzgLIWGyRzlRJ/vv7KwW/HWzZFFl0+xrr aZ7r2R0BDmqrGlHarSptgm/hiLXgDL7qc2/JjaaUbBYvxPxfJOB6DfiwKEjJE7psLDPo/hAMztXt 0fGLU3myIzl/C4Or2FHUZNQ0Kvq2pkiT1OwZfr8+uXr6OhwnUspV4tyhBv3iw8hGGN07ecYIM9Zz jTVv8z4TGXx0S3k+Qc2xaET6+DdfPLXftB2zEGuM8YQOWRA/mwSYso+mVxQ1/UHaKKdQhnykx1el CgCtm2neWLM3ws18PXpnDmZBCmi29tF8xgsr94oCkSFxFyOmms3APFVl37EstX5LiDGPR3ouSVn5 DOlVHeQRNH6sNA8Eku75rSvm7QIuxLKSSeS6uoQfufD96kNLP1i8ANMalI+3Gjm5MsCvoXY40CKo iERMTlJek5Y+VxU6L6qnAABycINSrQl7F8iYKcA2RY/Bg9/hM7tABmcsmDIw6/Fr3FcCcLxFTG50 T4E2/l8oFWneorANrN8xOE1JYD9aUFrQTgwM+no+GreO6ZPdadnXjDy9Fr23XiqHVNbQNifWZrmc 2DR23y2stxOnY02LXfhjjq996i8/QG2FOpbDzEu/CzlvR9T54ynxeriQTeF3Q58ksdWDWK2lcdOr S/eYnIlIiZU7Hac5xD1W5++GifNWFH8bS8PcPbYXdABBc0ThqUmkipbjuUDqvaH4utvIchM3lkgh tqDd22uX/T2oktIhqOn/JZatwsRg3MpiXtFX4A4qhEU1njm1S6bBdHMLqs8ecwBZuyAzM7cOa8Zk 4bMlb3BTrp/DgVG9AzJbPpaoPdwKsGuqe35ZNy9ho+0/6FJ5DzL9gbwnlRbI9jn7g6rZVtVSifzw /mJgVIgOaC0B6dSGgZpZWy3CKegKvSzYCBoIJViU3OerItCov5Rx7obDDLiFxboFJr9W7WtwGTW+ URhVlCxsW//P65DQtCXqnWBt+pvn5D6TMzLfdCKt7ipAm1r58yOnf4RkF0jVSvYx7le9C2sNoZi1 qMQTsI5LjLJeTFWisrsq01aR4nf4dAjgw+2kddPdeEh9YeFWf0NWpY56gma1j5eh6HZ/6iq07OUh nDqsYp1c7L+hedsPqCnnrkui0E8+FZj4mq12cnEu71U5P5tpkfYF96FlWyUCNppIZhdMs3u+J+xz HRm/d5Rh+ke79GVVpTFpobmtTYdUct9luekbGVR8V4PrbbAyFmn0mSz0By1lO8H2onRpr9C+WHyq dm55d2GsnLlLipe/K10ILLkZZoJ93G7zIRHj1dCoWlGL3pLfu8saNjGbIAxxnm8P3GCnj5oIRHDH tNpIUHrlq+lmNgTdCsfCHIEvLT3t/+htpD1uWiZP0uS5r/UTG5ulRmRYMu9hRVFnstaCyD7W1AGD oAeZqPwPnAudGqInj1DuG73MvC7b7bYeEe8nkpI9RjbLP/kKLpEaj27xVer76TedvzseOJioeWZr mSQgczJhX1V4Gm3kMi9ut71MtpmWLCzv/RJBvW0Hf3S/Q84iEayhLOJQFLUgl0CfXpj2183EvLmP udYwAqfXXcGAKsLieiC+t+re2QJKnmTjugb8Uy7uyUvwm9DcAtXVAjYB1nc4zFVKKWq5Fect5Olb Q6z6FMD4jFU1CLRSbIqdN5OH1m+9tC8xDaoFzEj+ebMVjhYxrN5X5TiADHIjBXpqZkbP/R3SjBwF DmDtqP+qKvpNqo8SjN44YL+8SqvOWz9j72Cezg79IMLQ1Mxk8je41AB+3xp0+JP3IUp01TMwN+is 29TV3GDAe/6HdmRHIKdGx0+0+blMjkwu50hPd6OWhHobVaND5cUyHf7jh8rfCMj5CuANE/eH1DD/ by8xd8G5C1bYiC7iN1Omq79StlE8OSRTT7ICrHqWM/JI/omFwJCBS8dwUEGnvhz6AcZGHTgqygen HCfLUmQCR2Yaz38vWLScIWeg3febqJMMU+xx4tkExMblLWWgSullhc/bH4pww01K1s7F0ufl+sj6 IXYIpG9hPgZBmlQ5MhnxGbo63HKbnUyVuw+atID1BxOoRtq7wdKfs5N1YqNATGikCF/7v03PlpBX ZvgtPywEFdq3EhCMU/z3QCH9NVEU2iJMhidvL9NqxGyfP+hG++Vfxgv2U2lo7GlLXCaGfKsedYLR LkTOHuDMayJ0C+y7IemiT4wxA2Q8+7l3IdrORII9tOhDCs0h5KrLlSy1WaD0VfE80BrTTbKGythT 3iXTVuKz1NUKSU/Z217eH0MLZ601c1jSfzFc4YaOWk2GS4xlbWaxOWM/capxC0HgJZqbcJAi3+cL 2Laqoxz7ZFZWqggLgwoE551vtDICu7XRHeGaQnKzNc/hhN4O7suhD7myJkpshkNqolSzHfXFQFpE P3YsH8RgThOmIhDyia9s2s2TH+oOBc6pASgtq8Qp8CZLPM9zho7nmddqiEgoSZvu2T/dlBQ0CURu cYA9h4FzHnkAcRX4xzHNf8VLrGrkyjNhXYOhO60SXmPJVu+aqNE327XGRE1XpE8AcAif7v64roIJ 6L4S9LM/wg4C48bowi/jgNxnulO7c1PxwUswyVE7UX4rPq5zaOH/CM1wGPUiQdME5ZIHtTV0DXbq l7F5/QuDbV9by5PrKMJHwdfzsKgBMCT3fqJpZ6fCDq0YErAcsCJbtvPL0Qv1FDrMKnv2MaljhihD GReykH7ojo5R+6NVsHLG1KnBLAXUf6ZiY/7Qwi490GVZOBPz2aDsEwaAP6OPlkfCSpv4bm6Ge5Z9 cYB8vqa/zDTlYitKNifRMkyl1650y/ZsWly/rd8HPZ/xLzoaDcVQheaNrvzPrZJ1e8oR6H/9i3j/ v83oy4rLjcLz2F2BTEq16kr0FrvsW8GiEHEQNobft+FkSyYnLFHa1uSjZGHYHG023Dl16P+6VD6n 1McoiNuiTqsjPNt/njr9d0V2fOsp6nPt44Izco3A6lgnr0Yr0lEQ806BDY/P3T7c5cLUmt20rSoe xvia4trC/PWq2+HP0XNrGK1h5w620DCKMhuTKDR4SLOE+McDSEU/x5gh3WrAV0C3SScguMR0ULcX RTAfbB7GtNMDHg2pGP/w3qsrzaQ/yZZhElOEnPKw/MrY1xwNbJoo0vqKBGcsRpO3t+VyLDxHUeaj 4s3e0QaaWBpchidYpqSVOcbS2Pe/XRaQFUSsuBd6vMxjVxh/uIhEqwNrnljz+7UmXanXMOjXqGk3 xxLYWeVjyjdgiN7/FcorS4Fq0UaqAn/dcrHcnKSaGegf+CCX6EVErRHFfxidjxWSw4MDNsd64iTp MrwvXEt9q00mHSqlG5LPdlCn9Agg7xUd9kxMEu9KnXqeBBI63iRtcVGDH02jz+o0Qe9zwSc9DXsu QrA20ptPfIHT6g6uJ8xPzbwfKTVr1VxM44T/2ZPLuRAdwSG0EWM93frvmMQH4K7PhEy9pP2LByrO FDIye/9IIbX5YFb1ScgPptMzSqKHs6a+EVbJL3p4ZODRXooe8fRaSzIbIYuLEQoH48xPwNW74FP8 9zYC5SjLbO8PYXS1OK4JOLUaGsdKQYCL98IhLYKPpnADX6eiytaiQAuYopE/VL6UEYwFxj7d2iQ7 Xgp63OoSdNfdby4Jf+Gw2fcdgCwDsOPg/2GzshJvXUx4cdfiPBU5GLCUua2E5V6QPGs89UDkKwyl 9mPifRQacVODhLQObmMCcNmnLqdmCDJZb6EOFaLn2MTH+SbYC6FrEVB6mXU1X8DcswrsUR0/eu38 eStGZhrUxbjuyOnb0mcAfzX4pbiOxM+xWcBDRmzy1BurumcEOMus5jCSwB3hE6YPiCLZDc9MiXUg UUsP5Hdc0bVo+JjGTrKCKR4WfejW5XQFeO6FKFxX9O8Z8dNIA0n94EKDXNO0qLvpAi/FJ4xcLcbZ c4k1g+GivhqRZJLlcu5bR6NqVkjRBDDP+xiL/o2UA0lYHhSdLD/j1Df3gAnsPz7mXF0oiWtRYIS8 FE6s38CTldT3YniA1oQehE5RLq1sm+2Di8Y1iai+x3vrIJjZlrmJ9a89jiObUdzJyPmFbcvTyOhU yRNMmWiTAY3YUYGf0pdKuRaikkoIzNUbTo/XJ4QLgDCsWlk6PnKanndjvebVY4auJ2QDWPJOXspQ dXFcXiXZlYOVOv7KPJgfMpS0CDqO2WOuqWb4RDllI1zMBgCPgDJoTAUgSf5JNN/hXh0500mocNlX yEu/QsGSMjHRf7b87BfRGu23L0fpCe/zbwUAIipRr3XD8HXGM9HxMMKHlLocOmn5s/rAsW9HxZl/ dnO0dtYUlC/ayAr45xlOvZRqBA5+UmkU1QgQGt+eYtKO/LDjeIbExD2IzrQfY3IYcf+e9aWbi9SV hl/VTU43ICYS1Ed1MuKc47RmyBs38IJinyXkfMliC1SU898zdenHzgYTDtdXwKZNcv+h8ACcTgWx eaXzcW8aTP3MwNAe4pPiz8B2my8lGabEBAT5QD9SWPoTLdrDQOx8G3vBGXSk6rf1tDiAc6PgHT4U dC8i00zohBRdcARwTbqCmglDgB+a+jqHz6rGSumKE7QkRamV273os5MXMVuwbWRhMZCD9kHw3HkV zX2dsn5aXGfJHSc1F5HxsrcDmCxpQy2NxKCcOCWfg0L+X2xExsYd32RBlzbTTHXscW1GEsLG5QhO wvKb1rhlclgDexgzDAM3UTL+Ofjaj29nE9FKG3kP1aUlsTYuZl3D+Gi/lPfm+FkWPnvLDZB5DknC y+A3WqblT9S7NbZ76B0jBUiDl4GBjGF1sMjnPUmBF4klKfTP58/mnQy6OZWPQZEgBuoao+WU4PeY gUnLIJBiYHhG9x2QdZBRI/9oSo9+vc1CH42jYvd9l5hoMoD/CD89toGPLg92dLOGbnjbohfM7Uqd 7BLyGnyddgnD2cRpIzHcWqdUONKl4Zdb89m6hV2whswZzAe4qm4KRVlXSV3HtpfRbCpZ5tWtf1VZ l+b3UFmESWXIGmHb6VIA59R7ibCLlzywD0/jp7gQfQ+0vHqB3WhXUOYHIBCThkzBSNX5GFU2on3L qULnR3k/J4E/mUJStGz1Qv01t+1hfqxMj8XB3TReVS+eTxPrPw3cx2qRd8WCJAvOwdjElJPfURaT y/HCfYYDFpYqTRULK5jL6CI6ICA0dcJO5BweMuqIlRLct+1/8xgaL00QQUP/7Y2lRFHAOOrwp0dp eqWvbN/DRVjVG114LZRkNpuRn937MjyZCyvpTq2a4aurJxYHhMl9Usz0qSuHHG1doKfXvusQksvu /BYezkFUSynB2vteqd/Bx1kkwTuI0kUUhRdI9W0TtOk8Hys5nc+BZT6vaEAMBRdDc1GbMahNU9iJ Try+aLq9yxVMfQUlYB2NMfk+n/DqT6YS5Up9UNMgwMOnz1q1Z37+H71I4qlZGYnGvrVMHee62s7d ev/1sdLewMH/9sTnwytnISBwOy1PaiF/6vwgxXjtWhnIEp1Vn4Wqo6AwoQwAeBfNNY5gH10ryAl5 qWbwXIZTGkEdwdjtZAeMrCApWZ2S5i60AiiW9744IAUQKX6q+cORQzobLEeqXFeQrUz6Sjgncddo L6ANCzoK7EN1TEvD16QPK0DOAWNtMrv4Es9YxFfrBsrbYyprCj783UsdPn5DVQ1O3Q4ZRPtzLPsI ySgQ8v0WeYG0L35kmtbSJhvqJn6AMAnRcb7YdB6ZXh0ZD5vqRNhFWeUrI/QTGw0r90Yz1I6g84f6 LovfXGXL083RuoKmOvwijKLR9dF+tDhWlo2bmDCDLWwJfYbV+fR8l2KKPyELDtzqB8TEO+2u62hK P5+BIhBOw8pU280KDLDxqD1RRyCGkC6IfshmythEJvpXhteu+DZYVs/8j1ealS75ZozCEkJKbnG/ v7HJKya/hA70uDS1mOzV5Kjtd10DMzkNbeomKWdzllBNjJvt/hjk9btHV542uWF6wAUUyDgQpBpu qLbXXh/gql5ls6FDBwO4FIvIV34HeDEBvuNkUL4IQJH9aKpyJXVZz2aOz46LSWZWRBLDMllCy8w9 PylROB3cpDdUZUBfJK+xXYavmNgUjnb+hfeTdCQTiFtfUU6IL2R2eCN1FCrm5DSdt3MU3dwCSG1Q FQDH5O+4HmuvcCZzU9l1PK09Y1o3DiA/hJZFouXbjXmTJ9XWTi+eGPsI70eTOgYwkhDYL4yNEY5v fUZKQxmuramYnTkonegndicVPz8nIGa/WFGcUtO0bsZ57FIWDP1rO5dxlNj7RmyNgZy3J71bLWjr lbTJ4/rbiTgn5C0km85CvBoEJv1uvYJFe43gC35bhcwksA4GA5X57LdF7W+Wb5IUjT49HTTGPKR2 QZQYU7NxsBGd4npnFv7UllcQ6raDWbrARH4YGxLPaHKKODJjb7rQe6/M68/DO0ew+It242AA0MWO ycinhoTJpe+wLWKWHgtIIhxldGY+RsY7Nqx6GwDcLFBjZDnUof+zBsx/omHHm4ckHHL4q5D70IMz HYbt9z802Ph215LJZMJGh10qE8bDKwhlWH1poEP7Xuk6lFa5gpsnuQ2suallYFN3eIb8fBpXq6kG X0PXOXrXToAv2nWgxWZPQ8fvjhHrYZObrRMkYAbG0nEO7bxnEB9tb3Hb4+/XJljdFdGr8M/Q2r0R /e/sJOctfn3MyOF4UE6MbbK6RV+023ssqlY3OoSmB94wQleOtv1pQVtXvuvBqTBEoxhQcse2X9vI DOsRXxwcKgOLTiHSHwC79VeYbhCWXvMwkwX3iISNpWShjrOA9Pgp0WagPocGCQ0LY1EeYg+Jh0nC RqWdXGnSz5XTkwvFn4RyIPohONcLpqkc90MqoJSjmJ/SjDyGEMYU43OiA4xJVh3sFuyO8gW9Jvxy v9GE5RsBec7XDHKYDB4fFeabMyBXRqWhj3zyjX8sqZm7OU4W439XwB7YElJHE+XqynR5IxYfcMhq J2oVnvStvaE3RFs9JutN/+JLZkkjK7viOhPP4rxq5llmvgkws32xeSdKG583sGOPKYc6ahC0bep4 euX+gABNnw0FbLM2vRIC4Iy8lx4O89chzfx51r48gTNEsv0Y31JHwezHgjWP3XRxzbIhXxFZbetU Pj9zIJAE7A2tA0SjnBuu37nLZKBGMowQRQAVwzY1xpyQT4anjAC6iDtK2/xA08AEcpefxF3vttxD zP6xiqWMlXz/pLJ3rGnao5Dc0G3jGlHxHwoBzfmCgqg6Hh1w2ivydDanEd1emMRiZy+onrmVRed8 rKk5n+OF8nfsBBi+uJ3xFIo9i86nyzG0sBo51CbbZTNAQFAOPlEPlXA2U+M8gRJTqojU2jpBFjXK Uj1zuXs3TyMa5GhS+myS6Q9zohEWcmOTqS7lg0qU/Q2evsMu52/C7ecdYQ8+a4ZiSYeIGKuQVjHq b3t0hGp7hRlf/NxjPoSbQCMpDm6z7Q5fe1AxLht/O8++fd2ddfWns3iLxq3prfFbN+Lqc7OjVFBM mL3x4AcOsYKYsa9YLMoi2EcEpRA7ZTGIRiMZXeuZKxdUOge4ck+2lot2HdGQHw08WK8vOnbtJXy3 tuiyCyk+VLdXw50/R7NdxDOnHTBEghHo7gjq+bZOyoGI1VnfHLP3+I/4Y32KbWe6AJfxL3NCVxu7 kvH3yQQ1+tpmUUKZAnbZbJdlbcnXE/Ns4yexK2cewX7Jf/r2cwKahHnbVQj7GbG7ZsukQgp6bYU3 Mr9A7Ydok3aT/hha09qcd7QbA3uP3c2A+vZT7HvIVykgttgR4vc01onDIduxbOi8gDkPj5kg16Xl rdrmzNCeMB0KNRdFnPp1dVfzXRodmnh0fg2LhLGGrkg6fPMFfE/fc38EhLndvNDO4HF1EJSWgXA8 ewf1/w31PKNbSgaLMtggiJlRi0IxDTO2lrQRlXCrRC1lB26s5epvye/eyEuLftJiOYImEVaGO7yn hocMabZiwBwJMW11A4VWbEHr3TT5fOGOjHGa1vnNgitFi0YA4KiHpIkt+KhcQQv0dnUcsESlYZtX 6TAp10xoZgQ8P3FMF2FJlmgsNKyVJ2Y6b9YAf6h5LiqA5f+r2vwvPo4SpcZ9EBSBVzZe3frzOcUb EQX3wK5BD9NXEqAUogoHeeal/b3qHwOZAYy08AGMDmv0qWKHmzzoZl1E8rdmCBkel0UJTXIDC+gY w5I/cH9qWMJzvAPHjQBGy87g/NuGfMHDc1yi7aEpDPfnScFY2ZrEdKSwlXfmGeBy/zm/mOJE3Rm3 M6Ds/cDN4pVTamkpgMIubKuj8UJIYPpGftKmRiRwUr9fzo+L6410JO3Mb7y2tkpPHT2wh+1z9+h4 7NqzHz+TfkBIB1dy4PpUC3oRtC/zEzSZVBaPZpkKeebokC/uAeyjgHkVzpn8vK4kmFt+4pjnpwQg iVsn9ksITrK4qxzAqGkRcX2LzD+bp7+XCFxapG+pKMGlejCquARsw1YoJF261maCJUL7wTF4+cwq EcmYzkCnqeFDCAiwZPcJv8E61cJo9EeV70ngV8wDkP9cxtF0U3e80C38U4h+s3t82ZzvXoCUDdsb vECguI8QWmEI4YlDU6BBQjx7jfb5U5CCwJ2LSHw5Z2bdxBbg9A2Wjm+mUNFVxhreYQbsOGK9aFE9 U3Y7l8yqlyaA+UwzCf9OqUYUxjMsnDz1uhcH9vnMWJ1TjwCBl8rookW0F6LG2SPz3RGJnCXiLt1U fGMnZvBUrJOGqSiNVi9xcIGWQbWcXzNvvkp9vEfwmK+2xd/gBpqEFLJ0hr2E0KsmrVWMC21v3ZBh uCCSzYRCtaZxq/7EEj8kOf61b3hd+BupEMTStglxyW0Ds2669TekvXFZrRvUaW/i+A9iejQOIkAE A3wUvL00gk/1Cb/YutUVfSvem79rPPTUeZaitzOUBzxO28nOunxyfGJ4jIl/o2WMaBOrx9Aqy0jH IiMEuoj4/JV+MUcL+flPc9wRgomql6iDQsvMrnj0G5YZ/i0mo6hpfoTjkgRkvLlvWlX9RTecngFj PtQiOSNflXh09eIS/iP0oP/ZORm5B8Ifk+VAUxsJ/ivobVPCDkMgGu1zTL5YF12p1nUSebNNZJ7/ 7F4sbyvFKSXuOyX6F1CBjqV+fpBIHGO7jEc3A/WvGY8tcUoqr/gxXfzPYk/anoYvg2ZNXHCvCgyH VcamowqLnnvLOJK6NVJSNa3Di32wr03p8eMdq08d37IvzzF8mfUh4V6gPHTcss0krIF6FLkQfStu EZhKNF9TjA29Z3/5knAGd0puhpxIyubbqPuQ0tsYYdecr8TiMBESg0DDrTvxLJ6wwX6QGGcqMja4 03tPvNf/bUSKzT5jKIIazVXO2aM+lfa3SaRz3bYFgz+gLwz24Y7WCRP9gIbZIn1pgxVp6efmIJn6 jcqD2vCAHw+EXEBzov7/EXUvZithXPCavWYSqnN5sm9eqrUqPFWNqNwphCCjffRQ3//HEvJWxfek 9FM0YIc93SfkzW3Oh2yGZIdDtn5U+D96zaNWWpAmOAuTTyAjKLKRPpqTKJRs56GWzHFP2bBVGE8M d90gamppbJl47Rm3AlD45cW5+/z67ER4V+JFF9GG/pGgHMIYAI2b2ZJ15iHrvBBzQAYRSH0gQcKf aSYd2n9Td0lV5xaSWFipgoRUtfXXf1SBImglOh5IVFOu/wlnU/Acyj8vtcn77+QGEEK5K3QLvWCs nrrXSJETPM8Srogw5f+lncXHVapizrNa0gue5DGlfzaSXeFkpSUyaQxktXryCq/YAGUckrV/ySyM PTUYSazEFRTe979zKb2A9zh1KRo1mChyYa4g+2/WaZXsEFqcb3D6GPFRNr86hX2Vv2OBh0B1BY8G wSluvPStvEVxdI7SMMfWP2GeZP+lakw2lzCRMYGGsOYfedKnpp2XcYkYisycqrRv9CxEeyeCJh/M j1dGVezxnSbRRqQYy5v8cm6F1kRISY3K+2BKL1BsklL+J665fay/Nq5YDkVmsOxqf0/Apdz2UFgL agsmyPWPrzxgemSdrDwtqQPUZ2dBcM0nqn0db6G5dCjI7Szm5Db44hdlrA9fKjZ8L34hwCJoaQte L3j80PXfim3OMIiEf6eQi4guYKSJCvgb8DLCL0qeViHYY3AJC/ECL4UnPwSKLE/qbLDhlbW8pdkI 0a8gNhzBexYOXpZIdp5BgJvL21O2Mk/jOTxl5iBTGRCTZk+H9Vo22TRI+pqF0rLb1cT+BNj0fwlv kZPHBVF+ofzY/zJu4jprwmka0bPtjUcK5Oxzd4mzLcuzI3JGZA/nRsXdZgRZhvlMWpEdffIm4IGn Rl4K6ngEsBNLG80li/wCe+bW1D+Rh9C1ux60engbCROE2hLmfUdUl/nQIHcFpkq0QPvadzXq1vH+ Ek3BmuIqr/dtDsz98CAVhXz7PK4EUcOaa2YaAR73dx30I29HKgk0jlsTH8aA53qBxtIWcPH7RRaA G1gSmO8S6GVmn2gnKdlni4Hfpus9FeeGCkaS9SEwMIleY5oJNsYo0KkImc5fag7Rjy99ESEVoGNb CmcL/eV9F0wd0nP9GgUys5fxCjL4XkkJBgstKM8X6PB03fW+Bi98yyUzEBp3bswS4en/9ZnNop5o fOiK3YKHxY05wz+PKpq/l7YfY8J4PBs7T3moaOQUWg7ezZnqUd8MxCsNUwgPfsbNCFJFLVGUeriQ ByVLc7WsD6BjvCT8WK7Qp3wgBo+YSQn2ZekHeRjtRUsiN/A6M8zCiz8c8FrhcYq1nkep8esK4bfh X9NSBCqDywSxRC/XcsXGtUZe50yTKMECes2UOSOPpPPCFR/fcgdxvhARQRFvHFe+Ey/pHvzOWnHz UNuNDECY3mmxnlLbH0ndMNCVsaNSO8uEWPTrw4rAFSAiGXBKf2mDXaTWyG2ar058J6LN13ahKlQR iw3cotNlJjrBCbK3N1XFxwGpYv4lYq2OQUN7HGsoMc3GrQElnlATQB7iwC0IgmTFfGyvbOQmzAea o/SGWkFulgmImXW9SHp4Qro+L6M9prMGLFmIiGwmSX21gInThu999Il8v/x+fHgP+a7daYj8JKwm WQm9fNPLqqnXR1zOsNX7GVWWpX98Ivefu3JvInztdTX/CnDZLrxwZ2VHJrZjcQ4x44mEqJmoi8nI pDjrQRvc5zKhXW0O+ngpO64G4zatnyFrcz/PMgXDDndK+DWh1a5FdZsyuMTiACdeFPQ6VWQwHqEF eGjpe6aSWUjIc4zMp6cjJo9V6E5V8W2Aw+K7cZrrpwHyRxSsa6OV4Hb6WMJ+T8fuEG7T+UfbiCIY SbCM2gIZydTwCwM18LxA6q6wcoi5/Z4lBbevRPPN+2ami6ykKGCCjFQ5qoUEpyjBivD4MHxkSyYB uXZHx6Qs4UdGZZRuvd9+BYHaBGXagntbyoRAWrlPrZp2FQOSqUaa5o1QDjdVBZyGxQizXAQvJK7a bp3dJUMWMFEK3xLSOENatqW92Lxeop4mFy7sX7ZJk3ECBcsuwyef9B7VbjTYD3I/hg2zj9RqgBU5 WqVcGNDejT2EMMr5vBYoTkZkMufQNvN4vpZSYxeN5fqRqBvysRvPm/CdGavXm/sXbFqvW+dH84hi Wh/5ibwgfHI7O4SHzcKF4reGLn/IthBvXJwSaA48eE8ckTGxY9f4gLC0CQxqYAtXk83dTkCnGSbg UhVbtpOFqsa/08xNj2HsmBdSYphgxLmHRIKgO5sS/ZH7CZo40GhvfS6r81ao4eytqwNzZyGKzvzP qWjtxW3ujdqQdFzpswkCM4LmJXeM+mfqo5imCcuHUrSpvrzU2Z+El/Lqik0Lj3RxYbqeFe5xX+MC Tpj+eYB7GzOT2hfZUVCk4gdtx+AIeK61wChhg9GY39NVAWfYw4DBF/o8/p4czmUFpoqFVRWUTBGy xFQ3So/C2JiSeTrla62GZOxXjb+nkqUSSouzLnhgdVmZgKbRXBE1PWwHkNidwOIoZi8IAO04CFMY wBMi/W9VCIsGzsGaAvMMY+3VyUiWhrRHxyZ0ZLzc2FHtqIMJCCwMGoa4ahZ3om0EN3PvJQzk2Q6w 9hDVgSAuGIl9h4c/+GudpmuA6yYcvu6Zx76VQ1wTl0gudUM87jyxPCLJYG2cu8dL1ziEwBLvgPYq c02RzWsfQlKei4buEB7bjhLn11CfyKOOy+EVaSaZKa+VtfjWcYHEzRbWwuTjubMdVQZ1lhdOqM97 5e5jUhiXUmsLrzE6tdzm6PxwpA6gP6tPwEKNMc1F+DX+hqVGVsjhtSyM3DK/RwqZZp+XAsY4kwu+ yJtzcbGbuA96JHwkOmVZSd50IajaW6r6aNiZ4PSglCr42j9VyaGVvlUhKljXU36DLHF4qI3UCGlj 2b0EpW491EIJHfVzEuYkODrKfTucc+bz1+s/qQCWyXt4J1zvK6PovesL+8nGodHao9bmjkOMqaRx ET7kDcXsqYDCyYxWz3udusifcsa69xWebyam6w9cwURFYRIFvcdbMRHXIdejH1KA/j94Fi3AR1Yd H0xTnZXh1r4an1+OnFwIBPBTf20UJZr0t5y17fM7zFhhdZLtKo4f+ZQAL8P+PnWv8R5KavM5fs7/ 7OGX8ST6WvWb2ZzSavNGT0w4j5s06AQw6veA6007+xmEQ2TjeoCus6OVx+FS4ZCZQLFU0K12x43U cxq4CWTlcqec3NBWEL7iJfZuFn7qfyWcIZiwS2JcmQcjq4WdC7ik9F6wuottd2tjGHiAMDnVjijs OA5UjcT+Vwh3x1hcRspIXBxkVdEbVVOcqYxC8NlQJYIg/W0/t6cc0FKc0H9AzF/TFWA5uL4uenK+ 3l3UxnRmUiSoZLxYkqfmQ3HzbW7vtuAhXDhPWYNJrfpaW8HEdLiv2gywXXcvh3bMwlkDAUXEf2fd 525bKwfs+MmlEJkqw7lh/XbKl90jvtJl3ETtvH1qe5aAcKg86slz64YPr2SMadjt+XSAHGhIPdF+ cP0jMzB/LTysUo01bBVJI0bhk9y0yeks55JFwc1rmfgkuNTNcWLaW25ssbWQ9/XFlW9sQeWmCV80 Ir47cVKGZMeq7cMWRxZQMSSb5y825FO5eWQDdR2WTaeWqqlnHkjiZ7RcZleO2qZaodCUnAQQZ6AA 1ZdoLzPrT+wPt1gpxm/TGcMBx6zocShNO39QoR7b7iWONfgQxwwetbokgKKnP3JIiDJo1/B+Zon/ Qh0Q+jcEC0T3ZI2V2I/p6N9QNB7a4v9nkY8NO3wrJzraex6pRhCSY4BB15TzJ8BtJPQ8Ivtgznha pHcxsXPFp2QElzbL6zbzpKPhKneTQdt2ckxbUY7Gk/+IrOlWJsyByzy9cGTh2p7R8mqMzZV0L9N3 93EOu9oL7+CkgPNF9+bE+JxdcTBkVKY4VB0EjJhWq6eSgq6/TCJt0WJ5WtSNJoThCII/VMbBR0Fp zlJE0uqRMmpYJ6HlWi2FyEK4BIYKTw5KrG2YDjo/0/cMzQcSJBTbAlRb1culdy2Ln2ExowoBj2pI Y8Y1U1+pqVoHk+E+IxYSatGzgiS2De9HNTTqqo61BX3noZdHtCuVDsfh5e5QEE9M31A5m5LpVLzg g6BmNNbKncfBOUQgjzd3MXD1s42yU3iJCVv65GohobvqNIah3L4pEYX69gqJ3Z9Fp6GmZ+DMnZ7a 6Od0U343qaFL1p+ivR77nTftJ74ZBO4aKrt4wmKh9/PAtlF8/DZqDLq0v2/GpmSAX5B4AMpFw0x1 +41Nyc6VPkjxdR3prGz+5Co1ob44QMQlzNjzDRX1spGQdJL9kIgtivtCNVfeDQc2NKrUWqDBh6eE 16sBo1yjxUFAbJ48/EZhNJj/MAUDKBn9hbmeI01oAcxwfCk4sZ05wTPsfHcNGJqtiofUtn6PV/1I a5n2O6Dd9GCIedVpAW2SJ1grgKcPHwhoFt22Eb3x8/Yv/c6fQmoOmh1r2mhnFDvncWZf72ivsipG 33dvYt4sieutold9GKk+FLd1ZkjFMBTFCvidqaest65ZSzvbQ/aVIGR0Ozvvzenh4NvIYmPG3Iir Lsb077ZUfNz0NdMdA5Oxs0SGvys66a4HSyHdmEQbWNM7S86NFdt68aJJkBo5IisR7cuc6FK+fYrf Kt23KJy3Uzs8e17D3GeH/OIxee3G49bQNs0j5PB2RItYXFmxOZZzk+aM9WaeiU5XOLbVS9Q0q6pJ Xfda2XRCX1rfHUvnGs7O2/6QhXcFCRxnk357tJLf/3vyIoLPAmIPILFhFnGgW5sb+ZHOAIjVy5v0 Z89rIlnT8s98PWI+RFs+fC+DZLf8VKYwvpoqsjVCyMo7J7fCHy7hWwHLmizAZn1Qtfo+xRgJN9Yc AV00siSq3DztyTAkkduzdq4UH+FSOFLLhExofQtLfkgkRAIC7IMklyGKlmvZ53AeoAjH6souIFJZ FgbaYtdDUpolYQpogb10LflwV1JoJRnmDwRpNq/fD8EacxDiQqfm/RIbsyvGgnlM/uNidAyVDBF5 2hpxkyPptJ2MehVaElNJk8jNM+DEQp3Um1gJahUrYdiPpdOH8ca0nRViho4NCdF7sjP0WBiNiaR0 N6sMkNen69JFNLqYTmtI0SRw7bZ03gse0mqLGiO8irrv8kBO5KnjZUxfHCITxXvk5IhS4VFnYu0H 2ux+qhSXTCp5rTPv1syhvMSrn6LC7Oa5o+cazV9dCF4X1gjCgvGSAe/7HVWdTmcK9xuqOsOpmpD1 p92Az7S5caGLG6s0L3xATlwz33IXvCYqE640OWf2ErwAsq1mUkxxJKjfIf45fdzkF13sf/2NM8S0 GoRq4uUEcIZJkkljpBm6GpWxQYPkcnGga4l3SLpOHav09IcqPQKFGrzai7tQvzXPPFsw34ThjSX9 eV9CN/m6pGhT71kDBuNRGLUfT8+XOLxjXH9pFNSiktkFRmK3sAd8rnfQAaxRXyvzWWwF3M9pCbqu ivELOXzjMh1PsxA26ipDXdygTu4X5iJzvTNJDyLMQdC03ID8qIM6iIzH67QMsJI2IAtRtUqzU0ie pOfXHxt9WaRfNG9eqsqiZc2XUk5ut9NnMhM80jkZFz8023nGA7iRsOmy/FTuqIbpc7HMmWVyui/L Hpvlief4xoyjVCvzuF/+VGuU2gEAF+urNQJoqgyDJbBK7qgMVYz1Bsn2FkaV1nZNBlbQmd1vhYPP kfJRQD86wXOol6KcABD9XLa9tP+U6k5Ukrn2QENN6ZcGzGmbEQxUbpoOSWwubS30fMld13MpvUTd 5JMfdjOhzCqN7jLklOWm3qvnOLLFJgTmjFQn0osg/0xnDHZOyOK05U7+A1GevkYaTAuAbR3MZlMf lK6nhlf+hSRCcOCRhHL13faU/pgQrk33uH/bVbKqrESOq+2D0frYTcl6vL8oG8jYil9wkKNAm4z8 r1gSNWQey2xXC8aXrRVlXrcJIHoXlpnJ0RsyrvXNQxIPuWDgKY/sLyrCmf7OqS0N7GUFZkk1I/Wh Uj72envkIFsaRuW1p3D3YFKlPGFd+FHY80PbG5Psr9pdX9cL9xx7+dCOpxQbXx+yDoG6PWUDoUad 5h950803+QX/Hwp1jhCHLDbiTUx5wpcuSzw8msiuWi1ZQBFq5/inMnJ0vg4sOibSmCJFZn0G7w6X wgyyNTUglKdX1WKybCKe2u4VDZrt6V2AvdSdsFcblkDV5i5GSY1ozuHE408vkZ05IEucXSjnomHl dVLyN+icYAwRA2vHjOX2chVrEtHHV+FpQjAvalbGWuUNsXWWNnY3LD6tsuKdtEOoL6hklTHThLii WOoyvgDjHOyTLlwIih3HtK74zYAinZZnwFeo1lCB8DYfzabGtJRWfUKYUMxfj58swmyx+7rHJMMO +xH12xtgmRV6nKZsUvn0Cfx/XI6TeYkMnGogM25ytkOUZtS6xxkEe+NA3zvvrZWl82BvE8DIEWXE bIVWjj6qAbnZu0cgt40DfNo6TwIAvymsp03XSka/X/FUln/INiwguN73Yr2Zzpy6nuzDbPYwxhb2 aVpauPGPi8ve81dFB1mKUFK8zVDIRuvHp72EXjQtfy+xmBsBuvBxJeFq1TAFhBNhexxqO7+yOu1t f8TzbdwvzCZwfOCrhf+iplk78JoCXItYSaLfr+iPw54drGVEehmwJ4nWj/3BzP50aPed1e/HOabN 10SIJMN/kBYhaNSIAU99xweFGvztXuElYVtqAxBUe8TfWUFZ2Urb46JjZNO9Ho8b5gUcV5ftC47l Ou7eygRaRexFPVPu7UVo2rzpVC4B0zHLrmts0QOC+6gz+64MwFI5IG3KVWWMwmpenhCryj4P9hFS 4UZAl8xhk9q8Czm/dukpAgn82KJZdr8+7iy7JSbmZcft4SN1xoFB8TmVNveNO0AXQTLQAHiGI4Cn OIgKut7jnieAJp4kORmKGyxtcmhv/EzFF6Vf/hSNkiru1D8XR85QGyd81Wmlm2IpsN6yAVOtIeBY sDTlTqmLxYxZ1l4JjkpVu5XWcYmTjzk1A9mA8c738fiKtdArykqdyHBGrreLMy19hPdW8SN8SiqV N3GuZyZth2rlQIC542e9LaAMw9A8BdbEUbE+KGnksfRhHd8oCJIsfD389k9rTMz1qGSMNKbe6/vD lYG5nIiuz8sdThbLGUGUaqwKq2/FCG0VzqWQUHcq4UUUJ2smILEYceKp2TWh8UPgj0btZ0aNPcH2 n8h+nb7l81EpwMK1chKcnK863o1uPth1JFSxS8IKqWck1g3t9Llxx88Gn5gR8eEwnOUfkvaS7CfH k+PhVQ8owtyY19piQ0kFEQ5x6Wmx7dBe8mtgbTPyA/BCvfYSYDWn17dgX7pOlFpau1BFBmaP6YfZ kazGvkycplwJAGYlTEb0cnPs5k9griv7RTJuxgKccLRHQI/0ZdfwiTnNrTXy3uB9+hgxgzfFM5vT eaKKYYeyHOOtk3dQLubYtBp0XXPJLfVd5Ye78t8hBnnIJHK6kWZs4GafKeTjImeDF2RX78pvpNLt XLkheYlP3dQrtxl+BFXZQK/tGN4Iy/GkDZ+y3lwE51Qqcj75JU/gHTZ3x1xdIKbMunPFNfIcWHoz U95JD9JARa3aDfJqSdKDNcqrphAhSnaJj1sPtQBZj6dza+oBRGv/ZnvcvlDjvvMWHC16S67/oRX/ Vfx5+m8jyFhvhKz7PAW0l3EpOhlhkl+1HkmfjHnmgaymq3ZuUYSLJWJio3EKz9ZXx3pQafWCYMyP Xw4xW8d0oQfeT2LyAkjcdrmk70Bmu14Gb0I8VTzed2+ykPwTuThkhCguZEk8dRSoBcFfjXyA+L+3 VqsTnxdyty969oFIcxt/fvxtdxeItfwx9mp2RC9ryBuCqX5U5BvaCdm8JJDRbFgnSYd/yP/P+rYE ocRbyW6haNxlLhFP5Nxj1zOc6OL62IML+NpJ6C5UyDtmw1/NT7Dng50KQqEyxhEu8Yit2GTf2Qfj vFS4Vx4RLTYwErS4h5R4hOD/d6IIWsq2hsWXndq0cAcOCNz73JAR7FZJ9jns7qKDYYDtMtLJVGKY BZDUHunrxMxbnksaWGi3v/vl2jwFW7K4SfmkqoimQVB69ZCTFx/p0coyrVMGcAu9tvWxsBHoixam G7/eii1ZuFs3W88p9Bcemb7R4fz+Rwxw6LBb0L6Bvt2YfMvD/cd1NQfK7TK8WfRE6pwLLqZU506e QoXZSaqcA0MyJtkIpYGx2UK3Kq7k9IrXNONu++PBt82w4w3nIMYAfeKp/8iHmhrSD6/gvsFPq2MK HGoFB6D+Rp1scXzKZU6N/wMLgLB2c2oH28r+R4bH2Y8kA7B5vSpvR0GZunQxvr6UQAd21XJntFts SpFqoqkeFIj85YI+vv4qcjHt7SUPyanGhCSOvKkwmRFj39uoJC+/ZDHfKRjoAzsD7q1GONCKUkN1 aECKnX4LOSRcBZ8wYFU0246apAXuP/9paSTxoYQc+wYlFLTSoma6sVACBKnerz49B34yUuRk4/OZ BemMAhmQIDYBnxQHhxUB+02An4zONTiDap3MjxHzrbo6g8OqRRbkv09HgF9sVjpPvyQjTuzb4e66 ZBI2+51o25yAHBzXfeilV+qLEAaOpWLzorBg2TqBM5t8+gBO5f6MB5eF70RyUcvtVbzX4dKN5aA+ g1YjT3RnBE0lhevHF/wIzlauCSpIwE08ttr8v+8w3hhjJfgIHV311gAF/u3iOJgunPAeZFNg8fDc SbQ79LalHXAvqW7dcSyvHP8z/3pYjKYAPTBpC7BFpNr8dX4xddugOwxDBsToVmnTubq0H0cZJhWm jNOccR6FKpqXq5qjkdiIuJPnnFsEDAYjoUwmDA1GxZ9wJ8jsEThp143DcGk77RUJQFBQXS27T37y MQUYfKMjF+1t+oi2WyYh7w4o8rqSy6eHDUXnx33zaPRkGUrEaVVdrM8IZcrhMWMffMhDow/Qst2P C5s7tN/NWkEBNVzwZzOF03TqmwFde5RGSfO/4Rz7Vw1Lrm+S8KKjbc7ebqzB3jB1Reou2jnVtIim n4+Bg45a1VvNFWlXlf0HDDC/enjDPOAHyrHS5dymxZXnY/GG4uPsZSJCSJq/0gy0YK09utenrOM7 cH9D39XcPimpEOvI12PtFsFo99lVGPcoXLXmKIlK4JJg8U0msUuY/F1SGTiNjlBKknqBfJItlzsP wDaAmUnCjgYPNosXAahhKrYFJgcBJAxPo+8hrEjkFHnGw9+ZdsGHQaEAX2Sjpni/jMaiM8Lbjhv7 FRpDrSyxt0ne3FCd8ok/f2d8jVJWLudK/El5NT3T3dqp49jWxo5wU72K4gXc7to/Yh4SW+//U+eV fwFobbG5ebQwffUWHcbWP4NdHPS3bRoMscXHFAtVgy6KtLh8xrDdyLU4hio0DCesX+Rn05JJQ77t ilE8CifGnn3DWjqTnwfiCehWsSVQN9JiEsNxJnZ4CqxztMIOMuDp7WFcAQcgOSMopIREWKc71O2I uYIkOzVeQweJf1vU84IaNGdwd6daQkhOshNBUV4VFY5d1VY/G181Mo2AVsPlO2a14UzxyTQWz99P JcweZlo1N8pfdDHjVsjDvBa9fEYmjjKkKHYamYumwignzLkNV/IHnMlpov742IeKSi+/B5wIOhyv hEGdBnIQU8xTxDzxjkAj+fpu/mJrJGDQL/PqhRJkibOEiU6uA1Ux3oity3x/ju8sUXdk27fiDu+t 5F2cMgIjC/CcbvS7RwjE3bG4B6U3eibbbGKgh0CrNTIto8o59fmUlJBKzlUIe69yP3pH9K7M5KYv ++BTLxmxQzYXwVwUQhPFPK8GkI8e9CfuCA5eqcPCdWVrz/cJBx1UmxgUFDpSZZVA7oR4v1vUMsuX ncmcXTJH9dlgP9UEkQixeKwZr1Js28mdFG4u8gqnxf2rLqLIAJ4PTP0z4bUOnEvJ0pqv8n3wB+/a 6EdnDpnEf2yy/JyAlQfWn13gWgMTCFYQEOY4UOSLDx3TpdQpBLuRLaRF6i0VGDn6Zj29lGNqBZNz yF9mA6WzfNYHh4jXVgzLC3J+ou83LMRFDDR6ePhjE4NcWqZnDHw9wRUrEj/kR2PaomjmmYnC5V3Z cZqZmWX2ByzI1ElUOyHMCMkOZFhPDIqOY+incHSqFKsZkkLRVwllI/sYrHsg9WakhJ5zI0xhiSdE xlXBUsaNDnrYN6b4cefvh3JcRyCwYpA2GxYxXvOy34HIiONZZjENqyBy4RG4vVF/WLSmIl3g/58i G8RqvxiOepws9himn6O0eLO+an81sMxVaYNtNFlmbIOalsklT2v0ULj2nQ32wkubBVmLQfM+Rtkg NqUW7DZZvJdeFOV8jtq4ekSvoyA8Lg0CxIa0Erg7OGAP0g782CHHNtwtDF7dt1mZKyDM4iVmhBW9 8zDFlew6y6UXDNqORfhMYARnlzzf6VNp65KgAYqL4Z9rwxaZ2VMVpkjemMPckkRo16quB8fNYA4u 4RS+cXwtaR92Z6J8kJ2HWG0zgHTsJm9X9uMtWPL3mWqzQ8N0gwnd0XEdaJ0zTfGQA00krz4zKGwr edzEwLsEtmEIkdTdJR9nX3zlW+mWkGWQm3JMH73PLGINpyOP+2YbxD3rKRL8u16sLrOuDKsxbycZ dVoUHwEyNzj+W5LXC3SfGQIR1FWH5XCEr7hapmyi9azS1v6rGovlVgWMgSQwO4TJnbwm3Zoqp2/P w3lViwcB7U7XAfR2du7MDildxg53oQasPqT69bonm6Jca2x+aWBQKezvuxxdTTDmnXkkdZnoBmgv GJdaZFKl+y8IDSsz1Y9BYBjG7EJqnse3XoXnriZ2LdowtarIEB/XMIeMlsJ2JydgJsp/o3PIpkJm b2NipNYNgqQtSL4kIjR8u1J8oFqPBCvzg4bzel8+xvl8U4AgZHovJ6uHKU9PPGADQY+k1X0hZjCp DB9Nxntr1FQ9CW3edJyDTWuPxPZOimhChTnYoOUZiPb2mdTyE1mk52RSEfhCUu9gSw4sXM/d5yAY TJ0RGcjoCq85aJ68F/i/fdodcTJzZmwA4kbyKVVhLYflVgfBhupQSQsxLOVuI+I+rTK5AJQ9IPiV LYLPSFWVT9K0Ar42Oz42byFHawm3ywphgzCshqz/CSqPLtZXEbQcDgKs7Ag08wlUUOm2QzKw/w3t X4ShMsGUpcSSqKbMlHs0NLf8ctz6/QPuDaECCan+qaGBSzty79XqA42P6gnJrHsWDWNTeVPtqdp7 FYqklJaoeSQT2KCm+KBvhTEOvbLaHwrkuYEAkk/zrDoxi3DWl7Mh2zWnTqwO6s/ttgyLtulE40Fx J7/46XaoFLNxPQyMQsmf5r1E6KnrWlwHQbcO1a09voCwTIsMMKHFYiMc7BqLUVTojD5tGitNM17j RiRaRenjxGlik4C1DWeGe34XcRuUMVHMTbVOUq0BLlNM4BTDak325TyB7/j00yf3cPYLg0imbfMT SM5lvf7/AdUlJzrDxd5k1aJFilDQli2GasWm2xNf5kqPX6ikSQUJxjY7qMX3i9j/OIa0JGygvl9j H9h85BT5Il7eOB/6C0tJTSKrgmtnVdeI2VwrqhP+vST60MZhmJOXUc3AYNoasd7A13AsZG2r4ECD OVFfkboIPwudacvP8eCK2RX1DtY235A3Y8GgjCMzNjth3dXYU7SA3p6KOT1M1UXbRjQ7/40F+L3t vF4Vjn54iWyJluKzoxavk372xWbkr68wP8Ku8xkrK1EIT2MAiBbJLnk3cDZialhigXGfKlhgRnK2 /Rf5++wN7npudcw41WEmZGWeTONTs28P1R8HDTkfMIfyoN4JnaSv6nCaWUZ3SG8DSBwq/VczvLes M+gJ3rvGWhrBMkd3ZyUbf1x7y/BWdOYb+7VNAkdTUeuTwnBN6bp6MmfW29wwJGFPfynsN5A+ewgj OPK6rkwAvQv8RT5aTciM6y/GfdiTfToZUlSH1up6ENyhS5OgTXxWJWutRNS4zdfEARdZ0YdXe8ZU V0KP9T6CaeIjvCOH2s8Le+2YS0Ddid8eYcDq1NIVVtu3Qyoiuy600ObkA1UmJG+Cyw39E2gwe1VD 7m6C827GcxlBFhdRoZIP+9Oc0UqjhB0aPBMbP/VqBDVm0G7ALwAQtxah8NRs7SLPst+jbCmZ7pS0 ig61fMMrXKMfO/uCODaQrwlsdRSJ/+2/8YqShkyNHcZNdKI7loqIsFLeGjpGQ4Yp9jdDaqlHWvBD 25jAJPSQUR236/r8RWWC8WYib6iTe5zS/MovK7HkUtvFaDq71LXperbfacYm0EGEQPnLmP4jyXxF T5G0UDe+xXshd+D2cdomgI5gxcuYIHNLF6g2PJGNCirE5gTFm4Nhd0rveGZBHaAvxI5VRGnvVTS0 2GnuCjFisUP1IQJo5whyDfL7wwrGkSe8oBHohyT0Ns9blnlhx13MdNHMfniN37LTR1hjc/Ntngce lTU/AyH3/dtE0QV7ffblsj/Zu2ewmiMOCNnbVPz1P3K6ePd8L8mNEBqGQ2qgbX5KINNXMgZOGuON nfQfVSXDVBaXBUAV/J2BHHz5ciTMf+OQl3GLUHfy6CMIrlnkgHdn2r+kixr///30V2hz8yM6OMJX JrifRr4d3c5Dm8P68eCmYrbxTbvHpfBYU45KOmk5xZZZ9IW+l4tXYsO9rGBHwi2+TUcqR1XfwyMr sLbneVCo9GqkxAwJSLvYt1uYNyxnyVzD0FJownyWLB92TO2grwcJvtbajcZsx59y4dYCgnmx+QdI 43/kCw/hOGmdqLSaOsQsz8rROglpz+y4Aw8Oi20hXjT3cJJtgJDAw1xdH98ueCucON7pJaeHunk8 QKQHrv0glFZcqRb+hdT580Ong0FJ2CEJHgfKoKrpkzPHyKPUnTJ6lPZ6kIBvkDvopLcHmjXxjxEg 3cIvdj/1VmomSsE/wbe1Mcll87Gyzhj8Ko/hJdmzemA1U2hgF75BjlzIaiqfUR1hUBMWcvNB978W 4JCQCD5l+N64LFqjoCEhkxfVN0m7bS1urcwYdrWnaO7oR/qENqPW7+SF/lNT2K4WbDD0/p2pwAfK s0/ycg4ehZczulA8izDAuF7+oMJ6JnReFNrCo95ts375QinB9toZs5yV6Sw1Gd4qyAz3dpdtFojN uM6TKJr+LPaExlQke3ni6r4vz/J1na0jJt+fhrLnyhfG4/4gavhXlC+Ae29AcdueNElFngekLnWO /RkvXp3KP2B0bfGlwjYkDgPPMrjp+Ix0dqy/yPaD0D2gq5zNAUnJtfpyZlRdm4t9Rd2hg0e9P+84 RKxCJwfeSVpKowKM6fCLzzNbQBvJnz8jSErYt8EWUMI4FT4gCczG+MARSvol25Ed+nuZhaYddGfu PCy1PPkI5Z5uNVRXs6uoMWyxnzBI5fzcChZ0p/kyhqWh40+/oJJfTrhFQ36sTrIZLsd1XCwNuyd+ x1qAREiute3YiCLwnXPnIt2zTneB7xkVNSGOIH4sW4ZN68pmisFrjhrnfH5ImE2y9Td4wRYfKIw1 Ae2lQVdx22EAbz6XvZjXJBwbnDu/gsygfTUcGmVwJ2mVM6UZ3AaikbURsSDgLcnrq0zY0V2cidWF yOWYle/Y+P6oiGzjNupMlVDJldOjOfKNC3uD2XPG8lKerStsKy750kCCQaQHzhlQvrqRmFuq3Xxj bimaOP6wKsE3w6lVgHd2kyYtWoHKDO41ZQiXySecrsfFsVgBw0azGx6jPlC6vOwv6C0lFlqbD1lE gBGeVzoTP0YRO7g1Mfjyy62kifM5ixw3djvoZ+U4SZZZvQ9Of9GE11Ge2Sg4YN528x3V97UJKUvP cgAmZat4fO6xg6TyuHnN58pkqRJV4hEj51OivL3IOfK0SMGyDTgaMP+HkWvPd2XKbgMu2OSmjB6+ 8cWiz5Ban8LkNcBhEXWKD680k8afMTulOv661y2fIFvl4cqtnFnTkRF6Xyp01xNbHm3xMorUK+mv 4OW/weNzj88I/m1lbLGUakXwVIJ1lhmvWfbX8k6Eko5r9CnDKFwyNRnIIvzGqtLjythsSiIdxnBB DCrqtmCGeSmIssy8xcHtZu699D1IW5RBSswAcB4JMHS+0eWDVEYWNCAvjgwIWMf/GWNgsktxS2u2 hHSUwVPMqofGgau2iQZQ5QNPLggyWqmAvmLa7whhJwIz4myjI7VwYoOLOoqs53e6FzaUzNqO49U/ wq1B9K0DWrMqNytGWMAtPPf+kJQKv0DRHQpwah0Frhmih7k1SGpAoA2V6c6v2HEik45wmOAI6MfP KQrUj+P2YDRtx33UtxIm3GR8xxDB8QONirXlsaSFUEybBUrPH5nV7IjkA2oBgc5dCIbfx1RpVgzW fdAC1qz9rJ8vAZDyhC0a8nuOpa0D33iWYKjVJ0TsJa7OCRjrVfJGzIWbY45kdI1v/t9/3c00smmS DL8iSRM7OExFeI6Ai9610ze1OhTEpMYknItFn69mEkJYueGvstTG8oH/b8ctJQ8zePCLxiTi6rrB asalTWliDRuSf2osaUoWu+tL+R6QrynmeEqFOgXiI/VTTfdV5GqlMjcutkwDAgUf5u7ORIHN9+q8 v6VVYdksclhQ9wPPQn8RP+KmODFGDq+yd2PTOUWHXdy3oUcrd7jPhGUtJB0uu3W6fYzdQEAkBmLt GeiaxVEn4FHFXvZ3Z5/tjs4Yh5R1n6gWRbl1XRUoLDHeH4R57IaSSTNsFn4JIT4s5BwNmjVXkr7c Dqt+dwZKDALZ/2ZBFrViAwwkVQcpxuVbXI/lj+kVYYhgYh89NgZmXQA2dhzTFfPS7Szv9ZqJBuHb 3HjkyEvbM9KU+RYmBkRgYPCicQXzBvD4ZT9C1a33csGaG+osmdKE5/ODXyPhQnob2JxModX/CuN1 mF52UF3qUOrOnHmoL1rAuf3vRGRVo2blh3tCyhuAiLXRk1t2hq2hgZss0pz7jKCp4OPxc+uYQw8/ 62E1VPp3rdCd/T+2qTO2Re7S8dnTYAWmiEaC8/Mumpl/SKaLtC7mHeV3OpZzKbznPEHBzJDxSwei y6VsJH2Pwu8S1ka4VTHNR5eldvobhus3byLobh7FNzPsNGkeuetA7KHhiSmtvphC/FomhtVldEFu feVzoqbH72weEY01H+aVZ2PqneVlwXMjQ4fG1hdj4Nz6uL26tNo9ugCY8DcqYnoPg7o4sqj45t1B f7g1u33c+TLow9Zkq1/Gk9B3Gouy/lfVq66GFJ7D84DujE87L3RUZhFjzGaWXoP9OBzZV6MsdJut 2WAvMIogFeAvKnzG2TQgEynTRLs9ChhljDYIpY2Q3WR55vzJHFM5zsHRZAtKiV+l+7WQjYI72upR 6VdyKLMMqzLmU45DyC128p7+P1KDUR6W5ogmNsy5CLxJMJnU/2apjd0ct9ZbmVRRhZ0WmfmuSOs2 U7IVeqBbmmav1N/Hn3Q4EjuxjAGvGAhw7DNrVZuzeFRBw1zeF5FTAHsjcK9LZO5z2WSUmppn3IJ0 /eyBvE2cW4SZ2jst655+VOLPrWL1sxstpgGTe8PzlszNx4qor0dP9v0qkaLAps/0J8OyCONFeqVD dkTZkXaEI1/Udz6rlYS6uCYoJFufZxbyYEB2+7EsemwkGB7ojArLMfXgz4GLJJIWon7bpq1QiW5Q UfunSxmUpV5ocJonTRnHVIvcaSsuN8nUjFTA6P0kvPSD/aw9uChepChZCMlD/YmS9ZFNoh8OgXIg Wa/JQQGC4dxjujQL6T2qwil+TyLaix+9O+6hxGHWTKyySJYFy7MRTE7l+6Cc/qOT9rPMiMHn9MyI Ra7enIg/aUu90RvhVXOx98ngW7M+qQexHGLMNmcrQthtVVwuot9WAO+KryMCyqkiklLTr0gVPgxK k/ldo4bFYbi6lKDln2nIVi/6VRMVJcI+4aekv0a5AllNuxHdHLzK16YWhzrX+ab+sPknZ0XWa/nj AlxneGlmiBnX6taQODUW2Thit97AnB+5LZKhsbtDCWykA6LE0kzgfkRKzZn4vyvrO2hoBbbYCopi uga/HOCgYjsSbfNvVtkl52OlDFdl7a2PvL9/5CllNG+idwCsTklWmex6eaA0TsKj3sNkPIRx7Yr0 wI453kcSCHX2rxCl8IqaWbcxTmCChjQBNwB6ExuoSrUMtIiW3AA6XFaUxl6kZS2TkzEMUmi3LrYg 0iUHSokeYFqLdFQ90yveSbumaDixiDNPS4GEEJPAgJKjNP4Zl9Svr1YUhflP9N4DRzkMKpNeuH6i Ey1CHgvJP3w8cYtHQr13QEwgXO0uYAHuhUVoqPZ47+ydCy+HQBQkBG3wwyiZi0zopvaMYMOIaNF2 Xs/X0SswdsHEiGhykcy92FiOyBKYTLMD/YjpOaVVgPHOAuFTNaWNOrlUlivHeqgBOMic9gK4KjEG lMhs19UPTjwtM4TKSiRVzeVx7Gweo35sMtsq4keZsHMLupSOoAX6HCypZNv2+LKSRBrz0RwRAXJs p2gKstUUJVsjLBpk0pp58glWaRC23yAeEMNHhJlQIScutUyyuGs86DwSqOIUgaC7PJ/Jzdv57xsF 45JzmF2HIerIXj282rSb9O+E8jwa8z3MiHMFZCbseynpsuNsHy6Fn774Kdpt6CR5t9MaYww0Q8Df 7ac/TpgFETmfY12xfdIsg5fn0WVTlzbVqBrsiScfKwl6iq/g27wcXl1yhEaxUGO7ssCoajb3gHhN Lx6Y9KVjVP3ff5cty7x820TuzpyxEy7qa7GHjvox/b2g6+ubHy91G0LILpsoN8rpze+SSxyYrGCk QN3gkHa2qA6EhpA9bKFbqoHyT4djw2rPVkmbOsExp/jBw4ESG/pI7CMkPV4q8KykoQEgpzZJR3QB 6Vi5mf7nUWgIl8r8VMmBjlJkacGAD71zUtoh2odVAJoqomWviHjksJE2T3JZl4RG1gUG7bDhQvm1 aBRFifw2Yh92qYM/W5Tht8VI0Kmu7xHI2U/NchCOSbNemUZmagDcooo41miabbMpI2Fmj4DMUE1w 2d1sQ8+QTGPm9YzYzNAsVe9qDkgrHfBwloucEOY4GCjfjQGARBFuRnLuS2iSnXMWqCOy7sDKsZjC m95QYLEf0N49uDkWu7DlM0wHffZGQY6ECWjXlgQdjHS4sh/VSY0Ooh3n652iFRj2+SAvaGpDOiBK OQiuHXUlyekwl2pt1DYuIGO4iGZMPEeqzunWfSftlKN7ICPJP3C/aZ9B1TQNvxW0RuyL6bZspns3 4i4sxHGh3ftchJ0WrJpDcoEkx2/O8fpLGMCAaSPWlEUhzS5BW0suVdHkhGLlfVZsUXpMvVkNz3FI a6DsbFtU62IN9Fnme3qD+Gy8iMWThPCrNUKhZSN+GSmaoTSORVfQG6o0cEQb/Dw5gaatGD7UL78n aHXmu6JHypLkMtDUBdpqZWo/irG8v4aIgO+BmXO7MHyxPPe+WZMoE4Y9jP9Lx84nql6IpfWdaMBP rs2CtTmk1pPTnOyzDgoi+mGEqGkLGyegO13i61JNGY56RwdT7PCR9hWZ/8PleC6e+VuMYrEovgcV yNGGYW2DR5J8xRUWKbxBPmQYa7haBVjvqW5mjvKGgs5YcS/fR+rpKLpwiToM/NGLHL5EtNVEN/qf N228RCV54jfc/3Fn8k9IpJkig+E0QV1TEuQ2l7uoLyxXy3pIuw9FkqQKkjOitsm3azdxiEGVYmsn +bmAiAOFhqc09robUGKe8Iu5cAdAydkfXyKD2MU1wmfMjrRm98lYBiHLtsW90Gxuxycxvts+6cjK E1+uNksFA7v7v5icnQevj1lYBxMnllZUc1ObPfLY94oyl45+0FpJTHgh2ZgVFESw2r1CmknbbGM2 YTUtTOyQ6H75p7O7bzMQKjr7Ec74AR3q2visI8iJKuEkLiSd/VZuxm3Ta5ZJjyleLK44cVJ9uoS1 Mb5gqeIHnRnTn7QbWTaJVIlRQNH93aG7/Fou1dELeedXg9M+cCK/zcWsQQh0jgJOpS7dA3Af9V2c 6jEvwbHnvxkXv5DInAsZ9xkRYfKoyk6gKHpytgEkYWaLau6jMNRlKIJq8S8TgJ1s0nmBAaenxvyU SDifKM0KrT4TF4LeL3OnEU4ABAioAWQtdwmdSUVA8hKV6kOU8uY13/kv2ckvU4vwM8u5O0Q886CM QsBzchczi0b/TBOGn+76K/svJ575KPihT+0i2lMeRLzoOX/FZb6vmKIhcvoZ5t7wd0fcIPeOQj4W 3hwEdpFtF3QlrjZOhgTWsjCHz4zOKT6oOFpAa8Np3znmrcB6lSQ7S1+mZRPznEDMs/2KuvKzZhPU EkbpnfB749MGo32dH4kfYqdYHWw2letV1bsm9We02We0lUkMreyECuPck2Y7dmgI5yjQl+ooykp3 shJESrQaxUaSmG4jqyi7TvjYwFpeIdlyuFWQzMEbweRJkpZZeqAkqcT7Un+Co03tvEsKy3OW03bS MBsyAIaFTK0PujItmiqwOcSQZgyo1SGuOlVg4F9XDfsz8bfq5BKpJY8D5stBKGCH99aSt6+IFraX JJ0BE1Xywcb9JdkMb5qT6eLvIE6eEM9CLNS/5tz0iercIN4Nmqt1Z+Rv0orMqXQrHE5BmqINxPZU /EBr+NREsRyFN2BnR18UBoeqIq0tX2IjetGyRRjoTBZMS/kDZ5yu3orbWyqF7dAmfmkmvAegr/5V NgDoo5O2/yq6+2w/9PS6cyT34m+CDBM1xLNCLS2ihtRz/cRGtilRXsrmDjYYJWkEo6ddxd03bOS4 zKBRGHGOgbReb9rEGhW85khjTjnJz99lOeK48MuRi3izL6pCf7X/sC3P/1iuwMclf8O1kO/DXNnv 89qv1f6ElGIejsAPvOvJw+qjyFLwbEp8ZLtqX0lBExB3gUTiy+Mo4YExHsdmkXQLMBqWgz05DShx eCV5wP9XlL5LSjgIYYkoHywHwokjdh3Q0DCZFfQcw2hTOoAJ61h81Lj2iS7itNgwivnmDROBA0lM dZRf0CC3gsVbTYe9unrt0oJwljEbrOz2XGFxdW2skbHXkTVIOBA4bbdgzqGRMEYPZ/xd99GJM+VM S6jxRckXUBE4R2UkjnYoDOg5SXATTyv6yp9pPjlRG+DfKTJhW6AOs46AADDawp1kc6XMKrrsHeDl WVG8nlcmfO+aTYl84pMZXg8hrz0rfVL4U5Ei8Smc0riMJdV4zeDJJszqdbXbWj0cwj0yzVPRqs2S ggzg0oIl8E57dy7ofBXqqjAfHiV2WQJEuBczxBVnmtD0C/Cdg8y1TI922F9anyn6qvauPnhP2gUP sVpjRjkUmdDW46xjQm2U5AWOfcC/2ji4OGm9zNhngVwNx0xMPLULDth1XvZsssofpEPDSYBC0Gmo UyfVCAbC9tH2w8FlRUbo9rtdS8JolRDznqbC99DntX4cFvX+iblgJv7k8veBb/pID8RCAKB4v+21 4fxSFWlNCsHvTGxSQFV++sOUgB/YYHc8hmIoQfiR3XhelIht03hF1wVg3rh4POyfwPfVgn4etMSv WsXJP9lTV3WRK5wHAXB+OE30Ab8WlCyEJhBHb07iQpL7m5Un2Nabn5gF3r5PmPyGhK8ZNaDaCWkB jBKYdULFR0+EZ/p9MfF4bPEpC8hEgevo97NSlkGtQ5xVSMJ2vcul0VPWv/6D7y+WFu/AXdUi2lPw hV++kMuHdSEhoc/KVcaakrgVYa0A1i0m65GIbdna2Lrg7iuyHGrg3aDpT6QxiJqH7XOO60XcJEvA Pso0PnbnUiMLhbBaaMM/q9vgmOyA2RmICP8h6HJA3rxwRHG64ncEXiG0/vpifVlJ6VAj/d0iSi/P 2AGPEVcrfpGPJWngczXjV46kjK0/twPly5JDDHrnKWfvDyKmlrx6Xexs1yO8y3Xhl3F2kJd3Hj9h MWlIO6mi0fItSodeXTlTHgnCOJKOnR1bu+vcC++67obra33kyC389ODrQ+3/cRQR95JhIAZC+Adk YjUYsSnlFpt0yULNMpTn5ZuCU3Tu8zUQgz5iPVg6ZqUOd3WDkRoSS42AvSkQQBEvKhre6XZ6mYZa 2ZbiNTUE3X6awBpCjUtriHNf8owfa/2nbdWbvU3sciQTDjAU1AfKSxkZZ6lLf3smgWpLhWX72gHC xWjAi0cMYmsKSDkuJTfykO3QTQKdHYb7+BB9o3JPdMjsYp6mf/yVoQqJU84HxY13ggtVuTq4eUq5 qIE3Tf24Y8FLToq9yyREGSSWHmW1Ls3ZAmR4n39Viu4MB+UzeTnE/s08tqjSItovu1aPjsUzoIrq X5PmAxnUEcBsVqvNoNTP3vpP2DHMCVAioiQml67YjPLPpF8JvalEokPimyR8I0CHaAiyaG/o8/To 9U4xsnW4JRioMxkEaXzWKSgq8fpypDv6oZrihhFPJBCqYEOGCQYRYgKnlnU5o08ReUvyZmmnSQ+O 3B5eJH52KPGKPXw3+Mh3uUbAc6MxzQKkhnW3dFf9vGe5V2C3gfifZ1wEFunKfFvpFJpzVSMzC8VZ qPVZdI73HA6TE2DLB5xtgoSN63IIKy7J0+AxiE1Rvgml32IK6KzcgrE4LN5kgg1Ouz9p+3zEHx+b oayVMiVeK3pipCw0X68qTPtmARFUNE4muyoBeILwQSgY5gxzfZy/bO0vXvrLz2JTq8pgjdlK69/t j5iFfT++74I2dPHm46y9lSXWOYu3Q6rimoF0yYkevlt8VrIXs06A8Ej6iJalTCNns/dQ00O83i+j +DlJCIj117kik51hDwfsrJM4iJYmPNQLEzjWDSR+jeYragEceHudB0/SImZJ7un8G7RL0B3pgPYM Fm8mYQiB4wCQv91kTgliryH8YN1g0Tk9jD6xHqG0NJ5TWQxYMJmilkVkGA1YtdpiDeQeWFgjDP+J hnlUfsz+AgXFqjGzfKATjFLZjEMv5QxUv5O1MB7tG7cT5tLNKqJaoK7xWbXpXvggRJUL/bIISeH7 v4q0OsTMinQxs12QN3VXq5P0pL1iEH9lkOJZl+jyrW3+OHVB+dC83DzjuerWR5hkH4fcxjcH3BEX gsCei8LrhtrMgsf4mQu0kjy2OuEa8h8uCzhEMiH4DJDVQVl0iG0PeoaUuqXr9G5bUF/OL9jnHMSH nuHybm0GecoOFWp1AzdAkT7tpSk0DfRQtcmFOq1ECkZiAArLLmrjSdVWHlUUC2+rhZ4cDBBQTpcT BzuAf1gK5gvUiUPoFe7goXN7Ob0wG/BDpuakuhPEY1JCzEegJgev3syqTHQOdDF4w4kleLvMY5Ko dZMpMxUgsVCwkPO5KtiwD++4S6wb7oD7ObjCIF0HjlTOQwmwf9rqAcSz4CdELG+yq8wvG9Vaoq29 jLbx6hj5coVWqtR9i/P2+rFaS9VwH8WSsh7pnU4wdL+c5hlbKIjlwh8wZoyZEalRwI2jNyatX2zV dAavS0/ramx4GKys+Ea3PTOTxGVVVtS6Pf8IcX8kLgCfQeLmIPVM4dR8Q2GiowCHD6e7ED0maEpV oCjMkw2xmB7L06n2SZwFslS8Oswdm8nXOTOEed+0+JYww3hfiIw300Fos1Od5ybfrbDpM0yA7z+4 +Cu2nwcuvY8Fyr7fA7PKUvOyfleUjLqEAjF1EyzvQy9puWQmP2e+Kd7UjA3hH+yhrUn0j8EfNK8b ocBRNs1xVQqUNY9vrIsYuMd0IdYnY+Y9pNjPQovJgO3U3zQ4PVYM/dHemz3mqdtT+r7uVb9WOSLf NooYoAetSg/fooHAhueWnwfeDhaDmRZ+FV96BzJqfswBitR1fwwL7OrfMNmh0OFO4ymZuiMAjBLQ JZ4+pugrUhZTU2ujaAWjyuCZeG3MGp9KWFFQQ2eltrOVp7jCUcwNJvRBggVMfxYruhnLBO6GkuSH kePzDW/SIkWVRwnaG2WwN8qzEJaf2dLLN5gUibPhSpo4NlJCmaVItl5M6lB+em+X21qa4R130PRE ArzIRF4AZL1o6V/cw8F9ivFSehWXJ4TvqKP0gDpBpA00Nf/uzuYoM6+78iAgAk7jKnXPe0AlpmEI 9F+iS88knOe5F9fXLMT91MqpoN9H759l6GwQtkA71u173XnmGH3VuE9xkSbs2fIWDoOpQl7fb7uU nMKN86h1cyBetY2x809lkqWKZtKp/4HIGSxMr+OxdBDsRzUTr1BBszhvRusx5Or+bA/ldUekIflX 6EzDu1iE9/kcXa9Kmf1U+xVBCAgKWjs95vX3gkwAmlC4mHPqLx+/N7Eu/PJgk43Pq7WIUexR9Ak6 1XdabJgmtdkyS+Bf/1PzmE2YOkyyEL7rxitfU4/CLlWCfecGU4pGCVmg7BF9SYtIKzpaMXVzrW5X 3wyRI/Xy7LN1ghp6fItetDBYwYuSJjIlRnZhgGnkWzvy5kru2R0gUh8ZjAwH9CMIOWELy2nrQHBt ybfVL7p8K+K+Wjtu+C02Olefa71xiHh4atcA7lybLN1LJ6JYu8R+ENe81hAu75A3t14jNB1gyW7O tPo7ZOKYaA2UKx+VU/n5HU8CifHyJMBxjX0Atei4OnxQTvVhOz60eRdD0tyyVD9/n6sXi7j8sCX9 rfdmIyDHT6aUrVlegxoV1Er8uRsQh4e5+sX5BlUSEzV3GD3uGnmfi2NQ9dSJyQ1TEVZ6nZmtiQRE HeXx41O1XyBtkxpPkMt58p1KZFwjMwMH6QDtkeHUECUSoIcMrWmICc3XjHcgTEu31RlGUtvxFKg3 PctS7ECZrejvg3j/x/dLFMs7Z6jUDZ7oIvJaCTiuXdTQgAoI62juEdDtMKYCHyLE0eVCtNrcb+qo vERCJUh40zb8Mg5cXmfzajQtJw6812YMaDBpkOHuCEMkNOaWqEz5xNfXBBWFVgOWQf2M2WkdEJ21 i6iCXGZVv6GQzu+un1Ne40QGvx1opvmgeVqK9L0586ItpFCafHyhc8LWGeXe8HLsc3L8lcVpReFD KzJQ4g8lvw5BpF39+gPbV34nsSK2Cba4jqaATuS17ssEiasV+6JNB8iOEZc22o4+tpkoRxzbiJNe i/LMYdfa/pq6vzo5nn0RHRi+vqNi6lKnziYdzDGAOsOeji89DFLGtHrxLNopDIEM2mUq+zXQZytf 6vUl7qOv4kEApYcse4H7XYTgv/8+yf6varqnSgMnteSkVXjNNodCwmF3SnmQFivON+jg3rSmqBTl ry3B3ZGyan9J5DnBKVl9bxPmY2UGoEq9Nd+vsvM7zda99jJfN9KMRHT4C28m47BQKcOypLouIUT1 FXXznsf/M8tV3aGugW3cpPDQ15DBRYRD3q0Rq50Yw0HIW/md6CuL4HnOM3aKEvfzkxYR7dOXWQRe xIH/30UoGlYn70X2Tmt93hO9wsZlTtR4I9ixYZsaaz5HEBbxJY4HsfVhkroQW0liCkO+5fqszxML le7PdCRaI0OkEm5oPaAkCFRb7sY0QQGFYuaeU3ZfW/QGVallf8gtdgQyoAHwxCIuONOlVh+hygdr tsOUYHRVOkchnsq7SsqbtwdHtXIykWG8GDeTfS8dGZD2gG8qMfzWOyHowL+5axKNgA1aRmgRf5KW 2xRSAR/e3mE9YhgYWoK6wvTrKlH3gZ/6PRpC6mGvVdWcU7pXu11VPip8k59VqwdpknGJ6jzeaZd5 /9po5xo3xBD28C3WEWH6r7Vg4JGn520XHooF8miTlEH7TnIcMpaRmvOuR9a6nlMqoNZPbR8xciBP jHb4eWfO6remP/fa+51z9aVySigSG8S9ugjnVdt78Y1fy3nrd8Vm94owJVC3ajxBIiY8WZBrT8a1 82zpTUkXXG6+3RaAoQudcBe9pTnjcxwGOj6zvyF+OnSJywk1dJ9SgNdcCOoWz/LHWsGSwlE5akCr ltnKKHbCGJKo4xu4rqCsqY8Lp66zVcD2LB/df9SoJu9aSou+wdCO7Twlh7c2zufpwjoIz+Q2Q5a/ nvQAbH1bP2OB7TaQLeIg0Gkwhbw2Xt4GUDu5NsGukSJl+BIVTEM13E10tb3kmMvtXqy8MMevgvgp SyacT9TJGJot0tp02wrbz2gDNQWFhbNfnFic2LwZUFN8r3fc2aMZ/+H2EWad2gtR0IL+fnZTkZMM Vc9rkgvdBelnvtprQ2Hl2ysWCWjzyCFNWPvFoRK+sLbaWaD6DTpO8z9RzjgMPx4vKAdgUi8NP96s EFVVxOYp/H6RUGhCZWsuvnybcYl+bKZMVDCew94gcOMtBs1JTAxKJyMQgLoRsrZf8Mz0/D+jRKWm 8u462vDffFThg7PfGv4I8sV5Edj8veemSjE3oyptK4yCFCLEoetor7piRu7DMve51nG1VwOourjx sGgoR/9AnhOaK6qbTQTD6fACwBeZ82i/jM72avEemdd6i8tK39LS+z3Pw+tqerpHebf1dK8aR9Fk hOdEGLDaHsZj5MA8R0VhufaXKS2AlWxlnFLNjOd6rHeqO19ghQPM5C7ITxU3TbEq9QDqsrHC1EDV 950Yof9AwBVPBPs5xKJW9Q1m5iR5bwNHqijVVPeG/rphi+FQxGk8J2OLPZtc0x4+rkb/dFa8IjDV mf6m1/QB3TEHXTtedu31T0Bdys3A8Kyw8eD+DUxt+f4GXmZkSjbBh3OETj+tCi4wFmHXNHJAZVfh cfMLO5bN4POuT0/BbunlHdEoi3ah0aBR0q1CNNVGMF0JghySICbOYWWaI8+/32DNTiUSqzdTHs81 c2O+1nqai5As6Rb4oVhu88W5n9yJPAzsxnIGbpRs5bPf7PyVGibdmrIqTQ3GpmfGMD+j+V6iczzm h6pgP2ClEIP+ZCb8tj4qPPXD1av7ULuZHyVJ+42HRnV29FrT6y0LJyDqnFcd39SNFQOQnGiTxDgm 6lX2ACLs+8Bz3jzJGHr1NPeBgKic1ZQtGftOLJIHytSg3QGHrMA/XI3qaSjzqV3BWrT6JH88d+pO /zd6uANr9ZJ6sn7LzAbCOWK5IMWsc69A6tGuJkEt0v+XNC2Nndaf3Cvj62pHFWigKXp5AJ9mOknp w10sVLupMVXCSOfGFdh/kYRs+h+5gyhDe6wRRO1xsUBFIR+usVdCTj3U+WFFYSUJPeuz34bFc1Eb VNk+X5lkC5EeGCcMoJNEaJ/+D9F9dvhlj/cYeMzX0SJT3jYpyO3chcNX4MvBtvMk7lD0TvRQW9ai LMt4MJVluw4R02+hUfJb4mPiS+zjuVfjDxkuxJLVasJou63nFtnkodzzrPQ1/BAvAu0lSa5MqkMG PXAnm1dYTcExZ0ZCfxKnUWQS1e34n0As7wwQvPCOvgkgJWrbh8IXNzW6UXg+EzHxoHFN2zwqTteP k2BeAkW4VFhk6YjykzsnnpJpdKVO0FsoYxMBzY7wpzYsTXd1EKU606LJ3wvpwHk9dO3GHjk5xuCF YtbAYe5uC3vVmImNHDIAqH9zEWcCuxu5z8J9md4q0BNr1agiOKuBlSzvApIqLhkQv3EQl3K4osZ7 scLGQ9U94YvPUtDNEJnYZC/XrujyfXFPUIc3IPqlEnhF8XhdS2AJHgtMSx6KuAIRt3fUmO8csaQZ gYsO5zA1Rm4cvLtcsRy5/GTsl4dqXEartmDEsKONn4UeUWMz5UHDgtBQYUyWyRv1WBZbwzmR+jln Q+CKPApDOySxe9WClh7ayFubq7yK4hpawOpOURGRH1oZRjE0dlnyuIkt8xpks25lUPctt6cU7ZXe zeRNS8ldM+YAdeI0NtRUMewKIdgQJskJ2JfoBPhtikXL/ohEIjWGM3yrC/w0iqAgujuijknfW3a3 49HpJJVqg7jddzRO4mgyuZwdS+yywAi5mmAIqxXqI9cG9vkFkGz7JcZYn2dXqTT+K9Cj2tLljcoy 3mYRx3RAvGAxcPd7gQmpyO0MqouRCbrwr1fIkDnXuAIpjvaErf7WJbAibWmSuy9mgB2+rG0RDsgm jZuJcjau9huFt0rnGtHAO1WvTrxyEFKcaz0nBgnaSj09nfbArie24VUt5ZIDAH8ZeIqUPCNhqeIh w6hyD2vOwtOaqfdE18DbS9gxernTA9tOfXItbh8Id1dsVcyCu3T36fAw/FtCbdFg5YRLAxf87pxy SDhZqRX/3+gxyT068jID94euSFq4T3rBbpPvYg6+el5oQg0lLG8p5l7XCO1LyF11RwbCrKVLBiJ2 8bvd3a/gGBH4RHDlCI0Zb+PUA1pyomXwll8BrwYbfLSFOYvscvKupXpOLJUJQ6Kur9hGK0B46WMk H18RweccFpbn5UiserY1z5ZtLM6YjbKJnoBxxk8g2bW+/Hzzb0eTWCNFb1rrWLmZHYg4t23sVQJZ zW08dwCDgVjOvXQGXZGOx/4J1twcVnVOMgIEc9Z4kYHN0rbYywQooWSDrAHKUnEWD4sqeNU6mW5N Zc9GltAsId62RBFjdtUfoo2S6emZJlJ5IEw3cWB/jQD78x8+hT9vIPONd7WiW31vMMUfy9BdLnQe jc4GePYB2+uP4ppbca022CaWk8ZX/uG2djKJqEuBYkpTfChmoAklaxJyLVuR3q7yBiqOra0senJk 9J9qdsAhyNCZF4v78hZbvXk1+9S3k8UDWY5XHaLCKJU+Mpl6aaE+a7hibcibeUqXNYGiWEXfIfVX RaPyD2Rik+bQudBBjjVO/xMiQ5X3fz+Dc1zpqtovItdumfx6k8H3Ee5xqUPccUC9CQJ/tGRqbalP 9C/Z1YVGYwlRqVJ28qWQ5KnY2yiuXO+jNVTCnr+Uz5iGripOprorReyf9wby2EO9ENkCFkTf1cyf fKCgOsovwIDqx1eFAnsBKnZwA/zUZgf3IfnrIbpdiF4SPTAKAhJW49uI00C5q+QffR9p+MNYTDVY /yE9HDztZDbHIe1Xv/MtW+34hQDCyS1vEJ/xOUxhu8o5THMNoRy6FPP8xgu4RCDn0HD2mVvU+NvX HNgjjbFagPaTnUu3L7Exk7+z+G4n4Ct0rySqgux2HBNJXFDt3Jqz4ukNj6uAXDj0+JgJDxmM9Rch i148ngV/MM/Aze3jIKreP0YCxwvB3GGcRFZHgauekk6/sivS8livTGa93xLV8mGVMelcxGcVr7V6 mH7oPoVRLEjNCiM+R81Vm9kbetjngeppZj5+2QceWxfqKI1/iEM1vTQ8z/8+g0cfnXk1EFnKM5NJ vBYjJ0ikmwR89JjCbc6JrP/NjvCWsR8ZHts0/mZrgjxzIDlftXZOLpjwIKIgIf1U0Y9EWdg1ZtIG WeVkiVFzFoUhXOZy1eTwNcaXyBVtNFyeFL+tWQiLAO67ZWn69X4sA9hKh/8OfhDn6X8rV6x8Bqwi alLJie2Ql3gd7/fAaA9stYxJw/a6mpLHA8kNlyBiXsPiCtypibqFUvTLyMTCg1SALOujU7wNOjPv DvaU9QB2Fi8EBkIgwJzD40kbN0jJefeOJEwTYbxlYBE0lwHx6Qf2Ar31fgJpgDXsfOX0SIqTj6AS fKoxwDeAvra781ugBHl1Z/YNTSxEIEQFUcO+AnlHdeQSIMUS/psWEIiDYExUe3a5R0jAznO7+1g+ 6x8qF2JWljb5viVPcRSY4fEplQh4Ao/47Bp63RBHNb1YRm/ycsRQmAwFFk3c2+3oH9qJjCRKvrXl Ro8OqfplKT2cQefzKWpIh3aubKnvo+Qsjln+6u7E0GLX7XhQ+dxiGsPYqlqNXTuPBsQlMecSif9i qs+nSCpSsoanhMpErt5gGTRMSCee966aV5vkUF9eV7fiC6xKHS6sFCB/R4FI+e55BLePMw/l0WUd RWK4nUn2NhsjWW1N8udcS5e+UptrzV/1fyo50Pl0PWYYTjsg8JPpM5ZB65fopwFlRnQP+RC19x3U LazK1uTjuUoms+zmHObilVtklRG2ofk4FuzOJ3jf9p8AzpBxEJHlpx4OPq7XvZ8uBBxfFo0h/pr8 oCHoj8eAqiy/N/8UZ6CLQxkKzPNW+g4915DSUgGjhB3fg1Q5PsY37E/ZZfvbm+hZthDnAHz4YnKS Ad2SPFilLpZYhD/qYZe9EFgRwBA194EldDuszLafsxVZw+VS4Hu9PrvS0FTOjT9QcKohmdXYbaaO glhv17puqiI579qjZM+K9BW4icyJKWBkl7u98p2xgI8pCSOzeW1TBQrutj15CaLCCGtjBcbJvpMu jIukxYCzR5NK4wpvpv6dhnpbxhk97qmeV2rUd7vJmMgpvAHWsuvnCb2m/oLboFpT63zDK/9+IrxZ wTGZCF0v3aANKz3EUqKwt0H1ncgb07M5JtRJJY3+il6LqznLW6NbbnvhJiwCYnm0HFNsgDxU7eO7 12jArifqlidfKc+/vs9rigXQEq2D/synXeVejuY4cUq5qTV0/6UPpZRDL+O/5zjrTD6fYlPKIrck MSkJuUYy40KqYctmMgZBXBXMp4ZPb7JZTW1TX+QeNNRQz0uLNfIs56EkKMrTIk51oH0/8LcnPo/R gvOwFqDU2lWCAemWDHoAWk0FgfAGEThKEyTEcKxu5sLdibY6yxoB7Jibq+yP1/5RjyZfq5a8HfJK VzB+iItrBk+CAgPHSAjzF/fbjWbY7syiYPQ6Ioe33+1julh3MiakHA31HPYyE4R1TLi6ptr75qbe M8B9aMaV0VMn23LMNlG/KLNNXKTaSbhCRlfHRx9oUDxxTlMAiI/CTEzen4VlfMVtiyyADO3CiWLW btlHSEjAQVhYQnj9a5HbpU2bo0FB/ppE11NlrxYDVNlAJUZOquJ/v5Dtuq0+JlekEIKO/OpxVIGj +0G6SKO7BZScV8DMYe65OGlY5pRn7dIQq7Wfv4zY/4qHm+qJ8SzXV7+KkKdpHn4PxweMnLwEStBv sQienpSOQiImIU8Vme0sqyP13Nt/RHG3KNSK1x0Yvw0p09N3hKyKh4blayyuD7Dxfaarp3cItK/8 +ov6tcraNRga1vIRirasv16N/eHwcM62qKIT+LE2hLhVike5ENm5Oi7XWtosDkQhsUVN3bj8RUVG rlQe+K4XpvDixlfrjg4+HYVdbyltgJ/Mqey9EAHxGPVf6tJk+hChBVOlBs/adGNaBmYuNT6HsLYV 4SC2OJEoDw5+7lpYeETM7bkpN4NDFqgKM22fPqEkBZjuGxVohFSQyldw0JhddIbzXLZqUDbo54GD CCL2yZh7ol5l6Zh9eUC6ag9TVppklAPHfohiKF/Vr6/8ONwZ+Cu8qgXzQAAjck/LEafGESZmrwzq YsF6LJO7izB8TajQNXbiylFQ7uaFgcAvXomBJ1EfpNKZCegml0+aJ/SmHZJtibvIU3GX5ic75e4K clFvqyOoQHHBnwiIG8QfTUGWlUFaq6MEGmKDtOvQdmFwqPtGZFcxplB96PF/6Z/IiApQxYdDN4N0 cwb8nePskwVKO6HRlLHGe36arLrQ0YqD7PbXcAXRodM/XJ5sJL2Q7+DZyRkI/UVFmvuD/Hf8pE1/ eMoUAEWc3rxwbtuoVD3NjbJDVmjj6U2tctu9ATPMEZPjVet2YQRcVZm7r97Hw0zthgMAvrDdGy/o 2ezEyq/r33wJkbPvWstiEshP13pCRslNr5LGjGIDU3d1FnPrhgKi0nWDiAUpJufOaAc+YvTXcTSI RiX9HAluRG9rALKGmBjUudyo1oDgbkOumtFs6oN+pBJbTLt6be5aMjbrZ0WtWErUaL5DMdb0YBPg QTw2Kf0AdH5nSqOE2CqVuDm+laqufOLS9HfyJGSO8Cqx9HL6dCep0hy29nHCoNW2bvMmXCmc+OGh coARSPdU557ySCQ007aEQuoD1hZq1a3aK9LUhOTz69KvcpI4KUpfZkcGaSGduSkoiKEtBWYFwcmA pGyg/257DgAJXL98QqjTLNtu9PYMHOxj4c+ElSXgzB25elEXpel1AzMUOy7EZ4/w0p417qZ+93Pl bK7s3upzwhmIfdAS/Z5VRBzcB2uBQzIToWbj2wAKDmUf/gF4VZWvR8fnXWgl8sGg0ds8WXKF6RDV wFza2hUnRIAFfkYGhlXoA9+bCVDS5WnjKX/eUtJ9X3y4U0xIOi5xyhahBeuvjaTmPynma19LCCDq 76HERF5LWb/VPh6qo4uGXH5H+KDdnkP6FIOgjrC9qqZYQcGVRbNZ0jAorlOR+x7knQ1yQ6+YV9TX +0URwMzT+t5tgyKAe9FPSZda4sXUCVFucO33e7BO4tNGCXQNdaMWnbzpOvPLtbmLxKEbckqCeuFq PMltKQgo2GqjkjBpMVweMfkSnAqSocFfkSoow0JRm0omL5pCDpeRKwNWYKyIEVw7hDOjlWVDuN7J FCXwvqUJwo6QlggXVuPTvgeIQy20hbfHeV4eeNz3XtQf7BeiWmRn2bbSc8627Jn4dI+EgANTt0eW oRbIP9XqFIHePDV88MkwYYVrailwdpAQ9T9ontkFTSFCVIpspTx0SoxzBqIwiUYkyjzWjWBqiKgM 8l2woAo4KVzmrO355ZA/C7lBvBIesYoNdnziSQU2tZAZxTp7phtcENWAqhLRrOClqxUp3rT1edlv PiSB9FpG0d/z/aIc+0Fdri2gqcfk5H88fqmI+XemyfrvHZVTWcsMDMMb68h8ChtOTbmdVKMQVyD1 h5oW0k4X1ZwmnqG+WTAeJD6OYG8LNC0gx5+0/xB2Xi0oVfZOl0ilw4MWh2A8/FmU3HtQepsTGvkF QMlebZCWWbxPxN7uxZkIl5aEivwtYGjwgF0jEvIwN8btA1hXxJiDVwKD1tV5bTPWbTc6mL1ZXcu3 15yXKDYxtQNHSYTUtjlOyoNSu9/5olGlZlR9mQB2sER93j2+bc0KbfgqDU8sslYPK16O4ezDRSy/ y6IwSV17U61PCuvGRS8ryV5wO1xnzqvSsAWv0qvKtr6PklaWqWTlg036Kd1d+OpvXY+RdGa2nwqq knSsiM0026NgMKg+jWuqFAp7ugbwBieWizrpS8lL7ufvWrqlIZ9LC59nN8ZfHegoBq0VQ6Tik2Fe 05SY5uM4UjYlASnDljjuT7q9Ozei8T1fJfQ3D8UgLMhYLJa97p/r1abN//Zkg5zI2LZq8LarQv8K di9pE1t7BhNRIWGgOYqOK5JsZqLDlPQ+SUbnpsf69gQNCMTl7YUzy/InMtYAuqSl3AhSCc5+NKtE ad0wyGwZg8C3hlgqC0fSQ+R25n83jGUunzv6yddWztYrtOi5pRocp21hAs/Ac+9n17SWPwHf2NI5 N91fukP9vq+/Gh7v+m+6kzrX6vMvfVHKvU3H4Cp5z0ZHY9O9HpsL2Zfe+pEV7siGND+OIc2+EFJh U0V4Fi46K/8L3IdLB5kOFZ3CEUGrqCyqYc/ugjT0zJvUUOS0eaadTJZXh1yNsWuDrDCApM9N+tFo vPZdQTysznYTmR6fopKsApuBJUxnXIV41U3Ts3twAD1p3T+nW3EoGy4U4vEvnVDCnoiEnndM2MmM PO+q2pGmOQXhyMPxnJSxuyJS1MCCOA0dv8tk2Id7eHe34riy175TlIjphiXTZxuHh5t5FOd6Db4T 0DhC9fuLdCMC8I2g7mDer52leHUb6WAGurk3ey7/f1cw4r6tON+09uaHUeFmqYeOoL8pUOEaXRnP GADTY79VfH2/DZN4oF4Y6L2vBOdjqtrP6VieYjD1/L81w8pGGIsOieYAG6hVWJAskTjG3C3Z6IWP Uh4ec9L+1J1lnsm2UV4CxYxlfWTFYQjqy/fFwXF7kxZNipEYw+6mg3OC5nE/s8fxJj0E+Q2c4CZ4 CTD9tDU86/tx5nv1JIfj4AV/n1knPXrC4DrKfIM8u3sDnnvsUt5kUbpJF5FzryFHWiAjuoFQ0BTj l9q5TBG0o/k68geh3Lr9u58jpbcGut7eMjLLdi4Mg//0hnilh6Xmzwtcg2bDh+nbmqbXRXgQEP94 4Ri2YuQIDxndlpAZE3rHJDCfLjdnRZLB1UayfilhVI4s9DwltT/fYhjDugCSDMzmZbwSQW4VO3P4 XgDRsQwDWKDdhQ2y761z+tleiZHUt8ZxbSbkRLnRAOKUkIYl1TovNrlXcx9q0Hfhfw95/4yV2VWW QOcqZTEJbqHHbWiYTl5q5yb8P7oKP1hxSSjSLh1YIMngG2uLKOyJSffnWwIerHhdMFee9Dh56UU1 LB/YRwLNCaU7F2Wgftiqn1SOfFn+3QuI4bpO80HONSXB69XJchysH70LlMP/SfUVOZirup/+XYHt qCvTlUvNCghZklZEg/AxyT7BggBLOYXqFdCAy/LLgvBtSBKONa4upKcEApL4zrAXMhs2oV2INggr Ge6+o5+ZCa8yDQm9iFpkUMbcii08h9rPibv4K1MH7X8qV7+izkcdrutGoApmytHV22D4PVWsX18S CZJt5ZL8mkMDbPhUU70cdUZU6YI1dKiBfxqlMFwzqATXBd3jETJ+v3WdikzBVXbo0viLnVbqNQm0 7JX/KIQ0nc5y37pbjhxnMYAVgaWInG/jivHQm+Z8RWh1A6F1K/BZGjHluW3Uzzk4xSbMqiHkxnOX TgPj+gXFhJ2BqN79oAqwHSmCp/63MoP75STBZOGNCnAa4y1idbMeFSIMkIWmj7ZGMAJvXYZXKL8K E5JmOfabYng/UOozYIM/mcVm9WMVRZu2oZl9azEPETBnJ8KOagbGJeAPZSvOCBRJ7yYk5m/oi4uZ rOjquWv9GeRrh0ME5y4v2+slUU2XxVD9mB12Di60ickhyHRTo5GoPXHvHpiyqBbcycTLxQjsPGn5 wQnKifLVty/AxOLYxG+jtRjPwEnpP/1MEz2SewHB1z0XTkcDKpllZJHjkCE23/PiyPA+LHnxAqu7 4lgUsXpEXXVVnmwf6jOsO+NJO5FuFmNIAPXUGkQ/8iUCgZSx/IpDYgYl0P2xpR3nIL1sFskzgUE4 IFrbGUCHDyJ6LIwrlUF+0XQoSq2mfQeu9vilTIzxLs4RgS42IH7dtJjgIzTtOGhfEdWCGbzcWi8s jQO2tjXBwz5he9Z4iVF3j3wbDiYAnBkFxMV+8bhYNaTIwdRIT02sVzEIJnr0k9TKEZR87a5D67JF Xoa5DfgFrsqSqXs0aur7rhlr4i/NPQEH5/KhYOKpyNvnoTBD7XZ+RX8awhme96acFEB0HDza2n/R q2xUtkQaYI6m5TM1YC18bNc2Yq+A3zJ/SSuQhsVVeI/uRxOyCrnivUAldjPK/4zC+od1tPv/58bM VmmjRTkI9Zn+wsCWS2/3yuVpzvI2F1HaRWSfGg269/Fj02ldSOgs5ufn6VDGA5HIrFnstTuRLr+v 5o8yIUZwSYLxCZo7dzsBGObgiAFIJzRGR1eqpfzgE4wLmZzBrCCnCBfsmLGMo5GrJueUXsXWqJHt INiyeO+iJAlAiHyrlnnid6cIRrIJuiH9EE3cJTJQLDmZAzdsjbNtbBaXLE/y5uWgvuVqPzdke1Yr rauKh6WMa+UdG3d9kIzHyMX4p/zzNmuOLM1XoD6x57/N91as0AX6aXpOiXSKe57iSunDB9SaTiSa +SDySuhSqrhclk2cIbUMM2RN65jQ0ZmpQ3KNYGzMMOEk/jkW0C6184DTiZi4mJjLftoGz5F4+AZx yN/S2Gf5ZhPyMLufq1nrSHBt2q33By3L6NZIjTIIdirfvUDwHFyoU5zFugZPlXYwjEtLSNnEtMYa hz60vyFYvNj6WGA1jXGPBJfSylU4uTvs8omppCK09pA7WhM4QwAGryCLcsDBr4kqzFFoLcRI9UtL hpNhxg/Y7cX70grDaWtVdZsUdrPesQP+AiAblKTHogyHtqjvQWd20a4hEY7EZmxBgnjL3e2lppLL s0Zzv4jy32HJeE4+qEYL8ACkJGLaa5PRbAlLjA2ZoOCxVMQpH2Ah0v4n6CSXVOeMAP+WdtGqs8yZ 3venWHBMqSitj2By3Z8IHDQA4Q67CFwBymiLgESHkC1JYQQIRP5xUra0azQEoG2X3hafeeKwN9qM D+KxPjt39T6KV3uHZvDnhJ0DSB9qWi28QzVVEEMexD+UCHBBKbrLpLH0x95FXc+HI8jFJOo5R/Pz GZY4gvQHkWbOw1Oi2UMMbzrdzPVFGJBIFh074bhaeQqWDg5Wv4lM9uE7weUTvwYJ6ohr6AJrCHqf rf+e/UU4Y8xR4TlCOy0vf3x8Y7lOO+fJKXIIHT+oAaomb2saT4X3Zbw43ORMo3JC0RqEWP5/K6x+ D0zjjzkTzFOUFDjhm5x0mxRD8KGF1chyfTy+xqwUK+qRlTDMMun94IkubfnfwjsIYnNuPdCY2sDb qAFDk0qLJoAgyoxLTVUS01zsyj6oEVjYpzirkZ8y5v7XWBnOUj4tWfp7CVJzfD2EQ8CxlNBTQQ5z vhhod+SK98m6Wk8KByjtp90OUhvp2+bU11Tyl08vHTAFa189MZgxjctc+gPl0bvf7JUuxDa3//FC OR76VQVWCrrl/sfTb6b+i4u4W50m5RYbJwLrcEEVcPxR+bTZu1I/LjURf/bZ9kcUXbfhx1ULpR4p 6puzGradXAN4Zg6jfc+p4b+1uHBlmG+FknhU3GOuntRxuNj4oVyOwCJVRcEjaFhtJJYyyGG4WxB/ GpGokYndhw/+ZZN9kLn9Ml8xVxIdCyKsx7ylNglMsSOA6/KyRO5lFXhKMvp82uj5BFw7X/9ptD5l GBGVvP1cgFg4bbh9ztor27B9w0ksDUrtxEirmMB9Rbk4jPslZxCo03uOTcZUVAokYl6FMVcfDpgB GYYCbbHETGa3+b1/bUJ0dQ0N87WO93KlLGUWZTkkVNFTFFl/z1fm/9GMl5pl/vsrr1S0WGXiYKnG eRr/s0y/zWIaNEc+1CVI/gsQJzj6hNnihiVis1LgcBH+zrI2QQLyXQpeI+52RxvM2NgBimBL7eoc mHHC62ygtVDyTs27oiNPLiDG5g5+0m6A6k1z5qHbbC6r5ilQyXDRKjvzz91mqMvVFx8qDEDze1wG 0plxlMKVB5Qbw9//NHP387sB9DzpsAqbqtDTWUSq7UgDs9CG1zlYpMjFzZ3SReWrojksnAwB9u2Y RavjrqGHirMTDT5pzEAIIqVHMpMvfWSD9HhdjFDQ3ZKPC+VibC5chYEF3DSftl5mzZMStCU1JBST tNJQPkjRZaZpVLFYCFhC56i86fLlWYcR8HYHvC2ZnRxz8AO0DubkC50vw5BRC4xgasbHgu+b7vxx uWtsWpgvHGHaM+8LspzXKOh7qVy2K534dcxJb90zNWi1lHaXFDU1Sbwb0blsW6qpT39fNsdOyZDm OOqZBIKJeqkIm5bDvNJCIh9rAId4Mrd/WnP9qPN9+wESQpo8lPuazJ8bhHBnFB0q9hDID3NXw8DY 6w9NTbn9uEuI630TKvWQj3uM8+q2S6mmDckVoqO766AvYMN+gcA0ws9Ff3/eO8p/1cmPZbg0tW7/ zmkv+Rm0raMAhf73dbQ/JvMA85IOeZ/mBukb9ed8bSk+wWpdpsfvegKUL/ZF/kqkm7cDG+Js2KJF zEGooWaEOLni5zk+rLafiecaxTZ3n/Dd2FDu5hD8uAMh1sJ3HiamM/4uGJkpakEwOwKGhGJ56Enk ItP2KAiFHt3VSVkV7DptmN4+Pco+ZJxgw8WDGprRck9jK2ZazEoRZF5ejO3oLATnXdeh9rkArETd TJKnUQRyqVDoP67xCj+1XBlbs8D5w44tujzBisbHzekSDCxATv8FGdjySQzWZtesZbAZRv+z3hxM MzA8Pw/jfrH7ihoekuU1mEH652umkZsqvcz93OfH/r+F523sRtlYhBTO02jXzaAMxBpBV6KDXhxp e0rDWrYHZDe9Zn2k3hjluvIhn7DSX8OAfDZ6u1V+89BdE/ZSbXJ7HgorPtiXE6z29VXOVldF18rI 1+ysXPk2Eb9HO9YHFMLOKVgDQZ/UG/RQzLJ2vpfy/VPsBiaLcrermnelU8wZ6o/4weLaJSAwCJOi o7YY1fBV140d7FTXfV6zWpj2wpU2Ly6B+OEAvUXPlQIFOYNNcXmU3gaddKlCaf04ZM5gLrvUyIVB c4WSYE5hRphriI26S5h6RpGXZgZmYVz72+z297UOtbOWJtVjfLNl0NJPfOlhBQ6PVeD6qzMqUjSJ OPawvxAhBB5P7QjMjr0ktSnx+nJ5Z6CPmDrBTeOvipPvf2IjifiCniJ4OzI2Ysu5ryl35cuztdwj Lkj97gv1qSHT+nzQVDympCwdEg9l3Tzrk2334KPFxNebBQTCwbhZAvuRfmA2rrE/Vof3cZpcmeZZ vGCyvkMOyO5ICSdxt8HiFj9TsP/TmYtikrr8TbdB/1pLEjnPm0tfQFNcH8Hcks9R9fsyAyaTmisc 9YevuumnAVeGqtIl6WpqcXsYQYsWA2qp75PXw8ONzUIn6bn5GiOgWcC5qpVQuuchL/LQp76jybbk KpcXXvA7RlBldG6t0AnWLrZuW8QZfiC8JigxN07ozUGeMP0vYsPV5OB0jzgUsloCnrUUJ3+JNKl8 x2oBd+M4RnmJ3V13glUPFGkL5wytlP/wh3gMRj9bWuYJhxiQPwwDEWBs1uUY+Px5L1yIubwQmqtB 2TlEplVD9Z80F5h74nTvI0oZwI+HvnQT4u9pbauj6i28cwkepRuLGXoWRpbWFOoqJQrnV8CczNuk LBJrrKwFMfBF7C6eczNugvl311AMp55k+WANmp/+1fbtlkUZnn/q9OkCiqUD6pxDgm/jTpxCtDqM On+NBrFwxGeAmbgOcPZR3gujQ7hNwOruzIERuw9LdLA7eZZqD7ZKGj3T1at81Wv+FHEQ6RxS9szZ 1O22ABD3r+Z5MisGrNEjGhtQHMP/FXS0ougJE3Dkn0sncH7ApiMi5k2NmAAJm+m2rLnMRUVNk0ej 6rPpMeMjT/6YIVNFnpW3DYadxCryxujOh9u+1JmAl+mJ5kU+WsAJki+1terlD81m5RAzu0gBiv9M 8XMNwGRbb078Y69N0BYN2KWCGVEBxR8YH/h3sn0y3PD4UTUAfZNOdhJYCrkZ8UxDxD50xYyponiS 5731NRMvl5qSLk8MMbTsRDEPJbvUIj1h0uuODpJWNwOMaB5sjcdHlnERU1p1S3to5xocSLaYxLn7 xtEio9uBr4KH6xnGD+rFq8H/9oA4Q57abaHJGRDjbjS8SnQFGwZCcPUK6Mb40wk52ZBj0qvxAqNx sJ0Ij826C5+YJegPkd9lZOxNVvLk1GtKDpzxTD+87HQefXoHVZPGGoBcsQF35KsBNfvPHcubOmvO tU6b02SC1cfnws0FaJH5jJsnpMU/nGwzIrdeH/HW76Vg9v/3z8r014BVKUclkHLHTFXJ52CER/C3 hicGbPWcDx6yEFsl2D01ev+isZzm8JlXVO+J5cl5rIfLb2LyfbE5TMPrNKEVc9NiiCvCxF2KaNhH Gmd109rZLwCsckXB4XI09o24Xa4Y+V9lYisPsSW6pqi6x5qyX/2Q28QCTXJ5iBhZ708GrP7Xlein HYGaTLaXPvjdMENbs8D6vKIb9qu1IgeQ3+/ofradKorSiBpnX45MXSubDq6m5H/o4iA5AMXheR7Q qKZ6BXuMbJ/7JT/R+RzEMVeXZw5Oh7KC76jKEQ6EM6T5sDwtfWUt9ydjF38xpQ+vDTZXcQyCsY6e 6Oqx1Y7cHU7gb+BRMtlDhrL4MLCPXYD3CmcO/StyMlrJz3WVxviEteBhazoj/b1KIxqCmTLN+YRM hy/2fzyQ0MqgnOlzxvtxTPfTARah2+1ZSHIaRgVuU3zJrEKPuo/OivYDZRC0cRUbddmoKSxAGdoi JipVSf9QyoYro4Ik0LTUVvk+2sEhUvTTYkv0d7ioKJs/DymeDUCy7z3ILcyRtvVWLkjKmr5OU242 C75VEuz6Vz4prDSQ9N70rQnCEzSHbk544FUfMEFykspoFrt8rh4WlTS+dwuhEcxFcsHaqV/Y8Dze vu3GAUu6uwvvvYly3nEvdXHY7SbP+dPYXmRWmszUU63NbiWkgb8wzJ8niH99P62VSTg14JC3KH0G Mcqda4WrcHaM1d1NEOdY9qJwqONz0TmMz48mfWefSPcCOFnrfqx4fRI5BXn1Y5T1WQxBjDJEphE5 qINzLcQXFyTNL8H2aQf2bFJYyEahpOAWi07Jl9fnm/LGGDn+/xj9+tAInzgwzCR6DTBHnN0FgDh+ EyiJqEIj5aDW4d9gBdYVHH1RSiwsiOvhQ7qzLsArPlldWYN6r6leXoZP4LrCY6guXrWshglFEHj5 h/QAgayr5uUP7wELxFsTiMxc9iUJo1n/N+Q0JZtpROGLITQnn57XyefArAs/faOuc7kWZn4Yr/ld NPHfti+YBTLrdCxPO0Q0P+RhwByz+CoNgFGrCgNUESSc+P7oi3schSQcnDuWF2PCO77iUAQVGe1J uYypMyJ4bB1mvEXhytap7whzf/s3hLbzsS77I/CDjKkaXHSXU7a3ZG4UvOMTSJAK/Yu85+8HWkhP ec8y+PpIwCVDXmpH22LQ4aNBnAnkSYMslp8HDTPEGX/LSrBHq04IsZxirpJ3bQjwfXd0iidV832C WzyhO5IVKkYJjLQvNz/7zPxzaPVLtdPoGKm+B85srBWoBxfM/+Q0q2bBwkGCqlV2dI+eMo8FxTYg OGf4VynDxGwwuqqgKQVHfFYowxdOysEyrOi9NDcmMD56kffpoJPbef61wDSj65aIzaPvNAmcHY/b 7FpEPNP9KXJw0SxmJH3SfjwlOSm2zEGpADc1ooHbvokPzbnBx8qW7P9ahvZUu7+whMue2ujFRpN/ m7HnV1Tq9jZ0qHCPtDf/id0Wl54aBi+d1R0pK4EZ2iZZ/ADi0vh5XfW0jk2hEkr4EPfqdDQzsTP1 T1BAkb4K70DR/zRw1S322tqmOYzVa20WbwVgVtin8iUHMbXQyvV0/Qicw0DWCgnJMopu0mWgGgFz 9fW6fTVhbyveCsBgTd2bt8wzhUp659kW8m5SlGI1YJPVElpfpqp2M7hu4lO5B4jHIp5py4LXyqYJ pYN17FwhRAdLbSgoq2A3S3+gKLGFDYWf0LFvyqy6u/46Cp+lk3JCxyOreX6CaANEAsM5EQoZM2LV /C/y0jWy3EnlfsXSevkk7pwOfKxwxrV4929gAvnixWjulymFMpyZoi070baBwvNADqf+6WOgPeME ztvmT9Vmw03/4ndPdl8Sz0lrGqmDFQrjBRJmdoA+WkNi2u84c8QJxxe50bRFMkHeiiSPwlq0fLrv 6xpYzjAfIvUTNYlqblJriV9IColQlbsBWJy9buPnlsfU2Bh05VueAUQYglmDkvRGCa+sDX/6mONQ kL2t7hzC6tQDNc52lZGLmxyKckhkc6BelCI5Tnx7w1fqYZQOlmKGVaP1ho6MJW51PQTJdNQ3dof1 FFRq2Io82DP1uk25wWMfXLSHOC2yQ0MqhXzrqIoW6imIDlmzZ00yb5OqaXBgtZ/aTlzdbw9lorAR uAC/M0tZHFmpgWLjGHTLJEfEpcAKII24ePev8PRe0CQ9NRAPymarpNU+FbZdDDgbdc5MnTp8cdwt 2Vy4zVb5i2od35wOMSy2b1mLBPaCGV6kWrGC1bXQRSiS7nP+XF6e7EjTYz6BxkJ4sNk+aFBNez4C xL+e/tcYGJv0bXDyLQzqTNK4LtXFc6yzy3SKxkjTOAYVw4wxXKCwFPoWsMJBg4jtsbhS+1JecHI+ INJEP1em+F+K9NV62OBlS8xpQxE/6mGYSz6le9W3xvWEC5jJN9aOdFzfAkI76zK8TX0/6s0lowY9 6tMNbLiSXPOZ5Wz3vaLwaHkfJoTU+OPfq5j2HuAlopwYOuLdAUkffcn+6StngSlrsk3qKSqGFKM8 tcj83T8NUMRat9oO8hfx6R3IYIef+fDY25c/y0QuoLn1IL8i/tV9bHja3sbRUH8h4c0NZXvEZmoV nFhOZVMBw5tqEKu9Z3bT4W3NyyG2w6ExyggR6YM4X6f/xrOLVflhIxNDSe11gJdS14IbNLTHTyjw KMbpqiPqnB9lFHIM1Mlbs7qMP1X/6D2TDJHHCDjfmyszIrDMovWgZx0c5LXW/Pd4qQN3YriwrVDi 8KuugpkJSj5SiaYKlK2EmFSjoM5JMEJ2XqUu7ceOQpXJrFsmaH7Q3AfWycDNaO9Vbr6r0R/bYP65 Ox+obPpoX8FAonA3K0KXpmRorvm0HPZXc+aZJRW2MhTPvssnAX6HwiMcf/dsxMYa/THRHjy/Zy4C 25fEdE5nGE4e0+4p8O7lJPP+UA4+v5/xC08s/0CWwTNq/WfKTjzBDwfDMyjtNWhwc7KqKI9dMuq+ x7LS3cLpu1MkpQqEl2E3T9RDSEhChr+yKtnK6NmeiZQAQ5GBtZqIPvW/ebiq55VMHAInIQ0nR8VD joBpBrgZsWfU8bnDU6/CF0+qOdG6ShOE9eKiVz77aFg9AFTjeIz5aIociNsU0D/6GP4fg1p0FYK0 iNKwL+LUvf6WmUsFnz3vOmUmcRyv/wMNwEin879arhm5QID+DgoBBhQUUM5tYj6i+l3PT5s3y0Fh JeNTfs78ksGrXN5O4NsdfP+NYnQBanhvEwQG9KOfMXf9wRyiWr+wUi+13dvaLrPyxnVChtryV+I4 Yvi1x+XdIccDb5sWzxwMuKSMe0i6Yyc9tR50SqDLw1EajQjGQZPeqGtBH2Hm2xDfVtOVFNHA9BD/ qQZJaRqwZWNq1tcldQAMJL0ftit8Ni5ezOQ5f3WrBmApuU6zslS6yctePQkrmd91M6bF+7oqglCE KBkRPS78/T9plTv0XWkKjcBjEhfs05JkKTtUfOJgYAB9+myBfpXcNbUJG0SFvsIBgdZcg0/jJy4o 0ateXVSvETvodgv3p5XlSTQimuI4vPScyY5u9l1lRtlQOJ4pdMz4Ihof+4klT9qdBOgYus6zNveH cxOmsKIzr2piOJqfyUISJmnqtvflDu1tA8Z6lzccy2BXHhwG+OuWo5qC4z2BRtL+95odX6Co1IYw NAReIgrzQuNs/SOIcNkCIP/i3XerAtH8+IKegemhjTrv3DgcHZIF5VOOhevrSS+VrcnUa8qGpSqx eiVzEBNno8H3umSKIQK4tnRAiZK2CZDNK4JWBKEC+1ymTHN+9FaBxIrYC91uCgZRhxjDu+g/AMqj paLfXjF+pnOwjGLi9+2A/pCPwc+hkbpI4j62s6ahaLlpaXhLW1O6LbCwGaVHAg6PEQoy5xo7SYJr edRk7IStTFy4VAmCX7DHwDuk27XEfENx4uNu/ThPeK8ixmfdp02swclo9v13WJO7WC0wMEAtJYpr UifnKK2BfWFbCv8M7+b9Mot1ZpiFZd2YzaEun5odMtHlr4RmXZeW8HQo4vkurlqX39C4KIAeBQYq VUZ5ZEB/b8U0LCu1wbsu0411k3Y3lvbPo+xuXcjeBwKYRXMBMxN9b7EmBssQ7Kry/CoeMpZurHxR 9MCsJ9j/r0dxjC9yNhWSujsSGGegt1Ovnc+1QwgQbxyM7qQqyROyTDJeHxsGDbRoUcYLBawt6Oir 4lRxe0KqjW2lM9i6/z+KDo9kiXu/5jtmugt3xiPgcHoAQqVLsxmaU82S73bSTB9GY5pckM2Lzhhn dShRO2C+Q9IL9XE8x3nJVXF6DE1baF5TyC2YTMEttuAYOln3cxQX5le6RfLllx/8Ps6sYqXS8Bf4 +Zuy8So4MmNqoupXQO6CNA/TIRx9058RdLyZiwOdtaWuDc841eN4PycK7+xrpsBki0CrCVBDh1oK g75NG3e2hBfZ5zeOMt1ku8oKn02nPPNDkM5hBx80l+7ulO+GSX8qzDHJnVV/Py+kG5ekBZg75bLl q3xMe1uwjCz35P6LXsHhxMCmwInUpCHXZopPXMwPgxNlvtAl5Ivz9ymHdzJG5krcAnQEQcOM9tPx A7xGcZLfZdMYp82hoe9nkoYDmRsJ4TnIKdJdpDay7DIAQKu99cYesMLrKXei2ZPHkoSKu8ao0GBJ 3NvZOS5geOFOsY1kRPtCIiLALwSbcKNDpuxMkbSjPsE+NfjxoOPZ6A3Re9tcjU6RLDuVRs9UoQFs o4p/Zev3GkAD0O/MP9RVQMFft5zdbLaxEWRJW+FBqhweOVduJZWMqx6zteXkbT8+z/SoKL6pdBlC jRXwyZ9ellwO9GHO/XwvT+i3wsrdB5CUPRvuAmV6pYI7iQKoLtZoCkFRlDNS01mqOeG2Fq9M7OIa gRpRRi+JXbdlvLN54ms6I7BHzQXfaJJjTZ1a06tlrPpUkPC+zWBmcS4NGxFHdjYaAVt5URbe8tRi RpDbjXoDvEoQLtJh+/VxShww1kGE5ObapWGh0Pz6qPOBrARHMsnEQ92KycFFDkR9u483+stWCbGS U2cldrp2sN5IGIeEwG3LR6pX+YBh1oA43kcm0pokJfl62NNbloD/b0rRgYyUVHtf52Z+SrX5kXYt nqQHVi2isABJnBXTHfcRbIWyiO8Nuqo+irAsSerm+QBUl4noS4KmWd38oEXONfM8gyH8IEBy0Lgk OEofi8NELpPdm0ukdHMS1yxGMl23xdxQDCJXzAcy78l/EtewT2j+rw/se36hwNvp/Br8VBkVRr6Q wtgoIkxv+5YJLD6IW30ZY+wNud9qyXCjNJQnpHRsa9PDkD8t/067ouRTzvLIGTNOZntHszia8ZI0 C4+FeXUo+FleBnTDFRWZ+IZpWQsi0m9+pxG1lK5PoRY/W9sxfubP04nb2OvnQSVvkWM2n6JsU0UF urY4In/s0gligeY9kkYZFpX/P6Oh30DteWRUPHK3//OjaWlkF0ejxCI+1hc47CoqBhG0aiCXuXVT Av40ukMsuy7VXV8JdtYiR632xO0Sp8hWL0wRIG1kKRCojh0VB9zSmC8XpF1m8c0NENJsdkJ3oKc9 7OjVS+SNyKY8O+kugQJIAUtn2gz5km9Huy5jdUntkk9ordC7O0QDURsf/H/p0unucC8L/ueFxx1Y 08XP3qN4gIjhxTDQh9sdTiWIw2eqZFHPMGzobjO8PcIxl2+9xUcWPNezDbSYneixQmcsncDdM+jX HQeeVIW0UgvUEOEyTCW/kO8rP23kSEaycGXGUhqPhUPyUTmQB4ThDLuwWI/EgdyCgrNE/WN71RfM XrqZohTyXuyS0IDNZlQc9Y36K//T8adXd2bIdwoMZrUx1kr7xFQXUM95M4KzWqBvP3T4PCTFn8Wh EVf8MQNu0vdIezxj1R9xSfgLJhAdpj2sYDxb7IBBmUGGjJ55U1nOe9+ciod6NUqvyLNDSPZcBKN8 FC6NYtYjERiiOQu6qCkmB2GCGdr2EHCVfuXi9Hso28ZoyDHX5HcTJjnlMbDAwYPf0rRfhjQZb72Q xtet0j59IMZaJbl7z7deP2W5HIp06S4/QJx7vk0DCFhpK6pVTb3+RtzdtLCRrk3SXEvwBu2xG27q XBDXAfn6MVLU8JFREFORuRFTZXsnC/MjH3iHMJpkJbFDFiX5ipzyXWE4+4ZNM1Oq1lKwz30iLtOF nnzd2l6eEcvTS47X2OYrrlBsEAj7BeSIkqBIrbda/0qTfjpm/QZ7AJMGSFKY8EqohtNS9Q7RpEO6 901TK9hnK020+PlNFS3OHljjTs01pptnkk7wFHcpQ4Ge8HA84RNU08y+Zbf1sFrlo5NVxUK5AE6R sMTwvw2CwiD82uqj2ri4e2tGZg9mNdw+1UyAjNwDW+I4Wwe3uRGmTmBpHZFWRGPHY6xEuYlIl2oS ti2maoRYVB5dv+4nlmgTl9TXskwEm/ilXT27cXi/X/fZiD0Wu+K2g61k2+hdEbvh4aKQGdDPG44Z VB9LespApXLWEg66uv+Kf1pZScfD+VJegmxvCIp3F+/KD52yTrbCLMsk52/tv3dcF26EoGNn5kBQ wb5xrpC4LkwiMDABMXRWwNu3lAKqwx2/E4L5VvjLqzml0/ZpRLc7iYX+h+i3PVz5UrIM3PqTy9u9 RLAxSXl8ssfQjanYtPYophCZCZbcfMZv2YeaTg6pudq/abIeXtk9G4iJr48+gDitPkCXD1faevLA 2sKdl1RZbOZiZZykHHseb/C4t4EDP64wRiz37e0HEFnTlHdENAFY/P+hD1u5Dqp+9KkOo+eLURcV VBUAprOtApZRt0PkkG6Mamp4E/PLxBJ7sM8I+LhXhVa8gBJLePbEwb/Oy/rG4eXKLOfumSd4BSpu lt9eStoG2C6DxOtxpEa3UCJD7M/8LLqIBe8CHUipFeigf0egJ8QjV7qcIrRVCaxhaDrxMRPgnibp Pe//w+LgBPbYlXabyvrXksQvfuLtCdd0iNvD47EFHw8LIsZZ/c0l4DJANEuDmZz+Rz2T+s4UxrfA aqKOkuWbuR1LZ2GYjo1Wh7tvb4v6peCNFJwCS0+QsMslBb9o1R8ukrmPtUEhjko5uWxseU2ba2DD 2+2ZuZbpnRHt+y9pzepygj1d5RpNK8P+o7HOCt5v6eTx4xf4SYHtjO1qib3rCkeOxfVzvQqeTcmB yuJcP5vy77MJMm4U8/8rH5hmk1owl0t0Sf8lcW085LEPBxWRfNvAk1du8tHScZxbSma0spx98e4p LeDaevYHJcObyy+y8Fu4mZ5dPSDS64Dfg5Uu17FD3aNKsM7Z4x3MGroq/tfO0fDrUs6VhebVBcjd 21qxcadP2gHUAXhBBkTDnZNV2Tw4QpmThniA9C9UUbdj0hClbYSDaVrcS9mp0eMnKSvyzYBBph3R U58ek4SYLisG9E92aTQi08tXi44Wxv+HDUEjIH2S9vkb/ePX8FWqwGl7fLxjKKzJ40BDDPC5ouy5 Hb0QQ2+eL6R1JK8HUzhjQTGYOu76d6AaOmqkgrzhOyaeqhclpMgUVsaEgas8mrfD5NXJdjWneEQ/ 2YhPHGVTnUWPQfcEYGK7ykCxyXkMyaVl4iMVQCdAQUOJzQWnFbrozfKjXAjwJQ9R4YtyUg/t/EWB 7VtzmmxR19imJTlUFgg6VGYhzBopfUFNPkwQ+mRLK6eIq+SuNPjLtray7bEcx19I9hnQ1VzZ/O55 VmqvETD7cQ5o6z23x3dqRuJ0HSdfXw74G77SO1gRBf4AeCwMegAM9m8YzYgGoTWjOjCYYwCVgvMH 7IK6bfR6xQg6rD80UKbVWcYBnGY/sePk+kKJZkfa4hZsjbDXG6JnScQbnZ//+qsjmdvainLnUPHy MRcOl3HRLKf9PQ9dkPO89o6MN2M68zQSp1bg8jUg04ELi+7XgVWfOyNUaWBWgbNN+bdxEfvV3vAc ItG+TvpQU+2JYbIX8CKkYPS73S8geIzpFRTYeyJbxpTU+3fJW3Mg97iAzN4IL5vYFvFih0Kogcn5 Hw5C2UfS5sibgoYKSN+FQsSrocieHkhVXqF1bvwksW97e5e6htj/HNo7rH+5bXVp29zuYfc/bul9 2rZkbXukpgLUC6nfqMr9dec3UnqWrTWjlXPCP9T1PO+w168pdYtMhpUh78uI3SZnuJqeRrneSRbZ DKMVyYXh4KaxstnIPNO4wRm3xImTy1mhPzOeKVh+e08ZR4SRbJSKyhOTej9dfENPc1WSRoIna77d xxr/3dxGrU1xg7Y1aC2Q+5J3azyqU/7q70KNak6wK5Ju+LuKnjJUOSTs5Tx3IL6NfDiISGESNO7N YzVJilzs5oudtFYT5VTAs4wN5OM0EVQgTy2JSadQ8V0qjYv2ulDl7cNkjV11n+AmLd3L1b13eP6R chNQFxnD1sRgCSPDJScGE8dkF0ZFy8VyzYRTTJPcFcjQHvlgFSkZfeZ1bb+2s7dliLO/qj1MVft1 xePab+lVn5j1hVH852T6IIJoQF3XdGkVp9GBXiL1ip4CNywQtTPeBnIcLSuhxjJxD7tedm8lAkzC 3pevHpc/3G8WrkxC09Me3AuR6BrPSLAOakOcKkNEofnKBxv9+1z0poKeAYVkEspHlDtyHzBFquP8 QQzs8YtbvuH87z61GWS/ycfXoC/ax164eRNz5+2WT2c6Mr1pGflHbOcJoPwkpPUK3/VYHAxJWB72 GzkiewSc649Hn3IRvFkLtScQ+/D6gulYBu1rP9ipVD5gzFHwi/vofSBFgUwHDAQ4Vs+uub8NGg2F 6duDZd+O4CUBy5fjJuYADcnPYPBa6t1gWYRsKCBcm/QWznzaMj33PUAA1byFUJLK9qz1bQBSujHe 8nMkb0tQ9+XbySYW8Q/0kFuJMNB7PCwDhCv9f3HWgf4VDOiCp+4fqtgwgwVIXMZwT3Pv8FG5RWHn XqLq1JrpQA9e7jX+rt4c7eTGMqdLj+fGNM2WA2WZRmBTWHBKxSgDQyBEcP6WyQSMFy6CGeskH+2H ki/AsKlTo84UV7i0pCyGCwou2P0zzoLl3fw3V3vgUBeCyr8zTMI6rW1A7CKSxgA5pfHOpoIG/nJx uDGvfL0JL3FwGx10tezaCfkCuYk9kpSUWqvWPt0GaHdV8zXV/UGowrflur/xILwpALdbtiHMGnrY CVnS6Zdy5oVMp5s2YxJnApGbjC0rus7v5giorUmcfhmfFV0OF7YcvIHSJkmRTyw1jpIzdSK61CjD h9HLWv9j84vaIqvXcyYY4bgw6jMhWuqHsbinxbN7CsKNIiRNpJzF76Nwj99RKMrp2pGLu4A4majz GBndvwb7ARubzieygGs4bBwpdrRMr7ZFpYnmRAbTPmKvvZWNr3OgTSo1C5puKNOMPoErlhv1kgd3 JlRuoTr8D2q0JetoSOkVjOVCLMk/hH1ccP6H0kl06Tcf055/9PViujSAx2YIxSr/hiPSnrXKlVox sUnWGLn3/wh6ELcs6PU4w+U3v3Uo8qq8HAXIRAOiXOwISYWJLT2bhreYF1fpmEtVJBZN2e7xdUWE kYzKD5dc86bhQTI8fFC83QxbKQaxFVHaZWMjzicbGqrwqbWUXcRtgVv96LE0YH/vt+FHISCXBXAS ZbzuCasRMuX67t6hOkwIOaCtWYdu77fzHNHuUoieF7UUvAa7z+ipSi1ast4vQUrX7EhNb3eb1MtQ 36Gc+ULYKk+/L8dtrj4cFpcXtT3ahkzGjcxu+BVMhT12nd8lUsz6rXO6pvpLbQXzBLP6kCdXOyoE wg6VHsm1iHWl6w8iZap5hnj/1zaAkKNbEOZuplRQM2jzQwEV+NyhjO693ijIDsFuAHT6igwOcTJR +XnonUQEpLtWui+5jCUI613EgQ7j/ZHWkKOn+FxImPeRhl8hgsKBYe7l4/Wjwh2frnpVcZYqKvX9 36m3FnUDqZOj5MMqReSOrZyC534a+AjwgF8CzcWs9HENMm14uZVOIAsTNwJzNpJ2qO/IgjBysWuM kGHU11ORHDzpJ5e4bGhvtJ/KGebIDJjRpirUX6ioirR4+mnsFXDgYFxSTOiSIH2xvPrS/IBVJ1CE P6nkQOkvch5pgkF88PlXinvGqWi6zauJkkCFXWfOhQxHf1jvTT2ZLUWuhkTCCoTCjl3zasIwYzNi rC05UA8tWMcqwEjp1HPJmg+HujBaeqEqEUcHyHkv88aEgGz3P+XGLixHFv5jmbE4yjVU+r93Weol o6XXs+TOto4V8Exk0S97LtQyQHcIRrl7HdHhTl+nD/e/+4+OpMvnRotGthW7ze0pcUe6Y6BMp/rD izkzuEw6LT3DOe3o/zNUdcXf9rvuLpYzFRb9zkMlKGKoSKIughljgMkNycSarh+HAsMpTPW59RpW QYDXHY+19546s5/5Zt4Ip6nldPme5xOKmGWwQSEuos+ZPfKHu+eAJLYKqfMBKk5TU1jlfssOUEWh 3Cclyi2sFX6hpi8/hUXf4yMXWeygEgol1lJAmyr2spqi3b+6EDK/HCRFCPbjDlDtUZGhuy/0nAIR MbJCBeJsS2V66TRqkThynsFC/oSjtsvuG1LsOcGsYdDEwFv63VJnca56rjAHG6B+VPb7NbtroNMu G/OsIo3C8XdicWfg3Pl7Eb5iWsw8EPp3ZGHxVzuCr8xQCdocD17k3siE92vx2RTjzt4Tz68zM0ON 9RApf6h/q2E2y5VgsoMaazQdevWr3rlTGZ83/ZLhMO14Nyjn4CXisNxhwD8flmd5zp5wiHJ5Xnf5 DQXGYTf+1AVi1zmghWH4zl9vH6Y9XBX3RXIzM+idjKAJORkVGUhJOkj6fJ+xEMjJ/lgUhDdsHxhj /+giqXfqAZpf+PpvVI/FUJuNYQA8a4IGFSNN2EPCtt91P6c4L5ed42OV7IS6YcDjTh28L7ttBurN sEgXiY/D6xHN0tIZ1rMYyIVCVcajSl3Zz7Y+Ezbb5KhbGCuY+5PtiRc80mRPS0tOMD38hWO6UWKh nfqe/F/vxIPx9KWsnEmRfALyuwDeCcP3iECrnA9mLaCFxlGFUxkrkw7k2S9aJmAR04649CInSIJ4 s0u/IpDz9cQXCVe0gQYEDsC0pYwC3xI/P1EC4OwynS8Td50xbK4Q8rDVlsI03yAfLfujl+DNBnn/ +5Hi2FPuK78Bu9K3bwhu5Id/L5ZQ/UjymkRzi6Y/2dy3wG3jNl8sWA3NjZ0W+v9JSQ+SUuQaUSGv ayYk1e80rONqO7nD/lKOlpXGmO4DR2vYzODL2H+a9Fx5DJr89gTjgnmnapHaZH8ogsjgzagWHP9T 6PLxVpP+GUR5sHhc2nsU/yBJpPRw0DamIvda26P/82cqC4Jtcvpy9tiAVEWpiLfJXZonzoyw3BPE cs5wzOmLvrozCDfGi/yOuWx5TlDKIPHp59BieZMxf3I2J1mKeX8drHETn5Lb8Cr1eNTZJ/Ml04O+ Kyu4MUudxSk8bb8M0Cfr6ynwFP9Jy7LRTNoYcu7u/pM/b46IcutFJ1Ykc19ZHYonYgFWhRzApH5R 2t0Xijf5nWry48xf/r0SAT5jP46CJKrKJ+JDCKmDD8AzqZzFBQfOgAISvUWPSID3S/Br4hVZmVHA ouPUgU5aZ4UKAKsE1OIsnQdkp3CY5tsspOn84IkH2f7Z5yM4VVlF2SMRNhqpydyMn7KeLEcA4jYv /OZehvXP/XrVybE79+ntlxN5z2/fBCCfNQIGkQKBo4oPNVGztnbk4gGzLB0AXWnkhlt/U5vtsjs0 nmfudc8a5p3eOxvENxAxt2sAIkg5+FSzsu/wm3PJVX24ApvS8hF9H7aVUl7Nsl5YCHGZMF8Oxwj9 SQbtnKw/G/EFJQG6B/TlC6ppT6r3KwDEJBSaC1nRrMGoH6oz3YQ4+SUenSgempJ0RdyW7QUjWjH/ 0oRnz8OXCzUDcwCcr/8zfYx/qRKXNg2oH2tx73vgDaYqZ3+ggW84OECrXXkOpoEECkLt3bJs7MTo x+bqGV1ted69MWAdYaA843Icd+wo0D83FDHgA44wCIrLwEn7yUzK+qK7CheZYLwAVHavDl9uxb+9 619G3GrVTV5Dg+atnANGVGZBHSnAcScCNxgxKaSD52hXJQZOuy74QOu0PLmC/ahhDrnHSJ7M `protect end_protected
gpl-2.0
d7c324c26950353c308c82da36a62e12
0.955169
1.80701
false
false
false
false
fafaldo/ethernet
ethernet4b/MAC_source.vhd
1
1,636
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MAC_source is port( data_in : in std_logic_vector(7 downto 0); enable : in std_logic; reset : in std_logic; clk : in std_logic; source_MAC : out std_logic_vector(47 downto 0); test : out std_logic_vector(7 downto 0) ); end MAC_source; architecture Behavioral of MAC_source is signal address_counter : std_logic_vector(10 downto 0) := (others=>'0'); signal temp : std_logic_vector(47 downto 0); begin source_MAC <= temp; test <= temp(47 downto 40); process (clk) begin if rising_edge(clk) then if reset = '1' then address_counter <= (others=>'0'); elsif enable = '1' then address_counter <= address_counter+1; end if; end if; end process; process (clk) begin if rising_edge(clk) then if reset = '1' then temp <= (others=>'0'); elsif address_counter = 7 then temp(47 downto 40) <= data_in; elsif address_counter = 8 then temp(39 downto 32) <= data_in; elsif address_counter = 9 then temp(31 downto 24) <= data_in; elsif address_counter = 10 then temp(23 downto 16) <= data_in; elsif address_counter = 11 then temp(15 downto 8) <= data_in; elsif address_counter = 12 then temp(7 downto 0) <= data_in; end if; end if; end process; end Behavioral;
apache-2.0
6c6a435936dd7f0109fea740b5b20dde
0.672372
3.176699
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/c_mux_bit_v12_0/hdl/c_mux_bit_v12_0_comp.vhd
3
10,258
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block S3GwRy4BJyiF/a5wTjByBHtAmUJw04oAVb8PvZIuIrOTB7OZD50/f+qfx6dQF9bB8J3euL80aDpA pkB/TrknlQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a3G+4zFQKnC0lQEYgtvsbxzFJiTv5Hljk58iPrHv8Lqz5xcmq7Tio9DDXv4yLTfvCJIOLwd6Lr4r 2ImLh07Ivif601G5Y+98pdC9LyAR6Tf1bRN8LkwD3KOPGgKbNImPoiVSsloW41Cfc9eKm7osQE0J s31aSjOl5owXa4XvnNA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BQPJSVy0ypOdchYSt6ZnnxJEayx7sAcot/J86sMqwIkNbzb9Ilm8fIGDpkI9SPoKE5GcPLn2yDmI SZE0Vaiwc+vepOYnecBniLYA8bdJKQ/KUH0Z9BGzvElff3p7s0u/IzyMmtLS8vJM/QjLlh7xcxws vQcNqyAgsvIVPnm7Ul5pCVc8B+C23bFUEe5Uj5LVJ7NmYaCImVyAd0UYUOjSfkqkYeNsJb6H9uMZ EJW6ycQItX/NN2rVvmwTElDIByckXHsCufHr0naOObM0wbzs+BsM3ZgXNy1cM4gE7HDNlUf4wpfz oK4rJkVYNC97AyBhPc9rVrVp2p27xxfRp80rBQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vVUWKSlORoVre3SuPFslgjZOyRMIdx+7eQN4LTOFbO2GGbbXMRkr70I0vzzorhTy75sRA/2wmnME YF0GcobTd8VKJP+u+rqlChpomha8ojAexPP0/m2dcv+YCIE/A5ibdR9NNhNqj8VyAVngmYxknRfJ r1aPY0ToU/0i2AD1FYw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Lo47hPDKmQ4tiXdOjvICMjU04jTRVaZ7WMAUZve1V6+6YiL9UIKCZ/9QXqSOw22sWpLTIqYrC9WG v4Q+lozbapxCUo5pl1/XVexAzs3noC5w+aKarDDTN2GiizRwwAmFvjHNkaus/t8HJc2VA4TeaqS6 dE0dK3dtFWCcgKQrCllbYyWVe0LRnd0/xc+ezQH3SS22ExJAjMDQnJ+jfJqaVLOkLHU7wZQu9VRO 7AppaJJ87US08xjXD2yZ13KVFCEUjf4HaYObtBED6K3QR5P+S95CauFEzMtgKTq5NpiBda0+MggB lEWvJi3pkhJGuJyM6BDWvC5bSBIdlbS9ub97vQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5856) `protect data_block QtO+0L5FULe6uZWWtFhH6pqDLzfEOHP4xxi/zM20GfD7il2APDrtQWAnF3drzb6mqvqvsut/2zOH lg7GRiDHuwhU/xQPdPL7q5qzutUDjlfjQBiLgp2kxA9yTV2VYDXkHhfNxbkHCb8TCoouRpD2V/Gn 6WkwgVi5YXLe2LPDf4eTqSaA5dXHxr+EMI4EIjsdAG1JbgVQbbqhi8m/65h6B6aHfwbWkIrfkyQB cZpLA1SGNtk9JXFbQWcgoOqpT5U6UUTNzfhXCC81lvRVbGV1qg+NMgbGmpTmJFa7J1+eQBLOBUbF i/gNCRXUoNO/Msrv5OnDZGokkL38DLchUkm9KQ+87SCnZl/ATVMELvXQ0kbivBuzq2HDWlEpolQI vwvyx9ws5dklHDu6UBw8QwSVH6lZd2h/9jNVtP0jknRbY3LvuOLT+7ujmSGdgD07H+NKAFw0Jd3/ Hz5nduGl7t12j1ADLJL9Rrest46kldgInm2Zx3CCW7jfO4MRSaCEMmfcf7pYx6F9pTHXc1HW/rK/ eolfN5gYOvAJ1Dtqvq/oIx4OAmcFZQdBHkl28AkLURlmAlhpLOioUj+X6iJ/S6pvN113M5CXrSgJ tz7dBZhUlBOCISa+zgd4NvZaWmx7W0JfPOQ8THwfgdg0Ysncq2hveVoB3jBEYyiBuzyO+xl6j1KX JKQb0Em3io2wNdlEOSNUg0cOKxZwRiASftnQMwmapbOcUzuq9GxtSUV0r1ULzwyDVJhSiRbhaqq7 1sDOaH3kEr32465kl9uOkAgrDy6Jjnm1tIHPs+BAlODdH/6DtohwCMnSUVC9tABK8E6E5l23ogQA UKxwwr6WLUaNSZpI6b0rrOG553tdMN4/DR1lAQoKaKglrPIpsSR1k8YhOI+Vqd1YCkbpr6BfPO/z uXJllClUjJslJJJhDAsYN7+wvVlMj/jp7BikGby6XGiIZ65HbOqI+pQuhjK7kMG+UewvOE2zA407 FajHe3f1mKIpd1SKN5Yd9L7xd8M0uj/ADYCKRXQ2ri8llXRb4laX3qq4ogSp2G4YqNWA2+So+ItI 3sp7UNXG7hqT2a2Y/6JWICOr+u1u+fPGNqhKZYBMuV/MTbX9lwSHhUbV09rHq60BFSlO8hso42Z+ jcep4cSRA3bWQftw27eYvMWbzY56p7oAzu9IJgbUlrVAUHEscOVcmKVYSk2vBqCeidjgL9nijxHx ss7p/jIPRoUlqvzz+Ntw6wRt9nLLpM64gsZEGXTODwqoswdj8bvrmm7IV5KaR+fLijiTZwZZHzuR i4LejpxpmCZpSFy3nukZ75nGPpdR1TWWGqoShZ5d8SlTqK8ZDwe+SIGV9EgrU4hvYuRTM+7uKSYw SPWb5IckSykAZVyKbjMP3WPJJ3YOusU+QHTj+bb1XnDO4gU/BvGM00qS+fMGQQicYHFXu1tTVj9D LVZNyATzsA06ot8UMqDSIQJdj+vJ0Me8K5QxxjnH5UOHZ3rhWgFDeGpp2fpcxomC9UNTPOFssnah VdPR9ChpodeBV/6QKWcySpLHZLtQhQS7CVzfxmh6lwvDWEhebg8KiQTjTqYCOaqKOOd5HkQd2sZY 7jreFE19K4qCVmn51BkxtDRLiIhSx7l6T3/KbXmmL3J0xQFr6XCc7NA13Hg4Hcjt9jZLLf/bdz0k d7EHpixSKY8f6BBCi/nM71PldV40NSJg3nwYcsnOTe0B+X09MolGInCp5nSxnTCRnrTrwR8hexPO zfobN94YZpvGJBIUAWqTPItxehlRmnYyWxui6MN4IQ4g7aV1/kxEaDRYe9OyOzt0tHVofa+V+ftI Ndf1uDvWVdQ4CQZiAUWLg3NXl1F3giaglQfEZrnwHwGF4AuPz8zYtyiyGSM8V9UcoF/DUnUHjs6k xkDOSX4zt+5CJ1LBFJxeOjXUU9adWeb4o+WGqA7aZjos7pSA1OpKbCMNjG/Pkm6d/vkNWSNnFTjn d1xydb8rSi97X2OUtYwUIE+us28m+X7DR8+9hmhSnRcOMiGFEL0GH2i78PqQKwrHE0JpoQSY+MlC koAETDff46QCTzDld1sXK9ShVdOj8dIqO6o6kRUa5aHrRwf/Asfff2/fIWJbiY/cW3XTm+JhqR/M GqYWPg3+vUNQT/47nWZKPnlROXgBfXZS1SxouD+gb8aNsd7SecMTFrlQcT6tmUQFb9bGPiDZRfWI GtbfEM56mrNLTK0FecPS1AgRlWIsMDb9/xIa9M8WK9j6SuySqA/D8LMTkkrrgxqSWZVdE27B9QYn cnpugZN1mUtUgz+EC5EE0xL1CcN+H7LlO0GnctNirRgS+de042A1hLPRHPf4fUCf+XKAnQG6IlUz perjctEWTWwxDxjJjFb20ROiNBcPKL0jaYMwqUk+1FgalnxlUJEhYgx4sgzDarQiMY9xSCYlvgcX HSBkgNMafndEClkOCohKtyRGOdV32kldCo5D6jkvQUWdzmIVVjmd4VyaU868JQUqsYBrChjWDL2q EhdSamk/AlKDUX1I6GULwt4FDAR8Lz20riupEQLQp+1+PibbMNKA3s3AJZI7pNNTGpNpUTW0Pwuh SWzomDsqSOoslnZt1zzPLXxwcGWV/qIc5QugL/GczIwyDn+FPFsWloSjUaUOIontHP/TDHR/m3V/ 18RUWjTqedSkgdaKEFxgaNL0cH/vrSBiAjQMVEMWT2GFrPCpArOmnnbOI5KfGQvhC0Y/zbPktaPJ h8XjcqjeDE6g1W5LmJ0k/jw6eiUV2+ePP5T9IDbqEbY2uMuN53Wb13CNC0C0lf3qxApAWdh12zNL dvWq+8ZfRGfrA41V+7gTR5r/7LC0jOmt5A0YI2RXuOYhns3CkAY6iKf4yXFfxeh5aAzobmKNw5HN xWmV5helrVG8M+D7DloYHTJFQSZPw69UmTPFRWONNrlVBRK/hogAqmvuN8JzvofHcT27USLdLcRQ IOrORzV8zAuA9AuhTa+fZITDLhd2GwSxGAZvV+jfji9qvwp+p9lCLUXWaDnj5C3kCZaaKrPcrOdt JmaeeVK1y7GEDbSAibWaeo6FU1qIxIgrRdb1MveCCvBTiPKZkZpkjWwJGTlrhPRABEFEL5lAkcI1 aCVNlLFaf+mp0tymk4hlWnpy85r+5biDYIU5KaAYe4FAXbXdM+5JwWI8F42Dkm6v0EhvfZ8Kjd8g d1Etj05kx+rFIiouFnfzffSeGFptSM/UopyBbin02/WrUEIBjFPSgdUfn/kWsFGgX2BrBJZ0J6e8 AmQ93vZyWjh9Mu7d6c92ffflG5z0PA7sKoi2aO8AtmCxN330y/J8GOGBifBk3gx4BY7LHW9laz3I bH99htcJOMvhFdGVH5vRYXSrmavROEby+8ZFilTpks9GSN1COqOL7oTPI88dBCuT5RX35j5tGVjQ aDnw5l1z8QsVk9wCnOUpx3ETxAlJEtkkExZJ0eN5PLBOlX1FSNL0BKDNMW8dFsnzB8/n0CL2/X0A iWSLd+FQhX4ylihDO5Fl79F9I09srvWTxL1Ev8SPw4naPL1fes7nDFBSh6DT23neBMwsY723PFvn jErLcU84x4ILSm6R+IUZyBi9Eo+ZdFJTDJ8wBqeoQCETt2VJ9+OfEZUpreLKrJuzDIvBgkf3wgr+ h0MysSPNJeZNoAlYXXHCxIUGlVUIe2tiMs4B4BrpZsBI9QoIcqnE3VRJ3y35sN2OYcEyDtnz6ocj vetHOxbRL91we7rKVwUPWOSL4dTML4MaVWxx2trQ2qHtBKXccckE2LYxwaFu3ZYSYLBdCZdfUWsv yh4pSsEdhY95sm05eOgWDPxy37T75rrCDixT1V8h/jqBMWXWKMs+UCRkLqD2sghJaijl/kQVzVkZ Iw1gxlRKWbQINqbUdV/qS2rGH1vPe033fklAYj/Wm7gqtg6j2/Ah4WNgIeixtG/lfhNN/azeomk8 i6YEq9eMmGx/zJ1xO7lwUx/xsEonJW2tmJneiIjpfPFQ9Nepa1wSaSKm5RPiYGKMSMOeX6B0xQZu adrVvVf3ScRLyzG9clMkVNkOFH+fFOPLpVAWFLOtnf6GDf5o0mkKlLK53ZwLoWh4ZoQqp3TuipKi 9rwh4QHbpAwVZBOe/KRhN89k13x7NUMai/a8p0sg/zHrtoXFOMzU14Sc4/QogfQ7uUn6t2RisCvB eRQKvDcixL6UpxLDRhPpX72XRdPSYUjVAbwmtC8lZ2dKeZA40nwafwrGmvLMG/1rMVATQeX+GrX7 UrWxhEolLaYjgd6qlnhGj/Tj9zLMtUOr77yND1IIasTqO5pQ+hDaR0BZcdwFUmAnNl9fvHTVn4tq bU7Mi3NqiKamMHE/3SRMFpZlGuWam0IHxGuWpblmnFGuDOf2HRt0JisTrZ2zwJ1aTYAqkMxBdANm OR8Eu/Kzm57PkeTDGE9fyWKnuSP6tZRy6LLiQdqAOfMyYxid+GumTvWtE0k69q3aQBvYWx9bez2o vIOPoA2VRIyoPq0HjJ9Ooq7wGWjxma1AfvfTSwggEvtpCDtEKGo1M3Oiq9XW0l4C1v2/c0qKxXpg AvfaaSiA0RwgvLjbMJLkXNFCOWWjHZ6Ci4uyybdD+AxXCY1sWVe0oLfbYYQYKJhd1YlYjPgMKQEi AYDSlSidPA5pc/ylzxtLvh58q6ex9uoWUhP/o6ROaUXxxTgwgkPxAQTycUTc76g9rKgc5aKUYzge T1uRk3vv1y3lfFaYrzgY66Yoyd4ZmwRRJFUhhqKsQyPDnoj3xp07Aww4OoPMtONbd6ccZGixupPy Hh+PoLLnrScyYQ38qXe4jb99FGfQkz0/UTMV5TBW55BZY3oeE4Ow6ihN1R6erMPZCwrxtExcDPHv xFfcsFAycO3sNzZ0DpFQHR6yRAqPfR3XzJaqVjsEZM7XOO399warRNgIKJg+KEmtC7HFvo869i5d Du+D/6wiYooTVlwjSg5LbvPeQJ3K16fL+8gcUdWeg5ICRl7MebH4TmyMEiSOzXAVoxn+fM35McD7 kV2N0xTkm6VBE5p2WGto+3VbQmLsnoe7mWRYKW35keHAv39p5udPZp5SreIoxqaJ8sNQthhBMmbl UNTY6Rakv7lfmntIn1fT4mqHNw5ArT1q278O79SAEcFTM+E2DdwLO477vQcGq/hzrbZYhCajMxpK 9Y8ttsGq62A8BcyxB15KSxgk2+ymOgmWnw1SGVCXwG2/3Bi+EpgqMMJFGY9WEjMAZD/CVXsDyiUN 29H773Wm/uA8AKzM9E/MXuNr75RIx+NmmI3cSQjxURPBmqC+hENg5DQ/IIRappy5G+7aSNPAHmxp uC1FqZkPTiutTLBWnBq2wSDvTd7aBm3xh8NFWpBRDLBHjR2dT1Hy/h2Nw+1cAKq1Ivx6dpita9rk QYLXeUNdyeDaIPKaEeoqljlCwlzxDmWd8mB/Ddms7lstfqFtk1A+3YhrxIWZy+sN1JdER0JDxHOQ RneS988EDeWZQ5997XavW5S6kPGcIujPik7yAKcXUt5aCcmS73Q0hqduqegj4wCxe6ao6AbsSl0I Z11IFPsVqOrj4h8V/bGwWYjGlE/SKYtWCl+MUhPcPJhJhCrKM6JqzZLf8Hh4GbjZoZlqqUQth29d G3KxC3LSGc1KWDpaYwnuBPPMu1MidjtUsvZV01jE8/gOqbMudTCn0vRB/O7Z/I7lOdyZpk2X68uf fhYr2JosHxC5UT6HnYl7WxNkMFHz6/bVSBVarLK9Io13Qo5i+THFUoSfZ0svnj7jLhoow0X+2Ytx qreKzFoKQOUqkIt2WS9XhSMCxiiuvAOcZqH57AoRQriN+9GWWVJnMKcQkZlYTtE5dGxJ8YdEH5Ro eS+hRSx7VIPRLw55ExXquWGCXjw8j2pFyofurXKey+5QybETfGmD+A3j4xOwXSFiu/ldjiLf+pqx XxJBWPPATZSCNJ3QC7KXBYgpiYCdAZVN4B0PkhiyR5nshMouebGWGt/snzorWCi/OJKW4Q02a+8w BhmD3kaehhvMXOnySfgY5KfMHHcb6KlT6phXc/OKGZEn+egl2jKXqjYpvpaVO8PfdP81U00lRAzQ 3TRWcbEaV5yeBCkkFT1B8QZAC7hRmAer1rm10HSGfVgef6STmLiSOGMpelUpv6QL46XiLfs5txKV 581qGJRAHqXOAu4oF2B5jOixBwKiALnJE02s2oSDGnIQRTnwcDudRvrefhQ4rSEiK9chHIvMZs0v EvT00b4zpXsliuiDSWp5oaDZy6LDGxOveLU0p2WREoAOkFjpX8Ead3bXfX2kdUhW192x0wAcdl8w 1AdPQqM6WAXJ8UuotcdxhtoJGpAu7pctHL/OeyY2DmGZPX9QpTftkEyYLUHIE0E46tfdbUmSqHny ONPREkeK+rolQVXK92Mr0/jFSl4hkeUg84B1QRY5eu0JAZxvlbRI4qYaZ+a0HPxRsv455Tx12Msn HsCDQQHB48DML2YoH/ueQjp/jO4I152ZA0TRxnlJkAMajmmcWD69jYx6vsFDJIRJUo7YEe3v00np xPmYvF4cEHPzhZm3r4CLQm0yjJiSXuAkExkD2sakShWBWsG7arM4H3Ukfr4LfNj2jzmz6DF2hjJY GN8asExoxEEDZy7I7javQ7rebUcMO5r7RZG7UYGQteTxSHspPiulczuXM+2Ut1pDSRTma1TWUwZQ 4qT8AMx0YWAgSA5bGokkDFovH6/iHGVQe1338gtEosTDFylcWl5Kr/rUc4l30v5TM/rs4G7cZIGq QZc14KtRY3NI72PbfLwvzGw33ZfZLlF4bewKdBc3NybHIkvspDRVFD/ncqnvKPYm9d3YhEN+JlXK EK6IQg7pDUnY5rVz0xf4rVwWwBH9Qkm7MCk3zi9xDWzH/zTVJ8fkLOKk2OPeMy4KBlId7p0cQhcE WLKywSvFzwqq7uWhrlOXR+eQyDF2xbvmSfInFBSwNLPKqBzPwniET5Z3ky3Pv2J6cOSnp20Vlsg/ MTRg/WXCL2QM5NeOCc1GNRh3zDZjZCgPsJp2t3Cj0dhhjFryiJaQxEfwlqNcbU5ufQBH/QC0mK3g aYAAmBFMOXCdu7Duyt5A4a5TojNUlt/r3k5WpVRI30H+Nn3AJAoYcQlJmhQN52MU3LiPqT5nCCdH 5zzDi3kQ+TK9raRYKH7O5b7v9UUt2j4bHkWHCZtaOJ6ZRtE2O+2T8L/KPfR7t9pYeXwkgGdTRosI YZVqvTM5kcm3bc/m7MUiFkJg1gG6iiVu8FCYBgVwfqNFTcjdJvIFulxOsZmE+D7DCCHy6MF59K8k kzl58MKoMtyaZsbdVXN7xMQnIkCd+HG5AQCA4B8XQ336zloxnQhBurxbLB2BjkZ/O+sL1d61Xf0m g1mVQ11ZQFmE/nNFnApQdz+5v8qxPa/54Zi9fXe3OSXLIP3wCu62bbLcwnUsyvAEolHSxQBbWZBV TxpTuU8X5Wy+uouiomXr/FX4OD/DGABOVNYlZGpJDUrPPuAtphvPsy+uJNSAK+RGFyI1H674p12z Dpn+zLITOcwG7KQZOSiyTjFwzdD9sco6wrcVUe13rvS1h/0v38K63i4Ogn8nCDfzziqgxt4qcPwu HPxEJtnINcJMqHj+SYv58fxETO7WBga+Xt/Udxexb6W3IFrvf6087EKrcx1RKcZDsr1l5vqbI8IS y9j/0jzSiRG6RmXwfdzNeSoUteydSzcXMH+NMRf6aMWjqPYRMmgOF598MkZLhymRdPVmRpeGxLWj SsLz1uHbelaqP1wE4s9Yhx26GVpx2xKwsTgfDQDIFyl3bKkGfo8YZlkg `protect end_protected
gpl-2.0
62cdd8f0010cdc505362b666a56a744b
0.926789
1.911666
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/multi_fft/xbip_bram18k_v3_0/hdl/xbip_bram18k_rtl.vhd
12
22,883
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RXzjYiAuFW9ZPRdJt+HwKKvDiZKOOS5JBj9nI3uhT2ZD3RBamqgYzr9woKSYklDDNGrYPt3Vz4kg IoMuLciFKw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X89ALiF4NNmpknrwaY8kdJdFSvAFb7jqIAoHM+Hw3LRQolpRULqj/QwmbTaA312hoQfi2CQY5HqI Ahl06JTL56m8wl/ntTv2NEoRSYaZy6LWSQoz6MN7FwxKH1CvgF4lxJ90pA5HaNCvc8/lQZM/5KJf PNnx/1EHgCfhzPd7vVM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eLKPxpfhEiDRKuu9U/joqisAyt3gRNu2CwG3pWV7lJaLVj/R16rLY6DO9ikbZopbsmARNR4E0B5O QYsWknng5H4M0diIXVCheMMQIhwVqmUzmr86AxMeMF+hph6jI35GuhbWxrNXnqczuzUXL4N21+Pk O/g428xB/CE7P/d/g0lqX7Isq+gRt7SQ1K3BJwUyqRE2+PXrB9e8hFfc0Ud50fm7l7Xl8+j0kkHg SBSgINukt6l0ZPOyQruUtifNOvjQFcQWJnuBN1HCPTMQ91WK4vX/WzYoo0TmKVPvjQo6yHKWUA62 2r+AAvv6nWa+8+hf8azqERjz9t8fkx+spZPrbg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Z3w5n/ViwTWf7OT2We/wm1Pr408onv0vSDLVWNGbO8mmJA2K3qZNfGL+pNCU8VOWtN4FHYIKUko+ B1Lts+Wm+OEVYVIE8ZafgYqo7rjbySlMHHwYu0GHU+cG9grGKqv/OYI2FA6UG+yFmHNb9WvWwrSp BJhJBcvgmTnRRg1BrbU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cx+av40gzEDGhpSKDGRQTx2hryoSHgEzGoDn5+saH1Ig8yg26yPtU2cfQx23ezlWFotTHjaDjnl0 8xFpsaz6yIKTJrsHRkDWNWrSFhNeTmGiLXHfGXJLAkNGSmmj+CfVj5pJGv1R7veVznLyONKgzc/3 NRy5LZxkkN0VfQGbzYFnyobdRDhQqlJL2tNJQk6lXUvW17VObvq04qY3wAku9tGocAsj6zWpKpB0 to4CqzHs973zJ+R39CwkFtyp7f0n1Cihhg9NaWOG/j0fXpmpIWB2UOAiZwUWHX6j8adc2APrnnhP L2RShabm3V7IO0IZJ+Dek6dB5JL7agpUbMi5MA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15200) `protect data_block FqnYtWhBhyD2B2VHctOO7LvUq786nYG1hsjGk8kAbUByzWe/OURJY9DT5+NxKpBzafYXEcafh4X4 jB1lzy9EilYbiG9r20NL6VJVpQNTj5MBADyua5OyfJdOdDjdKynL37RMEztDRbh8xNvYwje/Awcx 82Xi3kf81r++t4Y30LJ7u9byvqQ+0ipNAD/J6+VDHjvOLUHOSysWyQv9BD42m7D9c8EUlioXPh1r FTier7AuLE9zvaQve2EcMnBoz7kbMcV1y+KhpWydKcRsPuIYjVeqZlyrDAAcKtZ/hnry0pOacjQ9 JmcIcEppSn87li3vKf7sZ53pyVKY2FukIPO0rBCn8CnutcxqjDn5HZY6wn73foY4VTXIIsDZ0+rB mETUOcbIpgf575bSPMCFkaxOpvrvPOSNmV+NKGRF22eUTV+sqc9TNjdKg4O56h1yLETfoTjIR8vU CHABV0xVym6WI3t5cqJxKGePqcMCNbqYeXWjLyAAh4X7SifDY+FAKf/KSxfP+DH7lqTVYDxB/ipo dKqKZxeXDIbWte/rgLXsYsy++I3ptqoCURp42CXQsg4D5rhyy0OK4eDoag41aLxJrPbq7X/5EUfA ulbU81e5lxU79a+zpRWnSP4NqfbgLwj0tUXQF8Dq0Qkn0dbkTsBdxbdxd2ihfRNUozVm4pQedY+A VXk9QzD1RLN5Fpq8J1i1BHgu7umX9FK3DbRFY/Rr2v8p+m9geSEfmnr5g7gynbiwx35Ud1Bg+NT9 yFOiUXIGwwyUDWQPdZhDcWSoppEqFNQ7LXXg005KTW1EDaWfPIIRNUWh1/EwacM4MTYkOfowVisV wO7kxk7JSV8Qkt8pVc5KQh2X0VAESl6hxrHaGEZWmBeQw7ksFO18ywahm4SuCmHfwDWxELCf4f7q zYd8hScLYUHP7dvoNgB6kuI4D/q0N9oM7tMrcED6LujcJTNYnqBtu/6wW81s3wWJWSNlceLmwcCg QUF9FpvY1WbYexxDgeeOuNLayDTInfaDHJCsH527Df6KV2viRigIVpC/D8/uY/DV6QAvxqtMTJ+A ca2n0fFZKTLZwSOuwapLh2mlSk50wZDpDb4XiU1pKgDjdiCH0sjY95fJbgo26J42eNNpP/7/3A+N s3JB5T13C2QpmmKrR5GSrFYDjR3mPqBIP/3zq90hTLtyTCRJKfYSXwm5OFkIuFd0X2TPIADfeQbT 2W6jc04sqr51h9JNwIs4rIsUcrc82t0i9Xox7/kwpoO8KH2X1bhwaU1jWL30oCqS6W33qz7V1/YA RBvz8M7ZaWfEKrYVklqtjRaYyO4JtLq5UK2YVczV/dUovLVs2dbmnAsbU/guyUtWY6Ga//YFMT22 kV2kX4hh/oZgX2pdc8q4ByvkxvZfTT6F5XLYCqXoEfCyIGUI9D47LfEXdE/8fXT9ZzOKTXYg4q4p AptOeQHNvpvlF03pcPQl9PfWpCDmY6cSnleyAKdXPwZIwKXztIW56Oer5ZBLRgFO3QM4118t1Lq2 RVfhXYMLtWoc6VUHSvldtxy/lHmQz4AAZ6lr6aYZQkZb3NpJcFj3g9sRuj8QMXHEAILtlDKQVJ7E 1xv2BadeCvoqryb7y8+72EvJz/PS9ldcQpwJkO1Ui34Y/9OZXVzCJgOHdVOIrRe5Iyra8byK/RMV 59JNtShvov2gUXY+AncR6hzZYI3r5pk8pw+nQf/Us4uRTaiDNgdANyynj9+XPtbXNRBm38vL0RRt RqETwmUM3rIk5sEBIWnYKhJt6w9riYhZEmAROyTMEzUgLQtyaWK4OZ9btbI158NQXEhP7pmZUEwc PWqnJy7FsJZzskKVL3L1eVqVKLmXHE6d6ezkzk6jGvXJb5atthCP6ACOo1aYIaxaeTxBj7XzFkl7 q3yFYevQcqMNyb5+GeYfOeTmlmxpN4m7CrMHAdcp0qiSHuQCAg7StRJmcqyslQbZO+XTTeTbJr01 yIrC8y4h8e60Qaj284MOo4zD72HZRDgGAfyh1TuNXPzPEuFLZnbdjsBro6QJPDED9CFgEFrwLG+M RBT338fgmGKn09yonwneNGWpqXEuXhi4N5zU2LgBVAEGCQGCM0rWrHpvhU1XRcY4g3ZIl9KIJ7NO hx8zmN8ZRbz0PdUiDckQ5iPpJ55fiGHtgwX1MfzkbfSmv64mlxsx3MZCx+FksS14lRLklnuSclOj MixXDWUXdxS0Vzg+554koE+5tMv32Qdj2I/TAj9EzyGr9adLNPmkC7alee1as37yUyuSbYF7SQYv qKRiW7XTmdejdfsdke53+n9ZV6yS2H/910sSE/XuZJeSSiHmtNqcAtqdBDNv3WiICtwJru/Cvy3z 1SVnbKf2IctxrWtNdhOE7BbQzIz+wLz8UTIxnWSxW8Cezi1WDwPpqWgEQPLKwq0zCAL4Zr/UOaY5 p5Jbiqg9AKPMC6H3K5ALPkYQbl+XGVJRz8jT5LXMfY34+gFqcXN2extJj7AP5QOKtZYCmjvxNyl0 8WwIpwbWTjh+PYGZa0BFl71/VJhEiwRuTMAHGGwUrwz3B+ahwcfGPrqN8BJ/JH2/ZAgte4vc9MMy NU+5ZUqeO9ekDVxgUfzSWSiscWFCViEjkNontjvdd5F4cgCkfI6qSCMjWF5ykLhBjhs7shOFTgNl +sbqBozcw1w53PZgTznhU9HCy0yZov6/0a0KJClad3Eo1Bi/loO5AIGZZgmhrrTX2etxGnAmf0Bb 9rwdAZ3hbgNo/yLSn/Tw97Jnk+8cGcwcrvBnPXx0uTdSr3bZxuY3ogJRNl8Lr7kTRDrn5qif5NWB W7lrGQk+OscjYGLWlzSkx8tz4aT/AouchaR9b7+prQ5A1ph5W+vM5WdSUIQm8mqT46dh47PUZVM2 MgBWyYwRE/zt/vgEfXPb2MosXFLDx+/+ymBp4PpHBMGdyEv+WrHJfsydww8PDaMelD8jvjja8OQd LcLdqzHAiGgQGuV8TSAjEHIW2JtNke6sTVJ+11dGusM5s9rBWIPOAkq6jWcNJP6bpFHAXdAZTBt2 pxBew4LnPz99FDQhEAiieUGL18Xl/K0SOv4DTKUbjW0kVnVKYK25WpiONeYTsqXGKhX/A0SPGO03 l6C7i9tcVRrWAeSsOxcSEyJnasnIWOyL+aCHsO9OrnTXmskpgSY/w2kyN2jcd/TB7BNDUBA85yj6 8zh3L0mR7iq7s3Gv2ICnXmMAK7X2Hbbel93FdiO3F2XjJURLmBAynfL14tmugDJvH/7GDg/RB8Hb fAyJcr0/ucazcMzlNtk0sni2fpHFgGqP2egdmp8k5gTC633trcveSno8QBqR4f5trVmD+ps0Jqz2 eESt6EGFXHssxZT7XzScrbIVUiUwbxyytMmTamaOrQYvpcJ1sL9rh0CLW/MaEWeu/2/xpfjOCwHV mZNhJt9OiLacrnxmIj+uHct4BuEbzAjI3n4d2xNMyPbBfaljRh1SXD2euI/OEyiuwPkwUPJXU+w0 93da0yn58OZng4RiwB9I3G96kLp/dzBJsUCtJOeWrtjV1AZfbO5ffq1FbZULL4w0XgQowASsa/Ym WgAWv8CPT+OFjTGoRJ6uAuIiv9jov9lc90mBtsnwrry7vG4RidtS3t+S8dMsTRN2sZpF489Hk3eZ 7ufRrzJJQnfxANKZzt9m/STjKjK+8eSIJmgumeVfBaD7raqr6SE3JXb/hfwwX3GKJMw9pdeI1dMH qWYhd7OUjaVP21otx1rv86e6UwHgEc9EFqmb/9Ezk4tqYQJlplRMWfMtA1E//BY0+Y6DavZpBUkh SzCPjW7d0oSWq2WnJgWQxDWKAkaxPu4IwbqYsYVu+aTaKdptSD7wNbYV2GMgUHxsphijsqErT00J AWtTnZ4oY3bweYGbNsRJ2L0zxiKTu0lumV5ZSYTNAdfYSun9dV94e0/BFT9GAGj0r/ohi6yGQwOr UtjlzOzaY4nIdPJ+LlTMcFK6gsFcrdRbplWkPAzN4yDSW73vVxj7naNznGd/0+aMxykZ3NcQQN+/ mwRjLdRv5xe8irREzjcRRuW4lesyyvxRoFaCwojBNKc6FpTyr/DtbSmrfiGXUQls/NvSXTy8hMF9 CnyuTeVHzKEN5CFukwAjaUR639MIDBeF1JKqVvIwdOEuk61mtL33Wo9LprObo+OhkLXmBjIQlvRL 26PdicOnzVmS9PvkHMq+3Mtll3sGIN67Yq6Jcsol0vOyr906jroVuNtf9xCQnChSxtViud7AT0T8 drQ10+v8Gcx5amVax2QyJgoQBY8jEBL19gPgOAn2wigf9X6FgSB7d6nslbk4CXqfZdK5NEvLzlkY pLuB/8oLigNYPxCG+H7NfYEy63heIjHmwt3zBNOauvNGJ63IzQcpr1uW8f6vGbrWx0cqqd8Xk9jm YG6tVkiuFZL6no+Je3f0UHaYPuZhBQ+P/i91pMo/JqIj1/0nHbJLoQEJa3Bha7+jvCw5MWYq7Gx3 HwQwrI7RonlkNy0b4HUiB1pJ6LPYaAy4l2F2Fty5YlXuYgJEBKmQwWBAFCzVP+0hX6NKkZufE8UJ q5g1F6g1DsmUTUlvBQSR5XhPm3UJ0Z7rfWGl0ZlfJRzTO32eohf7Vr8RieEypDRoWaWuamKc5aQA +YzSo+bW7M9yXIlyQXU37YEFc1dxBjLOtxBlNYWvW7pQ5NDMSRVWBTnGBMQ76RTmIg4z1/jjP5yg n4fX5rUI5Lg2fyyt2AIBMyRSOqQ09e0o4+LfxZYn+Pr2gGpg/pG7FvXi7SzirrwtbqTJ9vXDL6Nl XjTPm+mWDyrpyHTNUE/RfbX5dIRBm6hTY2j1iy1pfXk4n+I1YfbsUcBY2zKHkIFewJQJnRZx/2Xv 8P17wMlA4cC8sC5WZpdYFXURRzNIsyeB596SI6+R5sPfMLq0j7SFB/D3c+Ladzha0WHG76CPE88l fuTUuqamtIuwXZWCrJiekSDiKop8oQItrAJYlTSKOtHWGk3KQkl/B01xb/TLqG2Z+iEgKdMkdpRc flGoFddDNTPB8T5FPgfCeqQiFuS3JMCW3UE0C95YiOe+8w5QMSoktBU02iBeRrVNRT+vaGoK3uim ov3zVOvdcXHXXyQjw3wGm529gD/ZVPJ8i7cO/15nIVs0Yhdm5/mDI4oj5nYM+JD9i26AQ3LAnsxH 9l44wE79R9fQGHHaoRs7g6hKvqtDNoR3oFbit6ubFqD7yioSpopkDS3EYhTo2Kn1eF4FFs7PO4bG OsyAYNPO8dYWKvtZJt214b5basKmh7z2xFtoLdzlF/c1sWrm4LeyYDUdyOXwUP8ZB29HFcifk5dl jEPia8wYuGtY80Y5OEk1hC4J7vPKY0Gc4JLDFpy72mNRltljpo55UhZpZ+SijTmoUvHv88o1G2Qt ea4gY5b/q0s606UI+EsAqW0yWCR0M5qTAolJaTIw+ZaiARBjwt2uWEnGbNrWl/bB7tsoogqGGNgn VcqJHCD3PEREgEfFa9u7tPjQysTVNcl7Cu2g8J4E9JUDs+wNGn7B95GWOYrCOc2LR+FALSy6QOcy YORbFzTHpXphx9q+yG7AkRIRENCvO7oEf7zORdadz73ibArWRRN2UCZrqdZ0TgVlv7oYQupzIKdz SLrDfYy5or9I8L7SwW6Sbe6IurY8SFeWx31LA60QZezj4irOhXtdI4WWOOMat/M7WL7o5ZgCSKCY qDA3zuElcqokDJAlAYnTE1NWm4AIIDIRLQKpsPZmklkgdKl+fi8xCHX0YLL2ZGvxQugwRIlZLPCQ VjtMN7P3N8i6bGDr5BnzYT+49PdW4whfiUkPJZJRf+EmoItLjIKp8sNU+k98mk2MRsGZ7UCJTY0S 0LGjZ8D+gKhgx9zF6/JOzLLMNDYC9nhI7ROFnARVFrHFhHJgZtFHPHE8FMOR2bv+jut40MLHiaJW 8HN+VKETlUtNYt8mCPtUnaWnKiHRv9JoVYWx/Aat4dK2KFKLKEXocBsSjnoBJtMCX5gZDcP3/+3X iR2J7FDeEAv7ia/SVvOyX1q17k0iansD3Dpnrpc/bK5b+YCKfXTzbBzrxcJ3BJZLm/zpF9s2h5NP kcMLPH8fG5klPzMnXQBXCGYdTHmJ4i4S6iN29tEmh2708ivCaeTS6KbaTJOdo0Xob/L4ou4UIftK tbY1rykd44LK0yMLCgpWx91M/b/47zNi+3boYKLsxhIzAB+czar1ggg1IdGPxzlv7pHSix0AVGpU j+uw5rs/Um/w2+7ky+6u11Qe+kSUcUae007DL6I5Eqh/DH8fNVujozi/3qMb0ERIUWjIP9t3rGGH kU9kDVt76SBHZDQQxgZK+xac1mLJ261Fglv1uFaHTY1n+QlHNe9xmVC/LEibIddn3v3ysgwguf+1 n3KIEKwnfqXV2GFjCiZck4Xp0Mle3ghrycURCl22q6sxOY/VC/Y51vSBckJyw9Wr4BncP+7xTMoI DjNh2AwOaQoCIOMi2n0YGcGfhHfE6K2khqAAo/B9Nu5PZVdP7yayb1+axzFmhLwy/9FlLaBHbVcz MFlwpMYnPvudkdRbJLYrgGeC0cFSbPAcY49zLs2E2EtBtmbVsKh8Rj+D6nvK7nn56H9cUQB30BqW 9KgApLcOXnBxGLIPmZ+Yq4Q9Glco6929uiGEMmdmjIZsXjiARK3C0QNNt7aipqXrKokCGADAAZXk IMy56AqTfiPorEa8RmXTxXwfOfs8AUQG6v7yyIp6UclHN0+FLIjzIlnysjGFdrwBknqwRdyfXlic OrRhDqEBVhhTwr0BCkBPlnovoZu78rUR3TgXtcFxwp29vhmmdpR59I0Eiw/Q0aWWgb4YV8uz44C8 giH3N8KSOiYKVNyUVhLo1Tm+oUV3mYnmUsKRx989b3k+cRTVQqHRkPqn4+/l2j5VpLDZ4nTjhDe5 Y7ZioyukPyAJz08GJXEaMpaDnmOoqusJ9N+9dKY8sMK2qGV5rvq8BlnCWavg8P3/HgshkMtS9b17 7++3ReHZG9OZvM/n8i2iH7uZa5yMWycwLDmEzTut9IFaFfJb5hb8rXYuSTtyPX5cIxd5kNn2oY0O ohgglNK45r+2Socaixtwsy3V2LhNz9WF4uOCdtuxLulkbaxzgVI7Gtk2x4xkMh1xbXORG8rwbg1j bh9gqtteZLUkFwlU+ZGbsxCHdEYv5le/I/VnYoGafHse7IfCvPGo5f5GLhuJVryCt/3UKH/i/UJN fTTHke34LRI87J7GMAeJJEEZPlNT8zTgrIK9tvwhqsN4kNvFaUfaFk7up1JyNZyqooat3vjPXTwk XgIu7+qLd9j3ha0YU92zSr2FIgjLX/k8Q/dZvPZiQciOiPvDgiATcbt+/GRCdpOaZstdpxA/wDYr Z6oJ/gaLClAL1RT+hG/KEoLe3QL/hNGdvk1lXiG0BfNUKPitP5adiWuEUcFJICVI5m2GjW8un+q+ g1rAex/HHR0cM87CdniCMRCmMXpYteizOTsO8taCGheZ+KnFtJXtp+R+6cGOQM2aSzKf4CB6DS8B ZlWP4a4IGdWSaVVUqK6LvKqIcxaDpZGjvNl6rr5ZY/yqNbuBz2cv6vPDuGghX7t6tX5zYBLmPA35 yVoMpTOO/+0edGdK30Av+WQ2tEA1d+/Sulx4VfIKg2SSKoIuTNdezhyomrXjHvdD2rpfMlnrAXUb v5nJcGm0MXnejw3YDoCPe7/0kyGPq1KkOBeIrjjdiqyK5Xw3dPaTiTD+gwN/Hmh5MyF80be70kc+ 3n13Xccb1RD55m1wyJ58C3SyaBSa5tVyDbgOlGm5PhBCTa1rfaQ5N8rnbDBMtDjpgKDLQCujZ5UZ /xG9iGsX9crv37zVL7Hl1DDyvCXdBw64xsk550YmBQkzbrv9FQ7jeFKC93xzt+ZmEzfseO1ZwcUH BucS58xe9rkHz658qRjPGRrQNHZkUKLGCqnxxzzb4qoVyBQ/7RBfMZXbyQKGilbwkwHTivuMJHbR c5WfgQ7ABlhXnIusVrDFZm0dTb7oeuFogVVeXTtUTT7f6DXqM3ehuArJRTijYbflc6/Xwfv5PaSp JuInzFbZm6mO001KgplJCDu6R7Jff94s4vLrtqqanmLGc4hWXMImBBtjJ0DYJ8g8F14u29XKZURz k0lQ9DdptZEd5pNUeeFPzNNWnumbzNI6utDCMgddSMu3l+xGq4grRJmoAzomPqgftNt8p2Yen/hG DiwYd6jTcrf6Cn69mWhDiixQrlEAVYOY4RAt1Jqst0t0CkkQ3AARhIFQp01HqLYlfmLPaE55lrVm IGowMV80Rkj4QxA8PuoL3l2naS7ElXBbLwEeX7fjYg32sCJOo77+1N2tM+eg/AjpgEO7juFLoEns wJmhYVescSxjeS6tC5MW9kVLPUrM2IW4fVcJ0w1X2clYHcWWRu0f/XunVsLrokbaWvNYNq5f9Qyy TN10xC8npkbVU5D+L3Oijt2+ul13vz/J/YNYbOeZVix3m07m/PrtSY6Fw3THtIiV2cbtizcHHDqQ SJnfLwyrsNL5OI2hCanujD5UvH9G8bUejgltWBaNIop9z0QSmfUEES/bxgMJQv42fK3OGmKDoIWf H+4YAKAxO2qNZYBhLznbEd9rU6LPuEOxHdZfSHLBh0Yclp7/44Jcyl1oUWCJ12U1nEzlUvuaRhB+ fIejsZRAy2hUqzvzOlvMccKE9mU3HI3oDioJhx5EMi8zC6eGMeOTF/3hFUw+1k8W1XEo55PVAbmF R5p6T5prYNv3CAq9tf0h2y8EggKVpe70C5aBBhIJJrKtAe69dFca5ls2PbqG58/ALaLrwd7H0KP1 n1MzqhdU8O4Av6HDqh58bguI2uNaT/O8S1nM36K+ufqm+MtH9ir7dbohVOLNzZl0nNob2hUU2vPU GPCUbYJ1NENcgAtMz9rU5dOs1f8brPdsXRQUhlzv31k92haoyHetkYt8kXAIgHt4nMiJLKwhv1LB 4Ge8qFKL37w1VMbOwcYmTTS9yp4JYM1hWz2M3PMVEMNfHSr7eyIv6G+w7s/4ikRrkoBuJwMMR8CP 3ACJRb6PmSlVJgqGipY75PXhH9ZWCgdrw9J6j01D/e2TmUm1iPiPZF36nVmJWjE3Nnky+tIp5uol DwXUYTNLGNiYeDEr66LZ8fWm975M0pvsYDawwDRb/iwUU8wQ8j/ts9vc21eyhuS7ZMBb8wu2AGe2 rLTsUW0p4A4csofgQwShiGuPYzyP/Cs/oim/WTtge1UKSi0CqxVOTlxE/4tJza9/CfMYu+5ywX52 +X1+T3nb1O26b3svch+xl/JhTxYpg3sJoQdNRleNvJXWKcZydT5OJNMfyS+OISQu8qyspB6SjyTs ues6yUxeXTOKSw/qHMnl3h5o9jE5aZKcT1786yypr9k4LH+THOLwcD6M7nk6gFDO5jVzFjjJLbla Qfj+1jYTIO+Bnr6vSS2Nf1iu8ad73Sh9H1zI7ue4tApU+Cx1U4qfzdQSrbClBFK0eNxiF+f9R+1g f1s54H10XxfM8LKYy9jbDVe+byqH0CjoMteQJYTe7Ui0M8UIOvLbkl7lEKKce6CwtB/34gLjee0Y iudIGqWvxQkmDHAHIaI3KZfE4r00hzLS4rMpgJ5YiSR1uNTlgwqjOvCCCskC+zxcnXwybXAbSUTl iCDdnTk+3o3JPAiswtSKkZECX1ShX9lw6hXnXqEkV5iYoxu4GL3rgAKh84M7MPPTYZT2f1yrjosn AsDvRdfAdYPfZfaA8YUVC5NDAWyZCKhM1DlGuIGZuKtDtGH4c2X4YAjbjfhJEsww8h/tbevcDc8X t4N264FLM+kT/+mol8IKB8SJOlChLYMA0vQxJv0my4tDQPg1mulDyWS3YjL+x19WhPhyoBb/flYG 6W10v8dUXxsAf8BDl9EifVNWSWM2hvSfYbBJsL1l83tD5N3omXsFEv/WcTSMBh4KA8v6CGNTagHQ KgSY3wWIVEg4nt7OcmCGinH3/sj85DtglDXjdm8+NS26XMbKJFbQfr+mYzVF4GvIwpvkbZEKJEwk 5/oNz+Ld9XqcVshKxrnGRc4vEw6OBV76oIx9Hsxwco5SueZZ44o191A1r0cujoxuytaDEoTzbyaH 6ceGf3Hn2odvCdgIEEzKLNzHHwNDnAVB9foGzn8SCkAgNb/6p9f9J53HrZ3VIbHgai2cheN3IHPJ ryDo3w/mnH9b6QX0m5+iL9xcLOeU+T9zyYQz7rfRwymdANx6SyIH0UutlUBU92bogPO5m0QUOlMQ UMO5Rqpa7O0AKjVAavG/dGDlxYSi1eAG/tfASlzAusmLEV8yOvt+aj7wlIRghL6S0NB4cMBwIE7g ExhxwJWebcAokuTFwNJ6uGol6Qv6ajumg6jZ64RMwSXwdxcVQc4sZLdTE3ZXs5FywVwIOCFIh1IK IZ2gLpASuS7ZHicZ5decdRj41DC1eqVCW2porB5/Q6NqjP00uT0MV2VnUiLC8AKUYQquI+rZrBEX IgAn8TD3UOh2cwn+N9n35RPh/rJmxt/yfv+X359Iwj+N6vSh1xwWxHbhGqPNRYJht01XuMSbx2UR kHsrAmdpIOukhaJM4GjZtjNKSRWS0pxT3JvNWDmE6jvDdAMF+DngIcEKcNHf11cMCeFiXv3NeV+U TqyjddwZrtpijEf03c95ydo7NnRci/8o30jUOeU2M4b7p4cjv64DuBpzZ7gR5q2KP9A9+1YHW2BY F4+9dddXiMAL/GysWlMS2W2rGVKkX3DmnRW+n9fpm+mi9MexyKl6LNii26cM21XEX1CirAFGmEjH ENjuSNkmNXgkAHDgXSZL5zRLVYZNGPRzuZrclmabCftCM8t9VoDvOhs+GGymzt6+lMKYQlReXT/8 hFu1PdbR6XvWDBfiZqVWnMtJD2h9sckbf7qW5FbJIMidLYcM9xDj/u0QqrI5afOq34RNPPheahzP k9GOkeZOJVljS2C8mEH4JQVCWX2ghX7ZBhzGzS0Y0X2GnOOGV2yU8HlWWtlW6L5OiW9IzptjRT0j 453W7vM429Tg9eeYFEX/If7P67okxjihSwpy1O/mGPgmnMcsyqYLvQpPN7D5HnRrqo+4MQoIT3c6 Y3qQhiSx2AsyakS6WCkcEtFTGEOvrUMB2tul0zAzw7pl5DSfmUjwHMdMD35F3M5zsTe1pQdTvHSw jTCggXxZE+Gcw9xDEnYk84/pJPaiXuQpRK0PMQZfb2jgEpSoThtI4bxuaMTHHI3moyafzzx89aIr BlEtVLM9TrLsKW5JFPERz4Y6ybxyswWV0w5Lze+I2lAQN8K7MF9o7SZVVfNbiVFzbCIjQmF+zpXS 7ctWn8InHb1tS+ZPGWeZxKlNJmrZcNZ6x5clGNOJUxhn8lxaxWococavgTZAkQfrwF39K5PzIQwm OnV+dKZ8WSM0QdBCOX0+/kt+iVF7K+GKMRkDjQBMUmE4OA+Qp5B+yRNofwj8D656iqbJPE1nBCY+ dvOiQhTOCpUWNB750GYj85++QeCschRlAENP1aOIII85qX3u8oZN1ieaNFDoNPvehuM/Si7gumD+ NSE/z7BzcHD1ZBIT3VziWweKnodk7JV2VsaN+WMuV4dUWeKOFRKtNeNZZ+OOUVnMck8AGitnLf07 yJAYka0JFcwFhwn58mx+L3No5M7fm5goSOkGA/Nm5cD4EFgjoeU9rQ960J+8GZT9b0c8xTlUEegZ lL+Oi5jm23nOYHXmSkmhcC5g0HS2dJtKonpXHLHyDDYO1uoFNwF3YoF/H4krek1e9GsydVM086mB IFBuW6K19BlGROAnRE6DDVTrrY2AKpqYNFjJheREb3aCwzpkBNj4wpk6bd666NflVpQIsld9oHrv A3ZJwLNTI2HOcvh46giHSYoMRfZzijm0+DMBpErYapiTx8UCLGMGgBQURDmNK5FvV3muadoW1948 52ZJKs88+m1hIqMBqGTfniR8kjcM89jyMINvigx0SfcFGc/QiAMeJ0cngNwyA8rzcIBHPBCfDUH4 Ws8QEbo0zsiq4lCzY/j+hAJFi1AR8E/2NLY3kvZ/Ji7B9cOGQjLLQ8RGj+cElifd3s9v9A3Hy6Sv ++cApYOEDOUlJMSVz/J98D2PyxPANz9lMrVfS0Waam0zthI0R9Qr31E8/GaSEBjkcU4wSWmgywLB 3tug8wm1swie+OTSVjDP/xp8a+229wbgrKZMnxChpFfQb/i/uawu63kY4m3FM0ZTsjQZifDX/wR0 ihnbd3CcHdJbxp/jowUIWblIsJwbqM4zvNSI7r3+BGxv9hfOgHyy2Ti9H9WxmswTzE304fx7PIAs gMBSXiCh5L80CravNuzzUplX8Z7tN+mE7n7C7Ps1Cdv27iWpaCpM1mMs73PlOwJOC97zIPgbGGOl 2wCSXmLhwbpo0a6I3UiyCxBdvMyK/ixzzlV6OagYGZggiPEKZ9G0LDqVcfrBl3ZMKchONamWC7dg p+G+uB4vlpEVRiv2vBjnOyDGNtUVdvilAZhbg0FpAyPq0aYLcvdk6wQK46d8ODtCXx7BLEj7BqOr i51qjlD1ycNLhCfxXlPhQTB8rx/QwvEmf1heC45xLA5qt1EJYG5TuZvK+kGO8ztLjQouhtl/YwTW vwWwGfWFReHQXPBox2GIJ8gAHCfOr5QApzMOPvPiXLFaM7ynGFlTH1ejbfPk/SEe8oHvXb+wX6Nm hJ81zpGRH23MJBQYOJLLSCStCehIjmcX51otNBO66IA/lm2okfKHAlTzIAfefHejAx3D6oJnEmtf 15yhB7Lm7se0r+dmroCnoKxKE+hHcAwPCKDdHubK13XwvEKirXpj8dUx+L3zlXEqu6AbEl7YRht+ xP9OrT2Tp9IH1SycGjwL2EGGk/zo8+QWzGYmV3xrYS68eDGu6cb4Bsxa+FxXTkv/7Ne79dXMNwsG QoEcfbpZaNltRTKF4bRV1LHCl63JAtdciH0wu7/9iLlNuSfsP9HH3bQ6wduqmEuPTIoLb6YrWcQl 8B0YWBOvii4q2L8WWW9IbkPQFA1MSFt1opNGB6/zjeMdGSU2nummZoAFBPIqiRA1n9BThAgJvZM0 0n0ExVuLhDkfHN0CVEz5nNv8/8bu93w/CE7Ac8dU9gJXh3WqF7n5cWnBk7gyzjPCF6TSwR+jL2kB wbsLUuLFzGTp2qrptCdeOi6mF1nwMHm7Ln77FOjewXTvbhTU/QjQpFs9s4pTJ1oMMS4p8751eaEP KyyUCCDcOyE5D/0X+Z+FZgxhyFpXBYzd2HYTSPswEXXxiNZ/ZngB7FgZyRJ+n4HffsBVNlP5gUnx 8tPPNv+hw3wO4JHBPlBkpSpQmEevh5XQkJeMzmw6HAk/FiGnAexLveeIOuP/mk5WpFb+shejU7oN Rcsyo8KmReTNxq5IwjVYCm/AdoqJDkCR0ULV97SWPcIx1DQahHC1ZoSfJ+/FYCmL5Euon0GmOG0s qAOZwwWvKZK85ROaAWnCt5XynXE+aDcVQIGNXVTSJuOo6BsIVrK2cPwvPLXP9wlA3lGPWyEpX5ki MyrkXv0xuyT7damH/y48pEKLWh60ED26hHcpAaNFpkQcyqdDKn93U+iC0pi/qQVz9+kllhLkmj4e qRAQSbaoHDRpMxx4akAlwW6P2APL92TF9vZube8G35NefmS/Yoh/SYYtoZ/7UAoKlDact2xh1bNk ruL31nuwPZ6jAiccDhkOM2KeCrfh5plIWVnaPkS10+/RJdJttcguTlSQBHLhLb5u+9TVydh3k4TN n2gikpb4xdOpjx6rGh12GT+dsT4td75R5qL4nsuhtbWtY/ep2wiif6YIZbAAi9uNrE8gdSVa5OFY 0WVaa7W5tN11r780ayKHZCHL0aIrcICO2vzLA6kVNFXENqYbK+GFXPxOt2Xft05Cfc4jM3Vhc10D 30+NSmuSwpOVR8QSUWqI5fdNFCK1QtfbsxRD0CIWzPzk1kA8K+CR8Lbtt7xsAMtYRn7f7dx5Rs+j KcGFb98eLE5+ZLHbON+wFEe84A50dg2Pdb8ckuAk0qs+fmCSuEjwLs8Ci79EmNJZuW7J3JGFtbnF O1AeRkJPXbGH8mPukUT9et+dirtuJuj8wgdDVJ+JI0VL7eE+JEsc7MYE2Ou7yHeJT8bIeMZ8G6/2 b7q0/3uZ9LfG19zGDWotH9oCZeMDRsZcdzMOuQSmOLPh+5x6rOtGjwMmmyKogU3GBom5HZmgX0eV QomTAg7+fICkrb3ExEeL/Vw5LEXZcef0n6gfKFb2MFJ91D5JAGIpPGgKXjFl2W+No3rZ1bpiP4Pd cUAJV+p1YBBJwt2P+9HLHwQnx4dRAWrUFkyiJ/XGGKbhFWsCoaxMW6T5ttxWaLhi5bllO4FNspxr xckKYMtxpxhDpdK+YpAj3B8A025r9xoTbTx+Pj/D2y9wk98R2eEaI4pm1Dhf9n38zStfbUhaO0qB P9wrbzt/zYH8fyLBiG8D5+SwPgZIRRDU8sFb3t5HY3R1ms9wVJ0D/A4FGeczu46WTSbrcO8BYMcO wYfQuLqeLj80L+XGDNY4rPsmx+un6vprAx9po0phuT4BCc6mp1ieDXqvXkXskfn3cLorT178rY04 UQre4BQ9+y9BRikMzCwGaQZTXqtKnDQ0egJ1A6crK0wajagPVNxrspUyXp57ZI+Ng2s5MfaFlTnL lReAxE2Wjv9dfWzCQG5w9sS6OW/zIPPvbX9xl8pND5OD6hDeZd35RK4i/8eFdiNwYugShz7ZRiFK dM1xQesdf5o+Cxtkf+9cs5fgrI3U+YiMufdM31C90hSNh28nf6usGZoy8PFn4IswDlLwsILUwByN OCt1oGgCZvmS0d2rx+R00IciWrG6RmhSQFW4FhYVZRA2TFcwBmHTNc2EG0NegmA7JjmYZ03ActKu qJXEuHEsrP+TbGAUDAAfQzF6gusj5EtA1l+o7NVQ5r1d0z9YC94+9N5bZ1Lbl8D9wvqPjwivxbXx 6r0Mvt5HLaKqEW0uGys0BU1mPdvDKublQucs/6UZ9hmfWGSo5EnlVAzxJWa1DChAbGllP2DRLufI Z2I4jyBaY72vFZ+UcugnMkHJ3/5UtPRtblDTQg/UZnCb2Jga54ejAtD0Vc1dcgumKJ3x1hfSeLIy 7/GbvM27ixjSkJQXIpqV1xkqqt91sCkMCHDTIxD1CW+Gk1FxX2auG/ocT9Ti4viOOE1I6E9c9rsP +q98s1xbM4g1u+5lPKrIxHeuPnmBZJMv8zFu71SoWsckgVrLEqqWs24U477H2lMWb0o6PiDAQH4J o1dj3YTSBy4FhVQ49Fg6YP++si4MV1tulFT6JZzoQgiFDPXb2q5jfyvumlI0gEVjmxAr7pPIYRdp QFyDDNyMqRI2zhUDLiGrKPL5n0f8qUVqftm6OOQAbGACyQdAGzq8K6aGSPARUnNXdJnDzbAa4RoU 0z1DRbLgK2bydDFvsvwVEunz6v5/1vRtmqsnMt17+LiXoWSxyfQLKB7fX6eVnOazPWREYBhzRZ+G W4O5/4as/VWPonVpCypjYetTuunnpJ4TcoMXIO/d865NxyfqwVdIOnOpunO1kEIpYCjl4m7ZgDII 0kiMctbFao4B/5BRl7kXuvyc+fciXNr7VX152cr+pNi3rAbxMs/C8S1MBj8Dbv9vLtyvOTfAoai+ cuRjVj2QsjVcJABapizTU6wz5p7uVHn21kZ31OgYtR11u3HiMqYB4qU+5fPCFp7iKzQ7eE7jTzca RLHX8hpAf5EShZFIjLorpTv/v83A1Gr/M1DXEfbBPWi15AebunMcTtoC007FfE4HspSA11JZV70U JS0/sm2Zyar/tQmUEjtfmNm3LAD11P0uongbdRwnUZLp3UeTkTglFWmsWwmtCgC1gRZb/H9hh0Tm AY3hAHx9EuWUuLiNIdGpc+97KqBihNuQexnJmfl//3DGuEWN1q/fw89uPgel3RGsHAeu5pog7YGH jAv5GGGJKoT5DVg/Tvkf3iPvB21P1wQcT7Bq4MarpzunDIFq1iEO4HdTJ137oUJoliAg/pABwXaA YCZoZcZQjk1i4fLqBGjjLIsqo4l6DPAIXs5L/0Sl0h5gzK5LhgU9j1fuRk0qdtLEeEVZGPrNJqvL uxL8YEH2DvqnZcJxllAlPyRVymbjqMqsmgbI361QbFROg8lemOgFA+auajpZgFxGZbl6yELiQOmU 0Cqros/mYctYn0YMZnIugIGrPPYtBiIiB2BnHYQQINCFlkLWpq/jnu1VJhAwSWswocUGEGlFhgrr w+8mBjk0nYXPs7HXvs61h8ngy3w6lfxQV+/ngBabWdnGEE89mcXZX+u+rfHnjKX8Vair0wGty6sW 5hwopNCIBZHnR3ZokVd7iycMxypNHE00OcwE5sZ1br19BJQXS5fC9j/TTJf8NQg3zFsq1RqVKDAD 7JGGNmjMB7qxXMMJQlRK7VCMYr4y8+2j8hJklkTPZjOLryDIJlvtogW+aM+Qf9un2HTEkkyBDdz9 2+NLi/y2LvTy0Kb07Xur+NRg3RQPa77ebOZJq7P2LD6jiy81ELwtqpMwykkpmB1ni6Md6APiNaon xJ4cPLY7md/8cZuD+Jf6sL/VR7K2Qy4ZEHwJsoaYq5zd++AJX1mVWo+TzB2cDPQc9bZk/VVqKGgd vli/BbQoP7cwmjK+7xg4o+YLIuQwHuAVtbfVGqfjMB/OPc69vquF3Ur3VnyQGEqVyWlfzyEL5Wf5 a9jhUVcQyAwhGqZTFZvk+wsP3/XGLrDmsXueKHsRGCAnZHyhkMdTXodbnHGY0Nz+7ZjOYoatnr6o fQmMo9BbxLFQlzaF4wt9jfDjTEnwDljnnp4hIJg875DznO0nAthEcl3wNt/xHTJliknz+SHqtO/e iDCJ8A6MP/9TCz14XJO6BIOAWuXuJQGgYfFknLmGZF87x864cUnlyitKn1vJHMeUa1faQAc18Ve/ e9g7AqYVcLyaH5CHL0nmSE/MfDsyLorFHWy+fzSv/3AuPe/GhObOQfARLNiY+Sp651z19tGrYtxv OtOsZWVgFxfxaLeMsj8p0zjkw0n5iQJVVlLeq7u++I7KaHDr3NqbwiCywWgJTfr418nd6isa4QDX /+elwSnQuYqlKHJKPBHl+DMOSTOOn753K75WYyQNogRbGJ/Cz+rkXBUyPL+lpdiW6NrIEXue9xbO PYgJBNXmuQoH70kPutzitgXkPm0tz7KWV5pfPZZTR22a8KGwPaS71C3ANwx2XvFy1oLRP7dwUJfp q3nRbhtbfPag0rDygNarGh38l1OMjLuKhbPIX2R51978q+4ei96eF45GNfZ/m5ih9iRVTNeUNeQP tUSghuNNtb66wbFFB14Fe3Xd//HTSJssAfcafLiE2WhrRdQzP+BvhWZZbD0UBbb4HIlbZ9z+FAlU OQlvPBW4Q9Tjdd1iYkXNI7bCT8dQjb/vzJQl8bE2gHA6+T2eCjpLMfsAmTfYb3YyQqeiEMD6LlTW kGjTzeddqSI/xzopNVZb2xL+TgDARATaT4MYwA9z2CaYBKXu2gZZrCjoo4xRHxz9EG/eeFoDpQOl pz3iZtU2nsrYb6i6oAwpCcvCUP7okg5gs+3237uYlyfjaPFdKxee0SQQd49SzwVFAUj1dKwE/gf2 1FL5n/Al4GErhOv+Abdn9w0HManhewxgLPCQlVC4HYuCxq68bGuUaPsleDZFXUx9vjbtnsAi1Nxb 04M+XpiFHpEHWvTNndcVCYIrJSRonbgUFtOgWz50Vhsn6Q0r1Ssjr2AuaVj5uR0e2JY/bvcLY2aA 39spH0RkN3bSLdviHDE3VNBHVNndXRp9xoF/GzeZQJMfwW8Skdpxbh808RRYRFcMm0zALmZdsyR7 TrU54ns/WAc+w+RfQhnQg60KhxFydDD7uubuGBxsvb5rwfwqRV3Fy1+dZ/4AgFFNDmdVCx7zZETs b2hEUAy+t13HLhQlSxA+FJMKuYvOLBQaTb1FfGgSm3ALZfNCLYap+wSqMVjrEFFb73+5Rgfu+qNk pgTYgVqRk+0g+F8luwlUY6KG7SWuFcx/5h/8x7c6KTvyRTeQSsScer3Fp4E3foLXntEBA3ge0Ncy y0XPJP9Jp8rbbPBHX+OLzuE3IZn0bIeg6EZPdYYVu23oJsiggSiUp+N4aIQyfq6h9iotw0/k8/yI sE/AdH71h7Vsb1wPyg4RR7x7hEid/Yoi424oZTkqk5yKfuxijzmZ55OUA2d853OXBOb5uQQ8aEfo ShjokynWSJzrzlOb6Zo/VpBNR6VS8r03vBSN6TiAkuSIM+696FJXvpQMsH34X/fgJoOF6jEUS6gg QbQF3BKj4EXGi5+2wdKQ4yAkLt/6mnP1kVBrm7uo3d33HATzZFcxxhF38NFJebPIiTPoJK4/v+mb SXmc3g+PShDAkuZwIuEC5cg1i176qIZZ22FeYX0OVGhZPbg1YmMwv2YMfeeto4+8aBYcj4130mFr 3qS0dgKsGbgB+eV4rprBaWMeCccnTlYGDLstsj7ZUb0tEF7m4mzpjCnYIFt8C30KJCbm01TVqxiw 1NFAOpATSuaVzhsUoaRaHth3/3jqZ6d5mnJQ4Bq6uqQVw9ICWAB7HCmwHaf+1OmkNGTMFpdmbdsc 0TfS+Gx4lvCiP/ujBDLG9NrGAAFvaH4PNCdQC2Cx/3NjLAS+MEGzgAaqc3k6tpvvRJCDzw2jag1p Ny8+VF/vg8K7QHQcbXgNuc7Tk8e1C6HHUvI2JRdtobIoJ9VGxO0/YtxdrhGYQCbesknXoewPn6Q8 /vw86dwmBChAWKg6n5DSwVON02tcm82nSJPEEUKluLqFmG8eLhJRAVhIiG7SUVMmpS9w1ByJI25O AaWh2MAVdL+Tto+3I3P69qFI3sd86tM57EiOuqYoXwGZpkZeevgebc/4l43tOU4Mpd0RzAGdUO95 4ab7KOQXvpJhpPOZizu+Dgyq6F7OJDOMs8Ur80nZvZMX+mHHLuFmbghVxNxw+m/0OJLwwtbE04gQ zHrzqbayVh/uucmB1UHvw2MkWjNcR2M3CalgfiPWgjtOimf9Tn0Ph5DbxFYfJGj8CjWvGZT+BcUs 7oRiGsSj5TvBF6hAhGRuA16G8z1ftupMe9cN6ihZ8llAC65wootQvcXCSIvH0rXxqaCECsPlM/r5 sMkrZfU3yniqpbLRLR1EoCvDe7ZFDvBLZeIZ8799uWZnyGKVkQOPUjhTogyLtwSspQPBcYVjBaNH 1rDdmyVZNQYLr6Rax+8qnp0W1ZFF4y/kpbic9iuxo3xwgP5jmMhy8eDj+c7FZmR0JU3PlaJX2C3G tFzzSvRSoiEaPkMZjrt4TGR1xBzjcfGcvXN2QIvoZNA3u+zjaDhy0HlxIzz5gojx+EIZXHY7TXps whqVkNUmUcvr/In3bL93y1ZYReAuOVHaZuXmPN+I3t9CFCITVyTvnnf0CFTm8FO2AWG/6KyYvkAX C6CwbPgY7DS5l81QDTuARhGPifgliGkO7JcPBeh6rBvPZjcQwR3P8yWbM/J9ky2BaMIBKjaZGCLG oFrUu3dW6zvK3yEL6Yi5i0IajNpbeqoX80y1RbrebFCPvbWTGW0Ao4FlKBhAIro+65pK2px3k/Ys HpXTJ+KkKXxB+CSe0yR/N0fAzLU7ZsdhAOFw25uKdl4lhzcMYobLom1mPyifHi5keubi6By3FAbU y3z+1guw9B7Urf+cg6ZqB+8dkKWkfbhj/M2AJh3VjsdQ10IdwU2c5PFQ5aFeEf/RdHP6Xw9lCPY1 ICb6bffY9qiYFf2XIgRzxwxyars8ccv398iASw8Vuv/g6MRkeJqfd74CJUPxW6ZIuH1QbP8L5GvG 87StdrwJJ90UTDcj7ELS9aKopbNB/4rwsvulZjD7N4fcv43LkzdsBoj/qnWqM1QdrAUblJxjUHwQ 7GsQUAOAS4DcxRVG62YgW9wgLNSCBDkJoFqRYO6IsScQcZlVZwlbEg+hQtlET5KgJ6ygLW+43SVa JMrvB8gUl2GpvTVrQ9rW8wIepvZI/4qdnB8ARE38sKcEBe/JlkWBVMOnqWTseXOcRxNg1+Qj+FQ5 iqu+3J0Ok333Bwg2fgBvYcsbuyS7KviBZvnN1zEQIq6y16YqqVbTMs3JdBHO+kynAaUaKKmwwGAa 3ebg0b6qJGV/trGZCZYJsiHjM7YuLFfRZDSAMxSLEEkTuZjZFt9mDtxqUQhfQXbO19ScH/pjgZpJ FBS72IHy9Mx0GnR/pZ/RRjB4zeFsnETxMNNfX9SRlfDjWdVxKLk= `protect end_protected
gpl-2.0
9a7d1db16057be8dbb1aa10a7e53c98a
0.940786
1.842432
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/cmpy.vhd
3
11,750
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block nJTrAVzQS5g9A3myx62YZTb+draWzJsQHVfIcmrs88f+ztYaF+oV0u8hnpH8DQ/lUJ45yJWK7Kne KTsX8hXo5A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CnxyaW7MwwGnP0+ipG3II8p3wIsluWdyYlvFQxZekUjBfjq1Jz5BtBW0rHrq5C/G0pyOdN5sUdG8 wNT9aNJUGHQZwPh5M4RZfMmdZKsS+dbwz9TwCRVc8Pzcwx1ae+sdZ9H6g7LVwHC+g/fVz0Zu8I6+ wzuW1337zbEpclOM5lw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SuPeEce5hdYWrQDfYKjUS+KXyexCoKt8BVsbxBDxHNn115CkYskVCYexGYx9Ke8qZidQ/ck6ugwC pY8lRDAUY23vwSVMG3jFA6ThvlF8yM2J0uYlLpLCvF1WsBefk+77pNXNt8xxRWpIKEdeaX51P1Bk x1v1ucFn0Up49eIdhemA4N62/qwy8F0tZ/nhbG3hTuORIazupuQlP/uHf9zNYNK/GJYdyOhb3WnC 6NtFNtkMfDFQuM9wrFw3Ci4RdMxtHbjv71LQ+aLGdyXJUab/joxneL25NyQ7F5QTax6wspUHDHOF zWza2XH5uoQXwQovA23aZcsaTfECeQl4Yoi60g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block a+6BSDntYZH7e8QtPBVTxMEtbu8awUJTfM0T4JuHaAtIoiHsPHUZ4cDVIqmFXr9/wTjTJWnTgySw Ovqdu2m40Dlzyq7AyVwu+kKgLWMpE0k62eRNrcZWqzcZFqSYuTw99dvEDKXqb6aJwZ2FmbqvTg0F oYSNzqBB8sHnyQVv+JA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FAzVC/SWVI8X6L+6K7OTQhZLCgNMOQPdJv+UZ0b25Xir45I2D2SFRYJAd00EgdrmXGBHLnsl2dFP a3PtBOUuQBT1vq7rnYV85bcbPHieR5TvREOtrH6OA3A4U+EqUtn5VVYiNpA029eeIbVm9ID5leZi cUHbynh/uL7VrgTORxel5xq9lQbpmrlhV+1cFHIV0ce0E/YaOgNrun4kDwD4tqOPVHMud4+gBWE6 8JphvgVi/zTT+FfJJ12tTfhnrGJzBG6c5krNRvjRdu2s0KD3gLovLsK0Ho+yeNGnU9Qa+CCqVEit te8p4fF6zZSFGR0oPtxya+oNtWBx9JkwdqHqBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6960) `protect data_block Hy3CVGWDv6+WCRRRI6HARij2YfKyKjG9u12kVpqlIWGZr5JYzQrW+v0+12/p9A3f4ubIjQdDNVN9 zITk4ayKBthapnr43f0oJ/eht/Q7T3wSluPUad4dp7XGNZUlQcUy4xM17/H0uX7NHjZyQx3a2wf0 8bIbEeghRgxvhNVd5uPHOpEK11NSkvaEYlENKqVNwWciTw2HynIFQceaj4o3a88kUWlo8wgiEr1Z BxNz0NOaIGTZnJtLTmPMJKhOgQe86Q02KQxulnWGKFyrAY+Tp4nNvVxZ+0V8yCS6pSIJxB3/W2Fs eOjZF9B3hn5kYrhqV2Esq8Fs0N2a+H2EeeXOL5Ye4A613pB1akrp84bhmt0XMi4Ud/zB0i2RBSv+ N+g/1p5vopFx9HeF2F0UItKCOpwzQXib1u2nua1Rliyp13F2AAFL7qnKT5sUwqwNsAqEBuMsslrJ ZGu6eCJja9KGWOA/4lEZJj5DaA3LeshgPJUL06Dofd6sUBXRq6XCjWTJ0jA89NmhFPlRQhKAZbme keTJWsGaW5P9vMvu+eo8nEHnvtGqoP3rUL3lP6uKvKmswW3z+rvLTSjdRv+bPIO//JO60JsAqO6i F1WkUCTsLpHrifuLzV5gRKLkC2hKZerG+eMiwApBUPFVQ9nCsRnCP4JFk54nYuUX25LQgbSLUfHP cRt36qHduP6pL0+AD7amf3uZ2Ax6MJfpa5u5aT7dFuVYxcyQn+YSrHPkKqWX2Ym/mw5xyPY06m/W E94iQeGr6+C3RFQkAY2foyus1fPSEBKFiaGL+A4qc+1HP7F/ohLKGRqeKL9zvgjmFiLnJsuMbXtm y8v+XYSqLA8pfOWUH047D4JAQOvnarEjRqfYwlTgvnHdoBsjrTz2DD4xfw2tLNC5pRCOM+n8oin3 fJo+8Fe3NeZtCHSqtvOTz69u4vtS1EkGj16M+TkvL5+pGzDDumQeWAPFEjcuH8Qy80RqnSy3Zc// 7iTK37uyPbJqMSejTaS0vDh8BrIbp1tWGHMiXoaWe2bpS1EtgXYawt+Bc2yIMClbjTwCGmLJdDF7 9GdCY1/LFkneVxRUJ2iN2MuXfuzdG8XaOuIkFCweQiCqPJgtmgq4chFUQRtVbGtzL4W6J2C5SR6J hu2ID4b48nYPZul3RHZu4UKx9z7Baqfy4MnfNH9D0JYGHwvKOVxtl5QDBPinIEh0Oo/HzSkl5e83 JGSlFHIsOU3vMi14BN2aTYTDrobvHo47jTiTmkou+d6OIL+eIfWZgU0kPuPlOnabt7irA/iI8mf6 sRvlhSzYroQEfvLsUphsYa/tbYK8vvE/R6Eqg1SrPFbS7vYgQShpnRQLN9W+QzNS2gSpXFIRWE5q JFec5fkoI7WvPGk37aAiHIKePuMO+fBd8hYKQFFNODG2zwrQVcUcUlvuBPn7fswEeH6qneC6k+jX 1yJkFc3psfnx6WPZeWgC3SMvVvbWpd2dTzvfynjbvdfSgP51Ys+KPTz2Mpcmvrm7bzGmhYEU2Me9 JGK5a5xCbsj5ZCaj1POjrW2V+J7Ngcukz+23HnJX/c9ahcuLB5Amw5+7ZfNBhRI0Hd/mBswPUXAj slR98p3Ja/EFg883EqzGq6/DoIBrFcjnaU28cHMbBELVz3a4Qh6nVPWG6GFZa6jgrRhpjFKXdoeY mkShyqlCVEo7nCHlSlmFHr1HP+9GehbxkefZLk6+3KLhJiZwF+YJ5dvOPTCqSK0hxGVKJSKEi+82 X+5wRAFyfwDUlbrlmFEB4v7gVXs957g3d11owfnTfK+Ql85eJ6B0iyE4w2gNUOgnAoSDdA32nDtm VlXqHMTbLLHlrUCEAJRVu81l2ehx/avidCkF+pfz9N9LBqNs6SitXs4uNThL63dL94mWmJTI1Dd8 g3ggxZnNxJGM1R6Wnp91jDtW+3HEZfKdCjOnd7aLFAS7o4s5AHqDHzQoCBDg7+iW28BXDMGAN0FC fTJyyCmgKJEtNxmFck5zck9Q1qm5xBFg5uciPZ3GUyiGwlJ17yZFqdDMA5RbI9NbMTRhHHGXWLhZ yl16/io+nNlouoYHuHAHK9hFcGOO48FvXNJyfYx4Y5WwDe3bNbxq4CWCjussKhl3yPUpMaY2AAkj 6RpCapPzcOyl6tz3WKh6thAUdup6RNYUa7R43+lzyjuz/JYcaSSvbdisG1bHz4dLqSyrRo5zPz4d N/BGIsYHgF2Hn2zT/UMAz0L+OvT3YZCJboKmA7AcXzXVIVyCFEFc2vI9MX1mSAFVrqd10A8YRK/y VJSWRvlS++tfPCRpu72yJCh0IsSIJu9LjoXeh4/g5ZGPNG2Znn2eI/hGgCe48L/t4mzuBetCpjvf 1cxMcpECDCZsZd7eSg21AE6Qyea1kuLmSAGIu7eswUDVasXTi3OpLzjvr5RtIQT1IiyPzNQmKSuB duQbZ4svhNc0GG5ExcpKtPKf+omRTFPKEupYTK9pdstS86wM9r/nde0XmuDJE8Qxu/D1sk3Ziw9o Ovi5vVaXcS3ILfYYeExEctc84J+PVttd/xTbSHbru5uZdh+jCSvnewNtKd44VNegSUCD8nYyE5IF LBPjH6t+dL2WP4G1j8pHn1kezBndW5YbuY6IFjZ06ygnhzOZXNgGmDaW7TWxT2QvQpyxtq29xMi7 jNp4sqWzjYO6h9961F4rFvcPVbPCOiDWb+eibrem23Zb/OZxEn5G3ef4tMVMm453ht248mLqjvih YzNcj1jMjV5ahRfvxY0+PEC+sQfJQ+R79DwmndCvigAedfsdjiUUW4vczqQVGn3UUC/mHHFjijW3 T9x1nVkxfQVi15/1CWoIrhsqhSjqFrmKNSojI3zrzF+FNJYlvWNPyre9yRC0vgjbIIXU7u6a2elN RyqS+k2PayxvhRpDy2991Y4m9UTRc+bfB7WFhBBRHdxD41p+OoFMymxRCtmalSS/+uUg8sZalxy7 /Q7VU7XWkX/5CN3dXTQ575td2NunbxZyKl8ssxN2Xrxx8FJhtssi8qoOu52A8BZWeFdhGeKHvRju 1cpCE6ypcjguBCSrpvr1F1/h+XFGThZszpmrNRS/MYFyEn+BEgpl178KxwDr3MeDbcTPmz3K7TMQ aWM1FfajLmRYcQeJfdBpqhFlKY/iLFKnfli0q0jeS5RegZ4qwYBmau2QH43LNpb5/XyQBGRwOFgb ShLrxCTPGriTSoR/hZxCJkl2+Wg2ngB8avdkSvICYh9G9YyURPYf/87iOPrPjcbM5HVCVf+krxhs 31CKptgw/2IMVrvXgwZoNGlHAsfcOLwrPLWe2EspDBGWm7k6eX3meMlw+Xk9qrj7fgwP7m8fe27z 3738So0rJDN08l+LqDuHHgnDyfaqnBk1sLjBht8e8ZouKwhCqZnARNEiUFheBdSzVfn9llJk80gH h1UAg1SRSjmDCcJkjDkEQ524DLSM65L47KG8p0Ii9RFDlQhgz2PnGGx8fk5Znc1yaHr1Z5lqHjXm vBg4MH3Dsxxt3yPJQr29nPAsVN0+OLYIGca24LRhQabBd1KP2Iu5jTOHL7Dj7WUYoTvyHaMf6N04 ErKFmgqjmQoNf229i5kXqm+tNbbM1Ujtk6MWoJuaDCHailPE6wWYhuZVRW86rsZyCxiNdB0lt+3Y Ur2fDKtBif6qR871cOawgrZaVKCtPui0+xrEobnA2TJpQL6Y4dmXEKu9FL8bF93KXrRGSHRK9kZM OCrI9p4dN+uLUDbfkPwQRr2/ZUbNV+vJ3506nN4ED04ITAWpkmpddo4Q0yiYCOeGbttHhuuGJjbT zTssuMWiTMfoOXTPkdA8iyZLgVdZDCOXGuFWpfgeShsESKJq1Z0TkiBgMarl8YpcGKcDEO06fbcc wOtRZNS2WowjReIrmvCd35hYX5T+BZia/V6ax6OLwisPWDOTeM/dc282MGaTo4QWN0COl0XF31Zp NEnvHPWdYL+xjLiVn6epumkeX2ukHMC8pLc7gZEmubcdqpm0//xR6Ib3UKMixXK8fzke9Ub1cCpo gloIjLpsaws0KoZ5DBSPkRGBfYOp5hTx/Sf6DwV/rbkQPmQrv1k6QvFlfp5Fz0z6Zp1/GlMb+s2c rYXnZIIwAfHB3fje0RroD9rNpWReBAoESGBJNtNlUNRzyMy4aVLKUDxvTFaTXFxQsPROrfENJfqT tPrKVcIXr7zgJuNuIyf5CbZdnF2n2Q7hg6m58ZfSoaBnSabRrh8n7P6vW7rYundr/BLtNIp7l3vR MjlGvANwpkhKK63pKvV2/tzanlDYw+l1hb0mkJKyY2Gw4ZOtyb0F6a4uevnkiq0T45OR+eytJB+4 WO0njip1Hby/Xgy6izsNeuFCbliI65VNbRobCxzZ2lNqYVDH1QFXjxbPdOCcDsLKcSdYFuYutAt4 bIpwGcl2Y2mbgzXoysVRC0UCfjiyLQe/bvobSjTSmjalYghE9NbnMPT7vebg9TccYp4JeKL0mH11 8+J0a97jrY3d25Pil9j968AJJDFYLBHFntVNiNbfzChO25ip7oQQ49Xrtj8OBfXjVwAtohJ8NUCA I1aDHF5KRBeF++V2Km3kln02REjS2LvdqFaJe9hFsu+/s/UcMOx+h/XPQsoanObk/h7zAEz32lK3 z2vLXZSBjZIrvlM1KAUu05T/qK+/neI6PQ+6gPHQSuDcuxu58ui3jcKR78p7I5BfeufQVuhERgHk pDIucWNin3uRFP66y6A9lmu5/E/1cvIA6Y66NGqq3lKEco1zcsQfMeX5+3uNpuKzXxnD0/AkIpoa wttQ5paRfnIHb2AeL36Zfa3W+FfKtSKlpmugw3KebLrKr1LiKeFLbDTPK3PeBx5HnfX2Tmusgaq/ 2UGWJyeyi9ISgnvK4DQK/3hLPsgK9HXHj+3T7/L53v0kX2aGVVoGyZDpLbYXZQGhXIBrX+UcAve/ f2eVhNO62v12+jOAr66DtcV2PM+ImeK5Yy5SMSgZ8g/a5MRjZdZ1N/FBc8KhVClwql44/Cq+Unha L1G+oVxWE6bjXKSJkutbzo2RSFQJxQ5n0ojYlZgXyZH1lztxSGEtj9JRI4tIfXVW3GF2/ogkkYLB hS+2p995riDJhB82NVqXPc8/50F07PZK9Ijo0WgFqyoxocbBKNUeHunF46qrTugdqWw97SuF3dy4 G6ZsNliDNC0AVzLPd3739VX9JUjF/4YBRP3RlEnbXNrJ84cepRlvs1AGT0YpDbwv4HF/yQKwqT+z Lic1koX9z4HVn40k9w4q4oIDgKUhuGfIBtRY0O1VnGDMeXPDxOzRhHEz/HdtfEpi4CY3Y5V6XZ4q l4aHhKRdxHs02553+IutPeBv0HIIVx4oyvGi6ggX0JRA4+QuRkvJwPP6T7swtMTls2NiDRpVcQvR IgZhK6FdOZk/ft+e7K7TQkn4NJ4L0FCqfx4VPCx9xr/2TZ32anQMV8f/gIZGmWi6E/tN3lIKHzd+ UzpS9muTgjegxQu0sLHw95BuPTW9jdIoR/NvTz/b+lZDqpHvwOrhqJQfYIuRfO+6Zw68MCW7L+wY PDwS/k46g9A7tu+Tdl06GJHVI1HwAZr76R4Lx7vxR/vU4igCmACp0e5Ak7hRKJODFtRylIJtKS32 +qEqxNiTAJugRiduz7J6iHZMHy6byn2aG2/dQY5x6ROyiSkh9ozbX95DLKE2Pgk9Akk8NVqXZRxB frYyxkSdSrwuX1Zp8a4AcYqn1+YuzmEfOF9s6F14W0SPECOqSMNvulg3X0fOfGPnyIO4dc5xrD/+ 4LfzwICskbYjqCnvBga9VV6oxv+gqeTfNabc7LVdmPA+BpBuToAigoXZ3/AFzQo4xWiR4nU8Y6Pm IvGx4bzAiuDQG2k8tbVdhZkrNlFZG7xyGaY1xlNOwAOJbtT8txkrxPI5O0WhMSRMezXDppfA905o loHh+mwVjq5CQozKdL1iYOX3MfbGdJHV/28HNG2DaAClDo/fFWvqyYrhnM64Us8Po/dsl5lcejs1 DfxguDXOKCu/A8j2CT69MzLYXiK06Xu0EUmR/d9opPj8LVUqQ8OXsU2CBAubc6WJcno/pbG0KdWP 0HNgzN0qqf0Snop9p2vaPj5IdlOC7vbnD8ebl1RidVi5l3nYps1JiCOAzcQIYMWCtDSMGxkiaaSH Y2s+lXbWTK/DCE4ef2+WJ6mHIJpUnJ/ojF47jDWrzhVtGMigs3uugL1YeyffK59mGzze6EEY7nP3 c3F6Kiu8zcw/z/PJBsQZrrnW2IzwMDsE/pdhct1k6lHzdxs6LT19uSWlYgTidIdtVfo12sPPCLrc N7TYR4gKFdVBWcLan1xUzrT7bUvuTQvOtNthwoCYSs8r3uJ4Vri9KLGTTSeckgxSzw93Tp6/oEKe iBh2pnraTDQyfLqi/GFCDzLZCR+bwajyfgt1fJqAPae3FbDRVOxiIehA9uB3TaELbsynyiSDIz1m 9XeLmp45Gap4aqWERCnBhy/81Di0wSbqHRZpyMFuD+p/BKvTPllaZ0DbIrt+4jzAAwcIm+qSvwJM jSr5lR6us0kJDVvnP2JQBVQdQBnES9KRPTLUZcj0HfAV1J8uJP0ayUYUxBuOGElZ+TnP+83cUxmG 1e59vQhu7rbTVlOZsWwGp/JYriFPfEjI/tEff/GdwRPkwSLDBhWYn2WKkcWWDZVf0txHD/RvwX65 5BCHCbSjK5X40z/QcBk7HlAQ1TIGL0vKajKy3IOfmYgLrCcSRhnGfNqwyGR2BSDGxlN/pFCEhLYp PIY8d0G5Oz3EMYR2pD/6DuCmTsvVMWW2rog1lqdhyfhALKMs1WWVdNKRTutBj3O9FKau3qswe+JS IVoau9sP5CnN7IfD1z3ZY8Qyfov7V8QEPddztnzuL/6VBjAnB/h03jqM279gwoFR7/lTDSlMz/jC hErzycnj0Uofyi8EWqoiVjkc6Ioo+VHFjJJEAKJGdzfUeCNm7PC/YN/DvGXl1crVwqouQ74XK4Yq 7zVUABw4xpfMYBN1ubyjQZJAT2W8RJ+2p4KhYW5bcVLEYKofvGWLR9raWiwFCtoa9aBtdaUkc20+ FGASzgfQouBde2o4OMcFMp2yiSTA6L06TecgWAqrGbcszEix+f/4hX6l/EqUmQGJIIz+AgJLlJ9r geyrfoqAYyqSxOE3gKF86n4rmSlYBHwF0Ji1FJ0fWqzJin4L6UMlsgEXvGE2W75B2ooQZn2j0Zaz DoKQ+JbGup7hvzvAuwva/7iJ0Mkrr92xuvo10Ekc3PaaWGFiZr8CfNliVAErPDWum+XgTz8+sXD8 Xtmqzd+dRG/ss4g6aO2IQboFRWK42wvg+IoCHy+WUmfvK1mZ6Tr4lWsn7SwJpsDX4BGVj1sqB6Wg OvilCwK+canNyJLpwvtycmzaft0hd5cbJTLP0kcyS/+gyemaBb8AZVsgYhACvnXJaQcyqqeX2LHy y6+/gMY3OquRbSegrwNTRdiFxT2M2ASow6CYJZvivjQ+/wP+s055Q1ZBKroaVWJm9ipUEYXp/zNW egVXdD3MqUfAsM1Z6YtgURtkMsFYOQY7X7S65gfUCZb7vog/h2vlLYHMJ5BfhzYMRkfvSiq0kKOp 0nORzF+vGSVy9nzqZ/Od/iqQ2kCkUqRdKX5ltwscyKlAijG/jHevEni3ixmV6D3So4+m1fVUe31P WX6HN0n1EinIZDAZgrcKDOizZllVjejlZjf8QVKrv6rUvd5RhwSne3Wki7ppKHs9axFVSnoxWT4j WOAOeYF2JD5NIPEOI/D+eV7DbzcPneVw+w1WMJGyYBLpY9LeeUEcDpwudtfOexfsfmG4EIlnKjqK YSqQrqXSWYPIQzRe6WGvLD12pw03MImgGquCfWFDrIFlKF68n9Tit+HRV3tJV2AfsQ0UMclagqU4 ukJaMC1HWsabuLyEN033V2EShfmT1xMeNtj+feXvL0StT+xI5tnPmOdAP13aThw61qY/lIOqUAcG EP5U6NgNIfBQs0PXdb8bqZEwwTF7vRDrabw0cZ3JPfza+zQhu3zqOoku3TXuDVPcj/tBZhBpr9dE AOkFgGEtQBfGA4ZGtHeKUjf+m8Ks9p7RcVKMSksNZZm49s05SgO19sf4H97siBJIRhQR23oDrY5q VPWAv0q5/fp+aMchAGBEmz+SoDrE6saa3qj1BDu+So+ngJM7N4D2vE0rQp8jG3sMFQ+JQR94gHq0 flZmSd5s4ljEC4YaXo0ELQlXEhjRPKqli5aPvSUyrGDlyP3s9wAtipAJshhHL1S7GCW8NnnV+u1M UdxUlpuYkDhiNtD6U6yCwR3y7ddfJXmsGBvbYTsLfppJkLRoRNkxj5k9bu1+rExg3RJwdWmLQK9X F+Ws+0seCs23WZGXjWaGkSh+MF5rhZgoPk8dtabGlwI/XMOPdtM5xhhFYXmhOnLyH/BiMVcW73hd 9le94e8rzglKtLUtSMcatoggMHo610rlqU6mov95tinJUCpDOUUs0v3zUTmBSGol3999YZvZnMGK XdILA2eBFouXyKSdA7Bn6fzzjIePDAC+BehwJTvDLyPacj17Tn0JBsNiHgQwXjiyuMGjZDXS6K5L MexEsRVoL1+R8olLk53FnA4hLxrZ5nPmox1YEemkKg08Z9y3DK+X43+EE0PRF6ENdi8htXm586oM Wjo0g/bhUd5nWn4vWZMc2TKJCzGn0TjsBeZq5VOPoHPeVmOwrX/Jbo9n8cg4NQsrXaUraqAA4x6O jNIqfQlVjAzcAahX1JDb11cCkW+nHH15LU0nF0wo64oHkUE5I5IrK1vuR9GB7tuFGQhIu7PbPnGl cIm46w5pQrWcS/Nl4OIrSxl7wjntwGDB1GOmuVFHpno5MDWWsBT0ugIIusxlVwas4niohj5lzBs9 ukjwXQIJ0jWtFcO4+cakpVi8d1Ay8sYIxZLi0wrXv/426tdzjvntoFZTiJ5QtbUVvY2y8DtL+SaQ qcSJbpNN0DrV4IAIBkqYb5IEkB8XItM3CDjDyPumEFWP5Rtle1ddL4/SUBNhOpzPGkxxVWiZM75Y SEsX8g1JAhK1q5c4biQsfEK4vtX4zkIKcj2EaRWrfuFWkHRwOlktLvv4LmgCXCjp654oomwrfatc aBXZUbJAauWELWnGYFvQmTY8FiHncUltX216w33K7LwT2Gxy+tP1pIo8D70GRfVNvrTLfSIMMpqb 2qHv7calAaDGlO5ln6QMeiNGD4babPdDcmp3jXWGDOGGWcpsuleTWWUPl/gVDRXIAF4xz5gG2btv bZ5NH4vD `protect end_protected
gpl-2.0
70b0033147824cd357ebb7bdcc0d70c3
0.927915
1.885733
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_b.vhd
3
58,761
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jUspyP0iAzXCKRkWWALogs7DK0stlsk8Ac9AtlcQkNLb+v4KJXyzKMaA1G3HKNGySfJikCFIiQGN 72J2MmtuHQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cKqUYaFMNmCnFie+T9Bg/PWl0G/iI0Wwvxi8yg1uvhU+lULQwvsZobF3b5H3RVvcM1TvVo0mPQ6k kvfjHChtR9Rm1NpzK/TRK2cpT/+XraxaAt13AHt4v2Li7Q1TiFtsxvE6WBJbw8xzFPgX5R8zoI9Z xQThHyQ9Y0HDSp1JiVY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rFOHHUOUrlJWwbymLPd0dCS2AYpSybSEh5ZJJbT2A45w3gZSOvWrHDhtyqbVqlsstgmvEppRS7Od QNC5LyNk3kmW1uaE7GY2uSy02V9O8r5GVpsXmVkckbPU6l3hNdHiq87O/M3FndWS3dRZKC2A9DNv bxz63dYttgfvXc5MPhWWemkmYn4ub4EIx/qAGLM9aFdqsmVcpqD+z3B2/7FaJ04Qz60yVohM/5tS jIFb71LWEqo1l7vk1zCjSPSV0BZUoqJCLSUriAztHm8yRLgBWaPhdvKrVL2jTnL8VzXHno7XlT2u 8DJTujTqNn3NgU8XdwkEfXWwJloZpkBe/g4FYw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UXdq/o460lUpiPxpmyXCPPGC8od551Yv5DkCjILx/FsUVVTBP4kjFbMPaO60DdqvjuuG4tbMEfjp 2/SkkKnaMW8T2/bbQ8ucMuk5+tE7e8o3ToGwREI/EcKPPr+MdcsfhulzsMujxgkbDbEzoyjXfX50 GxjOvKXRGfrs9Ld82oo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qemEWucBj3cySwkGtLMneG+WUTUsSnlBhS2UqRJnnbzkZPsIe5912fGNcd+Nuti2S0HrMJucuzBg zebkZVhwJr98XAXk9E/oT3Nikt/5/G48yTzIJ1KWyz7lHLQAMY5r9Ue3kKojXrs4FG3eiB8y3tqJ 6m+4D0meMUujO/nNAXC3tHlCuRO8ceR9RAOCygQ2wythHpck6/MLVuFD+G6ruOGnDicsOf9eZMCt HHOdeHmjhn4k/2RRwCGfdChmxzvczso/a5DXM4ixnJQJqy0OGo0toyQcqZBnI3h+wvQYrg94uDIy dSHACaAVhozF4EfVFXpDLBYFmP1PxyStVPkjEg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41760) `protect data_block Kf9ZRzDZT6viViR4dEheu/wX8omfwPjaHPglDOTgrX0IjhnSMMLFR+RpZ2NpzSI/d9iR/Zv85gK6 ItIIhUnEZxRYx8CeZVm3cjr8eGLJ+smUM0B5ZSY6GapxuPzJSpfEGvEtVhN7MNCVC85Sv3HOq6i1 3ypkZdwwfYzkLyb2MfOBlXR1KBnh4IqlQqVnPwzSIZmoJVQ+rPTidlJ7BvNMWJ4n6CHaQUUXQvyc LmD43brsfpcNp9XSAndIjOzp7M7qG+AvNr2No7CNwJRfy0vEdvCxN44G9YowETIPYvGm6liDcqSX dGEVJOG3w3V0DOxwGOpbKN9Mm4yJe6XDHbWWFgLuoqiwNRx7U67ErgZ/1OCPSqw3HDRNtW1yF+Ys PzIA8IprXSKW/2frACS7vwkxmh+hkvV7Q3isu3Hhl95OeQJAQM1tVZZBw++iyvexg0ObDVxX7nbU ywOdKAa4IxXk29e54bguzD52E66i9wHAwaD4Eln9ALgsEgicKvTT/RIoFygMAMeLRehykpK8mDJJ 9qidCrLWO2zqFZkP9SA70GbCptMO5el7AMIlggHOpuCcBO1R/tuNNxUKTsReieG0XKwsTGx1hi3c sKfat9F6fn2p2VTv2E4m1dBPHq23v2z0nrm5kS8tU4PMMlKCaa0RaL5urbO0+pIGmoMlo9Znt17f Jef6rn2YfMIeENutlYJdijesXgyfkgaCTZEOqxjS2nqFJ0I1sqbsyLNCj6Agk2EXpEzMsYptH+qZ VIDTM7yEfjAQHmQTMmyQa/uTg0xtDiC72OoJC5Jer/FZXmI3sic9tlV/wQMGI2yI+OYNtSAT2u6h Wex4MECHCgkfQjNpn8kWipImxBULHHqgG3S5v8i73Evg/zXFDDPXPNKMWBhs5a0drFs6sUrRefzS HAjl78O7sS7y9KeV6tFEoK87kQhLkphBr959+0aMcb2SPZug+ztW1JYp2w+fw1CM/bVyezQVsGjA Z0EGf9zpnp3xW2Y2l1+3PRsYpTKdD/xW4IjpTf1/0vJrgJ66KfsmWtEgFi1qHGSTW2f1iyEwTMKL KnWplQzewgL/fcZb+zrfjiZ/FH/YVFKMroZKwY5dG2NpG80nCTUIVEdIu9qE4Hh2ZWUDo8F7F57z dNl2RhsvJMTieypsbHKy9bA6h+cOMVpy58B9GA4MC0TTaL2BO6B8xD5q+HwziJEoYpjEMvLZMpVg pgByHopr7TKwuSxcGwPUttA4PGLnzS3E8SLlX7xttCmEMqrym6ydIQpSe0CWcuKt9rJl8TT5s93L jA8sf9xYhBNHJu84jk11Dqqjd2I+oQaAiV3o6gkCYEDogcS23VwZ8rEXq7Roo5TXoXAcjBrKFZUN UDlyEuP7xalfKRJLJ2liWRzXCwsaNOkeZCAz9qCEZjn6cJHCAtM6nihRUyDuylxy/w/Epbzi082k G1m7QohnMJ4yOhKf+xkPHP+7lXiJ3dkIJPYrRIh8EpJf5UDhnxhw0vR4kai2L0qsRHzax+rWf6Tg p7a6lNhkP5rBTWzOBnaYB50J34FePZ4ky5zPfx/4lyBmWLUxyXwpsOu/jF/Dc9X/qks9xz1S3JOI 6RTO/KQvRiQjNC/hFoVNsonuoX9JZ/PyB3ae9TU2X6SRIIiEF2IK0MPcQmuC/Ch7SVgHoeJ2ij6L z0Ws3rLwz3mQXTjBnwSwgkrULKEIyjD0qrrTCtu9dKvltm48SfSJKcgGCaug0HaWr3F/hM1EH1Da MfA80xmpm2iZYd+yDSFyf0BBrXbRWV0nU95TR0GytV2y84pTAVOj5G2qfiZIWdOfPnjm2Ei7Emt+ QtZB1RvGnosiGh78aG840TkXJ3Dx2hynYaGSE+4jrjO78VGXuN0QkfpP2kk03TQ/k2MhbgxVfA6b wYDBxgBisAllhiHFh2imQ2i0Ggzm2BD8f2mxY1uZlS4tifA85KlOwRRF+1FqRPonomPCIetPp3Uf podYZ6fn2MZvoDkcjD+pq6Z+sySkr3S+JBMN9icPW5LYvHIdCvcnQyGoozvsvzzCwthzkvoDrxBT JuNCsTI1ZySOJTY8JM1hPyj0vXexG79+44pduYsdFLk6K6ujepihcSC3PHQdcq+J1RNPI+PAYI5u hQb8qdzWL58FRYxlIfhMq61QXsV8T8ayT2iQzvz0cAR4HO+CJp+BILtXL9Pg12kRQMNGYhPvzsI6 tve/NlYFaSzoy37IOmQhQp7s39MQMv++w4M28t4M0eqwP7zW2Xgvij2uHAMWmGj3Agq3iQt+Q3PB ZvbAvpxbQ7+GLXy4Ow92PCpWj6AgKrz0QN1rKH8bFwKtQr8ExE39DQV3etUn8b1Mq+XU1lOCZsBE kuGclbjjqlkB+yw5xHK1Xk2kkuH3FINAlDmLDY02PZI7cVGBHxGe+uUJxqIuaHz7eWDzO7q153t/ vDfaWp2BT+ddUAboNCTRl+s8wXEGKknUrnCermH06ne4iWFaczgOHGq6OMV1l2ekuhmFjsnzHY4v oXJ1G0RnPTbTuHhSyftB7B0IB4gybRx4ZFOY+21gfCjbhFAXA93PFLIX/ie+AcY/O47sYjYTSlnK eK3Yw0MUGprWwxxlG0CxlMHubzIIbskXfAElsevXZsI/yaNB16J1UaKYjXUyOJVRvAgq4CulOfZR ACm3TERU44iRol3ZWX1m6uWSufWNHjXeLO/LZeU3KsQ0v4GTGkADupBwTNF2suIkBpJAPq7YDigj uQuQiRrhQz/H8ErtfBXXJlS6b1rxQVcIYPjPmq6ZBC4IdffV+mu0lLjAnYHAUnuBWMUHLrjLcbwV 36PnBtkORt+jufB+ppQftOyAvTMKEb82sY8HneUOITs7tx9NjqJa5P1h9lVR/z5YVaiCi0XoVfHY FKq4qz6o/eoCwb8WPBwNtfOVRi4tNqNuGVCtNlbkbLMzDymP/Eho+aUoumrrfhCzfHg+jLbORDL3 ev/xcmD2/31c3cGSBXjpNP6lA3xHPocAFuR0tELAcUFJPwdebiK/VOLRLkNRh2l0jU267ksu39xk jyaDXjMtTqQ+02Bk28jOKWVKYwEdonbSclOOGQxNO6skIafDZMyJFGuJzU2q2vhUtN/T98P+Ljj7 Oh38r9qxs7yqEj4kCh4b11TruqNeKjma0k1QKeGYIQiloqYSfwqbptIyCmxoXkCK55d0EyJuo5X8 Cicu+jlL9wIyzrgmP8H3zncK5VVxM+drDiZWqDfj6+q5O9JzjsShEXt7n+yjT5dH8sSgMUg08lwi 32oubJfwLbIa8jdU4RxEQn9SWqINcDI7C8TnbcOqo1fKRbEEpo80yAFdJ5kq/VP3QlFH0ZUz3e67 SNCo1+8bFLvu8Wr1XPbUZxiyrZnEDaHBq6VeJS8wpjuI4VAgwxPUPxkVZnNSbL57wKZJww8OAsuw r6cPPSQpMpqcRPMQCBB8mes5tH768r1Vap/xouh6dmIL0wFJjVXBYepQB7OlGU4ICUubYpLcPfep 4A9FhaO6xNA0VYf+NuQxzh8UqkkbB1joCFgdiBwL5m9Kvk6N1BpJe3Y+90ULjAxcZYHgmwPS8m9Y kAVyLx9iCHuoYPof8OWn/he4vUt2SdWXAVVtka4+33oPuKjH97RCBvXWF29AGjMteTh9ACN6fbjX JqJ/yWZ0JlXuaW7TNuTKJ1L+5ZtQI8XCWFfSW36dvozNnKu2seq4NCTwdtgzbNhztaigZwudlUxu kdHkqdrtqRNC/EMJptpH1peqLkRv3/rKyocbUAE8oRw+vCpq+PJ2zkq3ugMLwQty+m2ER50MTeYl X1t01viw/P/KsCQFvA3QQuSUKFxAQqRXjCRX+i3wdbm3LjSWWEn44b/jGFpdSM1wKUBRiasGYj7i t4vTuTj1uwdGFKyX7feADu562Ry0/yi82okbQN/lyXwbR5R4PyiEAPTDgdfisZ3vI+wytQWbNxP5 zabOutk8FaT2dTC4q+DEFsPsmX40M/iT3oeojN2IhwDnoi9c95ZALthRuj6uKq4uNoDRJ6TypjM7 fQhJwHCJOfZJpqdvHsZC3x9DRHNwvo0gB9U/X2nmNC3ueWEEexxdV2uK0yZS9J3eeRrS84T5AdV4 lv+c11hKVvNI/ldU5m2CgFBrBrXNjXNQTCw65c3GaYhQK70pJiBcz9fmjxuilsG26h4G42A77PHX XShRX3ThVKxOvesA6bVuAHoJwCGJGKOwwHcTSWnc9AkLPgA6KgXTv39inL9lE3ermdf0TrKKgaMI 9RAwGc4yOMxFYfRsgVyzWTFqnYcAys8/F8FXjmnwdGvWPtGN5ZnxSMx0hrWb8nbHgXTzzkaSAhsl pyc9eQGdAcZj6fON+YH+qFSmjyL2VxM5kJ5xlnNWYd2QFCwSg4+KTh7ZkawdDydKiTz8SlBQIMWk 2xcuzNytijRBIZSXAY6Wn2mnsFDSvfAfyEWEzrpx+idt4GX+c3LRiTmx0U2eu6daKU957geTxQ/Z zgLWhOiC00Qnx6CJ6Hp4KZEcmSqwXXk1PPaCNYCvynMwz+wrg0fJ4cKxH+4NZ5uAxXQBhNEQKnXE F9FkSOJ77GdNkfWltlrkn70w533GcyWc2eIx4yj2p5Gt8y7lvufQVVYwPJ/1Kq+Iq5kwr7/GJ4Zj SeK8Bhuu7oCsRGd6P2GB01ynI3eYqF8+A4nyAKYcyWBnrRplHPQHsxlHewM4XlK5kOEaan4HWq63 GXrbrMKoZBysYjiNmJs5pB06SZpaAxilodylEA7nvIgfGYLyy5l+oe68dJE99TjIxcyBaX6/vmFH DMJ3tFQV3SZ3cR363cZexaRBzM7SnfaFH9Dl7wUy5hALSrfUZ3y+XIjEEcDJVGW5OO3viVOpcgbD U0ftRH2UpCN69IlocWCQGGzHS7FHnrftR8942VfGgKUgb95YaqpU9Yt8GGFe39bH5wMvcYHR//6z DMp0cgEfpJtrwl1lWx5KrBQseoqyM5PP/L8fXfAVsWroDzEFpYgNKcT61hmCu8oHItHxlLLnAHfp dhAefnaxIWz2L3gACi+jt3V1XaWKbhXSmmwCEX870Haa4Qx6LXdIM3RO54l/EZP2NHHyqWubktee ERooD755VKJilpjtvGBwUzmuiV/htbFNl3MyuTllGPYlNoNlE7UasaNccYeBu3axPq84Hb/dBCDa kRjD/pi1euYDovNxKKPB/nNrEELZhmm2Je92W7Q3CtzWauK5ig7BzItXJu6Tq9bNtdQ9chQyZF4M zHOlsISlaWIbl962in7y7fUDvIelPauTHxpa0FvnoSuBzqZLHk/6uF3ryYdbZQ7YUW6rStt19nV5 fX5phrb26+tF/oBlsEqPBgGiACswFpOJUjXwRQ09mSUqUDLImLtN03PEuHPt8e+ftEzkR9ktS4ex glAaoQueMtRY0ga756NV4ju/ttlBEr9cTsexCcEbyj04bpBfKkqlEkuAcjNjm/7+Xa34SK1G0EIP oT3P3wzbw7F79a0M0hv9cBes1VMdfZmeLHoacbw+46Ci8Jza+rzEzsVGFDK7B9LEUGPkAOcRd0Hl L4qrqHtelbg1P688CkLof/cMhqfUw2amcl3KZ9Lc0S0O7tPvNpxBG1oFdO9qmDbjXYwZFycWKLZz 2HA1r86kGqiIRiVP9nIso5keXEQo77tsLLYx0KM0bJAUTmwLiuQUFJkhyA5y0MDNldtn5Ot4qe30 gkZtF13Kh3MIimx7iZRWGRKuRqYJGf9VkTPprMBE/aERI/S+lyLi7E2JBh1wF7o3e5U9bD/ryKFF vKg66jL7Uv84e/dmeGJSQt+ItA0EfUelz0E/lA7YCsduZyRg1ltCMQr2N1daHctkz1Gx7grHP1iw PpR00qtBAw5sf2ApTNQy7SmiN7CyqxjGntjxSslesBkn6Tgao19RFvNAfd3OFUWC26D+0X4VQL2r saW1aG3dkTymrPfQYH+NhsL8MoTZW//P465tjCRO1F1Tm4DqZbiF8W0PXkW7VcnGzHllgNXxClA4 y/OOF24/+abYS3HtMFRrsoaLnTwPHFcW2205S8JwNVhLnbUwh82tHwRUiBWCr0nGGrufO2s8dzda Y0bXeOIe9gnGeGto/D0BXdIXrhLeXFmJEUHlAcP1lXbcDM/Kq+Ggr13VORPnr8byMWOG12WnJ48C qgpNAc79iXCzVJSWGo+cx7EmnEV4tWrKeqVUNgX0KXZusGZHmucptEpsb9hEWMjklIHTMBYF72B9 90nrF1114clphYlYfxluztKts0Di7A4KSSFM14jqV7Lg/FR0lIUCrDjnt2Jew9o4w2ZaMbp4ej4N HBvWhi05fGDFOHqMYkiIiOvI9TNRo0DUrr7nHVzSAr4N1WDD0EEF+6ZCIeGXn1s6yeqYUEnypo6y ROoLvedTT+rI9NuMgz+JI+uZZx6jdfLvJ+qbPM0VktBkUoCng3X2PzqEkQbmWGZHY/N94PlBjer1 XA1fEjmqVmEF7GFPhRbpCsHKVzvlGyq53VbUitcov5takpHPkCUjVl/dhUo+dtY6dM0UjkLd4YU8 50RSYSZwGzxyYr2fZP7Xnq9tVkdWFQMcZ229LmYAMSoGCQahKxxy9sSHCtop0UKAUFBAOAl0RhOc qwSGFqT/EmroySXTH9Dwp6FqJFC5LGnGkBqKysnAihpUfhJz5phJ6WYLhNgWomE/na7klcL4w+9r 8YH47VOm6hH7kNzmJ9OG69ip6KRORcPeM3KScuFfE2p48yisYCS4R3OmheWAMqranc9SFA5frgiD pxUwXW8Uj1GJXELJ0xv/A1WUq+rM9BRxfs59WJ6BFNrA8lNesnU860lO6wZt9K6nYjO/YcFZ0MPD Ut7CJUHE7JRyoJHu6cdHNPs2KdlHlXQauDWMmXkO3pP1PsDzpngNpDLmJAuYv+Kue1fKwLCIyFvu PeFihsoDpJQgVWOlg7BiiUA33HCqGQfv0zb58kfGpvYrdHNCncvGtNLpq+Lf84uSPasu7Oz9FiJG SYcew575LFF30p6T0XleK/TG6EMwyEV7zwczDc2hHlJxfRvTM9XmWJst0YoJBAELMm35wgMAtWqT Uh17X6em2G3UKV7LN8OjqOjpvshACVAJIFMYiHEp3x8COSYgrNHuXTWcwg5welKCMjdbUG69N5Ev bKcPgqGEh0KCwMA+Wij5RnumvIfGKKffevKuc+OVCD/IxWINkaO3+YvKeSDkEhgd4rVLjNFmEgAY 8Nr9ChJYYAzyFjMo4MOpb0HX4jxYDQgUukuIR7x8DpvVuZhOrMEYp/PzOtGoHE1bVVabp6znHAwg MTbAbfW3gatOAZbuJqG0KbRKxyS3SiNz/ok9fw80TAFjwFYagrngfTq202tV/CVzw4xHg8+QzG1P meKnUP9IwtXTXwn3OEk2tmDQOnwTqoMVEmvr/wgWUjEHH267gpoVcviDQfvWFcVqOL2geeFCpkpk 2FGdW0YC09ASPAVm3kS3rWc4r18eBnA5q9Ku/tzQxSVwudyhf/fElbPMF3kX0KIdTWHdfwjzH+1A heFf78JiSNuQt308VldVSu8ryLgyE5x4aMw6vxg9r9kj/tx44E3OwpER25jzkUSoFjo65OLGkeV8 WFP+BG/S3DdymvND0iphtRvp3zSHLapy8+Sjrl2EfMhKw4TKEkW2wUn73Yw659eSMGcNx9zvKNkG 9KBQqI8HPeHNViydedrqXHxv4Ly6j7AJmdxl/w2asalnwRDERNJ304ojmseznTw6D4MloDg4lqvT B28ts/qEZJCnYfNI1Pekl5fuU3werqHWnyfwCU8dKT6b7sEkRnJgoQKHVlkyX9H1UrZvV7SLWP55 jFzeYsHfTZXbKJX7P9fOBbnKXdeVwDC7uJrH+MgcewJoaXAlkUSaqJ4yJFfg47xKcCWHepQE44yc oT+CpgtTAkZckX5pWcbQ1uP0FXh4x+PMPwf9b/ffQRrkr2irO9oTc+YO1jEXdGqp+3PCcO13fIKx BUjfE1IOaRsszinqQzyIVvqCohp7XYYgY1i5bJT86kupampH2XgU8yutKDw6CKmIbOQtWraGbstL 1Rg+t9XhQ/uT2N3Uynb7Vpj+VpXLYms2net1IBdblPwG65RYU/n0XmdcBDesl12tH0NSjvXynWyh E7TvjAohDR04yOej/8/C6lSiYdhd7qvh8cf4YcotIOkGHBLSRry4pYFGLKeSEK4UdgqDMK2ReotR 07LpRWzczJsNdCiGgMnyuTNnDPv8Ly7JaB6nW1HyaNVJrZx2LGGqwgDC/vXMMbOoqSPgO5JVSb0R YiHRGmAkGNr/rQsOp7sHgX/CN/oBpH/gAQimURlekz8DEu4nz+Tu3Q7zb1ZyZuoiVrWx+GKPW+2A ezN7teXnmvHfuLAYsQjH9aqAPojfuFpehdufO74Lqiev/oB5S2JyReKx2IvLF0AiNINRWSycfMxM zjNGLCk4DJA+qVCJC2qZeRblRIQNyMcgvh6qP6SYJykzvFR3q29dATTmWQSbm8YNdeMvcnpr4y4Z f77anQKfc8ek3B1H6hZUlTsVJhP8iFgRtvSCvcA1W9qbBDQoqK99nEhSDBs68UxMuUA/kRsJcrVH CACh/OdZhJDWDnahb61e74kH8iZw04wo6AmILXqvFQHrAVmpDR1Zx3kOKJ5YJI4bydYxavwoxq55 9cjV1oXsjTfPmebkkAAvzCF8q50LPmzGd69vnpWjQ4HrZm05S5QP9vVifcdjxfdlD9WfVXSgpIGf qrpw+OKACQogitSZbvKM2iCxZ3nBhCtb1ZSAWU5dFOfg+OcEhQDidDOl0y5bCnqQF2H2+RR4zvvJ 5WgVVVaD0IIbeV5XW2TjtWOFZekm5rSesA48WPR5145tazsOr5b8MD+h2wcxwGG80OH1DJ+W1o7h N0aRVZU3I3x7sYtOTl0FqEj9aKm1Jx9ZSbF4p39FXY5xTmGpOkIzbJav1cNUl/BQJFmwDxQ+RMKS svPp3Y+sBJ09CCi5NH0qieShMLq2aToznmrx0W4NIw0HsP94b7caeWoC6kWHWe9lsaJ3rKfyNWjX +Uc8H0Asb3x8sxxxyxd506xnxJxN9vV3iSIhQsmI+iJBfHenJFzh8KzQU9Lh9iYcSf/zxeXpPG83 fdK++IOjiIk/Iq4OlNNh+2hvBsmT/13+Q/M9qQbY/d6gnKGSbNjL+++RtH4AZFrc/PYykUzlaCbP yhheYAxeQNn+g5aD3l0teVC3DgSbZKZC9B6wkuGI7umIQbLbb2nAkZZbLjVHNyVf+r93aggUuX6p Fb3P5TIYpouh1nmtJ/JmFe+eEwEIp+oiOU+FboDg+9CiXwM8l1wErqQ3YjuXRXza0JqtaW2tZnri dqrCOlxhdAhLQR+1iLE50NDrpWQz6vKyebOLTqiWMGQNhu7Nt5ZvDwzWU/LIjWEzaW+ZIPA9e2lz nZM1y1588S1n+3CEk/7mKl95BdocUiLmh9kqsy+oJtsH6WlnOoo/uduIXN3iDPmqeHwN8TRxYHh+ GH8CSIJc94dCxpBhIG6EUkxxqDGRYy8kHh+P7SmuiJYMzmYJlXPPtD10e2uADEajQlykXAwi9mCr aDzfgYA5cdQ3zA4ADdcKsJ4AIZa2zAHDlAK6e9fSujxyJAHqDmCV21ManYAw8XV9c0ORrzKguNXI 5gYaKEXIji0cq5SAbUFIg8ORIc1ORoRjRKGRdNMQw5JQ2OtY52u76BgX5/U5rn0M8jF6QX57jzS1 K1c7r42Wn9nBU0FvS0f7w7oNCNYJCHOR+CPohYR4l543MDbh+7dmEtcEBdnJRLTo1hOfZM3QouBH MKRIlrJPIYM2Wd6/Mk6tWa0EFaliAFaq7/1k9XezSTPZ7sO8/koSwuO0+ndtOncEGpCS/S5R3fd4 KYNYDi9eUXQQgvWVdVwBDbt5jGtxU3FV1jzJrzraI4BVO9Rhwq1AAQOYWp9uS47+fEHlPFWud4L/ f7ec6G2h8BfBNzc6yhcUBUoANzQhMe5w5KWutWxS2fzZTom5Y3bl5zYWEh6dDR6f3KTira/QJFti A9dy/SK3YfEuqYtjMTg20+aNwC67sfWmKxqTHsLRNdNbILuM8Xa68WjUXZIMaDTJwlfxaVVQB9Ye Lbu8NzcaTyzrk3fbuPKVieXq7RtshBtgiKcwtBBKEmdQK+/p+uMvHPS1sWzCqOaMWRW6eReKI9h8 Iu8qRWgHUtMFHs23hHWl+UPcdx6i3609JBjObERMMNMRkz0iTzbLJ7ShurGkFZCoXfXkX2+YjKk1 joKqCEAjC8+6Iqpf3UIpeJXmNAv9l9HwsJZQo1halkJw4sLoPNNkv0wjJB2/2pXeYlnwYHj//tP1 W/REQ3ao92GXbKkGb7vMq10WT3D+HRhywWGBmPbvIGv14+cr99/FuuwWJ9YxTLG1AA0q9pLNtnoz WjSdS5b1Sw0RUcVWvBbufniAMpisdjRZZrCF6L5W67QFFJ9S1riuY3ChHztqjkvoq6cNcme0feVW ybddQHJ0uENl51LCERa0Rl4940WnF6vcavcXVnfmxuVdcpzlV8nn8pIVdrgMY6A9Enx8wW75Wl1K iKkZLn3s7HpoJ4rn4vAhnGRMlRnrnWP76oo/RTMahcN46vDzmiyq/GwEgjZW2oLns08yXQCT7UxL AHaN8S2nGcAWT/ObrcqOMNA1CjUOBniD85/VLirQ5vOKEULVZmUuwM1dNuXKT2jmnZO4T0zggiCT yEoG73ZIQpbjhuflKDdqOjGrXC9e1FRRRe+oqJF/07WBPnowlXnaXcst1XCxZWyJqA51CbfX9zwH rKnlWMwY2p7fPOGMLkZFSxTId4PtLjko8lyVgwln0EcI95qJmqwp2pvJ0aFCYxQXch+MjvHx+vBf c6j+2ZOvLs8eoanEZOgsbsXbwncE7tEyRLQVMghQVHhLcCrbykSDe5499YQjq3EBKLpawuZMarxU B9R00t4uIcomqKLppqGvxAEsWBPCPWLyut2pl9O2Ajk7mdFpcfQfEYl4tIbnOvsH2Qa/9WnsdFPY VE3zz+56DW2v3TEwvkuYWqkSUoG7xFsqAgUf1wLHBF3n8RwKEXNV8n4P60QR6dMB4N1fyBkA9KD4 LuGF4vmKT/f83TlmxWKTY6ervSBjO4rBC5wlpoNMpFeTJ5o/HY5tiG0EvPqygilxlm516LZnzIcA 8b4f8x1OfHWCWBE5Ht5ekUP07bpX8uz39wDNVsAq6PfwjdU4zf0X4DPMlTm+oCJuMroOYzZErL+O Cw7ENUBpUst2Ng3eNCBKjowof7d+u6zSAG1lvuJdS+3bD6Hr+eSmCr2F6U5Wlhx4DQWXz4RAiFXR bC8DFC7gDMQTQe4xtzbkYX51MwJo0ZYyq5pK+/sXki/3oI6x3XOizcZw+7kmrMZcQiwq/PjNBKde mD/R48km1taPOcgo2DQ1tMoJpQxRxTuJ5cPVFHI3sMdUUDsS5Z/iQfgC1S+P1qBxKkCaKwwtVBDw 7Q2nnxmdMv/t6xPXHgE2htCAi8Yl5Sc35l26w97qaStGX+3hODlXyeYu1glzzPsCBEQmjPiW8RxH TXZd1Fo4NRD6HmKA7Yha/h/5epUkOLoW8207AROYx1GatJmSiDONtGCSOsngbkidI6q0/mLOa+mc jj6xMMqj9XNNz1vc2ihJq27/xw6zvjZcSUuF6HqwlmJs93XazTdkYd92H3UtWjbkcN0w+OD/ctrS 68Vx76p+tZfQNzxKdxtTykDdAnlsflFCBRN6XIqLZAENiuP+4WXYfIDX2N3LgmDS1yy4gIHif1EA ibXPPyuiaeZBHQhmdXvl2XRoLyorUGKKa5RTsV1Kps0Z029p/ZYPu8NMPF3Tlq1q88GjjL9IMvSr VZmYGkmAosv6op/k3tRr82ls1zA0XbjFO9yLTZyD1SD4p99zVNh/g029wrbPILo88Re0wp8XZhXk gY/wtXD0dPE09k6paOz2KyZ9ifdbuNb+6pdrvQzh/qI6s/l+sIiWhDTM65gqwG4zfqU2zmhm9Nb/ Xizjd0TJ/6lVFf8d3Gzg6qpjpA2tZdElwF0GMcDB4DRKzNEaxcSnkFPgGYL4+d13PeCVU0Lk/k7z Gh7ZxbwmGPVkmLDiwK12pUjIWZyi7XULVJnEAIoscMpuIB+ET3XZ0gtok1Gvr8EBdHkUypPw5LI0 BKXe9Pec2i78UdtEX0ofipbelDuv1UlWHZwWlIQtrwaKAGSzUEzCwQWcKdV8aBeYK3lSseZ1qS0i Bodmy03+YINytpsLwwxuWwSkDZU7J8j5r/dwsPy+vk3oACZCTcM/rm/aqshtsORfwkWNRC7RpPwm dAHXOb4nwlfQU9ltfHHo1Dy7gDygKk+Mqy8OYDb0ku4qUjftypJL7qG3nIJ/NkrqnIo3QLUxYIFA 54fp2TUVVHBoQfe63s300FE3Q4nxayrhKn4tLq+s7Og7fi1P9n59wpDpuvyasViRQ8HWZVKI4Cik 2nQYWFzJcOWJ4h1YeVVXwcoB0B+Bwi4YNs+S3nIBfMWZgHboc7OC0FfvB1sGDTuKkOZWelxD4hms Uw7X1vKgaS9cJwP7xE2mhcHSNrL7kOWWu0HWLEVWSk+emONoN8P7z+I064pUawhQjfl0yzs9TDgv NARRNjx0RqF84q5sfa+/jkdCL5S5dzHXI7QoHjZb/FpHtwIF2tmTdAMMEB037FCiWwEVA/NRp1PT ZfJbHlvSEAolMExJ1bwEYe3185HcRDn5MQiKfDa6Z1fq3dt55U0TBok7Tq/XR6wpJ65MaTVtSB7f GZhxJLf8niKUlUmv4gmKJ32L2r+IiNS5QoWAq0GuRgyC4AcpAnhV3dBsjyGihxBJsLzmpE3fiaDz 7A5pka6DHzs8B+Ly5gqzLHdVEMe3kvCZaLiSApqSBhHRN4A7I9ziMd0HbNP8yd9HIwyx1Gk0wBlr DEqj8/XYPB+FfVr/RDZBr0alTOi7eykt7ErYq2fAiTzqhf1kK1MKiVc1xDGBdS1VjCy4HFVLyePL 561CjHlUdaKNRQPK6EhrDxcC9FVfqNAMNzGsgVsDDODOwephPrRzsgHTYz6wCA9p4WvE0wvxMmfD P0zb0tJvE9LJnX6j2Uuum8Sq1fFENaxl8IPnTs4RA/tIqYnQAfY+FIFblniV2f4/GTTzgYUm9yef JvaXIMprRzStqelYIOpdBZ042viuP5T9gXj3jPuYPxor6Qw58pV2frujdCEVecgaveYh3j/8lMPp TQE56kMjogkyR5RJ1q/u+bhvPJKR65LnaX3vnAizessI+noG9SVOQKVICf7M6gKGmzmYw5AuCVqb m1GQVxXs+AOeMnBH0tW/OBR2pAMbfAxjaF1n1AbRd+IdhboEfCkLsXvHrxdlV2XTTrZoI3s5ioeK koy8uU848vT4EKHF8Y+OHAnhB1r4odlDDVX1zFPHUEg4hX2hr8hKwRAvufoGcZrlV7L1CbwwbEn3 D5nbxeOhSYx874Z1XTBo5sAi23FWYMAJn4Hp4tw8a/tDC2Z88DrdzgHcsfqTQtNxgUZt+VDJ7daz baBzFMGU8+RNGVs8fOlnaJ7oyc7Kb3OlsCA0S9KVeJCDLHSvhlrOYwilYRA2P0ZvqIPav4oSWShp WdxChr/oCjPDmX23eYU05C+qGsdQQjRJ26KhmfQt8KyTfM8v6sm2ickMen5XPrdE6kQxAqDDxFOs BMjIFtOYzJyPZzt6T0vjRBz4SemQha3CltjPjJgj+geqosS40PpeVQqqq7SwObU2pNA8ZD5Yi+tD B3rtau8ihBi1drSP9PHS5jf4IZq1a2D1IUZaug4zF0pC7CDyAExyy6CcoizpDT5j5nTacGo1M3qd OREUeyb/ZqUZBMpRPQmLKUincVFJKn/bwPzoQDLe06OeZik3ua9lekAee8McCWj0vuHXk05eJPsN 8Ys5PT3dz7+hnsASebT1071gNiUwC/UkEaacJ230bg5ZnbdkxrXPfQwgApDHWEmV1NOpIE+Tl3g0 BPzuyIE/uabB/GMC3gC3Rh3IPrcXAI0BJrm5AdN9pA+U9Z3THGKNECrUzzxCAPubOhsIVjbX0VLS DUu5+4CA7hg2Y/6r8R68uMt7+JlXSfHSqYs56qZ4RhonexwEkmJVAbQjzpUed8YeGHSGL7qbGiZP gz/Azy8II6mbYJ4aIO/7g1zZaPeOgzeoA7A26DuEdbjFtVePwriTA/VeIi6D1nxirctei7OZu9yR df/8nClNIdNvLiuybg28VI16Yyapf3iQA2jh+bgstkCq0nqiF29Y5IUrKQSw/aRuFDDrXeV5jjQ3 UDRTIBaIBu+/0k8x5Pd3+X8XizqGa8wyZMiKetlcNVbbI/ka5c27S/bnNqY3dlp8etSymFJ0r2yr eekW/ekDyKfYPtqDGa5hKc5RBGGFs4pYgF4PIoPwUifu84C9NqRBaF7N8QgYLT6KDyeNW0f1bUQf h7i4QMdrYTqRwA7rrz1Zo2TBZloCbCSG3UksFiX7LrEizC2XTRQjNzQWFCSgUWb1fRebGD4dZHxD PYMhZSDyWU7upma81aSyKSmQYPS0mmfLNOsdaEkvPo3MRo4DHH0kS4lPbAGl2aVoSzWjoMv/jwtr CReqLGuRGv0XUsbxjk8UH7R1tzGAipJsEUlnVEq0Q2iE5elM77Xkt8K6VKG6gJwNO7BOASbnvZ6d WZ7+4lNfcmFmKLQkxk2n9MC8X5cUrI8WXhBs4JHIz3aHqdPyf6zRTR/9Hwtl/6JYAPLVK92KFdCQ iRBWOcJBpWpMvZDE/YrqWRZcdIA+GDm654CTpIU8XH+X24rc9tNCN9s4HMhZbhsiZtgze+zCx+M1 kidj84cRPCHae+K58b61w5rvzf/AjEz7zaCRUACnPKVrTEZeKWgv/UYtnWe4xH+GCWx+Qzyush7/ skAINIvsetqwvr0X/uhz4qBbjNunhADPzZBnzufbq2RXuwq6f3BkrS56WeFQWXfeXAN4yVejqmPB cAGbOXRSvEmIJtYhdHyRn3S16lAaUXa2KS/xWNT9dF3Gg2dA17ZYefyWmKbAZU8tDp1Jr+8MaYnz hc+3p3XPuuDpUVVGsP4pKPtYxZ/c0SFBxCXvTxxu9NLpCZOqztMFBpVzkJKbQHkMKt6zKQaEQzZn SEvmDgTFBpzPK+Xo8G0bujr8R/2v4mJom7JkspfgzPdejf+tXVio7+XuI1WVHuIo4knEoo5wl1pf 9KctYuehNlJ+IOFJo8/LTqejN5O35aADUzyh7z4hWiEMBHq6ocXIgWPuEUEaRz88779lCTbm5UyL vN53lfVJR+SEPYdillMGKY4Lc2ueBUlIXWpO8QlZ82zj5a//7Nw9PGtBaQc64+wHd+gJHMxyi9vH d8E8xxauldOQwDZT6/yQbuH4fSSaAIm2fACv58LSuLgj2UewFKb3/1LXLdgxRqAuot6b4G2/bV5W m8T1fQJJnQ7rokdAGvshpIKht2pSUG0BiZRrgFJXJAoh0rCUIp4Y6S0J2kCLaIdy/lmTqyn9TeZ9 AyQqY9uuZj6sCbhsCAhQg1wHmQ7NV5T8GRxKDJH4ub/Mz2Zvbj0+0wkQDwG4+uG/6xbrCF/E48jV 4GaR/XwzjcrQRwIFdW4f3EM0Ucx6l40U7q4m7UBUtjgfm+Ccm4f1ORRjgrljLbOvc6KXky8GiouR DfiHiUqtrht5uh8nqrLHt/Y5j+ApMFz5YgC/rgTq+KwV46xR6hZ9Y8KFN+hxl2UDlootAbcV8718 abOCQxu3sTgZVGo66pgHL+bf0Svi2lpxAYgFtTvi90prl6jGmu08abe7uPChdIA1x7zMhyBjQQ4b IY2Wr9rzPorv55ZrnxIvgA+y09P4B2ly8V59HuIA3Nymee+p+mbwlMc/c5ysNYmutHqNWg1YojVQ W+2y01hc9m4QR5Z8wvz6VbveEKPwPn/hWhKgtqpZxzjDOqT15/BUtBxo68yn5PkwFAk7+v6IAg2j VnkNVTQwyPn02pTJOAHF+doLQV44QP4IRjTSb3wla8KbSTCItfPzPebD7xmq8eV1/he5NEFppkJi +5r0I6TE5i+fzG2mtp0yEacqt3lC0opKq8Ca7M5fF2LI9m3QWmsnSWJxVPgYE4mAF/66LwOv7dvN V+Y2qc9/m3YQZFLZ5stYHG6xTr/29sV0r6S7/knm0I7Hgg980h3m4hGeQpnWa75jIwuTVxfH4Mg4 uINWT0p74dbi6icR1rPzHLPguX6wyD1npHxAj3LzrQCgTIwfyLM6GkY8AyplSN3Am5XKZ2FfAuX/ 1+46qLE8olW3u+Wesi4zhZgRJhpWJfXI6oebxLaEMHrzbSkm4/AB04yQrSvb7/oeeSsgQNmkUBmx BwfewdkwEFTI7ntOPp/whzEPdDIIn06H1ESzGZDKjlBHNirKh3YF39gl5NViXP86lLiUb0qDdoyL slrEn8ElRgkNGq9mdzJ8VXe2sNTGwaydzj+snwCdOHcbF80J/exj0Zp0h3U1Kb60XCa1ksx+B8oZ H6PaIRjeCRA81z/VzvlaZxv8DUKKHI18u/TA+Ina6zn0pDAHjUj2Aw9tcGKOMOY7Gzj9Ri0w0kE+ Y/UPxZ1PdEaqzNZEtwEk0X4AOsPNx36fVgNzytmuqRV7yJHNuOU0qCm+isp56fMSgHT6+DgXmtKc Zz+2Dbb0cprk7e1KPnQhdRnlWPVqq8FnPLbVrsZl727aOZfv+1lqAaOHquvDGN+L2aIg2ZzqKifx 30AUlnrMigWAYR2dbwOmqKxjPiuU/Mtvh9PaWSbpKHHlaymfL9LuwbabXRjiSTcrKgDrVXSnCH19 RJCw4MIaVy2fMUh9bOFyuLPDuyNbJjv85ZUc0nqeVZftbg0nIotRq1N72M2iEfcbRSL+2kdCVbbO RQTa1W3MVP9ocWKV8cG9a7nr1fZI3tt2Sl7QikdvpHhmLwhD3ayIPVOYhnXyasMFA1PMHddu1kxT kKJX3wdlOnOt622KTBvTDNy6VpT/iTKCkjg5/muB/iLdE9XGHGSSCLjE1mkzpYLw90vEvQ/Hk0I9 y+y4Z7DRI64rOd5Q0EJLyFv5nvBJ8JsS1ZApE8c2rZbVcDfPWs5K1UkovqoYhcVcIZcr0VHCp0nz fbAfrQXc3WwoU8msB03s0Wjxdfa5N20aJfLanbBC6iwDfqaeYQ6HFmH52L4yC4T/lfOLYqLeqV/G BMVsXvcp4fVKhB/hdKDjmwr/nU80MSHiJYE+JkNImwyM3Cl9GpEmAcSTku0uFMx4QkWVAjeR5YdC oioOqzwDFyUKlJsWUo8cys96/N+stPqgZPOEf2wtNTyqDEieWcUE1GjTz4Kd8T9/RE340qJAGMqb z+r5ahowY2eY8RQrHpF9z7Z80dsg4Eg/WMTRcfccY/UlIvp09w3iBueKC2w7Y5C81NQL6Y6B6vck 29bh+Z/9EkYZ33BdP4z+XYqtqPZRTEzZi87hT1JQL17Yw41Lr/r0T/MIyc6ibeEkSselxWRb2d9M HymYuTyEirCiuwV266OkBVUPgP0tspesOL6BFsva8B39KolL0Inp/Kw46OX4Hs2prWy2Kj1arYe7 an9h0daxWAPhX0KxBWrMT0uxXrn9aS/PDT6JUMPRepnhmZRrQBrZF03TzL8R6phhDZqiCToxcQda qgTlNkMGbpdPoV9l02i76AlDoY8EFFt+ovpJXXwLO46u6Wq6zBoZvb3Os9xVEqnL20IKigyCgzGv 7Rws4MFrcEuwQjqx3KiDCNS3YsO1IXjIA4GDk2JDPN9s6TTIgwCAggQ//ItzkPHVf3tniYsGxPLn kvhGaiR3yyePMGlUSszDuwi7EXeS0Jvw4YhsnvgOPAdqHKQtvthpn3RCBTzM4tOHgWxWmWdOLE7N 7lGHHXiM0T95l7rU0RhHiccvXXHzX2nMW6UGqmpbLKWjSLTLENt9vYOxUzqJezgVbx1sUWRKKot1 93XP86blUoxL3Nkps1SEYbZqZwrsWDsOwbv0R3I1Zbb4oQVJn8NItDJHUGuehGtHr0760W2hjL2u VK8gY+YOv6SdJ1fKcOYO6Atl7K092CvSJ5jZeEI7NxlSNDp4q26Gdxeg27vZRhQ2121HwmJY5tzi iAnPEkroCZbmMGXnGn3UemYYPPdQm1g6Sji2qmUYRxA6aepBm+s2azOGWXoJBbp4+QjmlUu3QLmq HZ0QXkmp/PQQvetaS8a193inKMiHE8OdzRCUGQfL5h8XbSN7z/ruSZqxRz8GcBhGUTNksoTPunr3 O5EK3HkVFVgc5e/h71ZWwtnEwKn8Z8u+z/sFl9xIDwivN6iRxospbpZWoTAODFUymP019s4HcLvT wl+UTUb58o0R+zcM7q7PxAIYxVEvQNnUAooem+VrWrylnRyjetaNSVg1ETxcujA262qXoXpMHNfS 76D0LvP/DYr8EDGFCKioaKygpj+TtWtn6xbSvKsxBjRnt7U8DL2RP+cWKjPbAG/eGyKZuSrCnRWP J5osjDYDEhdItKQ9n6n0wBtx47VSfl9DdopBv2/1dikpa4hYT1cvoRjSPCf+7W5pSmxe+i6m8hAR e5ykC6jSCrbdPocs9a+u0nCGNxYxc+B6Qf4wSLlCOmaanfBxPQmPgmDiDFPpPpI97Ul8tV4phkz2 Ejyh4cc/Q42OYEPTmngdIYeEYKPtFFplyGXB69epmoFyasf/UE15IjsF9wdDFuKZs0Nbmv/mco1u LF1eqkC5Va1XZrykhkKqaOL6tnLV5lJcvqsB68ngUVDkSmZ4xs0KHZNeitnoLGpICs2NzNDpay8y VuMg8QKgEtd0Q0T0l7Hu141Rhr7O+AwYdjYPhZsR/m9cM4Sdhd5B7nNbcW/nlA4srxd/EvUgfiQ0 CybZrqlj5+T5nInkzNzTcxzheupw6ItWlkQD7Uf50wG9wJA74HWGUGhxYv5R6262C21wY3Lf8sqU L4xQ7oUJbeMGLp/XTCZIodhpVftS8J0eGv6O/icSKZmPrHRAQfF562mXuHS+WQnw5knfDA56NCKn OMl+Dxsz6QHXypNTZrtwt0gq3UizBWVGtFf6MN11KrS8G9K9gGYmuAPGe8yshR0kEPCkkMXBPwIC 1SLLZei/yvmOZHwFCSmaqwwzRaCuKfNYPJcmmWrX6+miRGvQBdpuc4jyD428Jz1OuI6BDHd2PXLF eV7N+yHAvo+t4fHQPuOa/3K9q82hfvSc7X7n/Utnrh2BRJo19v+YrPoZCPPCSNcBxRWBtaVTbs8+ oAwZ6XxA6SwIM1T8amdXeeofwFzmTkjAJyegwFMTMyY5r9tam4/bEvLZMlLKEPn4YRI+YFBbBQ1o uJOhL/ItqBqerVc+kHFPZQ1vIrWj1duyJE4lzbbZCPkatXG89SVWI7ZX45aYrz4puyNZ9tV3LiO1 OqVvOHdciggksf2qxk/YJ5FCWZQgOhZenpOm5MYRNcRiiRd/Nx7NozcPw4t/89BaB9UK+2MNcYa6 FtaHOfyRqHjqU7FXoldSlIak6PLTxrKNPlmNLj4ePgZkVV7mv1qhrnyaVZiUR4tPPIWIXq8REPJp G4NhHvqkzqXbBHvaVfgawyvU4ftLS0Y+/eUOamVbUzalNysqnszQvopboUzJileAYVQMLcvO3zIV pmt5mreD7upkiEKP08ikVzLFdWKO2kyQ2P+kzR03jUnnpSocHH3JYQoJCa5VFBos7pr6GfZYdXAw cmrSowiW47i95R0KbVONTpXo3xoO8bMD7xJn9hx89jmsblWxveEsUwxtd360of2wKwFvMEoldR5T GVFk6p2PqWGb9Ni06lWjFd1iAsWO7jiE9t9zWEQeknmEA3BbCIh+oUsbiNINyEF7QINppHVw6VOt 9Cuqc8Iq/BcdhUqvaVeWhGX4FdFWuVuipo4jeyHgfW7/Q+v56IGCWAID7A2Fc358lqMVQe83Jpsb igIYe1k+dYDmp912UkBx3ACYX7WQ8on1aRomrFCrbhbjDEyuD3khv1tBVTx9mpjg62Xm1vP8HPio //G9ipX1oItfysjlS5kG8QTf3/rcV/OvlnEqCJ166x9IHR+eoBNmPxGorj/qdYnncRfww3veDIdt GTuQepsVEvN9Ac5T30hqKtMJr4TM30B9Y+r+gjEgjjMiR80duIlBcZHP/ZRMGwUhghEEq79Z1wda kPIxhut4LSXWcdqxUogHLgQJ9u+iB/1r1ZEzT7BE+v/wJXBzdW+BgZR9RtJoTvNLIFv3hUtU92yj q+hHFt8rRVogQxBDlB9oYczNdTHJQO6+/M2gtyl/xDBbSdVOjxNX8KR3jd1Kn1v2g2Nj0pwcwM9D CHje32u8rDQx2JnS76z6qf4uJJfRBpiu/LG90WnbNPPqkhWqiFr57iMeOe6l3R6aN6D+9Tk9kOFF 7YP3YVzDMXDV9llhcvqRpnL4CjLNNTJ/AKH9QjP/xpD8Mc/IdMsux42mZu1Tc4tseyP0n+0NEByY DxyEoNbP4cX/pzkkYC2QIRm2JeuG9S8ivxGS9ukcgL/ujqH03qdaKNbkKJM5ABJAUwHxMJHuUXMV 0ZaxXQkPouLKPjfrh9DVxCSLIMelJMEAgw9O4T8mgaHKAfsD+NCSdpkaTJwmXF0y33fHCyZNhM/G OO8ID7zWcbya6H8TBAJxksMjiUSYqV9Wl24pyZZdCPSGeDVm6rRUQdQ6qJKIXbHLvePX7vfaXHID x/ZSXOp/4mFGAxe5ZXxLusdOXe6ydoZ1MWyN6qlg0Hqpj5enREaFRsHSyJJoBFwkQ3SUw5XYmJNF 9j3tVXhNbWnFR+Z4csuhuboeBU1PXYyMOMBxK3o4LTMzZNDPfSE6U+F/lc/iqbRjmzZZX43pgvxP f3sPDTeu+Lhju02V8BT69G7Ad7tH2Afwh8pMO84AOB/Wzj5Nin2j4LsfNCF5+nE3oqo5/ODCI8lf PrDIS3SuNOnkHuUhwvjOwKZdG4BhC3n40mG0DIFFxGp/ImVCwLGxUBqgjTlcIsSB9Tu2sSH1cZRL +3/vixF4dsx+YHFAcQvnQGGR1bN+1MGzToYfqPJiyurQmCg6h0yuAuu8wcfyLZrn5hb2IrFlF+d2 CueoBFE+w3Rgo3wdOrWtw69dJCUfLimdyKsOs+shAMtaR3NnlB5GNhxTCtMPPZ7jnLf/nKlDJqfs 9L1Mqtd7wOeS2sgJOWbamhUHTT17fViXtppgXbwlTgRROV8fboq8FXpoRAnP3+4gHablmZMvQYZB mDYNHus5ajf/cxAA3P3iElSx8iabq4nJb0bFRpWmTpTg9Ot1KvtLqmDjH8C9pobBdCvnYOgV/T1X qQ6WQ4nkXKtBm8L5UdVE5xqrnIab5+t8q4OjF0m7twGSRCi9Q4og1vrNp9hWW/AZel25EQ25hmhE w8t4SfbAQUmAhhL1q1E9Gb0hMO82NJd7PrXRst7ZSfy/b9HhA8vlWc59cCnE+m3k5xthJvGDYaQH mTh3b0jicWlCPRcIZIQXufe6cYbtADa7i3y63RL/l8ot77L/Hao/Mui+oqu8rbSoiovAdXsNsuAy B0eOsM9iAv+qKwC+GH2hcjHgoFKDI5AGtns/sfDlhPB29yHWJVxY+lH+MatTh9NlVvicATsvO1AB ZkZaHJsBwLnuvOX5FEJqXZkcs739uY03731MeJVQMYVNWcafdc4XTG7jIjb/lRxrbWuQr3EqRqbK w6rm2iAKfJ88a7/qvQfdYwmdC1T+WKWC3tBVvW3lgBVX0F6s2HY7Y5nROw6KSIgU7kZbfR+z6SYo 57SKzqdI2lxukk4owAijuU3TELUujvNKabYfBsqRrx5FOjssF5S7K/jrgogzLic7Gxtj+rM+9PWs wWcOE/qA6Mb2hSYW2gy/57Mc41/yxXIol5MMml1mSArPVA+EKFkFYrOEMYULukMOV1oFRo+O/JjY les2x9g3HLrSJJoYZ5ee2RKaqtGgQPHjE/6x65fXvj082U4tMt0WYjdNTFO/9+8KqPghQCdvfFP/ ml22tMWEjeC7D0R7q9du6JEt1cGWdkQy5euIvyA0t3iRIwSF6vt67u+ttL68w0mBWAqLa7OGqOBW XTeGr36ePsk85p6tggkI+HaAGi47Q9P96p5CqDOoP0ayBnU994XrtLjnjP1XphQAE050427rmhXG yDvRGDx8HOn8/h+KrrkStgeYT5G5kPhz2I1SbaKPIK5hprbGpUjSE51nGdSsNemVPsTVoagxkrLO zcvsK2Ut48ju2wQixnVxjkZKxYJZ/ziIn/ioCiI/YrPlDoz3DKAWdH7+DQP0nbcpha/MP4/mhoYS r7zxC6yfXVtxYoDJzvCoChNY4OdgQq0fuPj93t3P+vjyogT3USp5pWeiWYNEyqgY0OwTJWe0bnHm QDbiZSWPcOhL02xDo62v7C1p1lRoFCqEQFVRem7V/0pNaM+J5p3WoP6uloIlTlGALVLH+6Cevs5b 4Q7MNGn1qp4y48qp9+/Rc5xZv0+dxYmzQbMZ5aV59R+Vu/GXDU4VxuXNIYs29U3I9FCPBWoCpCSc Ws+jfTpGR/IlrkVwtH9Kcz5/CEVztYiU65qAsOknLOZcwphmaOfAQq1wT1vAAzdaLapYK3sBsqDk F6GGrWN+zGqckhne8M/YVAz4zGpH/FUpzqck4sh1WNMNs6eAsX31Undsr5pjfta5ORYUustNxtoQ EVok97pyvl13cl0x03smMxqY7k2Pz/gLVqhM17SbnM61ocFS1m32VP3b37YukXm3QiqM3XmNVd9i f9y3XE7f4sONvdkvjysSv3R6UXr/vf6TLnOSGMIw9RknmEnFvWX2S9j/A882qOlGtXa6xTUB8yhQ w+oFKO7fxoWznw/mYSKMO1IPJsAYza78s4kABfyrZ4HAcRg8GYD0T39Yf34kBZcd2UtzYWNP4U7f maco8KCiXoUzgUZGLIa1FV3KzsHaOy6/pEssFxn8CCZGf34WneQ5gqCTD07FUVgAtjudJdUAEwCB VoxpIQNc0buwxF9IVdxeGU3aVPBWc2X+4dQT1lY3kbpjLH2FLqHn7oC12K2aYJCaQJdP8ZbrE5yx UgydxgfGLf/HCZi0msYsBvnRBRw9jx2NCLw4iSs/d6bAP25zGwUOtw6nVKru8q2vI/6QMHxaMy1l j0xItRAAHzu+kcmzhTOg63mw/EnMDURrUPpaiO7gFeG9IsRcZWaRUfQTJtgw54Mj78zEfJSfz/9s cEnEhVD0GsiAlKD6ObFxHg6kfwjCzy1cY0ipmBdLT8j1092ePsQ62WYce4a+lQ01oMhwBrZ3o4Vq qDPLWpQ41w+8og9Ve0oYgo2M5iii/EcUVF303IbNreOK2dUEgqQECMuIQrAHhipwfoPx4aY/n1ML UDFo+DEetD9Rh+EOEIoMSk8HLKmVEoY4YWEMV+Zw00TfJSQe3WGtjf5OObNvGscdPWEiUGkiB0J3 doKp0fMKINycHd/KTQF0hOAcM5VbUrdv/gaEeBHuBBh5rO/saliIYthGoFAlr1EYGNq1tZYoay8D Ry8jghQh6ZM9/v6IZwvWkFwU/9V0ejbuoObjHjRkeyq0Wj0/qM5AjgPQbeJZ3aLcW6GPWLJrrBTz OstbLoh4VlxZsjC0BCosqH65PPEmzTGf2Uad7EuDgCrhcehB9v5NR6SSRzuLM/W1TdpAntxluT+l 3suqizNJ1TRNUBI3wTrIUnb0fTM830c9wOj6xVWNdaZYPPtjv+oSUj9YZs1o5Sf9H3otR8nlWFRR eKz5T4m+BkCuf2Uam/sq6iMgh8bCw42u5dmUICaj7UyRKfP/r7W5NdwrPA4ew1RqOV0rLhNyEpr3 4FNF+1is2vOqP+MAHPVPBEm7EFTHNy08T6Tp6xCifEqhbw27g3tfmZ3JDK03zHzDqAY/oOtQF4G2 pXSxeanAhkEB6aUEXjPiPux/53RBfC8Xzxb03kCD3flITragfo/r/l40f6K5l85Q9D5kGUG5MRnJ 5hnR9uQo4skwnifUD3B8+9aRHfROIQ336ypcQiyn7bH6CtlO8bTzo194M/TZB1vJ/kgHpnjzcYm/ hcoPGtzlDvezWNLucnZcR2ZzDIP6cyMrdIZxm5o3mn4Q/5M8du8jyu0wBIJFsHD1tRmCGQ8hiOj8 ovoymdSLlC3xe8ZKcxMxHl0rokcDYUC0+FhhDZm8epUuGQT3Y7QOLIqt6z4njdJYqELkiACw15Mh J93/I4ML/1blGqDRQduKiMwE6OwVx2Up0WLYq9vHz5l6fi110sofpRPDVE9xEvBcHcHdzV9+RHjz OtZw930yOArEsBFSMToLRbkmdlAfGwbkkbgM6Iu4ubLIW98sEVDMblJNzjhbAjGy0gxpSUHxNL4K fmGfc/phpkOuRVPRfpw1jftb9/KRga6KQrPyinsKPLv5zm3u9YYyTq3xnusxQeUDy8lOcBvi9SaJ xRfoc0V7c/uVQgiqbHpMa8iwbuNg0XrXivcKfELr4ONap/D8h0LOMLuVpi18m38gZkttCxmb269m N+hXYQL+b7r/qm6vrBNZW3PTo0F/+XVuu6oUarAhnRgd4HBhIkQMTmKgwN+CflIPJSxMb32kbAMy K3yQNH8TuGEkdU7m8v3R01jtYFxxSCJhpcNsLB7s0W2gvaulxVF8xyMdVVu37ni+In4ngcKfKq+H Mv8RY5uwrQ980e1Hi94lhf1NUZbo3mPoXBqLyNUUCADs6cTvGB0H2yjSYIXcDZTgh6Ng+RPKQ6Dv zq/S39TIoOIzFhDUf3x0qI8MlCZMY0qQZPQHHcwoAbp6kXKX6ZSYpwXr02u3RYkUeNWnoC9Sszl8 gsrusESlyO8YgghFStHdbsEHlObQ+cxtaDXHInillXg1Aao0uwfnpeZrHC6Tc9jN/9Lqj9EwJGs2 aPMr+9mBKPM1f68tfjgQMiYS0i8RrlXRavq0oHEm9NqWfc6Sk7uVKoN8PRBT3jtzlMmQ1hOuw3QS Jb1bpCDzg6VvTht1+2GUx/KyR5onlKA9ohmUM/JobbS8golQMEew0Lzkc44GhsYVB77VgSi1V5Fo +AkZUAQJC/PECLsr4eDTun8GUKuPiDsbrMZH57yM5cfmlaNnJe0OzofsOcWCfD+L7lJNdlKRdBf6 Bzs0+A618teK2B8tf5/A5kwDC8et86JeNHca4iZnGJEYPyXVdcoo3ftabm8jGF3aH40bgknWB+bX b+4NDGnWKhMXhfJAMH41X+NtgFVu2I4TEJOyrZu5FMERGY9ToTpNeQLtzC2dC5uu8sj4E8dIztOq 14//2UlSx/s5HY17S2+QZjDb0n4x04rOr6yGZix2V8or9qlBENwnQ/vCI54sfrQxu4JwAlKsdX7Q h8pRziPb+CBfCcTxjQsMqQPpDfZncZneIwlypirD3BAmwXKTaYVfXLDW/Eq7FNMM/Mm9Z2RC7TbN mtpDB/IkTlQzN/VzPPVu8V8RQFlrCZr3hmOcLJRF+RBCevgNTZGyiWB4UHv0PUZ2tT3s3kUjQxt+ BL0Amyl2wbYdTgmtzEo3URGaIqtg+olkh9uJ4hE+rtHVqv9GbcznhU9KZtxuzG0Rb/VPp4h8dLr1 x2l0BurZ4YTwdeG0zpp+Muk+FkOOVV3OKIOPgpzokhDb5C4ExLEVekGU+XXADWmMSK/5+rD2I9DO Xx9UOJHkmZIEAHURhtuhFmjlCnF07UtSqPSbvGENPO79SiM/ptPPB1uafIDyzivB6vCwrv3AqoB0 uHpd70xfrM24wOnxjkvRWwDO1KftbJx9ehIwOmTQGzhggTtNCP3ao40Dg28kFJoBaPOTJ2PLLpvd 8KuwLUZRliuGRLXynvuq7YlpCNoKrSgoWVBzFqnTr2hnlV1qS00HLwyxL25xFN25NQKLSgDCPFy8 F4j5TyZkKX0NjDOFd1WVxskjG2IzzUap0Sncq7Irdzg/A8BPJ6AJi21uXAGSrE+oVC00zoZRY1Wl k7vzO91JPGOfNPim9qI9vL7kwzZKm7VRZYiqEcIDe1ihdOoP8jVz9ekYBaTdvh2ynEgvIUuyUcdn 0hUnoXovd7DmKLwHxdE6uxIJS2thFDiSTZrL7+8Bl7xVqIP5ybqYqz1A40Fl/J8woy228gog9Vmb NLx5xD4dVrdx1p5I5paCCZcIw/sfnoWhM0MwiibGswI96sEI3M6SwTLZ8RZUbDL9UEv5Rd5Rfmlh hRvtbAbn9ZMG+6StjQXtfyH0ZsfNsjW9TSV6D+AbdGqUZj3cdZr24PaQ+OWFEnyQDRCTTDo4s9lE byUnpLHAyeuMoPIXbobxf3zZBhg5ZLL3OVHq/4RODAH9xgSNTI5wWgSY/YMZohBVJCr/7gVdu17X ihYYCdbfOTeb8mqpSDuGwfR4g9JSswb4Ag0q9FLaF4kIwx7F2MwFCBXS2dk4lvUfdoUdth6Jw1EN k7G6szx5o5l7O3sg1P8FOYF/JtwmTh8rWlydjYtAdzqRwB2aWihgOdu9iU0vJp9pFNBxR7PgZZVP hRr72yS38Ukn6ghZRySUCt1pCSWcmpnIN5rIWgFRA+YV8M5lxDSY3dp2m7BNG8pTRL57v3WtZReq hJfp9NOJsEhE8uEFU0tR4A0DN1cpLRsNkhtToC3IbHVGWY4LW3465rTBCwxXUWYB1xMCS57k+1CE 1UKEiTizdnfJIA6ZqmZTA45YaPe2hyCtddPvDRQlmyN9aJDloTQkCtlsbmDizxbdv97MJMJKSbEj eHUsBK5ZOOJiq6XrUV9Csnk+E5zqbYDxvRvPj06mdwqHwifaxeyZdb/7elegWLuDdBjp4UsZ2EEJ uyQ3ilHE8ygUO28Mb5Xm5uq3tkpGWJvYKHXC5nxZYPg0ro3+0rX79c67DOXWkvFzXw14GLbVR+9z cCngcrESYO1AKVFXP0ggUz4PirNDWZ1Oi4uqRXy2nCGOtkZrW/uELSDmxVa2aFSe3MBEC6S/xCxB b4gZo9HtEYRSzoLvORwTrDNeFoBmTvBM+D+EsKAk5/3sUAyc9h2sEShMu80ByrVap+pos+dC/PKr ZTEp/ini0NGFA+SqDtMqVrnrZ5sgqmR1LxZsAWwseJUg/MwfkEOoF5Scq5RBtLYfDlJ0HE+dQ7f5 Xv02Nwl9cQ3Xh9fXM5G0yQYwBCEsLFTtF/hqsH9V4mnumoPlqmKuXiAH8HrB2K5PTrR7G51YkajB dgzUzQc6YQYgPr/4tAqczt2f0KXhJBvw6Zv363C3d2GZAvPAsgYlJDEi9lJMVkSLcjxfVNheB564 WWheC/WPS9bRaktakpI7odUuz80MM7DL4YFPMoBVohABdmYSPATOZrNiq4dnZpKeGoA1cPShWQ8c UG0WM3cZYH0TmCoZUISg+5jXKPaNvATdDR7tqdCAxCapWVZlRi92uh2QgR4FQ8BkTL4zP0+U/g5g ELRWNk3+2neEaz2bGJs9sBLuu3fPRF3i1PU+QR5XYy1nUCla9y8fsf+BGM/oZZEXoHB2YYRV0daz 8vgFYG7ukJmwnaG9q/eOBITgFCPVPe1/rdNqmfvQ3ivz0K9oMY/HryQnf5N0YQY6jPnuiPb/VA48 lFHuyqPPiYdMYYTarVB1w5Hki1kIeZWH0Ap9aExTPtPnITg3X8Ptz5kRRH0/uby4Z/H0e8darEej FML4kEvinl4YzwvtkmOWwDu8v8npRONNEga/nb4aeLZ2H7PQ/EnRv5tijR+MmptoYoG3zucSd/69 ALU1JIlBlteMPh5Oj5GT3ckG4TEhZMF1lpbPCyHw1cHYd1GAIFnJBQoDKLWS5TJQ1otmJO6Og03Q ZIEBQHz6aYuNanWK24EnXyG2dxfUYUz8PFpWZQ+l9tq9uquYVLj5x0JgPc7ukWKdSg4IeIN3w7vC V4qPvDygGhJORuuol5wsmtgdjkxEZPEzhW+DVvWMwUmrQQx1w65zeyse2emnS991B0Iee4JPTdut VjiQNdQ8LGNvmkiZ1GlTXcTswpmEPNBqx4dhxzK8ONSV+vcbDI3vGay34Uo+spRbVkm3JyZd1ox1 iRdi+akJtw6pi+ZO/WQ7hXYN8wAd+uTJ8dFhL4P+zlFtt/slM4N1/4akdIXhK5iJjBA4tEOZ4T3B poZbFcMeSLKtwR5siTF1VOA6Rd5flwbUaDcJgy0e0Y/pD9efAkBwlIe83heMkyicV4nD9jeserv7 DpIineowyucyNjpghXJBEB6mexCGKihz4xwFnQKRhnRDoh1BBvQ5eYEhEO9gwFcAMqMOM2cPAPBV b3Yb3oTlpX8gznOOZSY0bRTSJiGiJwCEvm5frnQ712YdOJTejCWCZwYJa7AevjdREJnK85T4xbdm 8xkwBQqvUuSEGr3mExv9cC/NaUjNp7CxbWidemkoptJqhYxxSAEA/Kb8cB2F34t3EMMCuCd9mLVc zXmS30LXOmghkDiJTHK3c+ekscwQF43EtqkVHSAPQgtIGe0JEWM9J0q/Zi8xHMwp0l192/QsfxVX 22QIH5G6+yLY1BsmHiqrUUVeWIv22V8r9fQ0jUFb36TNF0joKzE6eodtIQlvxpsH9egN7E8FScCv mZk0kegBeHbInuSUhA9OrSRkQWqjrjU1q/MsNMmouPF0tftTDXGzKQqt5SElegZbbDRvFGuHXU+C AxYxu3BYH5mRppn/6ZWaOcaPXsoTsjvE4GDYkx6OoMo5F4b06sW3/+DD0Xuv4XOGSnTHFzX3TZcc AT1cMwspropb5l70iNI/zHKQ0MnfOsMPNWCntAJNxQVUR1V6BxWYNeEpEweoEGo7GTsiH2aoj98t 85xjU8lDUjjULVztNRa4l1G1p5Uu1aIK1k9Hgz12p3d8FDFxswgwmFoShPFUbfUwb7fYnBdyG4uD aFQI4O8JxeqWl7b+NJeh6Go1XC2Vj6XaSrHIf2ut+PMQKzdTIx4TumWnQtWc1+9R7mmKjDdTDRmw ff81ACWboi8maIEbHfLhJDp+1Ihyb63WAteJrq8w6XbmTq/KpPK6CuRIbCxOFeE+ftTBAZgjEWAh RPhgbTOYxXopgTgRHN3YNULPzWSrzFm8gReQ9IszX5K0nckSdtrJPm8rJyrCpltQ9Gev2sNzgFaR SZn57Ty1F6jtOTeZMY1wj+qIbuvaBDzyX+9iCO7QfdeUuzyyk6eWR82tv+9QiCO/mdof3t3uwjve W7zyeqDQcoLAoNesFNs3Dxx654pXQ4SQKI4jdNG+EU9aN9yB0ejOrrqvzNrrGCX3spz8o/U5uq1p GdbuW5NL+f1yZrTXZG2S/KeObeGGGGiXj08q1HHDIerRQxgdLFADqReULBlfXo6YEwx+eHvoFY2H NF9I+ZJymaRZ7DpXSxB8NsYtlXKr22Hre4aNZjsZm95RivYfvV/kevRzwEU00lSPwEHIuieKAeCk 9h0zCyPaQkN5FvXPQ92RSXdPi8psjRpMm+1cn0Ak6GDG2hsGw3M8vQkoS5xkVrgdToOTkJlmbrlo 5MmJcF17rzSSxhK3D2mBVH2sk3ACnybcTsBupPbtYap4/5LIBprPeBSUCzej1+VIPfyaruYATW5i P508bcjivycntxLpVK3MpwvDPea81X24HJSH9HB2Oc+T/hLaRfzo2UcDZ9AeH3wRs80f8JLPv3LN QCzJJE5QUNpS98tN4oRZycIHfNnUjG547eSuXaOJ6kpk6YoVcld9TLCmrXR+3HGgzXj4oWX6jDmu wWotaoYelcdufZLwOfulIoh7/pDl2X+Rmf7MWeTN6AzrTyp8joqG7444hepa2dfFz9pDTvSn153Q JNz1LnrjWo7m0B5CgcgUWASMBu7x/SG79WpPs6ERGZV3nnHBba1onrW33LT+vTQDcsUS3uiw9Qjp k52V0H3XCtLF2BlFXEnbdgseIbUQd8jMP+fgkOFr2GEQZJ63qFUIYmuz0HfZvoNJ712puHth5qAi SBSpPOmG75dXv1dQOpuNv4zIWwmNF4UC3oe7GnyFrLEwrRZRE/iZyUGgkqbBjymIxW1TKoLvhba2 UTkjMfhzuJ3HVTalYYa0NpmvwsQ3V1TiuKvESQ94t/biUa9JWlKJezXxeFKDs9fSzDqkKGbpQPEl SdHWVL4aHzK4ylQZek/EVU/lVJCsyxwuia7pNw5VMJIFaUOlNdYd8G2OiAp/NbVZkynfqIEgA1W0 g0SO2pzNH9TMQSpn0a1DlV72DCLvoM5DHmfhShqizSbL+T0MgCM/9wj6nTfdDWFwoGYx36dYYBSU dyQTSurHmEBxBlEwIAmLN3qTIApozHKbG4Wi3Cdvn76GcIBxe1gdJ3GMVs2HyR44IAlsv+vXVRRa 47BMgATjaTvH/9P7p0EU7tNIIXWuNkiqWtLAu/FUgqJh2ENNWeakviOnT/Vbh5tpLN32h8DlNaCw jQU4wjJvFoQkgkUVjrST14Lco76ir8lONeROoSGuJO44mjQ2n4HpUUS5yge064qU23FDS7855jZY g19eMfhcni3O1ExBeKpdTEeQfaHx7leG5VcUcCCOqs4+e4szmsNxVBFsVcqvq0jiv/A+ZffLLCIS qR6NWBxi1F1uEHWpqCrI5ffuuKA/qMz6GoBzXNDisl/DnT0afMgsP+U46EHgZV13EGKM6GIIKBwE hZyW8f23ZmbXYfLgnqJt8gBlB9BCQqgJ+/AJkY3H0BTusjheeF81D1NjVACicNeMtb6GGkf8Bgwc Yk0LLzOm754FNrprLaee6OPRBMf5nB5fiyodahm2cb/rHOhkL81yS3Fyzqktxfw9zkqz+D9ze1js xX6VVbtTlFHrzgBhPU/X2MYkacWSEJZodVi+oYVne47/4Jav5O5Hw/QqbZaY+e4qUhOmxS1B2ImA yqkcAjX6muEcfYVmrbE+Ry8WqpaLqixmONW6OuKqaMD62e6xbDRapR3llNzUgI59hk0NuKDYK/2L prZfCzR2OVRXYN5mZhrrRBiIqqnZCgmyPk8nqlvNFMuVbQvPoTqV7XuyCE/ZOV+Npk6BYnCI//Hz XP+AihKAeYvuVv6MhC+XH3QbWdJLziQNkqsnBJWu1+I8MGg4tv/AUqGgN7wGIovXh6vhLOtRqdmX rbq2TUTQUALy6YL10uqa0IwMp1UiiztnRrDLixOomPpbFVpwJhvl4lCEI5IFYssBBYmNBc5TMZ1k Q7UJpQv4w/npec0QTSl8GFnDa4ReUzbY53lCalk8YSTJQap7K936J9JDkdZWKdgCDSNi9ioQXgWs JnvinaAT8vdiIFeNtl5wP9I5L6yGZY98MAQVmf+6SOWSCnXrr3hOUCOuYaHhEFjLNaTpJsjizt/H WNnbvtgssz/R+rVetAODsCx/6+IkXxUS2hyKuQJPNMpa1iTKOnp2ITHA8FvITg9lzN1lmYX33h/D tYxzx/xL+wreruC9nSO0g8mQ7+Me78G8HX5M9h2KU7PfrVVRwBqpexpPmNpJJ0vE2/pKR1lOPMu/ LLMBph5X0sOhXR5n3wUj3r/wEf4eekCE2Eyef41vy/Dcs5eYzuBdvlYhCq0SuugPbYn/1ZQkjqji EFEfUsJToelhTlqGEj/PC+ZeOpFfHDgqjIvGjRwr0ajq8LEX2xcZaeRQNo2WznSGlPB+oU16V6A5 wMaOrbEjOTBGQy3qpCRcX88iim4TOH+sdGKNauw4mHejtMOgUElmAsAuYCJ9q09sXACb62+YoCLU gMlVWGIxbGlgeswS7IcfKQswQAYh/+vuceS+qPFBNxH34ZhLUnJ1qmrX/cN/FYzyuudX8Vo1eTkR 3M3+7CCcbrC2UQJ8VpC7DENntnwuGqykNZGV41u64WXzn6mw3MFyrahA9pVIOvouZ47fIDcqmEAm rrD2JAtkXHzhmwGuueUowaTZA5HeD9xWypjorPA23RXPFV9N49gYj5iT/1f//syVbrwWLdl/t+kH ArpNwXv27n+tguITRzVjJY7G+CRW8ABBrgORxXPVZQU3pr8CoYcBQgZK/1quePZHKeirjaIQE/V4 aCsZPCPIpdvCRTdQScenItM7csRbtJD/rSLtQFed8QucMCnMnIQjzjzy/iAfeEYLyMl5CUelDWdB JOv0VaAgUGdkUKCErHjyQP7jOrIfSc7UKnzACmN7wzKuNkqKplI0T1gzkNiN7Qx2Pr3OOg0q2X6B LlB2b69Zy9DRe/aMIUTEOSCgjH6su//Rv7bcAuSWwPX8b/1QABO8DQiVassLbOGFZJbh4rkTTwYi xz0i6KfQjgKVU2IuCNAqQbzb9XK9pblKHmbrrrfKLHdaTeorL/LBoJWFugVTg+WM3tWAlLmHOQ9q MOJQWbOfyhZCJBC36ZiZ8508UWv/YZj+cr/PhDKXiJQ04A2jm2q8smpkO17e9imPruocoKqY02lQ 0lZsmLk79kfepMScnClfbznr2CgBdSJbHI2qPg5UXvchNNdmE3Vnaw7vPOREIG0IUiN+fDKMC7rL lMN8XtxgLWUZaajH/UMImnvHDOPFeCzWidTwWqr7WwDisnDvnfwmjyhsn+PpOih0QAzfkwhcwA9k QEYwWO98A+WOP0KmBMY7yqHa6iiiouXp+TrHlzGDF6JhDGKk5DzsdqrrroeF8zOLLkXmZbcK3G8d oiDOSwL6Ds9Cc8wb2RI939OwFep+7dyA33ApF0gLHrnl47JyVgvAEyEvjd8xlkcEXgtO6dllL/CJ hGiTJ3Pkuii7X5BJOSiI51kAbYX5wcS4QZ/ImBQm3MhPr00LIwxCHaYSAjNKvR5dHkXQCGlxuDfj hN20/bk2LU4W1+AeuAon2EH20sKlR7zXfmugr3rZxxEgx8/oh/nplZUhYosG4WRD9eOkmM2yWrM+ wNBs7U2sKCayX8cHQi9kNStFZB5WsQNKfuNnzEYaqOfLaa/rPD1P0ewmTG1jDIqv/T7q+jTt8G1n AP22u94nTLs9gjXXoL89676SufKP6RiBcZty4LznUtgL7eovlyKa9UUEnR6qRIhUoefpZyWyoihA iVFe0StLejtUpvS4vzEP6C012EONNEztAquiLsRpYwftz2g9m2u9+9LBKrCv1YeLy/zz2PzMUbMF eoezsFyN5XylaQPfE8vyFMNgwcbt9W3TMFn4l71FRm+6p1W0Yjd9nedNAwWpdkpZLUMshseHrSiI OhJKPy9zIh5qBDYgRCob2ZXW+kHufDGkx4NTANEhhUviwtox2hKtoomjE1LY4d5DuDzeC7+lwVBj TPjQ63b0yPE3cPv7I0nZ2og+2OihTMJVyEGsUqE1OWeZH1wTZPvG3Gp17E5PsDRL/p5pDwRUojti umNHfHxR8r3Qq2khW8lJmpwIk76/Tqlwk88Bi8LSPT3OG7OjQn03rvQ7K4/QfFhe/IRTHtovg02x VIWfsJEvn2HaIUSYQhdrqPLtSBAQ/+AuFQ5/r8+QnYnR5I7ZNlckgU62mHsciJ5Qy0anRHXW5FOj Pwx/YDCSY2kWJlFEcjLEDU2/aurlME8imghJiATg6syXEoTwyTQuNeDg58SJNHpy+v16czjTnqvn mvWH2S1HH+XDOAa+6QM9lRYr8OO4nHYC44+oZMq0CCRRctN4aFu/jRLbe4JZuemxoDXXXgPr1pGi nyOv1MLM6/W3zL52Fnaf79nIEU+lVn8DnC03BJTTzsacXITc+CJWozq1Uu8bqD+PQwYLbkYFNWbK UlEE4hOhMYfIrnFbJutixh7FYa1ijlmklH3dw83JfN86Kn+DguStHzFTiseKH7FRTZ3y4Tbp7TY6 CInYMTceGJ3nLDGMjCw3L+dBIIwztfmP08W6+L6xDGJYgpnvnhv5HRt29w4GSbxxxPuILuJdGznq JQmQerxxa3XALc9J3oGHK/fwIfGMOD+fkASAMPV9YqlKOn/y7qmPAHZjeDTVRy6MZq1k8YfX+GN/ X7WJw/C25vdQvOPpRT/aaFRKS3Ic/m5qv45T2ubbtqzWMxmpEs4zNVpi56qi4Js7W3H/ooPcYDtl uKAAdxgC+aTMZH/9ZqLwmWk/qRnvvfivVRvUeLsDaCuH8q+GAp2iFRMG5+Z88NeF0dWqIuSRRfLD tmmYmBvBBG4Bn9msTiz7JbePJbAxOOgBk6BbLdAMFmyJSUeVux63rdYUTqJi7Z8xz7B1FcW/mQtz YTXm7ZicTsO7Z93KAIrCHrm6uly32vp45luGvQaK2k3adc74nAOUm4AAZ17dNMmJTaCdu7VetHlL +XIAwm54c4qyPrWEiecSOt0Wiz9SXoi0pYvqYOxj8D6x2u8uKb7TES8pmai4WMLsru+2ENhmRWi2 Z+WnptsvKSKvFckcPbFOOFtPsGwx8Umbm8pGWC0+pt7PDa1V9e2w76acXwu9m4qaUa7P/uqC2LOQ ZpkFQe96FW3P1neCiJhRJqKspdtN0Lhl2019MM23ibL7dgy6XpIo3OwyXxjRmHv85kiXj+rljzpS i2LZ+jiJBd0V981PbjU2Qg3Mkg7qgJrNRq+Bnt7CeRFKmLQMZ0DozZcbymoAth2Zpdnf/FwcySMW jZssnieAkS1jZ7eueceHcWVVuVTrUpwpQg16p65CQ6DiyLTHNDYhQxymwty2RRwXPhXXbEA8Aj/z d/rt3yovN0I1SMozEMLvci/9pxVS12/fBhUlLmg9xvdUNBikvuYvYioRpdUtna8MAFp0HOCk9Ax1 7B2RLaxMOrrZeO/fw3rPK0TtEo/Uok/drq5CdJgZCy1Z3oQYAHTXvd/HpvQZNFOlnkvpGhzALdtF ds+hEeqEV0U08k+haW9ARjY7a17/HzlO2/ISRdTDLuaPxmBEWdxKPktFz/49VaOtBLiKR4Y1JHDZ WMd8Bklmd1CKDglNwHWnbXGNrI/iaQ29PIlbenzTJjDOJFlEb2RgvrqyiAl/6n7OzMhe4O55X/S2 Ls8O0PBnliPg38ZpT16xKYbv2MfZNWQqETew5lJmqDhNnULNRHFrv4IQ73r6avbyRKqlKkwkFPX/ 1IgzLGh/qwFgnQTShWC/Dl4xrtpF1/tahh86tGoNJ7R2mAJ0Bv+ld6B9naQsNvNGfoOdq3c9DaMa kUNTjkwEE7/gkBnyuQ16f0ycUVkwORd/ztekFDIpwWx7KRWwGWXF7xd6viMGU/afEplYj15YaqB9 LTiY6oZ07aPKohxUwJ+l8Hf8GCBfk/VzSeSqsdtgW9U75tUtRQhw9zdyxtISbuw0i0YQo9ZFS6N/ acAlnMMLBU7vq8pfhVPuQSl976/BQ+FZmwO7OV0Cpu8Pa0oWORaq5a8ZkfCT2CPuWa7IgTl8uay0 HP1UBzw8B4KLPB51ll3XP4iIulVLX4tGn5mEOpmjUWHCI1HBJy4OrK1iMTWXRSVwXAs3hGO7p8Mc 0s6keKRznMuUIgUVEWEiimvbLtzszfZ7PfGhQYtztfcUosqX2pywg9MYqRYPPaKYokj9k7nHPHPF KI33F8XtmAexCZaziGyWsdm8ejCGnHY8xs/gdcfxfdYy42bXhsPMdbV7OWha7SaLifFc3lp4gYAK hAiERJLSVaWCm5aTbJoKscGb1nmiU4qRvjZhMmet99d+SAhjBF84uBxhdvCRGuPTw73L7cFNTp8c 1gywdsmWUcsUXi3P9xvbs7J+5zZS97bw31mzxPiZnVs2tVfr5RiYU7AESAEVdM3uG5i/i62glpTv XV/UgumoR8M8Z6xNYIefULMyjthIWwIsJqgaApVbj/u3UhrFjuAJ2pkjkqtG6s27aluwMzh0dRgc 1qUY2X6S1C+OAPiEDehkJWmMQyiioquG3CoiKNJCiw8oxgKS6oW/qbmuUyfjkWfvw1GMXZ7g1yP+ rqDBTpIZtaXdtI61mZkIhN6eWGCTPf2NKnCmYnFVZAn1oZoWjHsQRsrxgoaWau5dWF/HrqMsZKTA jctCpmnJVq0JB17D0Ewp7FHRhoyiMeChVwW7cZWeRwUYIm0FsrUJ0oFClxpTKkp5e2OmPWNXKRSD dR9IoP9Ev/1bhr7gt/llkS6HLcJogYoliULNPb30rjVNkg/+kMUpmvo6pMvzhZKIT92/KLMZC5kM 9He+lOnJuBS6C48EhG5X8TvN39vQ0ybVNroxi62MgIPvasJmlZKtoz7y1uVE0HXeIlFKaeTyRkYb ZAw3BiixUPCkOTU4M49dSlQiasqoDApfWrY1MRHHeCRPmbYC73lwOm3fYOuWzSgLVNSnVQcMt8ev i6l8/EF4+vgKluwGl0ZUJ/cejo4q57kS31MLTk2h3+PC82dEJ8C+SBed2iNeAy4uvu8MqSIGoYrA WYb0AEotgx34/KqHt5Msl3c526K17EQHqwMT+nJ4GcgNkeE0E1Xep0dU/4mdoiLlC3GXnS8VV0Sa 381HyYlnu8f70v2NhXdVFQBiF8M4lDu33kK54BJA6IgmfcimdGhSHo6dqJ27zgzZxJcFR1JWou18 spo5yzgU0nnbYwMyaiAu0+zhNAWfwEl9B+MxeSWXsNgp8laWv9210ffoQq8x07x4ujxZUltc8gLc /V6J2o4i7+wo+5X7ktfHipLBAFbGZ8nHxPX/kMASz6dBn/9exzxN03Q71qOfQ2l7HmzVkPjnBFeK 0uMAPK5xug35ojP9Mi3ZDJUhb+8U9eS8EcQrB0YSA/aNsi2MfMHucv4NjJnAWxBhURgw2wrgUH56 NKDSSrV6VR0oO9R4ookY9KoBOP7aWudd+IL/EG8jc14m04cyS32Y64t4F40VKNLw9+Uu9jgzCDPP OxcsmZ8ATjN54U8/apfb2tzl7EmDKZv65FABcLOtXHhu/Dq3p0yh86lU770DKdh3nrrH4Zx0U2Xp brF/nR09t/MD/TpFcMTA8+6DJZDXHp4IpTBTTLfInzmwCglDlZKuPlLJKCbx1s5eCnZRDbsH+OoW ZvBQFtyIB+r10w2USpy78GI1B6eUV7cHFKNf5h48bpZoeqJefkoI9FI8uE1BkbjLZaxT2VgKtWTF KYvl6om03AKKejjE58Z07rNnVqvRejkQxBgLV98U/mGy+QtHiC0wCkSBmPpP3bfTrWljDv67C/7B v8JgIYeYC8Nz+20k9j1TI7RBFRew7toulmZPQFJk2V6Jq33w6+5IcvGg3oAHdqGbV3Q9arl+2eto sCbEW43iVfVjsKeQoDu9MotfyZSiT7VaQuriTjSHeDnkaNjRATtGVzLcLpeUGPUCdf6fAm2Nw/Oe dNWlTSSkOOF6B73RIvro2oIGaVNw9PN2mHFgcDzN6jzKu+cRQfIa/rhTmfLlT4TUK8I5oLYppho0 DdyEPJtOW/lV9Y+OJlvT1/9NhiQHvsbKuXUWB3Iye05GmnRYwP+aGiN6adXVkxGdQLdvlloHOyaq qQBC9JoxprFPFFz43zcgajpEIH0bM7jbQ5/yG96goaWfK3pMNZld/H8+aYfvWuTYyR2HGMug6N6v FEYGIBd6DX5CkEWLOMdtrcH7Oc3yuiWbYTnx7NtPz+gzwA6hnG+sgzfbzfTRz+E0+4NFBOIGyNvc OMrEedIFFAZt7QJF4P2f0kNrYVME1cza3gqDotEKJyNsOMJLMep7dZwhZAXKTRnrK9wQFm0Bv5xw ogZU6xC41rHthBzuY1MIpKdgT3fR2Hrks0qm3l8rLceLazN8PcumZRHLAB2u8Kz9RWk/UfH2HabL XI0NSqfJWcUJ3wkB5eSeiaKBTIPJzaSiyE2HKEDoW+ew50hAcseWeFEwRLwyG+AC2uoA/YvmID8f jfGQImRrb26ilg5s33yRdkD1rDj4hPOFsGGC7xQiTOHFxlqUck3af4FUbs7do1Bp6Vbm96LOfjM/ +2imAxLcTsQVLVS682u1WNLD1xtvaGk6b7FIAxfjc4P3IDM5huJVon8H4kO1bG5Kd5+qQyO4miyD qTVyTpxlnFOIJIN61qlVuHI12lBgo/ISL15SWn4azgBzIIpSq9/RW/0GVJ1yeHHsWhn7dopjsQ/f tu39YfQ7R1FzVFgBaWoQxPgTQc9A/qc8L1IQVaLp9XFdcTwF455V1XAe4i5fjqXq0wAoVI2LqV+a GnwhV3FbyBZ1R6LSK2QePzNYnsismGeNMjiUzS1cc5D9jvz1MStVRddkaKbKqhP6KNX4e69Qk5Ko cljSONyew1uNXHbb+tfKjsf4281PA2DjXiw8/Xctj6rkrMGKW3W2YKzxaW8wLQUdt/Bak2zjjdIz HAfrTwLpzzN/VRNbMlHU0tT88D57KMwipXiOXKgBx6MFwrTZXUHX626alJZcltBDpN2oHMsK1SIy xUNbugBjb3sGdxvIrkPlfzfghwKmJIzQADyEGo7t+Rh3UHBlVM1eFZujS5tw4rcPsOMnWoyf1E9+ X+STQeEum71s2DeJt+LzpCX6lvI1GsrfYuwXGIkUagYugsbJLscaLVzvFbwM8N2pF3GPWDig1uFT duPaKSvKkcmmho5ii/2aPGRAB+mFxdGWb1R7V5U+A94lz/aF4WUFaJ33I5YKHfmaCoVHpTzaXk+Y NLVG77X0/f8/M4R7cZCgfnJiAXa4DLgwwe0gPnsiOExZ7Rqzwoy9v5/6T0Mphqm9yUzp5y9k6b5/ NVDQLTiCmSJGtB7HrOP78rlDePf1SVp48bdVBKRuOKjQ1npTq3Pm4Riz2/0YHSAMbZLkWkXUm+zt HKOkvMo1Qu545JbZR4tNHf133iiz8KYOEwALu4EwJQ9RxbonyB2LkuwXFK1eRH5m2tRSsOfbgTzS ZLAR6JlZT1jm8ppzPhI11/FkJc+gAA/VBLlPzAkHRTnKMbZEZOAyTVC653nTBn31vawtGK8Qx4qZ 15ZNibVSmgsxLkvRiRgC6oOWKOSVNl9y00JFyaCNCEbo+kFf/A6Gqh2h3nV4A60BxB5oxFPmNSvF 13pDOJFoJcCZ1PQdJFZJl4yBPSy+QyzD/lU6CabJmO7wXaIsroBUsitKGPowvBVDzhTj/yOCItIg 99re8bBbhPZnzg/iBjQcIuSvCMUM3grAHtYuMANIEl0fwlmFa9EglDvypgR9oK75BSR+zOp+mC9t 7P6/xsEuLXhYeI8m/eLMA3WZw5JNzPd0OYkAwv1YQbsWPnc1SSxnq3s0UnAtkuVAWoIxQrNVf4In tsVml10O6A07uR/egYOOsssRnKRGifqJcnjiassCD7VuJj0Q+RLv+s0m8ajrVtov6SKlQ3PXkSi3 2IrKldVgklQEIi/ngOzIyLiAK8vXvCO5MLRahBRdg4HU/gFmadwabdFvrkfgC4OVUGSpjCFxBcl1 A11XfzlU7LNAeafkrYqy0jrAClkU9rv2m41C0bB09LD5ImntBEVWjJFiGMjea0/sgKY0sIQfT0Mz 7ATwidLpwkDCRSLn50jifxuRUiIA+Cx41SBjVU3ClOM9J9Ko3IBSo48qfQbqyBng4OKciOh4lvXR 8fEgHa+kc24HB3a9AP/LHA/2qi72lThWO/ymmUfdD6IJd1ptrfp/gYMlq6KRulNRgIKJ6RU2Ugq5 xpgEliohCZkjw9i8Rwml29QNHIFjT6AwFPSIbslbq8da+UlMSwvlgsBivOQ5C6mN7Y1OC5rkuGm6 8wB01NM77BQJpOOHN8aFoM9oMkNWNv/vMf2wXDY0fHmIu0i1GnoT8hfW6ZHFJ+u6UdAYDJVzX49I IfjC8u1RHzd9kTUm+bwo0xcbwNe/IOGgoC2F8uSgPi5VQoYIHNNE/ev8pDUtmWj4KoI+sC6FyNEb vAOn/U19JuObQrjP3e2nNXe50T0QUad7U0EF+bk4ZWllVcGDvSeK47tu/UuBY2pv3jRDfZu5wNUu 3ej55hloN036jLs7FN5vpI0emzOJZZnsEe6fZGzIQl59fsrWLI+ZH6NdgmtbOsVrm2jlIiPJmKcc rCKja/5A8raEF+OpVSclGCYs5sxjNdSGsfR6QVsRwIBeXAv7a200254Wsj9VTcjqGdAsPIVxu60z XpWWsGLFyxXCTjVZW89v5Qgcd2H7BlYUHYqTwUdnPpd2QgkMQ9DYTvkCbhW4FuECKqPoM/ML3PSi UBxi0km3+Es2r+tmBXBmah26DBfmo7mce9Ez3vv4pDcFmTH/bjMzjwKLBU1geM9D60QIFfYw29Om n1me/muvKmnxRPKRsvkcnfym5cK9EK6TNnNqxgOiAdzJXbAG2IiBJ9Y8Di3T+D6Ls0AsEnD/FtiN 89FrjGiIUsrLfboK7n3xTsRQKBF6SzgJZGDW+MMEeHjGeWQ3NL8bPZ49rNdmpkivTDfNpyuMaXBO 6uJviUtwePk0W/1fzG9hbXCkajRkdul/wfQmm86ClQOBzXqLOz5+GEaoPMITOOUG1tTBk5IseHOk 4dl28iYybndFPaOeH4ol8xurRBVP+43D/p/lLjaDlPD0GlFOKROT+EXwpjN6oLXvM6zX+ZnYVawo j4KQuVhcm6bRZR3HYVAu7h04PZRaAfoincB6lf5p30O35dNc4ZoLbO5ZDS9A+4rGJvTqwcckz1CZ y6yZDSaquZExESnF4cbgYZON6Izw83ogCuAL1zAG2GNKHkRZpc4iRrpJjFxomvwtfyi3vLB74EH9 PtTSDECKu3H0mwwyRKeNOIxm7zf4LLIrxQY/8FNwFF9uIJ/xRCEFukDK+y2nCPOTLZ3OBF5rv3TY DxyaR9wSn0Uo2GJ9VDlCe6ixm15KB9BbKU+PhEmxMxheSpRlwoe9kGdMnF9+00nvp181E6j8cXrL 6u4Bb157XtvUpTiyqNtVOEiDyAFvgmnbu9Y+InAL3A32JsKg9jGgwFwbdbTBTsKBNfN4P41y2UZ+ G4jpyGxa/vCbmZEn67M+zX5zlzivZfCGwfcoe8TAcYw+VVWjQgnf1ZN7dpCvmUWQc7GOpZH8ZeSq HvwcKfpaYFGcrlKYNP6WoSLMFS+OTh5bBTGGcYfNiNrJHt2LRklsCNoSsoaeAmHvZE3QH8qkTkko 5z8O4cIL+m42ElnPLfVKvFYbyz2PIug8dQs3UoZru/P14jdWOaqYqXj+R1NWUbQh+LcckoCF2aOT qPuzxI7ZOb5ZIqX9jIOTegeQ40VtNf71CnmzQyFq+uLZcpx74ZGH9dbrYLioYnXjUFQmYp59KPdM qNvCwKC0zBQGlqFmxUL/4iISA9c9JRa7Z6h9bXvlqI5Gl7mMm6+CENHrRoGc1CJSe4i7oIyhNPnn B3WTuCZbbjxvUPa4DfWR3EKtjdM4BrQrl1GrMp61+XPf563R8hHP26dpXy0UZ2W0du2WDHDqoAjT D2GhjQT+oRCRrgXf1KwSxR+KcUkPjiOt3os4Lnz24nhs8JmgCIFmaVvpRBL9QmgfdlZ3ycWRTOzH RlofEjpvyc8ZF2b2SYdDU9qUG/YB5h3/nXrhcOu4nOiBOTAwdGtr/auAf8SmCX0eHsukSOwvt81E YCtF1vBmcMT5m5kh4SYOlzMljjWVHmB9/heLKcHMzfRhLupv7z0kyTPrCvQMZ5PX4M4pHuSHaEOj I+a3HleSmSU5d2AKxtK5o0ePWZGBFeXp6LM/S/JEylUXxouLFx4nnEWB5+TsF6QEKVKVtXKx4tKW owQxYJ+gGIYBD8RTYvifUpylCwWFkIS56MauYUXPj9GfqQw01NyV3nY07KRBwN1cTqab4upgtjlp abzTdawFhh/y3xKsCVN55Yv+K1inbd6K+xOaAPyEQiJyV8WIZxqWLIQwD+F9cZMuR7SD4KPin8tA ffu8DdS65FLlM1uwG5g9AXAjdabfrlK1kkztIRPlGv9d5JbyM6IsOLYG8UkajeNYZlWtHwxiur3K aamqS0Y/yEPWJiLPCZ/3CXWbg47ys6xiJQQFlrWHJ/4wtDmD1YnhvkM+1oDt+mTOF/68rpB81hW6 6BvWBBC0RGCBWk8Zz+0epCd21gKESzz+XcZMWz2blE3+0OX8qNRuhXg+S1xXp3CVGgZhUuRQAs0K K3QTyeeiJFuKdsXcM5fNCK2bT1XNQ/Vf6ItejHerpEteZsKV6nXejb5DWmN1we2IxU+10TNA478R kxAVV2AGCgWF5rgfT3h2M+OAomHRX/PgUQYR/q7rwFhpPcZ7t9d2EGjhX3ivQNzyve1+6ENpwBZW bI4c69UyN5T+bYZUiEUnpQ0ygYs+SvGXWt83shNSPISrSbHWsrGQLzvCJe4mTtP0bcEiLgbTVhwC 2+KJdqQtVn0LpJ79m67DwIWpby3ZUpuUtQHjeoU5Z5W1wDlfJm4JW11vN8/3F50Zv7tkVX3m3NJu 822i1Lb3AppZmMYfoDoG62/5sLhOzNVQHSKnR8AH+f5DMSoDPF1HYcV3S5aFBq90HZLoEiHDE63L UZ7v/4GCSXYgTiWhKHJr7s3pDt3cBjvrCNB49k9QCkwC87KMmj7eGIh7gRBi52J4aNANHktmsWvI 5t40k4bGQOb8bMXlIuv06k9IDizX3pF0FkLIttNExx4c6u7IRiXO7OYbwF2mUOnxwXdLs5IkCjGB CjPMZgUh/M2LeRatQlAhtDqWn+kO2dafB/wEWVk9A9YZG2GYcaF030Bh3IpFlXAqcGI0Yca7UZDR h/9gqhF4s+0lF0b/Hk6mn02qIuhA5iLH5GVSkwKQT5Dsu2C0bkKTVL+VHYBPb7/zjthMpUOqT5pu c2zm/cWpFPae8uaw7dz0eC+pnwDA1L4dCNN88seEznrHjP0aRv3bhtPn62j7nWHRRv6hZOuH3a0Y Sky2ifxb8c/q8NYZ7m1WxdutlOy96h/QPTpywC11T0d8FO4zp73gcrbIUNsivMV57Zgz0BxKuZ7g 9yApOygIARfUUwdgYY2cLKJtL5ZCQ0idjZ5Psbf4XXGAyKmgEncRNZ38PzLFr7hKJpoRpt/4Ji4J iy1F4uKnpbta3s84+8scr89YOWKYbfhs2dv1yVAA5mXlDXvLH7gh9FFmGvpq5Wlh8PWDkMSZjxAn yCytlW3fyA4Is6UzqcC9ZGCdNZUN8dKrpy1InEBv9jafAY6p55V8zKFQyCLAQdAx02EgKvKAVAzT Uw0Yy2g9GoDEw0MDCQJJ6lAMRQfg1nB7xacXmpq2R/pDyGAKaYZsHjJPlXbv5zKtVtXmO5WUFgLl C07pG0M0D11di4KzNCh+f2rIWZ46E9jOQyzMMwcFHOeeuXlxfFb9rS/FMmK0wRLuA4GPEVbdBuvj CbKGa85X2LHVUvArmOGsvBIz9Y1SI5bwse2aJdj8ZW757SXAsb57c7V1fnMlTZPFVKRvDzO5+4Rt F2Lyd+cVLqFkwIBv+BBlqtqEzhWE6E5VbyVv97qjbia6xpumUicL2ZwKNdnHE23lXfRiHP2lhqg3 P2grC3FDjtIYFFl3yOdSGMY8g+9G1fF6PCzsBa1F45HIykz47iFUb/rsIZSBQcPzv44+N4rF75eJ 3XQtMy20CrxTd0e+ZN9TImRp/qiJ4ZNJtxySS9OG6cyctK/3HrTPImzMTl7uQ0t2GAmh+aDEjH0u sTp8kqFwojh66nqYM8LfjE+H7Tuf6952jvsMKKEUCJxaKF3iCSyQmyQfpUm4gwEZOcwRQuKYlcGo it3zAK8LaGDKrjiC2DOXD3st63OSUNV6+FOqnC9q7RbbKRQ5z1B75eie+Xu9NgCAOoMTnLcvBNaC eER6qFu4LSFBTpPff5aCPN9qAqJvEBqQ4BeHm9sDlc2J9pJrUbbuuBJ3VpkLWkQHtks/lwoodFwv kx/LyITYeIUgcXF4em3X9WDh0vNHgifcxUxBMkgJ4isteoAHW3hrEzGJKtMsy6DNOgDm022CSb5J kcExeNhx2zWadba4zKRWW5+IEEkOXPoBAdkYnXnFtKvkjC6k1s1dpin94zFJJ7CFLkGpTCuSlffA rOQYwKBtfzt+CHCoGSP5KkHAFWT0DZQjCZV/sJSC6BDbmLhfuR/0GGMKT5p8WCxphQp9Dfxajp9X Wt7PUkUM/mJHn2Su+tvUuEEUCS3mAV203i9WGQneGOPehFpryvWQPg7Sx1/NHFb1aXXL8LA2g3TX xFn8WppJAgKxFFo3KpTiOViDzVftr8rd6aOUnhEMiN6Lcf+/sjkDmXlWPpAEK5RrRrzRMxkVpvXD Vw/H0eZP5Vkg9C89AdpCmMIoZsH6ETHICOVmAJhzEyygnxsj88+OdBIx3uRa2Gqh6mBx85nhEYaV MNm7DucVs15cVgWKyhW2kYBOT4NaFK1EZN2Lc6kcKuhf0OeS+EjWxfs2Ra0g8PfEb4VxWlNKjttk XrVlGGmA/6EXdRG0aXSJe5XPlqnStQEPO0R+45G9Gp2SbWQF/fnQP/Lb9wz/vBU08tghsS50Mdn2 DB2nMeHAY4XSdHK9/vkYxVlhCWRrj+LnUUmzsnKAUvtWw6kppuznmMUm6ocTt7LorwtV9/NMDcmh R+6GkexZ10apZkXmWrY84fislnLQfKTsD/CSp4OXLXf23OLUD409tBZQ22q+3vWb0AvWysS6iu88 q5ppICV5VjClMNTq4Wnb7Ixi0ADd4VOyyZaMC4CdWSMlj2IDUhulQfRvjKvsi2zv5PA/zB63LUok E0WEOqCyIGBFE2QrVyxmJEfJwxp1St8Sr8iRNQsKq7/SC8lQZSNGGIf4WOpPff52mmiaFY8qn8CB oWV70wPHgBwVCJeykGO3BWjUl/Hoa7VkzeQKMAfqAp1yuUXhDAIp8raytQJi4HD5hz4yrUVz7KzU 5IEsvrFDyF3/vlILte8J2zbe6rEdIQJecKq4YQbznGP52BkBDLzzoxEqifY9zLZr051bA6USmPJ+ 0XYDMWoSCjZGJGHvK9Sx2gI25NIpEDbrwg3OlAwv+e8N9lsJDffMNwLAEegITPqI8yIq6tgF0+Hh 3fE82brZ1BF1lLOun1s3xMnmysnPHB3gK1DnOeZ/bX301H1NGjNrosCyPjy7gyumkIEJECqhFREb 664g/wPinOU1ZmFsS0ihaTpfy1HYWdcLsP3tzZgLiX//Rt+qHqlZlgflBpHOrEu7cwbfzhaezEAM 9IEnAuX5/nndI65tAsEmpGdHaCf5yJr2cjzAews0V3ul9H4iMZKbpX1h8x2IVH0Ys/NypLJpiYy7 f+wfrxfCOKiaJudLq4JdOEb1CvLey3Z2PNtQmfMJ3sUayHcnWWNF6ypqEHm2s73gmZ83rVxoVjLI 4otcgZs1ZJREEs0glrJ2uYSrEmETml2UXnCVYL9qvr3STBHoeWR8RgxFBIF21XMg9URjtDMZThZ9 iw88N3kkBQSfNm8Y8VHsBoxTTD3wuIoWTAdY1yvWfRvibgLryETn8WirvwTDdGswnw4W+w4eTvoP gT4Ej/vyXe680TWdOJgz7+gs8Ec46WQzi59ry5QfXzmrC78tMHaO/XeT/LPTPvXHYu6WNzHGeFAG ZG5vWmr+LcExnAgHWdtMtaCy2qoOVPVTRKei1wMCFo/tYeCxEd6IlFWSAcS25TVmrM2pAb+CAQ8d cv8EPGozqPk+8RW0ECy2I/WssIXIMtCC9lU/m/jkHcAHKJNBLD8UobE3QCezTAjTtWdGhP8ShDnv r8+xtEdaVTDw9E6QHpOXedDC5R+PdJdQSuRNiMAnA1vgt5NC/Uc5Bbl0W8OvSfIMSfQwe148bpKg j7KCUE6mrs2A6xlUPvLP+RO467i5FQpMNIt/j/13wCOGcYxqG9cPTu4wolbJIgtoMxaYV/a5+FyI UpO+PxnpRzmY5yg6iKqWWAP1lDY7OXc6JIvyRwuNQAjfUiTsmaWTYU3RQ/KXFepU+lcGXWhiklvD MEhcCdst9Eh94KLez1uiVXzP8RAZiRRsGlqirdGBIEQl9fqs9ukDI06GIwQpuAcd/l1ACWkj5U4z gctdYMDpx8WttoPDOqaFGQDnK0yYs0ce7zWrZUChGxyFAwbukDOOi9yv2T1iyaF6iBmWtCJS+8gb HFYTpLnyRa/eYcQ/2iEsJ5xIP+idbh/HrxZhXACD9o6O1cuGuBBVP39ie2Hr2vE2Jjx/v2ydIhpU s8rwKrstI47p2cWchUvlJ/iwrniSUuCKMhAch68zOS84L6nDT/N60sX79085hjkP8F7jonUiooSb A+Vpk7lIYBi3E8+GbgpMMqw4MbBs0hSPPuVezrvfghd7Pj/P22u3CxFqV+2nkLW5PgJLzjWoCIzD 77vWtvfNy6xs+W0G8Hs+73nGW9LuZJvXCc94jjGnSYT/KM1hhYvNBEs+EeySe3rmu3s+pH3wui44 Ro1y5DK03vjv0+AFp/sVZkn27RCwIhdj+DPYC2fKLgSDfZEMi4/oxI34GNLLCaVgYZMunTXSBikP /rOC5jhLU+LA8fOzKBv0v4fNsElYU9hSFt9rRBuGtc36VAnYurMQvOOKiC4x8HMV6mqx+DQlprjp zFeb+Wbbw+QidrV6dWjRxL7GsooWCD+kqXaWZqpNvm8rdUfqdSRXGZCgXn+/K+nQ6uwH366Bwk9y jae5XYH5N+LXbj+SZQvkg81/7HG3NKjyCcRzyJutrvFz9jqr4jU2vJzYPpzT40QNJtWiyZNIgZZA acsrBdPhgXzkYRUSM4mQGEyvXhcep/uVNKJZYO1t0zp20DLi4XtBYHGj2uF2h/BSCYQsyO/7xsp9 wLxOZHr9/JSlalWxH+sfsz6VJt4bF1mnWU+UbDt4Hc5XjNDZTKtQoWLeGXOBYI/A36VWwT/T/HeP ptCPy9+S8zknNA0y16o098V5zMp9kv/XhcB4AlWEvjdunRnJIXn10zv/Yj3tKOCV358UfN0jMG3I VvP7X5nGCimgVF969i9pz1eu/C61w7dLiqM0iCdhvS0OkE7GS2V982ogkGr5j64cdD9xQ7MyACUq 8YVkNCVkOTJsPxnwSvqKF0a4zCkXx+kNchJnipmazpGKfV4kdtn9X7rVSMOzdzCVhU9D91kRYHn9 0Qr6T3J/VKAD1sTHAzX3w3UmWkbZe+kSmxw4FcFzlAySpSUDpXHeA0hZFRUo2OU2ezHzVrjH9yhw 4TBEAxOqv1FEQi7s8ap4AdjleeU+vI0hlltqn9IbZItHh7ma/54Isb7Cqj7I1+DQo9aYVUUgHdvB ZNMVClrbAMVQje/AIY7iwK6sWfLa/wzue/ttiYnyxOXB0Mk3C4ECR6TkWxLfbp9p6869w+c0ZJ6G ZJ7FuEka4OfCMlF7SaWeG3K+TnT18x8rGdL35ocov5l6qRjroTmIa3ANcsAuwQC3+A7FbviWQGCI g2hKwoexyLfTkGxshhdrYLiKCQCjkQBy9T/95HOvvcb2tkdiZZoXqkA+u+gSiXcJcjTuWHs2f4WD IRG7BZNdPf7se0qU0C+Azq3iJYSupLmhnsH8bo7hWjuRkN1EL6FtM6SGZ1w72BDp53k1J+92AULK SexBC2mxubE3G5B8SlcbMync4YW+8U7EaVi6j5maMR88EpkYo9qbhv4LcedUFN8BHvYMAjEMENc7 wVFN7PyIP62FPfCBeXqDFCCeLDYWBmELVMCGJazlBW5iktX6xgs4KZ7b5lI2GtSkDLvzzvpRp/Cb LgEQHn2ASP7vb/Ssv6dnYl0RbiXpxG2cCLIfxsssj3TevZ2OcCez85KdZlbc7JoaLl7+W+si6cXl bnfur22f9swYzwOeg6MOqXgVWY1ydXyuH0mCBql/rYMXdyLQUk/xepXEKtn+vvsWKdpIKm+RB3hW AZyrtNiwn0otjQKNK33GXumkGWH5y6uQg9rXTYPIwf4AS2jMCPamfPSv/2OKTc5pQIz4l3i3FK7+ /N9syzX2E5XOSsZGnqsmI7bNC8Gvk9E3GfpzB1dOoG9F1Xocii10lB5ADmLE6+0sY+/vXzLDJSOe bCMphI4pwfQIYfvUys+XWtiLQSVDxN/5uw30TeHGyQj+4QgNKzGqRxEjXMQJBkNjbcynZbcSKYq8 8P7l2mMUv1qXwd1jCd6CzSrqDrHt5qcH+ZYbt8QCJyxddgbAOgfrtNnb0+WCCKBtNCUmrTAa+XiK 8ZTjR6wPH/rhRfcU6ww4wStpkej1+ws1u5rhAv9YVFw5TICosdRDJC5SndE/F14biEQkcd8F17vq hxqju2x9fEZSiVMF6ybcUGCMK1+u3ssdRQFZAowq8o8MQrm7o8c+HmFXmDsPA5AiyTnvKAQQZigc C12mvF3qLiKxGAV1cbOvtTO/8yk0LAgn4jA84Ktf0CwrojMSQFaPF77Dc6QyAyadhs2iM2ZcezpO DWKpFKpAtcl43rq8YSL2jfQSfo+nIHWQ6v/k9+75rXtVPs4I3y6TolsvBdq66BXfDbAELWCOC8PA T7FCVp7B1/0TEgLALb3dyVdqlz3ZnyjVVl5Zpxuoe7oaNsrRFQKihhZqbOXMtkrEzQFXdkNESekL oTc6bSXVa786IV4eOW7n6u90+yHXQ6vjkZn3Ni62Dzh1M+Wgb6ODV/tCxcX7/jOFopo8NU6PFbQJ hlZoxu37y4pMrdDprCGf0097FlNcVjtoHQyJ+P4OkPccOt1q/g+UsezE4WaCiolhjzJJhPvG0rqy UYpaflL2r1RS+Pu1q+xqtHuFHEwS786oUuOfn8R6SsO4AhGcTptEY2sLSarm67n7JMSiaEeE+iU2 vdNlv/tomb57gRDSRYmAmbFIzOMNDZDHDN8WrG4BwlpzWvNEVMSAjvBBbJfbVstXSJohrHFLfuNt S7fc1T/cp5dNzSX9IcqFjiIhkz9OiMbvQyqfHlmBSvHt6FKfES2YQKYFCLAlcqf52xMBDD1+wF9o fsdX3RLvtCrocs66mqRqxr3OlKsPWKiuMlG9iyrHoupkakNnES44ZuKzVv3ilw1cmt3bjDqXlczr uEbBOScaSa9QNPJLDsJbY9j23BhqcWmFXCTfEI+DGInGO3A1YRY1x7YCgQjNX8HeVfmhrflKyjJo IyAIbi6d/YpHysqAp4QkHdta8/Q87/vzSjKbp/q/zH1PhCtv5UCd119XCSQ4+S1MlYBRGh2L7Oay FA5VY7Z8pgvwojHdKSd1SVzmUBnhQcQxyos1TYsfcA84RZk1Abb2QXMhIzQnbuKF8mZXJsWmD1al 796xUoXuvzbZCoLMerTY9mHQA7r5pvZYjPivvEUiotTVO48nuFtTols6pAD++hBdjRnepsdhh7+r w0vVOzt4ABltwdoYgbMYBcOGQHiC51Kyj3YflyHb1MAocD38x5kSYrGxpDEcsf5Y6DVJffgr6kW5 gRd4TNqNbMlU+4a51mF7NukjmJPI4Ds3vquxY8ZsPs26Dh1iShevW0ZNGvXmgW4+WImKGM4/lzdR 1ebWA8pcdh0FfTOAz77mCrPY9E29EOKuM278APdkZRdQiDtomis+tDgqmi7Q+JA4gsTGoxfOAyXL tEFJoZ768W48q9H0K88YBEHY7U5mh/rs5MaADSjlHxZFouxzbYK1UivNdcCm5PJ7lmPWVeibq4gu aXp2qJS5G2c87nu5wkHqW6jXZgvvrXfBy2Q4eFFql+S+HacdV+T4NLeGYPDoWiGnI48LPPssim4c orx2GftqYVUrNnSscjZXSK8bD4KnkYg5btIucxNKPhaJaaGon8L5FkeiuROxLnwCRipkaZZmdunZ XJ24KyLsNTcuPvhggI+m6B3u3rIScocSDbvp0udv1lG5aij1RGwIaq6Jj0aNmxbE32vx+wsrulwV TxFsqPbBWB8AOK57t+RYcEMawa/AGMvU6xxWyz5HKPAlucXd+YbFyozY/I+muSNcrdrl3JWnyoVi O0s007bO6wP4XdaXlUxx8/A2BDjAUYnwNnX9lSz9dR8RXHUtaaOidjk1YIGF8bsB2M7IVpT44aaD YQBTpR3mjQRFouQ9NVuoZyOWz/P1QNbIYJAee4p1EqeyMWnxQzAMHjxCOLBHz5p58YEP0mHB4HEh 1i0XPPAzefjFzEHRbkrTTv/X3b9PWC/4p5xzPL4kXSJBqMcQ7coPeAytX/c4blj2Mbs/oUykRqz+ hPC/pdRra7z8Vc2en1ydhxe4w5aj/gDlduY1+pcA3XayvSxJYxi3q1W52k7PhEFOXpQiKLIUlhLZ wLBYCdYq+Z+qYmAT9iXN2HHREL2G2PJiDxhKGx0p6lpYuIzsW9RwfN9nZRoYjOwFXoz/0zk78r90 /t23psK2c61ixUUYNvp6sDSbGsHbnTz61DNKI++Q36Zuwd3IU8xD+siicrQOstt9nSSkAVSVkoJj Qek6jCNI6un7hDykhTm+7nOab5CSqmZxd7ZexWMp+6JYku2LR+2bQ7nHofIMyX3G+UViEHvhCglg VT5kmVh93eDpbaZ/2SUCAFqVJKPxYuxnGIR+q71Zeh9LmMggIR/MzLmyi71XYue5oexQg+/kzaYX tpNnOrgeFJnNHG0xUWN3ChWBKYIBzIcSxTXgAb7LPe/a0L2EqXzuskb+gYPdnbGTPGYsolDhyN2b WS2dqG/3LRML42sNlaUlhO66ETASA5EOyY6TtlDOFXAb/W9pZ2301wB4oacdHYk537PX5JiU6n4d +WRWbFzG89gyhk8jkWX+pJQEJf/5MJr8NkgEMaMEc21bfLJj4E5KCTWFwE7nEa6ifjQauAaXiHh+ 5lJG95R2ggdNfMjaiBMC35ayMiBGW1KMYy6lefvPl3txoYu/W6f+EdySpJZectOfJvXIwm0AY6Y7 MO+13ZEC+V0kY641wYlW1DgX9t6kr0eJCigHR4NiX/D7BGtosyx/rLg2SKY+eHzYUpTD4Lm4e14Y oipGkW6Z2Qlqr3gBtx3BFOVvNSHx0jv5uu056CUBK99cgEVhJVmqimaGj4w6YM7XYYn2TdRVWRnu VqCJnswi4/vLv46H1cZqtziSSn5a7tuIl+n69rlITXGBXjQaDCty1A085hTTX7QOU899gU/0IevE 6YQWtEW6G2Xx4SEIa9Kd8YlsEL5SA0IyzezXDuHWEZcMylHthtZwFRYS2+nxzBpCpkEbE/DoEb+x R6Ch6lsRGQp+dqqgxf7odbGdW7C/nStzMT5fpKGCpHHGpam7i9h49qTE6lAALh8OIK/gcQTkS0RQ ccDEyYG4Cmq8RZAC1sNxPLltpg8CIgdtW4PUr6+VRmqeLEup/Jg4J2m+KmeDSG8HhVvgi3ltl/HE z4mILjP+0YjckkVq5DslB6OJmru40xMwbG7DFk9diX3DfU0DKfw80qFgakp3GPp/EnXgzBFaTQy7 pk3tzmUFP/cDD5h7Srv8Q8D7IsyQ94ldyznXzTfFw9SIx39paIiZcfPK0o+GN+RIJZWomIftzcXo kooD6mRoG18u/9LA6yHyoHHLU7uLN/BBkl1URxEEXnINWEVXSoR9K1o7CcFTe7+rlLBJfr/ilqE9 bwAH3Brih1oUug7jp1+MZuUl1znFvbAxEdo6o+jsg5Gqyz6JRZ6MzVmJjzPmobJfurUiFDsXuQym 3C/FiJ5vtjHwOPMqWiH+2hmpiCnKjrKbw+IovBAPxCnXXz1lCl8d9O/mPIO46564fCBXRiTEskLA K7amY6iAFQkUaNO0fnTlEPrbc/jl7rGHBkyvm+fywC1VNzW6P5ZoHPvRASeFL3M/V5xSLkqtGTPa 4s6o6VRd2Kbajt/Jui9WEwn6IqXQPGp+TAtqQ8jus34Nk6+ynuIZoq/kkc/cVE6UVGCRIOIC/MX5 E1BiC0G4mAHIj/Gm8H4bd+zsjV16Uofs7hIJcbz8RnsBd2HQtv+pMzP7EteApMlnqOO0sazSklGA 5L0Lcfo+MrRyyonDyMYWKzhotAcPjfLY20VILgCLlH+yXUMgSqwQ8xUgrdlWQS68FzcrX5GwYHJD AcCUbUU3xHOA2iIgNq9G6S9XVcSKxGKqVbj8V8pKTVlI6mOyduXJ8ZOPw3f8pTq/nHf/SD/vjKK/ w6hgTKGVxm7eM/+6lmtDlsu2FXXWQWN8ITyDlpYzSMpDYQ3HsaT3ihcbFBZK0T0NP0h9NDH6jOkb /rLAS0wIYhKR8fSJHJcLzUi3CBIDlmrax9sVW81kEj2qqvYmTQQ26VyGBxtJ5tjNraJLv/fNxH7g QBHjHeJ2D3jPP0kqFKjmQm5F9mmCO9IT8rMzduFVzjE1nAxjG8gP0FFHn1syM+4C5i+4NmSurbmQ w55sVWIH+vizx5ufI7AjZw47FNkfwy4xXoM0JNs5bD5TFpIJJr0gc+WBn/ChcPlEqb2AoFHKB42k AmS1atTSbD5zodSutIk2kTrUKQpOjsHVut59OQLDg6doqCfOD2A9cJO9twksQWEq9AOTvKU+EWSy mtCEQ5qfbfaKT7s7dduaMzzPnJQSRvtC2h0nKWuLYgRGYykY6HaBlj2BsCdtgdshK2H7q3Sch5f7 5gOjKc8IDVeve6PfzAuq68lKNgnpOnBcH3Wc+6zmAK8ufiHo0HSp7OXcNRHHpXo3MG7EnAF+i1dz HllJp26QK0i+Hsgre/3FIYZaTjXUo5E//1svf79YrZ+JxFo7VqNb9tUaTYZQrRYXBbekzhqN6qkl fe72t8fJOXObJ5cY29FzAtGF1rBDbjq/4wNRXxXmFK1DFzE6Za5cRfQcPgeBMDaL0YzyxfTBJ+WZ KjV0ZmSdYI3dlwiJa2twcktriZRbmvsW48SYrHrYBleJfUvrSQq8Ba1o5yP4Sbp06wHi2lm0jbaJ 6UJilp6zKjP9aoyPT4tQiI7FcGDUWPa3Tjbd0RSe1A8XbFTGapzkjaALH2mNDRwOyFbdiDQXq0ZH UvPmzlbjGXlTiSVf4gwNstc+joAKTd/rhCbtTSVXrk1qdLWKFHhewzFX5zNwyl+m8VQLD2DPe5YM t0MUlSRsca1DNHTihXEQraZDgz5XXgIVVIG0QVnAUxN7iB83mA50PTjf8oP9G+V5sqQ6WCAwESxo 4/AKSAvXOH9mqB2o3SxXEZE5rPxG9m5zmqw+QzqXP+hcZap9VzFBVQieCi7qlR8yHMU/ov0+aFOz vlQ3D9nlK8sWFk2VwzkbfQW/i+juJXbiUj6V8XPjOK3NAr+SDLSWuL90RuTqKXw3Lzk2JwXY08q7 1FZLXlnk6jdccIAKaX//9/gH/T9wSRZt9extME8Rrd/7w/LjqkjLjrhbFp2ApxzhMMpY0aLtwaQd SqvGdApg+/NziuH5AoW0xXGKssaf07/p74Wjt9x0OHWyZsFWd8EaNpxRFmWxd6W//3KVXiBnMZvS XZjJvk0LRJtjphwTS/mkbWtdLUuVYyyFZsNj4tS7MX6aM0rohWirmchuQtF3tutJDtAC9gN8vCfS 0CjFG1mIDE9KvPFU8SoXWJbFPcU0GhDhdI1C/ofiPgavayeDiEiLf1/XW0Cfa2RZIIzSIH74w238 m8I+xTWZD0gGQLZGuh8Fqx/DL5rs7+yGKxvh3LgSYs3B4JX++ui+4QN5KtJXl6Fh71I02B0ba0K0 +flnr9pJoceP+wAfYVFbtBGOugguFn6I8nwODNq8n+Pb0gDn852kOYm3j4yGAdPMFvwKHzsr6PU4 UX05c9ta8yvelmUs1bOHGbP2P31xcYkZwA3aVGt7vmO8we6GaCxUv/obKWGuAkMc0mX9jAuvFjfb hyXr83uu2xaR6cG+PXasp8bfqV9QmFTowWD8Pao5uT+U2Gwcyu+AnvJ0yoCJprJpGgz23tcvb+F0 Ca9B2ySc/x0fMPLx4XBpyx9ukZuGWiS1e884ZobXvvPpGtO+k+Q03Bz0NIl66cdBJvEhLPYaMHIk JjWl0S+HjtD8MrAwc9HW+eCmVPZ7eLuxE1r/AwpVEIM29gYPWnBHJQDXBD3yCdJwmqTAST52q500 9XVmEljpg+RECm7Yr2p4LP2zYCihQFqO0iN1njVOjjyiYmZPtMFptIqIMMyGnmNvUf4KElp4lNlW 2aAdyD4HJ6hOfJohBzStqyXaDIt8velbesdJSf15mCJe+HlG/53xJRbtpOOuqYCP4mK48Fa6KJsp JXeSlT119vkE8CWT9qIGqV33yUdL+WxMByZa32dYKfscwF60AcWkwLRMFcHgvzO5kNAF1nhHdzYr FdZA7UtKP6IDQ/tVq3+AeKbvJyspmsLoIRAnAmfnk8wjIRs4YBi9uXK3+9dkaraM7unpvlo4BaST B41j9x4BEPDcviSHEQiYcn7WpCjWPePjZobIgZZktA64fSyvOSKXrZj2zP3lkYnjBZjPLsKphcA4 +pf/eYb/ent5YuI3ipuN0XkhXTm0snBgbjBdlK4jljR0v2BkvkT5UFTYTZkccJCEQJwnx94tXZvT TmRRR1k34af1xqDNqYHlbl0oBIgathRLEB5crIqKFDJLVe1urpf3gj5EK7TTOyAp/OIUiAqlJn9E sFFXv5fCCMbpBvtGXpTYwiE2lJZJjQaRyZxXzlFu+sqYEEAiC+3rL34pt7KjZQLRcb4hl8ZMXGfK 3Zggjh/kkkK7tnZSm/VWurpYcqaQP/MAXtGrQ2QoZL6MIKqwZ7Hb2GQgWYmTMmi1kWbioS0d8iLX Pz0tkixBhZb9RWVjmLRB8hYo1uSSgm3hPZMfUIAupaaR84qcNaIpws8k9P4DSCbZpoNAfkGsZjUH ETgrrBuE0xEB+2CvBQ06TSS9EuPE1tF41DbNlXnagDsm7gfucLP3YbOgs3K14NoiIx0G7QMq3rNr ydgV4l8YYendIAat5HSb4Th1BZsTx6pE5XIRfVtuG8uBckY8C45QpNc2ram7rKjkP8MsllwmRjzL rJOk2blPgKZVpCT1KJ541gpdw9nkRxCReU3GOaR7Ae4Vq9cFZP1zz3R8/EG3mRhPTxGUEgGjUHG6 Nf7fVjzb1y9Nx3rgIwdA8Q1DT5mA05ECqHgWRTpVBU4kPbXt/Sogd+8joztjVBniqbwuccJhEy0s 1NFuVdZ98CLcDW+IaELl/t+mEN9g2ZpJH6Qxf1VWMh1LjNep+yprfhnCR9bFxhq8tRvjmrhZXW0T 9cFPfzarmfbmsseORWb/h/WquNQaDQf8fSVVjmc4kZn1OPiusj+wdEwlLPSFH5mVZFXEfK5oWcRS PN6CqCQYRylz4QgIPQrTsSGhDljEeapgBSkk29b6szkP/NUu/mstXUpKBB2UKf31CJ2i83NXpfDe TcjLmylFX3dFWGcumd+cD8ab2wHJxbu/qEsQJ4xqelEvPXobnHXuzgrD4Zq9SQPMnXNVLV2D0MfT RpEZQqQxXfvE3BXawE6Xk78pTcOgNtDTUuitBU9MqmgKY3ypmNiV9AKhkJ3r6P9WGP+qghJ67eHh qJLpsRohn1PCkFr95OfHx9w0ZyLFs30OPy6F2xUEGMfOncq59YovAUOGA6XJmshdiz0BuSrS7P2T iFNEZIJDFHEH/PoBTGcJX+IwLEsGC6gDZjWtdJhKemJK19R/GPsvNe1ummp8p75W6FNfHPp6mwSv v4Gf5wmEWan7zn14eRiiBiu9+WcQkdyArGOtLdiLBeE7jjStLDi5M7SQ9+zIvij2doQCGeArM2b7 Ucgq2ZyrVShTK2f8pN1IspJ1pFDYtRn5aWqGaogJEv4YQvV+V6kv2R6vS8PEZ4RxH5op6p4e449M nCDpWXc40gdeEWVYcr10XMtf7ZwMW+xxq+SbE8oU6SDb99R481m9O94c4JzjT4FYwEzDM2TwLuy/ +uuHyrCn7xZDbGOJW36gX7p3DjdTEx6y/Yw5r0CE7IOO7168WcKgqO+l/RV0LLlBACQbWzQ2ZdoV ZwXJwvGOFZ+EYasNCfs0sJX/DXe3bbz8J6mww3D0bj/iSiqyacqYFHzYr4ck16x9bxPjCiSudkWw ESeLp2h9jHnyhILD8r8uyQZG3yhK+eECj9O3UnztkUXYjCkaxLIjmObNBWV+dfKyrrXTxO5pg2XJ cNAdxVFwRQlStrM3Ic6LmE39AnrN+/dpqAknQUNnnKsYQAlU `protect end_protected
gpl-2.0
fc8da56a95e9fd002cb70b0b5690cead
0.95143
1.81917
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/instruction_memory/example_design/instruction_memory_exdes.vhd
5
4,671
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: instruction_memory_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY instruction_memory_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END instruction_memory_exdes; ARCHITECTURE xilinx OF instruction_memory_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT instruction_memory IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : instruction_memory PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
gpl-3.0
75f854c08da7c21843e0bb8273890244
0.572468
4.790769
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/cmpy.vhd
2
11,750
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mVrL5JFRLDF5vtKtCjWQZUjtQNcyxwyBXfxPdh74k528zzZ9CpFAOD1uxQykcGYNVkEKd9WWerY4 +/1XeJSzHw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EeZQvcRL63ZVmsg9s1Yy5gFaDzNAHNuxLfBoPdsUApH7CFi1cA/PxhfJ/v58MZK7HP+UtqOwetCB jFV4QCdTzHsamt2FYPt7h7isg8AGGYI4VRKuPBR0XncSv3bZaaoBa0b8fSjFu7V2+8e8K8472hwG gG/UgYy9wVUPSq9byag= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FGF5ytveQwb75VvEjarTCYF0rISphQXfPBVfZDf74rNhTDII9O+OVnVOzFC8XpDFrsW9VSDDN2nw ix4e3PS/M7NFRkGNDXDWZMpq7jLWojjDttqGZBqN320Orqng9Ranpz8Sj49WWL9lVoq8cAum+tox 7HfQGrDobVVipxVSjUMAmux1JJWXLloodopEvdVTP6jTDDeteWxl7dcLBB7o0o2YS9sE2/SZ0UGt DyAGvW670erasx92CCMjwDMFEFskfo71jakbc9famjylwXu2AgtZwKKRb0iufFdOzM8ie2Ip7N/n Sk4z4/esBn+XLDINf8nTfo5Xv3Xg89UZyw7GOw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zdf85hmKBRB5d6rWZIH9+tH+IL+U2p310vi0v/PiVLYhAsjZELRA0Rw5o8KPV37pWoamy9F8s60h TfCM+70BlWswGehbuGFCBOhomRxNT7eL0YfN6es3FMyRKbjdq08JbuhcuPefXDTaZR+wUXJFPrt8 RLTBSIoLq7DZahBtke4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a8lN6Pn31abTbmvhswe9JHE49t29rJTKdxLTTXG87lNC3/cy1gdu4lAZy5NRRh8MjFa7rPLninoQ K/fANXiy5vegRc3/XYAk2Pn7KHvtfURdZZanDu04WAt93Mg9FkZGqfMHvimULeq2L9UBhmRfGLzp whggB225+XqXna4O8aER0L+dhKV9goZuIBj5WIuYOlYW2mbBfNT2K/jT9WrKmQMULraWbkrnzmtf jILOcRbFLJJ/7GiPVyhfN6HBbWHRDaYIc4Ww1GJapjxGzXhZ6GGNHt8g9X12/8hViKlaDJiZbVTM Qa4pA2G4+9ZFUzErcnDIQ5NgfgQY+tLrosIddw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6960) `protect data_block fyIxErsowVQPadQHJPvUQM2kWbKX2k2ldPa4ZVn+T4R/V5iID1ED1OV4GOb+VBa+dnkN2I5xbrH5 UgfHK7ZtY2y8+Vuff8fGoYOQU4JZaI+agqLR3acgX1EdeGr83ysATRbtpHxPP6BY3VwnsF99R7kW OQKY996wUc8H7pfycruYZjOwhFSaDeSOYUYjcwlqnOvlsOAVnMfPijgR7AW0Jrm5nRMzj2BBcb3J qDDULsgtrViflR8uki7LSwbtvkZSMxzit6VQxN4KevM4HXz/3ZwE8FsX6LzgqURQd9WdbhgL2pwk kM01wUWpcl2Bx5OJ2Kr16d0m4Y9JIYVhFZfILrwszPNVFu1+giYMWrGbXPzBX8xNbFFNDazguxId qexMOpGjVBf+27N2yAhU7NbpUSj/yLn8e+Hx5ragYJm1NAO37fyRNLyIJMmKU3bPoFTUEBHWFrnq 7hnD+Y7leNIosgyRumNbTsvxHyS4qQroEukHkMn9wQeMf2SDh51rJSaphCydmo5v/o1MMnh8FLaP /AAtJUm6G7SpbraZorOEeGHhrC4ynAfVPOfnpm6FhPuKT3gCsYLOPsql4dzYqIsxKKbdI1ZqBUKQ /pV3WseH0R3LLKf9fsx4yafrD0N7noQUAj+7yZ/F/qd7lFVDvW40ESlP4mRV3JGuDnR32f+kd2Cu 3yoa44omYtVyb4LIicszS3PbfQMzGwl8qe6EjRuF6DxTKKlYXKJCiUjHfz0thR7qWW8cj3t65IPv Re9yJZxgobWkpjzLaz9A5DhN7LIzhZwOyrbGKVhump6P8a9hfFz56Kmvo9u/zqZM6D9jq+XjYgU+ /1eqqSfSm4aVnXPnEObRazh3EASDfRRdstvRECLDs1Iseo1DlCzPa0Cl4zq0juPacWHxjwRhSC/D b4KkQu5WnhMCmCkXQ194Rq3lzt77K4/QdLH9D6eL2Mj31lL+mKoUmt5I3EoUT2yft47tc6cg6VvB 6Wc5/5gTR9sWzWV/TxxDUK4MHW+7TAjMpL0HUNstUd05DB8L5kmjr+M06GlcC7hZvRCC9sQvmG/M nMCtGSYPVaJHTZve28rDVG7DDYCf1LNdv8TRRk/bnF7phcNL4cNee+IPZe+4LQKE1O+S2PHqQdT/ kgyAKhndgth+eXseuiIHJWMCajHLj+TraAFbomaMohBbE8rL3PsSMv3s2mL4nKy79TqdA7XGUylw Q9lhRIqDL4tBGBKCGYwcgC+ds10V6R62zqDoExNQZinxfC58MIFMTMBNWj/tzUJgQeJaGZOcXohJ u7wOft9TuP50WztQqvc5tdMuKnybcQAv47xcPufV0XmuBZBWG2EWhbnQtZW8uocaWsBT8gh+xpPA /2EAZ7NqH1T9nbL8/hGyY89vMwzPbg7J+fimGfBoefN61pyYP6oOBlh203ZYtPW4LHz4afxl/q0o bQEcI89qBvmc1V7b//0BD1v5YYZb0zgINgX719q5kJb9xCSV4r0MEkTxoEm38N7XOlsQ2AXAD4JZ 6FFOzpP7v2ez0ak3DsnvPw7mDkAkUkdL1Zgolx3L7NBtH5jq1/RByQ+d2e07n55i4MXdIM4cfj8Z lpVZUa+OUkzVQwVZy/Xl7BWsPKDBpThLZM2RoW8gI60bdjaYJbf9R0MkDoj60OguWO8eJ3HvHPm4 au/LR2wg4Izy7IvZ68ywfNQIkLLGM1x2I4CGGnX1+cvsCu46+NNQfGn9xHqBBXBgmaneoh923gUq rLk4Np6VwVpuMJrN0UcYkmEApO6VL44ox9qupkRUyQQZOlZZh9T/HcMvsBzJeHDwQblKxgzvbiDP VYjc63X4LTknDSYGgx027ql09+9XscBAts4cS188wk15jdURnVkwDNuWs8U6Y9a4foP7bECP0lS/ rgReMvBzr/A9MIvw2Gt4S2naEcjiwidHkEdSQf1uR9EnchG14SIs3d9A3HmOwXediHaZXAHpkw9W VoyfW9lVUWW9mI64vrmoO/W6nZLDbjRx68lJYQ7PdV84iP7F/X0DpGVY3bD8VyG8a1YtUM+WqT/8 08l79aQxeo0MjmEGfCKYCkTEskkVUwrQHYcM6gzVqPOinqKjcH0x88bx3gaaisf84pLIV96kx3b6 eXMPFZ9o/jAsXeTwOGu3bmfo8mJeLoa7uF9jv0na2awzcFoeNmktPuNvw6IKhq568QDjErvdA67V Q40hDR40Ke4BJkcosKpEoqor2KUG5ijkn7NwBwayY8tddAlkpcdfdnU/4es3mGkKffOTtxhXvgLb 656QseJcvp1EuDcLdBVwf3IwHFfBUViId4Nv/5rxhqussay8kftfOOQj9K22IFI4VAS7TXkd1aOO nD2GM8uRzV6t4Ys4FG8zEqW0XuZJ3OWoO17a5p94fFhqARWuaLTwsJWAcKm5h1ZbcpvMDrbWhV2t lvhol5CKgEwpMunGsdEoAwxPVAu5pW6eWFRkHMTfoewIkNOmQNZlEjcp6XaGSIbFz7b3OvBTOj/N GlVnnFEcvBHcjw1uO4AMsUrkjhm8FvuAvcGQ5jH7dA4oeWyPra3kcPJyJeg2m1yTr6f+eRrwUaIL QvbCxBMfJdOjPDpPwcMVA2m/LJtrsmsLLP9qplXfoaOsOgTjxHO+si0oJP9GEzg4Mdat3ccOCMn/ LhxZf19eGt+mF6QD3K2iOKlCg3vhKaHPucfoFdSCYqVlNlSVzSdQCdP4o5j6nDFTfaZVEqVo/C2b yTeo344XSMr7i5aQGa6xe8PQzexxpbLzhpvUVl1PI9z115jRAU9RS9SJ43YPxUbTzYFI69DedCxi hYb7ptb4LWHifCfKnQ1FiymehvMrSlR8mYwYex/LAgTx059/rfNr8kZZaDvOASQAAzhv3wbNHsl7 Ij19W8vBn6ejKCB9iyv+EvmWGUh2aTyU64oLz+GHgpt14RD6k1Ous6gDusq8F5JPBbujniBzkJLN McLDCOT2dyanr4W8rLoc0vRdrvoX6d0mJuU+ef6jhY01fPjiMPYlUHXnfUEunz7K/I0Y5jmgNpx/ GLkyyLJuiV/W9G5afMOX2+Vxdj0bkBpIWllKs8IkrsppTUzKgzM4m01QrslTK7FoCQwn/hnXt1jf YWacDJ+50eHQ/RWs/YeOL8C990CRbmab2yjhTycgfbS40GFVXu0ntXVypVxtZ0TBTMZRzcex7ecV dC3OthTOjqWNXoib0Q0hfV1pL9YFtTvZc6hU/U6TbpkmOhlNsp6s9pDqsyslqecdh2OsLfIYuK72 ClLdElyLN2UwHs6DoYPTtAGc9VXgE5sAkp0lbkTccxrCG6FxBqP31oUvtMxCxXBqfxobg6KGqUOT T+yEvjr8+u/A4AIxKIJ+HyuhONSDcA/WTALaf1nOV0CVK/t/haPXcFaJ/oeZ/VyaIJMZRiFUCMmP xmWfMbPCfWKjIAf6KiikSBamjlwPhaDqBpiShuPijxVkMXvXe2n7kqb0GcSuAUkTsq7DAo+1+Slk L19HDLQkSHq7ZzSOu7bIrqp3o8BxE5zuLBfhzQ7QKoOwTAdkAOdPdKldJCajkFQ3TZWx6zg96pZ8 TjW0ilGVLpgZ46SAcJgHTM1IJy4LfDbXw0ZuPNJPop/9Uoc8vRDTFyyD4pPlVO7lpo++ollsJn6r BU8l64E9NjVAIksZw9snuwhF9Zwy2vVeXcJQyhz6naRKPRnF7WR0bSXq6KwgyhX0BFxZf3O9pUqB /dlj92HDOsyKIb24G52qN35/A3DvZaKyYDxoGacFONdYk+TNt1PEZ22hfDDjv5ndunsyOEVL9VZw L6vNDy1S3MVLCbieWV/u/beNExxl5U9rgTAIkj68G3+RZD/0R40LCbXyGydKQSay6PUxrTo+19lg omBT+BH3WMxR/EY8zEgJ/VMbX0TrbhrLy+4tJ51CQ4TTk4WVJMN2F9CtVokiaW5VooU/XymxdgR9 3VgPzrN8UgNxWwnCVwSDk/Vs4joyYC+SMI5+Q9wuVmU39V0hyAfAY6LAYtcW4N/sU8RwWhJXTNpu V6/zGc6NJcCzOYLKeVBK63/arR61r6RNB+oYYpG+irLNSQSpzZ0QNapPA3D3bjv73hkzDlSpGB3a GDj6SOHMZR1ES/nrcJEc5pYqDBLcQ8t+x5O5GEA/XEym0xXkqBVHU1PyiljEZZngdkQzXWbe32eU KgHcKrygPfCgaIwI9clpeUkF0aL8bPPDljcDrJ/+jiXTzSgDqPHwQgnOGJdQDhOmWUCDOZE9jyXy anYqMPZ835ucDYXHEDPyYZ0cn07+tGXcMYePPO0YU1vEbJL5ok+27UnFdaqz0xhIK+JhPSqam7Gx EwEXxiD8k0QQErqj5e7Yzh7hJXG3x6QtkbYYCJ0qEPTXSZ8FhWZ3k0ESS0yjYte6iqDpD13jQLFC Krtl3xYk5aR/zIbIcC1ekc2ARcfrdsgXE4WAxAU15+gUIBd3ZEbA90D7U6HSQ5wyQG3MzyHfTjFB UsUGqrp2RGn3ktmIqujXo61ua1FJpKlDxRZfL314ljba+eU0IaiunExJi14XhG8uFPM2Db10Oqyo /qZ8dNeB/tbQGdJ5LC3KdZPwZiHB+B00w8j+Wo02l4meS0ZvBZ/05AcCgftvVyMhRZSVYHOIXhEF fEM2ajyF/DrgsCijpdBya8OLP+G3eNPbR7mhrZqL0FP/rRb5mTNG1V2PsQjvL19keJPUkVp2yWPj 72ayTruOxM/Aq6y298H5C7El46z0boLR7y1bbTPo8yurNFOYUF+C8iH7xjTlanG8owr8tA1/fmYH TxBbBsZA/ShxXC/TOyEhAGIBhb1Gxu1OzsOXD/t6P4nJnNdMvnX0Su32oqXzzWk2y4NYMiTqA3ly SHu2thUgxKP+ZGIBVghzKJ//EasDvHAYJXlKo4PA9vUw6pwW0eeEfnqpwQUouz9COOllnjLr9yR3 l7FNRqTN66nONAcGyC8KvYUvvMi+sos+5YkzjFfrEG5QQwyTcu2A6eky1v5jiy27YFuDMmc06afy AebpEg5qVgqc1LLYM5zwjL6mdjxJJjqhjgkIldws0DcFzNvSFbESZ9vuRiNqeaM/RmMscnKshCGs dhEC+8UdJw4zc4WeStdYMKO4f6NX34uF9bOtQzJ7NFuWdWpXVhiOX4/anJigOtW+Xu/4xwdbYTWq t40qPIfVi7rHx6ni33t5bdvRnDWnYguCfub0oxLr5+wJhMu/MO8IRmh8/1/D30qY5CYnBL/regG7 aYgYthMot517zHk6YrcsJ4GZGJD1APda76XZZEWX8m+vmlFeCtPWb1HU7H79LnoASJep1aFqb6fg Ea8n4uR2KRFPshyBy7fO0ZdAf/1sbB9kKalQzHEZ9sko1veOS+nOK3wu2YICEWJvnQeBrC5VcGv0 20EmdgjBW6+7orplLa1P2GlLfhyV4cO0BIOzHu6v22zlwwBUdpxjSpLDbb9aphas1nyJ7dsTTIAj /Je4CRMKYdX7Ej/NxntKrDSlL5dVpof2fG7zBIAc4xmDmc1nebdGwqPadyz+i6OUFMASBGHoa9E1 jJcoirU9lBnCMSptyf3PG4quI5AahZ+IEB0AZbp1dcQj2KLge827ZovAW5jAj4zHfx5HuYCjQZyz 4Vxb3IF946XMYWE7wYUlGjPVk1TBtJUwHzQQi0d3vyehL3rcUNPhZwUKUaYvD6A1lir5XFHhosH1 DwYLiwT2mO6kbNudRk3uAFgXGnrn6ljksL/SUQJr6+bJ3X56uZ0xm6z1TYUPUzaaamdcQNGJlkxX st+3iSexIx2s8nWjqm3XJRNN44/pfe2mhlolGAxkZh8TWDi38GIUPYO5Gx6+XSesjGy/HaRg3UBp r8bx4f0D4Q1b5NR45XBe2nIcUvzbtVSQT21Xqey6kSvOuldtOMZmycmg4jnuD/jLU02SorvSo7KH 3hmbqJpCwu6IE/48n7GGFZ4B5raZjfziuSpjt+639XR70rwnJiYqZ35jKq6d3ML1dlsQHAN9o2jy 5gEr+3oeOBYYvqhPrUbODhIdwBycIZVlqhJKz+3hvah3IDatzfnGr2EqY9CpHv9ojBpGRGQ6iTTe ijMZXSt3S/NBggdUbqg3FfXTuHukDByn88r6wkD+btx6/X/jWgV238R28WO2XIiUfv4+Vi+3Ata9 rsmRWaLYoqkbz6gD8kOEcsVqC5IZJsVEys9XoHgi49rycpvVbOnNkXtRLx+j3mfctER/Xy9BFLz/ ot0kvVeSnxe4hBulVgyD6Ut2rmM52jDDxFPM7kPoNv0HWsoPAkLgqs7rYvCCC+qBmMYuyfu4CrgP tL0O/hMla+5bjMqjAUf39kTxQ7RrVVocqptJSwkgNm3QvtWEr1AibX/14SyAoiE1vCAeyOB7a5bA qrABjBswaX3aKYhwtn5zXeU6s/3tHjkq5YcCdzOBG+wd051wC0GbjRXIwjV3e9RG6AQroqR6+mnd kXYhn0SKbg6Lrk6YIaLu6YDa14CYivVGnWsplI9aaOMMJubcE40iCtl1RpRmOFFra5MvEx/uC2L6 MrIH86AL+kHcCoO8IHTAiGX/wZBIuwOGBUQSMRp3/Natw0VmmRpR2TVGs+L1KwKdwZ+E/jXlNL67 AbkalQK32+4pAChzPM8cvNkir/bAcXJ6D2sB5r8jHcC/VEyA7tPyCC+17A8r7mVQZl9Pqp7Go4g6 lZ60wxqAxsgjRjWVrmcO6d8Wf2tIKlKL3SEBKmkbiAcT7vg2xFnADhisFv2W4JA2+Z0x0TUkXEXR sZoWrYYlBWmQ9zT/QzoSsNz5s0EC44cJBwFaIRFSFSYORdSJMpLNGa1oHSlage9m23VRrH9+eQT1 2nk9RpAcjoVV/gOV8rU9WNoJVOnjduiu0lK2uPdNrhdKBBCGKH8MjODWqmxmCM6chiRTxwAShWJu qWzWXw6GTVlQ6yEXkhMlFhYRY/CiH5RiuDc2CWklexWDxxsx3uEnHOMyWUQfMdpQ0XI9fqbv0L+G 6VPEzgYNmNiU/mdB/H1sJ5mjaPf/IlHT5GK0AoGd0ocpO7Lvc37RPaI0aBPE3Hh6oEjwZk/NN0wf l662FP9yfCZF7t9EhSU2EtXWgK8noxjV/vrUJ2f1sx2OjYdiVlXthhFs+3TTjFM2ZYMWFZ+Yw4sc KArl8/JKaqiV4LgrPHFiy2SLEle4LyhF9KoIzPygqHuLOXKz2ekfikNZ0fDqNly7m41PJhcltcvj 7IV1slQewuTRBBMBKmr5TBDHwG6AZ5TH1kSfN18RtUob1IkwhYjVeUE6syYBWvslChhlcgwczlsE i2MUmP4klHj+OhH5GJsqp9/JDaK/FhbbGVqCuFcJMzoByRbpqSD1LFWPzsbFTPqdXHzRf+j3oBkF YsgRvIvcrhd29krNMKoay4s9VkZuWpb/1q2d4H5mnMICzcKf471epFmNzPcWDbBQsZMkrQtx8QK4 gZSZxYq9SjYL/364coO3q6a9xj/yRVz9r85jRN4xV6dn4NSSuQlWKmoEPaJx4JzQj4ZPO0JDnTaE EB2ZM4r67SVDR6X5OKO+D9++Bl/t74JZVLwsV+BOKybvugYsZt9qF2m6GkbEaPR2z7OLD53bxdtl ZO0ITK16ruNLTVWbmrBEXBORXwjisy1jcvUKJIHbwYtGdsc3FrNtlsRUkH+DvAcfRaL8h68o9Dw9 wbEmD0fsyIfQH188DtxDrtFF3duu3ffkPA76SAg2noVkjAGID2RbGTnO83pi8N6NfFzvd/9hNEQc JFQRi8ZR3sz9QVcmWKHvm19lL1qBZOj3IzxyN/t2MZq2wu7XptC70S++Q+EITE6L3BrULKJ/X4Yr bEfes2SQ6N5I9Ra9IX3qcXmFqjk/HE9fMtDjZSs2udVMOl7wZ8Hp6VtXTjJH+xf/LC2auOGX+nbh l+6UJovbxM9YzBCavVA6dNSwlWAnTKtZ3nb5+ZD5cKUYGfwHQGj2IdCqB1VRiQJNNhIFf0hE3yIb ZjpDmVR1e9XyKrNUpQ72Q0leOPeVIEmOBF+TShNCE4Jsm3ZFlROi8Gt4ID8GyAFO/CVS6R/ENELT gLPb7SzkDLmyZuPXUyZCS1mb/s1ixEE8LSCs/eOgKJZxdmnnzMFeR3Te+0gTlioCuyQFUmXr6zv3 p0Q1oIAwUhmHFbiFBH778UjA/yCAJFZrgdA82imgL/zBJzsybnf/mlLDJW3LhUMuSknpuQeRpnka GYIX3t0yrWIr0xK6ZBET7Xi8CKeSwPuzJI/uuj094/pbng8Jas4oBAaKziNiRXdRTT/7FVDIsqLG ndfgcE0EkmvW4FZRL/EEOECYGUgJ1RjlukFkdxuuJjqaqI9uiOY2GmKG4UDFOzI8x75d658DkKcA UNlRPuQ5zmLhqa1YTDtXpDr9SEEG2FHf8Wk6myRNwBJ1OayAScjzNhg3PK3GRikkSlfLWOy2hVjY 79tqvE/IFnWVM5MgRvzssAtAQ8vFPqcIsFvK61JAYQ4iEGPMmZspdkiSkv1XeJNbv9T90pk9jC0v bQY8zGKmZy//Yzy34ZGXRFBQTGah3+YdMRA1IbBRA8Ch+o6Wy+pPgQ0pYq+T1+zUyQOLfRlQDIv0 Ykpb9ANwhfSXNYBcqmi7l4CCbB122ILLxwMgv/0siqmK95zcl809kMHn+HEpbB0VIsI3pf6/91AT vte9ublkW+euQcxscO3J7ZxC0/6CPHUWxQ4NNRnsNjdo9sKpm9tED93BfEMnoKPZRIUw8bMfmIzK VU/dZy0T0dREb+aU62uZtRLzANa8cvGin9XeubM7SvcDdk0KquhFuvxhZARpZb0AZJA+ehu2uq+P AS7kfHfOUcZs8D3bqhfmiCoCRDFczmVWhzg2sj/8uuQAU3Puh1RRayp8wpMSCCfEUAz4fjfyyUIE dzfwVvoTmKBOa71PIFFM+oNk1yBGKU1QVj6EPNlvGu0fC7c6XxK9+xp4JhPUQ0drlcZWUH1NUC5N KDDEgmwVc7kxFel2FQdy6nKI01BRYXLa33OYRQWsvJS4PSVq0ULSpHDSEpqqCHfXfVzLYXJp30pb SX/bftPoNyrXC3hw/daVH5IyZfl1NSwiRBu1R+Cim4x70M5vqJQFZ1OEfIiF7Lm0qXDHct5g8h2+ URFWnED55Bfxgaxblt9hjiKvYdJqYZ7G+FAorvlbenq9XxDTFMCHK0JlzySlMjPxkp4sXrh3EJfC 8Z1PKtZZAqmqG0B2dQJgL3Y4Fajkab3Wovse4xbowmkWibv6+htUhWBcDS/m4WC1GQCwE4f4Mlbt o8NK3zS6 `protect end_protected
gpl-2.0
7dbb8eea5743329b7fd018dc7b04dc53
0.930128
1.890283
false
false
false
false
UVVM/UVVM_All
bitvis_vip_ethernet/src/vvc_cmd_pkg.vhd
1
7,300
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- --------------------------------------------------------------------------------------------- -- Description : See library quick reference (under 'doc') and README-file(s) --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; use work.support_pkg.all; use work.transaction_pkg.all; --========================================================================================== --========================================================================================== package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --========================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --========================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields mac_destination : unsigned(47 downto 0); mac_source : unsigned(47 downto 0); payload_length : natural range 0 to C_MAX_PAYLOAD_LENGTH; payload : t_byte_array(0 to C_MAX_PAYLOAD_LENGTH-1); -- Common VVC fields operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( -- VVC dedicated fields mac_destination => (others => '0'), mac_source => (others => '0'), payload_length => 0, payload => (others => (others => '0')), -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL ); --========================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --========================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --========================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in uvvm_vvc_framework/Common_VVC_Methods QuickRef. -- - t_vvc_result includes the return value of the procedure in the BFM. It can also -- be defined as a record if multiple values shall be transported from the BFM --========================================================================================== type t_vvc_result is record ethernet_frame : t_ethernet_frame; ethernet_frame_status : t_ethernet_frame_status; end record t_vvc_result; type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --========================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in VVC interpreter. --========================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --========================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from VVC to sequencer --========================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); --========================================================================================== -- Procedures --========================================================================================== function to_string( result : t_vvc_result ) return string; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a record type function to_string( result : t_vvc_result ) return string is begin return to_string(result.ethernet_frame, HEADER) & LF & " FCS error: " & to_string(result.ethernet_frame_status.fcs_error); end; end package body vvc_cmd_pkg;
mit
95bfa1d2b3028470cde1fe5bdde3b3e2
0.455616
5.112045
false
false
false
false
YingcaiDong/Shunting-Model-Based-Path-Planning-Algorithm-Accelerator-Using-FPGA
System Design Source FIle/ipshared/xilinx.com/xbip_bram18k_v3_0/902b235a/hdl/xbip_bram18k_v3_0_vh_rfs.vhd
2
96,005
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ncUawuo3vR1ZycZF8xtqqfVI6gCrdI+PWd72xdzgvbKVjiUqedCWSUEBFuuQDLCwTlT4hYrqtcoA k+jkF6hUqA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N3KVU8m7dp9m/o5klJahn6JrAp4dPvJ5px8Qjfdd/9teg+MgeqRSyR4a+nedbYovR1iG1M+OV4GZ eedyUHeQwlftb33WHTgiSQcQOeDYQHOhB1q+SjuhN26SLFWK3YFERu3kL1tM5w3W0nuFqj+bXHZu R4gQdtVWH/+OjyCytQw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZuxsHcVs7eB3t+mMECRU+c4tWaV00xKC1y8JMSw6ZK4lGIrGd9iKbAKZ3Blwh1vsVCQb3NTC7N3r Y605Rnu1VKPlFpM556/vIzoPVRgcSvlo0qBj3oTSzlA5eJk5FVF3mP4v0RD6iY8xceU38ESPNbz9 tslYUbhOJVSsY7yCjCM7p+456bByCG6ed5+0nGONoXPAT0zF3Hxdnq8qgQDMjEIvOsaFSADZUSxL WwjD6WPmcry72t5+zgCtiIUOoGhbFWqTndKP66O5YJAWE6dVlP4zMLQZZAfmdfQyazOsgs1uciSH +eAOcN/r5BkNmFBVWZOF8biq4mt3PmniNwcfbg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ygy9fvZbqToh8lhxP+oGEoqQi72mLbOonZqXDBQOfdz3oQWE3Hi1Zc2hfB1uR17TPoqAq2eJIm6k q8c0om7asQ06vgODSHayDyQ+hyxq53TnIlLVx1AtJPfm0kI21kep00Mfc/Dwi7Qyt/ia2tlS/tQw 4OktcMlj77AyGCR8zdc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mcqNli4YixoMqmYwzxOZ0byTQYAQvCZuCaZ7iJ4keY79GxqKVx5edvY5HqwqCRXfHCDzwy4qGKcN pXmE+CGNG2mMTGEfU6W2QQ+HDW5dsb4d7quBuFh6+SnA7XZEst6UjKRr26YyBGTL5qgiRLyYbkFW QKRK7TmdgdCAj37TPbTPR6zjrQ3PTlWUwzVToIPxndDd6Jgk0ZyBHqXveC/6PEihQuzGKgS5GKHX 85sYZQakcEpa7RtFdztUyxh1/Do/cjYhmERWgZJD9wSCPweFJCsvo6MP2JripEEkasaBYRqfxMPN DPHGfcHemBvMggmA1I4jVeD0GpW65Lo9IxE2YQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69328) `protect data_block SzsPkdK/1I7crNTpc3RI4Zzo7EDlvi9ZmdqF3v/0mOM1nMfLWuVA5xM+ceSYhRiIoUv52p20oOr3 +cPj/EZvd58/OJUhWlYMurzRPuH/t5scT+ttvebEypym3cw62Mxp54aDbb8XdQaQ4v40uIvFOcXU 1Oee/B3GOidaLHMdKyMcBxCuxsp+v87qzFvNW4I43lzaT7pbWGxZdigKT8VYs/DLU7nQbqO6iRhO UGyMQOPYuqRH9zEPH/0p0vHbo+KJ7yQ6HF8rTmaHoaSlts3Vvzy5gmJ8pm8kJ2WyHuzToyZ7YrWh 1ZH3zbIo1VbiCtyvygxmPxuwD9EHCV1Y0d4lmGdJCItDclTuzLeDpdmQxpwXecyxvxSHYcT8rNDB pshQBBEI+cBa33B+weASypUzSS0tPQFFGwRUKUhArutmF0wNnqwTmCcBFdA2jBy56sZ1cW3wzBqP 3NNmXrADRR+XarHAjMrwLRpx3xHMsuC0hsuF1gLQQQ9Xpkcel4RXp0LRnWacb69ij5V03XdmC79f 5M+BJum2AQcUN/m6YVnzM+fxEhIOpPGCwEOWA7xOR1lSvusx57ZUfzpN8/cB3uB/8dq141zhuijR XcvcLfDvoJ/T8WW2jNQoLP1i4hHXXS6o/tJsOjENrdPLi+Z+MEHSzvQkVFyWBen6ri2hhVg0kljt ZS71ZhHsYrVhoN3k/5uFnWmDHxg6BLGDX0qIgDhV58ZFX9RAW9kopZjpMdCg0e07nJtO3nAEJR+l ITNJY9RPZBs1wWgl1K3mL2xgAS9q0fD8mmZNtv79tzcAPfkZhJ9tdojv1Wl/XsD7wfyVkGRyRCKu hm4bVmpCaFRUEhZJ44kPH8BVd50Krd5mbFttm8ztOkDtEH0kJJxd1FFFPOuCtFG3N7jnAps2ofGr fHwmDl1T8gKzRn8osbcGRRh/r1ANaB6kOk/Zdu7fcHi2wQgenwWj0aU/dtSdyHcyFuA+xbukS7Gz fOZb+/col2lgz1AIVVU6DxAcY/g1CymSCg8VOEJAKNM1CJZQ0WZ4VyTOBvudpRn7kdscugIq4QJu 1MKApGHLw3TH834I9RXSPdHhFowK9+VCQATkbZyM9/dxue4KJPPKYg9Pc9yG0QEqsPTLFA3eeBb5 oD8kx1VSn16MB5xz2U80jAchR4L1aLyOra0Y9nZg8+i6Fcs2VpMzngM9O6Tj9eJSXCv2UqjDwxcK Q9+efuajo3/WU1djteQvKvrj2/oRy45j1vGlXUIAqU/ZbU2FJvXu0D4pdZgJGEbEHAALs7sAzMtf 9MUVwzPwU2emkbB1OBtyvEnEFGzIy8JWMkyuIt6NQ0XVSe84ZPuD/Df502GAh2yJ44KE1l8YYvgo Hd7PPtgujH28yE4B9h4cFT2JYuXocWh3WUv29bkzjfHG6wTGR2IpTtUWqbtxiwc2v6F1IeR5h2a8 K/bvf/G0HeSpdQ89U9Z1Tmg4ljm2kZGhiH1JXMj9we+vQojZjwYcVQCFU0c+Hje2nLWtXpsDQMf8 /IMVw3aRYRES+dI8iM+3ed1jYwjaa2RlGIuMLn7eUegpmQ9roRqRrfyo7fFwJh6WHdodIckPpQvf 8GLgyfJmiJ54CKN/WjIA2025UR4RUuZ/m93mycBoebN8UCYE6n88Ggr0aCRLxxLxbbRn1Q2JN9xT rY1I/MAWY3m+N8smDk7zeuB8AwW7ToZDlU2D8nbXx+4VSVGLEikYA4RqmnWOPtymzHenIx1/zBc/ qMXxSYDFlHQpSUHMd5C4yRuMxpprv2MxtHyYnv8I+3zRDG+gz55NRUVW5DvIEmeLGRV1rD4e+G42 t9z9f5kEMKbULNVjl7gHuzhIdORYaYVDSPP/HEX0hsGNNIuKImGYhrEqIGCmq/5CC9pfXsWMZ/KV 7fImzMmJrACl1+K07Uw0n0UudKp/MKLjQxDYXwLsxHphjFi4foYBABt3A8Hp80NZNZQznFnv/eBI M0fd2MYuZ6lfldBVd66fz1TUxH/U4dzyPi0cpEuEv92pzIV/amRgjKE6UQpdkUXqaW0T5pFRalji KoXt4Kf/6nA1JhzkSXhA8ryV4zIeB/W2IFjskRe4iqUaHETIp0DtmnmR4AP5Ii7P5JppPANzfcwd Yy9esEkX5MGCcIS2Auypu/WONJ669YygC5k5X3OQV9Evp0T0yE/Bp4n5PpCw5eh9WVffHLykeetl uHgL3MkEiBjQKMMSx47COuifSBBcaFhXBHeASY7XZ2DhLdrIWP/Ym12PvhwJSKXgHgGHm//0NLpT 42gvIDXUlrJEw/9zKfO+VFC10zucXpFuE/QgRTSVz1lCr6fqz28C0Qtn2xspoOkEllgdwI5rQAXN bRDQORYCX2teCHgoL/GvXZVIO7Vkqc049qib8SPKt02s5rc2K9t4TmzhzPNKYsgipraDKLXMf0KY maH8MWovIUd7ZjWQXCERExW2+H3RzPon4DFQBRzgVuQTpOXBDYYMXTZCfXdCLU3Uq3eVHO7oQ9N5 EsWCEflI9IzBLlEF/y/YJSmhaQcsQYcN6By7mY9hg1YgLwcf3dUhSAOmMe7Azj36zdFGffN+Z0Ty VFqRbHzF7R8WEKI7Q+kMQ1lZQHbc7MF8Z5TbSQp1rsV1R2RzBp+ZVBSB3C2GAt8N9L7Xe0hS7SKj nt+/qAnvnNHk7EDjmap7ohATrESHrYYDEEYtPK1mgh/OB0DysFnBQBSEJbzFOrvDHaV/C3w2gZLo s5Rw8OuTP0hr4PiR7/N6vHOa/JgvVCXqiDX/mz5WH/7UtkMjPwKCbfOLKFHUj7e1PnD8vNlvVCD/ YOk7zf6LbemqwLNUYwN+hP07VxXKWZk02Bg6DQSeOTQZ1dieDCvLTaN4uTFkpp4wvDHbdCQLJaF/ XgD/+XPeTrtJJEBE3uiT6vHq1MaqTOUU/d4D2uq0r+K01j3/lhDWEtFGkrv4QcHS1M6nXV8pRAxw t456+FPk0r4XeslgjK/eoDy85YTvgcadfZIJn9oW48xkspDj+TRKBEfvYEK7PYttT92FrNEHhHJk 0kuH5HGXNxO725iKNLMIRvTO9s7X5cQ7vhIMvYAPP8HihkItsu6MLZSI1mLbisCzK0rE5gODmUwF nnty3fuH1t8YF41GA3gQrrqWwTXdSSl7+RYxozbpbhLH9gFTkhbo1ARb5hrJtkWm5T3O0YOUEoPC ETEeDd0mHukmhq9g/7ORnTNvD3/4ZukRcOKA8bFvKtqK1CMALi2bsizjQ7JOLSEqKmjwyWer1vcx eIQlbCQzLSpTWuKuaW+FKMrhXXmCq6NnH1e5WYbs6B9V9S2d6132y7QYa9h9EDZ+t+H+31cpfRkm /xDJqdkBFqZD4RYpzqaRmfaTXzZzqhjlClULx77nSixfQeYQEFVK5fhv14L+jLOmFxJQPxAgubsO LQyYSyAhlDLyhEojpT5F8QPkYRq15nlunwwxucLjSJbErxPqRl5r5kPrswE2NwmRR0TT/f/ZaLJl SmSktJ6R+jMk+bzRVfzn9ys0bORbwNztjvLlP0ELQiRV9qrGw5Hz1e2Ye4Gu13S9UQXxK7wPt/lp TNRZbZcoT57T43B6tdVKdDOeDt8AEmbxebROv3CE0gd6CsskCDrOaJ8lp0I+NxvYNlg49p5qffDz ErGdesoMTO80Mw9nXrEuCXq9iq8ScH/qfUZD6/jkxfn/LXbp24yTw3k13LpghPDl23MFSKtNjV26 dpzJTM1EgiMbuy2KMF5ctBj6O3YihBZOsYrMJrzQBIeijRAcpcXNai9GgWsc36PXvaPzahV5wZqa BNmfSaWScXNG9fV8AHRAaqBbjoZ9oPyzLVCALoFGnJcuYR1Ic341cCKSk3RYezCJI1DSkmKA18ZY pKy73PFL8+TGAqwB+lbIciKChC0ViAs06Ppr45mO5uxlsAPRaMcAmHhoZgFh+Razq8L82Vjb8tKM MeRbeOTefpx6YL+s8M+dW+HPh3lzT8wv7OlClovFPfFt7pJMGfz0jMWk9UftCykdv8JLGhPIsxon Olu9SlMifSeBnr23LcVsYocV/Ee+yhClH87AKsKUWVUIDX/agviFPNtYyOWlpDf2fwxx6HiXLgU5 0QcSWzVWli4XglqGiIrZbLYIScTXvj7PoAuUuDdATaJ7NHtfE1zkl3WgY5fsqSO8MflWwEqYEfUJ +c9GmQY+5FDST+6lBXjvURgJsghtjEC6ENsiOtKZk9wqITLQVCI6+4J09a7eov1R4ymg2JpdaALA z+hUjtRjImoURBlXvttJGWC6v2ITlDuj4l3/5+QOdxe70k7eQLcxj4B7yHCPYEkC2eWhWRMWMmds BhXq7VouOGrA2bXtELjnXoVImTQJBN4/zjZnDCSPfc2JJucnoXED3TRPgqEMR/hwHX2HTVoh0BEE Iwhx/65eMU6r1M4GytOdUIHzckyoS8LNMSOfrEPKha/cKal/s0JbW7bM8fCQ55SUu3Rekn/FtOB0 h6oWvS5F8y6kbr1dKw8jgI5NZKnWCRN8HHnFucjNeENl4GX8SPR3qewVI2ZOkLVl+clSwDchob7U c5loRWzb8UIFYzG45W1kJzB6njbuBkgHBuZtWk2yGHS7Y+bxKdC0gZSb6dj6EfhWTwDs1eBJCzzb s1A221XNM+XcsHOm6XEIuVzK8vpvZ4rgSGqfTo2Dvb2U0c+44i7NTXxFjF0KfiB/FeOSdBqId8/o HEFffV14llmpgyy7O3/CFJlhOhYdMK7+8zuC9koDpw6zqbC1TOx4+9OqyxBWQETz65/1nSh7eoq3 /pxknZxEsJQx1jbdfU2Zq3JOzOAy/s3wmvqTZAidPXcpCwBaOu/ewh3O7Ro37ypQ502Dpsn7zQMW hoW3wYUfFqapXusSebtDW2VE9rloVHidF0+tkxMx/ps20uw6Fx8dyroMUbhiVfzUX2WT3wbVCNC8 jax4np+J3YoKkYbAOzY+CJsH/UWd0fh9TW6ONVqn9MtBwGaIe1kd9PnYTgaTnKnQ/Gjie54RtCwM LVO5n5qDzmef1RiFXlZdH3JAv4UDp40CeoMeY6VeBhkJMyndtgrwmKHyFGEV5b/i7CVOUiQdSoH6 487y/rxDJCnmiPHvL3amD/iI3OQlhaPgBwmyeLMspFHyOQ29QY97Tx1T76b7OWXkuvhnlTUBNP2n XFn2Z4jin7eglBKTmAHIf9bt0VIbTPzeVS0DBTgHQhtYca0sT9VqOT+c4pMGb+MN2Q2o76Xf4bug TVgRvqMjfFuyjdWMQAchk5B72elD3aiFP9MjkfVtW12FbWQ3CQ4gmP3/KSA8fiOp84YAmEVXaiLl l9xgjHrucFdfJVIZBelI/zTg+5pRh2bOPkDS1Bf3aLoBd9Cf3w8ERKNqvlP5rWsfgehBqdfkWJOv ru7bM1HuAUzZhf+tqYFixJWcBaeAckRM8XjTF8YvSpiSBjyrZNIae44w0GjChspFYw8kJvTo06In p79R4ZOYcElATQFHEdYvVHkLYWd16QOtilCJ9Mr2Q1JcjoY9yvMz/XDce9rAC9i7yXP00KzEfYHJ 3ehQWb3AtGwOvWA5Jv9ad+DenSISffPjiq+SgUl3a+JAmnKvYWuOKm6xnj0n+eb1QQf8i7oZ9RDh hZPy5ulrxLKuzK+7SzwMLUg+ACpw8P0SyiEapVewXcVcaQr7u36RPyIdIey2M7u2zIiP53/UEB6S jvXEnxpK7LZ9nvNMXXSdAHdxl9bXByUQOmKiWwYn0T80Tv1p6kyk/w7JidXnEc/V0+RGZTArDGQc Q27wM4nqHXjWz7fSkWfrdD9lvZ1BGrTEIFgWmhQ/OWqTqw4dLO3+d8PuD3Nk/Knfq/flzwV/mccc 6GoGfHZr/k3nGdn+hBICpo0JAJ6Rb1KEiS8a+u7Xy2wF4cT6Gtq9v5Tu6fIIFuv8XLwqgh9G+EJg 4Og27wAZUTxCzx4OxMmHkWiYewuUTIs0UK7gEtqaBGxIaAWfplBEUZLR6+5q98gFm5PZ+q43y0GO Bl96+sr5mA94TvkOI+hrHPWY84yUq5XgWytSE//hz7TFWbFl6Zy2zhPQ1UAGNMkeynsiLm4GFmhK QaRaQdORjAuuEryNVacsDTNL32TMw5uv7QMt7Qo4PgfZNRx+3vF1NCX/FSSEibVGpNQ2gMWRZ5uP 7KwMP8o+XJU9S3IQfg8d5EUmpwn0C/LbXVGffvYMn/HLaLBlHL/QYPgXYrBx5yTKiwDRx9r1UPAo 5hetK/8GD77dfsWYF698UFb8H1gzJCa7ey7RztVVVFiusKjXpj907oX4KTKnpPTcNbX55MqqMpo8 E97MhSUzs0Wl6zebSTGOUAjxodbYr4DTuE+buhzaIiH8uyv7AqL4LgW6j5PGzZOhlWGHT+T0WBnv ii2D2mmeZ6lBidO4+wrO74NgVMnia6LOG5h2uZ5YiyFdoBWlZI8NV1fQ3Fy/lb5RmwmCTC71BSmh ouJ/H8vQrGe1dHYiZLhsqtOreLOMUf6ujMLsGlHTe0CidFLiT4OcOBGGXEMB48Ju/XK8NzahTUDp LkbdddpbebhCl/rqFqf6NDP8cAHvRVRlJA3QwhagjWGJDpOKEqJtRnvf27nU31gP8CcH8y1ytyhb nF2q0gvPguTj/Zi6mEBcqph1dkymsGha6QiFsk7qHW+R3tcCafHAvQmTJ88ER91A1qTjiBaWVQsU st3zKSIZoZhDIHmrcFpCmriq7Va6sCp/WCGHRC0pbpoCOA2KZGXSqtWlfHeWh1tplolqVR6SBAr5 oPEtFednabiSeHkD4RzPqGJdcixZETFtxHK7pumGwQIbotG8LRHGcYBL5nPob+SufzcMo3yTkmX/ uVKB/tUzL4uUwQ98jzjCQnJwMljRs4S7C6S4v4DnFu/i0My/oj1TRdw4f7BsO+22+WHbJ8LMNG8m 5yyim++YCJU3Jh9SSgZ+GqEmm9AzMwS3zZJoPKZCHmwarLwWmVFMvYHaAKEflXcSs3TZrOou/SUq U1+wO4nqxXZuH4iVR7ivVcgHnr9y+0BzXPz3z97T/PW3UO2BqGB8QwokiuVX3fGwxqejIHYUeuUf SK7kwpBcwa81zpeRVKpaDxSMPtlnL2oKLKWwgjGOj2Wso1zCGfpf1oLDeiJ220Zj0KSNYWaGt97K hOrO3m3NvJWLEIXJvpWzgR4Mq46OldDSb7tAI5EUa8zAWf4BCcjs8H97pTTCFZvVD3lUCjsJgawQ UMzMY4xS8mDvB0XNlOgh6rnyzAqam7yafmY9FDZ3/yKMM6m1cKU6CvEJ6oSlMwO/qZdwi3s5ORfV rLRa1o+fMDbdb3MjSSjfkl8bgYjQainh0kvsSQV8JrtkfF4D6jr1Sq0DvbeAYLMtZbdAPpZ+WubB BABYB6AAW865vZPds587qT/w7S0z8RssvzqwgaYXZxk5qUjo6q5UMA0KYDDXXqzOMLNaEPzb2XW5 KOHeY5nLr5AeNDIafO29C14afrW3TrCrRdlv3M/iIYbTEpK29blRdEip5Q/wVxzEeudf6M4yCO0Q 7EHpcsrKl8v7SICusG5ewQu7AfBCcPOMzjOFV3rrR5K7x6IZg9y2JD+s/nmZMnCc5lvB0QY8/s01 bOgLIOu4GfVK/uqdm6swbObT78wIsHhI0bn8C1wfzhx/jfC3/UO3J1L98HfvEvKULJVIzDxxYLoV BTX/THPokHYEDTTdkmj+NJyomk1ATri/+l6xzTEPnjfiHMCccgSv2aYG8dWF7xbRtxoqm3wXGIdT lYb7ZZwGmOPz4X2CigYUrTYK1aZ2b0Gtsi7cxlFLVM9fw4fnPw9Jdnq5n0kSA2emiYFKxrb9nPiI P4ITsHtrkMdW0XS4XKeClCfZV/m12YpdTUrGBuY6woNrOhn/c4JUSeNvWErBmXiV1p3o2/6sXgBU fI8mtnlU+YgQFO6ROFDvKWb7ismvgbTmGjMd9pYKf9D4YzNE4n7lkwYpWIM5KmFeSWs2mG6apkGh SUq2hRa9BRsXNuu9t6mnGOxAoe8t0vXNZDdrw8poytgALFtkBl8/+GLxN3dkuvs4wEjEudMz7fE7 SoTYyd7MWAmXAEN1E59BGwI3SMgqN6XAIPHe1376blpPBT0OfxXOpHBrX8+LDbIs0qoTKVc/0b/3 8KS9CbMnh1KRr3XWyU0gCpbT9qnGU/m6rvlpNJPHi4XiEygTk4zoKQP3/nqewxRFy5/v1yhbQmvK CeAMllnL7m8SVMqwBTCIYEgw+N3H/JwvFiatu4Grq0gRQI5Dttb4/NYRKQytNRklJ1HrccZMej5n GiV26Mst01u0JgNbc4yVcc+cU72zHsKbuaoimh3NFFwBCbGLLO+1epzJWtDw5l4gKng8PvuFkuEC EsNYwjlVYk3ZPCzpJI2hxNKPFPzBurF+zn11zDEqTfl0f7LUUv2Qp6TjiEf+oxqIWRuPcqOV259r 9Y/h39A5/RCU5cCMrJWteYi75v4PmFvKDk2phc6MLflS3QdKwiv1C22qeG7B+O3CWT2HQ3ic/VyX 2ewUkqNuSurGqR+EhBoSy48dLvcY0M2HiLfB3HeQYHV/A6bsXNubvHr6GC22hT/S8e12BGuIfe67 32yY2BLUUG0uPNRBBiqTakfMpKFDbsXi3uwta9iBe1VG0AonY7UH8jFyCLzphhcn+oUa/E6klT08 R0pn0jbVAftb2iubL/SQmsnRXy8rGOv749dh5Xo/zCGXldkcQ84026dmREfSTpPen5BrNS39ARBr CjRsvhG40J13qwF/ja0zE+6yQ16M4NjMl9JA3NHc8doaJkEOq30ZSgUGCx4gIRHRKsgODJ+qmT3z 9LxsZK2N82ALqhRLoj48Kax7+ATpKWbeVqTfHGyXGfGfm/2vU6C9ORJPD9eVdFk6YkwyyRACvfjf 0hUCdDaAsJqhDN7lDKojhUZ5qt/b/YODvsGoxRy2lfIB4OvnmlBfswPAj4P/4SZ3cR7xA3kh561H bG2KbFd9X5qN6SJX+5ukb6YZyTlFX7/eptbv+etklJe0799sMPStujMgcer+rWOelCKg3vJnICDK 5WsFTHfn1QgYMe8ynbmZZK1tVYYpbAjzP7k8xt1P1HajO6H7BfaB2dwsv1MsyTZS+USCGxW1auxS udx9IWjmtkLzcivquzIotwzWAeVr0djuS1i7U3PQxHTrbw6arvIRS58Q3+xtKUdEI9MYbY9MwHeL 5R1Dl4CLlPmz6zqqfBXERgeC6Le1GDhQF9WfKv0PsNtdQduPVvJe5kw4lNtjeRLIEAxdsMTssya4 yldF7fJrv54vgmtzj9pjtb0qhTox6itcL/yU7KCJqoGILkdwL9C3GgP7t8/aOjTjOe4P9bpnCa/V zLC2whDqrmdZJsjSD3Oac5q8rsq00KkxBxxxFKa7wOslZl66JxHIyaqowoITfVFH5VeeKsK/eNl7 /q/ddff4cHXpM2+dwy4Py3TGK5Gi0HJer1Dq4TRbKh3rrAA8TQfi1m4x61lhtLqXcOGnDaPw6wA1 ogoAJ8ZmAcuzK1keArQOAWRxNWTyHFCIiuC2bI97rhtI9OEWGTWlZTs/i9EczwnK/X24HRpEjT/h QxF+r35cBOGO0AHUI26KXsa7MTx28JYZDJQ3McfECt9vnV2x9W6B4gnksoSZcvFh8vcO9/4Iuzxy bTBcsnMSQ3N9rG/UzxxRMUvHgcgu7SzcT7thF82jQ9dAjM1bx6XP5vATVhLSZI7rZNYwXo0o9Uzp V1YfsHpTk9rixibiC/LwKoNFOAyKTfwifKJe9dqxAd+0Gu+lRyCwdabjTEjB3LVTLHqnSuzpXSUW yZBVwJH1sMZlpbKOmgtQUBZGqdc5GTz6vqa4K/pY6kHQvNgLE4yaLzvPGQJNZHzLez28VdCQvazL yNgj8XJfQ3Ope7vGk61BCcOuWFrU14qR5HlHXsnDn3KTMin4dfbW4R20lYMmpREqWfUuu0TfzOmQ D3QbWzuLAY/ASkEyGB7l1AeLz49opNNW3QaESRCA/SxyMBWmnR2wgVPevHadPITLjRdFgrUGAN7s WZOottKqpTIE9rrY7GPGWZmNDru/pSjgz+v1MqV7qebnB4pjSy6ZErTzKBvXIVbC39U4tY7X8mER JJFjPmoz6h8S48Ejr7osi/wKuuKrlsdSWSUbi9kAnKuw1BNlSaHImBg8GWXenAIDROdVAdoLjDuK IdBT05pHEdfZvE0kVdfAZAWXICs5ukxZ83BbzRf4tCgj7WM+8GAiVnUhBs/HyHMpSGWjPsSVVwHP pVNTyLroiGtUt4nKZeiPCrSTD6eM3n6ktTqTpU/DFM9cAH7/p5QX8d3Lck6/2OwmksDx73nxreOe 4nrLQYiOW9nFs/ZyPXsnj0eMSYrK4oRdrO8VNIhwP2ojC3WtZcvsgy2hpUL4hISMMA+VSPk8HBSy URLgAC3tMDDrIfvh1J3IUAD2Z/PRia9NcsRdcQxSA+CQdWo7Yw7FSQs3+DhuOVWNCCdsXADvuFjs E01pGCU1FhQM2Y8UVA0VU94CDL96JtiXzag5emBqzz/5icYQaIzHCilkH1FtStqGXWIrJI6beeWB G5HJSkSw5v7JL40bP32fayyXxUrUY6/L6fqeD+vjaKrbXGQjdaMPgBzq/oYTLMsyt4R8wCya7czZ odDr8o4EBgC7UvRATmzx1xSfZBWqyIFVC/TKZTFsXbAy9mkdHvnRJVrfE9WsM7AnE0pD5vwlU7N4 6XdgACb7VHne3KbUN6UkMTEld0kV+ekxZxliV8lGj8nrgN2XF2WYgKzoGP48W1u55Uj03D+H0eDT yjNmc+Sb0+T2vFbwGmAhav3hiX5dhwUvKSC2CHo0XJymaxRnvOSf/YFqLeSEJX5zw13XZM0QIciG i6Ba9hqXHA8eGUckajctPoP3sm9uy5RGkRcIuVAeB/cIFtYiSauiteavMj5+rvRxOs6M8Edh9kmp OyJ2MiR1VKVHQV4zbfuJHCOx0/xvwW31gWbQD4vRqF+u3MKsdZiJYmARRsSWXK7HcfYV/vIzgCch htSNshYMl8wPuNFZ8TThy9U9LTt3OxnYqXTKR1s1licCRt6lf5KXlBABwj+nchgz0SSh4i629cFb 4jjepDfzu4DD6Q/w1CJzNFqkYIkYLvk6canc6CtjvmnNGWpFvGuZDO4bIamcIM/mzy7/TKPggGOX /vuWHudrhPGMcAw9H+ExJKoMZyGSCrPwTCJtEWyel/YjmoXEGzOprPAUyrr6hc9B9GGoYhyOC7hz HrYF6Ck314tGqagGz4M/n6zrbzE6jc6P0eSS/u1txxHuDFrfcU91nQeU8jD4BfElYSp+ByDJLvsK MTFObTVN23T4+m1qcv23llm9/9F/nWM0CNMmZDk1bB2u3FO1/hXH0e3t+Y9iKxtgLFlkPue0Pw13 6ASe1Pl2Mf13krXRLViT/v2QGF64V2I0BWNnD5ES/rZoALMYXNUA24iabVZkvyqZN9HdiEs8TC/R kun/q/iTOQ2ybtyRHC5443uAKvMSPppeYXIib8z6RjbjXYK+d8t9Odih9ADqhZf/4CO4JHUeDIL9 E+kU9NARxswCjuSz/PKaXBdS62VTHmWDLHwX8BrccfkcoWmfmBcraZZesreLWfv6V4Wboa/LRCBA HdE8kUrrPqVtNBTnBGoO2ANzHGH2y00lP4oUj4g0jBQoCUyXgfAZd5AhP/TraLrgJAwTnEpNXINE nX2RBu9WKww/ZsBWSaOwM/reu6+B3zDYBD/PWojaLBVKO0sRwveOP6w/mTlCngOA36/mt+XdDuLe /3ZsOT2qE/E2PaxRJTs0VFXCpoMr8Y3FeqvUZZO1YdZco5pMYNkOJLfRckTtCNfcXtsudNL0cVJI lnZQj21Lw1ajn897ttf8XUUtyaqKcAJN0EKZ9gpZYMqEzqS9VuGvP4lXY8hrav24mFK7623L0HmX O6jObYwiZ082R6d2ZUiE+MFZ6uyuVkssCAAsIf6GS5dO7VvvDUh0WSqi3wuzp0QO9j382WEH14Qu bSii7cHido91llVQCU5pyrzwL0TYxlNt0Oxm6F1IXXEHHMxGAsPLebkyL5FTHgPhh3qnGb9NFP7r oJu5Wz3fqL8It7pezLaRbI86XCjR7BUeqt/YnAHT5o/RQ6ss8F2/Rc/I/Ef23BotuyKTLhL5eSQ8 n1hBWsB0pUnlbAW1a5Pu0QQ5QF25oEXDV3vaoSQ4gN773AEGljDqb39hwrGf89ysHOD0s5DfzZZn 6YpvZczC+CVPoWqiexAaIGpCb32pDG4Lwsu8O6l6iMb/SjLlX+EDbBfqVgIKSb/wr4Bn9TewUgCK AyxZv4oL3rqbyswbbTC7JzB+3KetIIxmZyyR2MqKp2ZSJLMOQa2wOg43eSBQKWLXCQUgFTUwmL9V 0hrBJkAF/TEJVeCbLBoIJs3VsIzU1fKdscJCwEBkL64BcLjf88NWL7/DgBuY56EcNuSbq0YpUNob TqaRvvDy6obkPB++tl8MEUvQ7HqNYwaABxdXF+2AQn0qHhwdmVhUBl5ZljGsIbCdpEGaNIoK5rPY cDZKPY3JKYZ7/EB1EBuwYEuOlSdtkAIJwyVNSbuwFIgHwWBLqUeS9CUylZC7A23F720SPKsq0kb4 hFZNpTBmpVGAe6Zg7eEDXh/47q9ug5bFQ6O1Rzb0lU8L9Xef1R9zyKwlv78SdnMcSyUBW2CFgw58 G6041qyCZSeP+yOSbPtRxRYAyxaK9Q+91X05AoFrBhLwjX9zwOx2Og3kfBYAoGxuYtoivuuEyb4O E+QCdrFUYg/IDzfnH0Y8OhhwMN3z3ZXLhns3O+XmnY6S/UiwWzFO48IVoJl5nzG19i5f2sPZxJyK JDv27e4D5G9lzIqdEI7Pr1gw4Z0NNoEjnYH/YnqdHpIuyc2l0dn18+xGladMBxhZBXvBd+OdC5Nr dVyrrCo73rchyxyNQOgNaDt7NCuBHnjbA5woNLdQXnITWc2inmOX1LcoV5hdu3Fu9ipri+awHgdv Gh/M/XK9tFXe9e/Czc3zft3n/QS5WOZG6+qRC+5AfUVygXbLMFITz8V0o4RS0ud8TW/EZRYv99un UrTWjWmI5QvZk1jDa+qqHxpQtcoW1HwACJN7VMGNQfp1AvnfTYjvEKmpqyCPVC1zyZTOv0LgDCkH GqKsLUdlhnG152OlXF0uRjRpQtzmekLuJXUIuzhoj7cOya/BSVzFTCnLyyOdU3m+KIzl0lc9PQkM 6aVp+L+cBVdT67Z4YuiVh6JyVjjMUjsMDdk+C6+lA1dbge1MXZP7wW6XWwaiPnkj4n5Oh2x/eBGA /WCLduN+nw1UyvmJ19VS4f8Oj5S9iFtE2tWPmQ/XmFwUhf/9w48viTcwM8u8Riih7/5YXAcvOp84 tyJEOPwaA2KuaBN2YDGaoYVZVIgDFz5y5NYiUnNgfnhLmkp9Qzw8lvmbabAhjJUEhC23cEnUDh9k GpYX0w60160cQHKO/Nqtr/gZAT1mUGCEGevlLG29B9iw4dB9RlQK+Pa0RmMHUDgGEEdbpKMIlMP6 bjzNhcwctnE/bQyBDyeqRwE+DQ4oBiB7zTGUquKCVDVHiTX/lhlu66qSpD9gflA7tsotYOQKnydW CDK4FlXb1AgjGQO7llYC6otfF61WMSwu9h+WGZYXZlua7fn2IjIOLiOz5GTGMqePkV/5qpLOvp73 lbuZP7xVR460EqK7ziLg7UmHcTntfmWUW+slmpBQzv6KPQrToHJOVz5HPw2AXJXJCFuF9M5ZEjD1 zVxVPb5B/iOZXdyFPZRHC+6bGInQBrOO/LGYIYyVsXiq9RXvrK9EQSHpbcurpgyqSlwKq6wPIOPg c4mk2rvhffgtfMVtYWz3FFOC1hWd0qwC7IcN8iGcIT2NuiQWiG2c05ALLraQskCOBzSpMuyV2IKq zALcRGBreCUX16q2axIpvyIhmrRdBnbyxF3t3l9Q5PVL5GRgyZ8Q6ucEvGhv/mX3KMrf7Sk1YnMQ 5q14Mcb9gDixv9YLp5zQZ01yCFSLZU+dpUDQ6jkAVGqLGJIqyqEO2DAO3gUjfpQs5ot8/aZiJwO5 PwL0/IK0TVgkywyVMtxV5uph9mHY7202bSKyhb75z8eatgK1y7tS/jGH9pLz1oyrROH6TYt48gGW l+OaDUQSixNOEg5ra/8PlHFq3tHfiEmX2pOyaS4DqJ1HnkuGhRjMr5e1Jvnly+ZnhFqafaQubSU9 77iPmuVTl/VpKSi54pWjAB9fnMu8a+w1wzE5hllTLmEnVCw9OzGn0VUO+jMvQ8M7qJS5GhQWwejj NsyltW2rwaekwUzbJhsYlOrwmBX4czsc7b+KLnEZrloZGQ+SXpdDdNDlK/SmHVV7CRVfmotOeUXg eQIsOaEuZGRle5ahsU3fsLTqPe6KO//SIZgsQiWrTQboulOzaOvtpni3XWQt2c6hbwBSa2oP+Wzq 8UNM8/pCY6WYxbitKK4wdr+PBvMbJFZfDP+A3lanmEoDS6BjEEFt+lsB1hG1AulDuDpN9ilYLGqT BIGKFXL+4/joUvoochRUUD7LmfRoBh2LbTo7KXL2QHymrWTFgbzXaVZg1/xKzGN6AaXcuh8StCGA a+zu/hL6bqLItLUlC2bg2OfZZIwoYsL0UrzIvtOYEwb/AcGaOvsUOv5+2mDIbdENzSi1d05cFmUf BRkrzNulr/IV0pNG9qHJrLZf6OcWZ2e0WP2EbRlYOYQaqEU0xYhEIZ7QEldYAyMEybpUsVxq9iCN TaFf/ZRxkKvy5BEdv8O7BWz9uqlAWTIoRjeD9Ue2ooQiEUefOZ5m0NYj8q9xuicH6P2Ol6JwgVsj yDwYCcgIjPx725xHnOFpkg8TKtbUKZX2ft3sR5EljK9k4LN+95LvePMjvg3MZu/n3PyeHlbkjJAi yxFiOLc/m3zTL4FR44y/sO7NtWJpmRfMKsLs7Dqe9EF3sKaxDAm1abPLCii0ECaKPcFsUMC3ALVi A28GLDmif9XSJ2QOa0Fv3KX3e0IV1q0Qhf/QX4cnhx1VzX8vyXZ+t6ukBqqV4kfXim3RFpIKBcWc HmySucnAyQrcjvn+qDlsNdAfprHGsJnl2Ib6Y3NLF6taUcxWkYlHExaUpY1KW+qjVGiuoKw0cpcT KGQBoRq4C+I/lYMMozCynr2bmE3P2hMHZWc1XsFw6WIcr9EBjtCY2j3ySetRwnZ74U9peyt1gpQ5 BJ97Ipa+Qj7DRF5ozE1Ccn9SjFpP32/HNklRQill2m6Yl7taUyEOewExUCzi2arNIQDFsY/a3sf2 OieNeAIAvbbBcF8GJaAR2uAPoqiSeyHqanv6oko4bxx8BU/ZVh3tHDCWo6j1nMJn82eL5LwR/6OE 5RHJ4nB0NZTkrvTu/D3VV9wSJRv4nQAFkY7Rcd6V4ApHTVX0AnqeNU7NaviIbQXHdzTfo27DvJ32 rnTJsUvI7gthOi3qxzWTVpIYT1cutUdtnvWVDF3uj7fS48wjFfqJ+/QYOTeVBHGZU36mhw0KGkLs 3Efsgs0VMTbh9PqlHVd/OFf06tVgrIMm9/4n0GW8yttW65aP8uvQ7199/lLVW1AWjwJsbiqef8Uc ewwJ4vVXfPUnOwistIVrzS6Yxmf/wuNBRtfSloZvxUjN7D0jmzpBxwuUqmf72LliEvBr5YW4KXfm rZ1JjqfVSBAvXdENXPGXauDzDjVIgIJUEKttuobn4WymfqVuyQIWwiNhSRw20yOLLuKPjdRMXOmI r2LDvGRP93uDsjFP/q32mLsXbleyPhqplDZljZm1MHdbt/7iu9YQWoIocc/5mxUYn+QqSCk4pAvc xDgMpqADZ5cNcagnEG4I6w64waID3knc9d5rQ4m0UsS3uXmuaBl0nWDqR0rHgm8OdR8wJogAup3b tK2kyK8snOhGn6VgR7ilOmjWlYSa8j4IBHV8kr04cf3QqeY97Jc+gFMm+UspnikklhbZQHdzydRb +HDwx8ynxTWhwnew7JrysSUvJAbUpQMcXSWWY9L79SsN7uqCtQh8YRpjRCCpNPIRj56rdHb9tOrc 1JoBQu8Xz04hZ0kiwkwmioiNfbN03NHDiTdS+n/wkutP4uBQ9SloYfwB8qxo3dQlujfMZKV5eEix i64De7vjfpUxPIeKBQlVlWSl9rXrnIb1fOTSt7N3jqOyIVRRQRI7x+4Y88nmkLJ8mLK7ETSp11pE VWVA3x1f4go5ETXNScuFqDFFO8s4CUCAHbDulOSQeNF5zs88natifJObBI5iPSqqVKquvyRWo92f py9hceUlzwZnXzlQFQ6E4rxbcffdzdgYDoc7aV39uFZTQ96QSMntusxhiUEv0NKcaIZ338nWmawi 6X4FUxS2MzVCId18kiHfisKqCazcHTma57xz+55buo9P+lQwBMgoeY0rjtUwRlYhJjfD9HTKu42D z26+QgApYYBWH/h2aY1zElTRlrZa7qZYw/aEITtcVqvwqwudvzN/BUENAH6GQK28TnBAi49oPib+ z/ZM51GnFi4Mt3j37VW5X4MIEOhz8PKv5Y3dFMcA1RCic3QNrvL4nglFXpzNidzL0iaEFa8TGetm B4TzRMaRTcKhOi8j6un7m0xpNadFQ4LpASzsIi1isf8pRfqm3DFG7pLSlCedNRCBnGMF3BDQqmuF UKS4spCpEz5MHuNaS+cnJ70uM28pgr2KxtfQabY7bfR5bEWcT7+T/Qij2cxlPPgwVFbPq2DyzMhf YHj64SgtmH60Np14h4LmoceIHWdpbQkMfD9kcgPcDxvG+ffkj5NtwfD97it0toDSMA4HyV/ecI4O D194wZssPA5+EU3VvjRscS8zo0RCJBUNF6/I6yqWmXiaqMAeXrKI1J1ugAoEMk4uBK471VeszGkr 7NlqW5m67HkSggVy7y9Fv1nh/rFy7VYhJrVDMzRv9DJcTlLz9zSEtQN01P+SAcUtwoimiPHhwdwC QEw8Sd8sLQ+Dy69OkSOTdUOJvo/VkB9wQrCw+OgbM21bDaToALAhPWDDVxNK7t23Mq46SoRRZkMM Y1LyMM+JwEHrgMBKYzLkbZ1wV8NxWxmBadaLeQ12YFDlZgg37RAMHX3unHJu/f2WTJTBY7P/cp1X rSnAlq2wo4TscdfTJNxU283ijnNRjnUVOgpGqc/BQGs2/LJV2ACJwD5QIIuT36IXk6px4fEHfSk/ DtqroZY9VOwsHMDZv7gDIvFe0yWhlWRPPA8ykeZcZrELQ93O5v8Rartcpua/99BXHTqV/kvCif1H ++gaMoelktEIm8GslZeBbSky+xASyihJ/h8gu9MQ+V6y+HCBfwphjGA2d9w9JDeygeZxvbGGQqXP Vwd5Q78MFBKlkTqe+og0vdCMfcM/b8hLaYsbycTxOn0l8mHefly7iliS3C5AE5oIb5Ed2xO23QPA GzdVUAknDrFj2GPhMzx8WBjTxCZ5Ap08iZi1aY9LVEXVZaUYfj0HLRAQKDV87GIB94q77i0vVJS1 d9b4qR4nMZBmZcFjAPuJbeMRpJM6ZOZhVhBTD+v4tGHy+4SCPeV2J8//+qmFlYjBvSLl1SwTof5a DhwqNg1o28OZRlkzRFimZ8ttUzqQlCJVf1p9ZdB2TWXlqe9xb9r0SaBPUbHF6NeI986hNdnwp0+d pLqc+tUvQktra7SvyCsu5CGHrklIM1r+TOykT6GKip8FA/JZIOOYPuyKmpHMaTfUYgDIgugpGgDe QmVDWVKCWxwmdbDKe/glgckrAKu/YmLQiM7ZqL/qAa0gpKq6HPCFAsXEeiUsggBPsgznQKgyX6sM Ycc8h4KPLcqr5lblW4s1mYlEgpvBeJ4ADxhD0bjWRLNRfJ4k8TDnOSgxL1dPL5wZgnf9LVhUTN2R QExfU6fshawYmNE6XZUEl6rOKileie8DZcAMmlBkMLcyzoq9ifoimIz3i6YD1dBuA8PLjyNgBNYp 8fYB/Y7XnR9OjZ93LnymwICZx5KlFx/V8JHW2BojwyBzU5lGjlILPu+8y9ajwtmoo+ppo3/yCB4c rIwesXYQF7LDMtvJYbyMRYyuVKk278L4mCnNmtkQe9NYkdglztpwfow0T5F8RgciiiLE557mLm4S rBa4K9ZOOPEua63hW8Ty5O4U490goeaoTyjiKwZEKBnTqslB+xz9yJCMLdAAmyRIl1xYNoB9Uc/u uIoSRmHebXlpRMGz9M+am6iNw5eKDmxZZd5nABJCkP5Gq+Ds2AiFwFIxb4dPwzou30ctiaIFxiwq FrpfpA2Qdn+46eb+R7rCdWhXM1jD0fb7ZD6mMkd8j7Cf4zNsqi9CUZfhqu7BrHiDoFK0vkXqrqRx JZSxRBdpq5V2y3mz6LXwP77dFHMseE1LeY8ei3e5u2jzxUsH3NGFcaF4+EoKFIbk9+/T8oBolHFb C3Fi85qinGLVIapPSez45Ff1ZoSvu5flGYsUN1QtiVcBTVaCTxajOL96JO1Keaso+I+Sk8E6YJco Xxtzzen571a9X++JaumOMKcy9AQJpSJE1PuPiBIXaccyK7Z+NJy6ptgu7kzDYWqZVEqp75jLKstF D3465baAfBZL0OqSEVD6gfkWu23iYnCdnYcXMFCAOJWBoEsGp6SXgvsHav1Ybd1ZhbGac1f7QiQr nXjNHH3lMMpiNyhSnqZ7hjqkLzOsPHWFKI9Ep20NBRbwXoLx4OvJVs0Y1lTPW2nuR5CdcsCJAaXX t/A/RZS3Xzz8ddYfScWwcSqGY1X4VOGHR1dC3vDQ8mUtPw0caOP4Lq6EWOdHICdIV0DDCvlzZH08 iarxUc8pjB7lrz7Nq6QL2jDl25UuK0uwZar8b1dcKWDhtvxn5IGcIxm8bG4d8g3y1EvnvrdIqk/p hlhPM6j4ZLgvBS/mO7WWzqgGQsKirw70bY2PyEoS/BWVfwNlzGxJ7sYWOA2ir159ksBay2j3OUlG NMvhbggI1PomkPqtpswz8B4YHmO72JW9T8I0NwLt6uW1GuDzq3sVMgqs1CX34i7FHs+209eVDmpI LsXR9nhO1VicHB4mYLTqeTNQ9PVHzeKNKHZbwUD9Eh+BS4Tn1XtNKXdOd8OPvH8yvFHlPYPhh0ie lzr90kA6UyKwlLI5R0xj/aULkFdWdSL+hVbn3Jp8aS52tQ0XZLnHUPWgV0DD6Zop+2vmFGKLJ6gW 7j7b54Itzc6H7LFJUeW/ggP32+rhvkRU0zZy7zQlHOj20IWMayJ2Hu7C3RsT/hK9AP27kQxz+4Pc 40psHu73XiiSQ3U8WYd9AAL1Gmzl04n2ZFQpAYi24oOi4UhncfQKVxInEqJIkEChMHmoGq7ajtoD iVxDH6oZYlBEroJaZcpqFvFLMqBCHaod4Xg6wRe2uIjSIdbARumILk5yquKhy0E68hwzHT6CQLNT i7VVDrXFBBTaEzN4Eq9KBA/mi4E+v1IvQ9b/QSWYbw35TNCB187T4ZuE+zMObt+wq40JELIRo4f4 72SIKVIm/oxj8jjA6mS6TF2K5tVY5yQdGpO3NtAuzLhkYtmpkt5/oLsMZ+2HUa1DnsvVoYA1UQYW GzLYrKhimrP9PFwCsJ2VKF68mmmQ1WWyuqEheXl8QrnwMj/Sufz0rVR1i/HLseFEpYn8wf3AhRH0 wdAM2EKSPmllN8hCjsZu4o5csFy71GJKEzE+sXdQF0iThpA5InE7XTQbKJ2CO+084XkM8PxV6OiR xE9CDtTL4Tc4Z1U53z/fxUo/cHunZ19+Z2jyI4j5b8WCHmmix/dp8/EVZwlOEgAy0EAqsHlQ9xtc 6Tst85Hyx/1vezHz0b64l9Xqmw8JrMb9E0xhjaNBeOSrEzAyHa49N0rfgp36SzEBPVETbk/f0zTV xazkUKVYhS82YlXNX2BIqZ1fB0vgJhDVEQmN0ZbF3XpGahFAC+7a4Y+0/Gd/Ic5XbFpS6BbZ6pSp V27+ZqxvC9TLYDWVpdFdl2+4++aLz6PVKNxAVIDL6WUYFtq3DIKGhV/BJDGsuycqp2iWGiIXNMUn +N6gDOcNxLuboz0LzyWwSQvzflB6+FUCj6kOWSoJkGTUWjFq0UqOOX1QdCUCK9mb1CCfCJHIt3At JH6S6qfHYPwaqf7Qxq/P4LVPOzV5hVjFYZai6LNqplyRIcXTkkTAjZriAt8jmJyDbMyPGWnNWfqF 96Rl7p2wDVDTR7ViKPdASBhs5JLPs4ATg1zkoOBA3Uq2vF/AEat5i2wvpW/Ddr3/HucEc6vPKI7Y WmbYSr+D8MA2n8gQLORlyFSOtdetGkOBynglWK0L0PYqJru0/KfYaRbZAkIfsVRpaq9mX4vh1Ogc Chj1IYbmsE991Gsaexnf661sOFJCl+p7UZ67IpSUb+hhRzmKQpL5xbi5pwHg+OfvSBlzoE6v9Qxl bBzVbFxi7G/QhzSREXCRsSHPEiFI+vw8xFKKW8p7Hs2JnLH8HNVcElSZCOtf9z7EkOX0tMgukXrc pU9nxrNohHc3+lA7ZMCUXcne7zjzWGTuVEApTLYF/D46vmi+tciQJLzlG1ZgDpI7iN94sXIRabq7 O7WvNrVVkYXSPjwcZmE52COvj/cuMqm39BjrkjvwGLRo6e7dn0sUfw7gH4svcePm+6NCQADUEt3d qHRu/Ido/WdUGcAoS+efR2P6+zbnXUGkdtgrl5Y2njenSbGYJPpdjUpxb/VKVnyPHHzAmhBQvrUs xQ3XreUwRmr/iz1rjxePvc0M+DyQt9unYWHcN8tsaKPfZKdYIAg6JjKcISBRyoVE1ZQ3uDCmSXzu pgA7Jk4t/BxIddr3yu6NPj1+zSfRj213zE6v6UTVyjUGYmGUFSprpR8rVxuoEqTGek1CvJ8KeT/9 nTty2w8eQZeto0eH8gQesMR7rYATgurIz4iW6VP24zWU4J1ia2DeSo4F44rNyEx3jYAJAzmDJoQe znU7Tuj/ES3MGlIALROkBuRbC6qioRFkIlaNwSv4y8MwxJ+DeDFkZjUgEiPBWZXhWfRTIXhyS5hr Ok27tAJoxtuZ2DIomv9IIkdGWt+IBu7IQ+NxKXSVanqU3AAo6//Pw0LBZgFe4NgMREB5nW5pjZuH f39GqIhf4khUVVYT7gr2+9xzvGq3E/+4qjxG01QW4TynbQEop3VCaPPIb+JWDDM8Wyj3e806wMVU DHRHdV7z7OFc06nhNfqpdghS3PmelQapW/Uzqm7pnLsdH0+QFmTx5C4h0GxMCRqTsReCTIelQ7V1 5xLvodOUCdrb3/MuXUOlUr1tcq2fkUZtPcOiPS06OL7oF8vnRTzW+K3ljfjc86ph1KOpT2GYxyEE LfD6Q9dywoB+zcyirZYzSLzKg8HKG/QlyW+RdeTf863UZQvMtadVQTGP+NeNDsPPoXArovbVgMiy H9aM9jF+tNd2Po2Xs05mo30Md4pwVXM+IHRfXWDEP6sFpvEpepifUGfrtsv/zz+a3hwHY153muuS ckaL6Go1x34Krx3nJnzHXar9EOJ5VomivUp1RSPA9w7119pCCEibT3v7GiPB1EvsI0Dqlb5Dnzjf dDmxeNo82eCCAnGG00tw7AisZlFqgAlXa8NET6u94UmBqdOczftpgewIQHl10Mg4DDeyZq7UnTtE cT3Rrp3m9XPVkT+MvbJ7foAMl2dAGqKmBAf4janaSoIgpj9wfXYsemFcfjcNLqkaCqjlbbYjicTr i5g8HlkkEoWvJ9voeDjOlfMOF/K/KGwyq9w1M+7YBGkEAYtRiH4fciB12vZar2YhKxJujtIKwj/O eWCm394MhZAico1MQgrVGx4ODNAc2WeoMVIpQt57qZ2NZCZ61tdhm9XIzmyd3egs1BeQQa7ddhYn S1LellTBxA0y8PCb43CpqBtgRasTqg3+deDflr3d3XmF74sSlgvtWHl+VlSHOWIRcvGleb/shsvt uckrvBDbSHUSiMLRe/zJgLTRIOTyj5H9lWQpE2jAcDsFJSG9vSUEV/pZxEVIIdwE6MRmsVWl0xm6 Qd2NM6ypC6qgqW8wQ3E2rNDlMKBQGFlPpFFYGMg7j2VKnNR0UhWXj0pn/qJk8VKlOmUmcW+IvrAN g12eWr57zJmR4qeL5Sj5dvVXwm3AAUAyJJTosOYfDawpW3xL/Vkjrs1qlM38PdiIbgxnBDUuOE0m CoSZiDI09sE2vjcq1CDbT9dPql9EcpbJ3dsFrGpcw+NyzOBarl3jjBvC9fLgqcXeYSFJfzRwwADL L8G+7BKUEcIr5Yigws787jPoZ/CLmJ5HZEdL8/qyq0m1dYXPQAVPjOigSWRL+VkNTLUJpLw5aNt8 ZjYybR8DKJ3ZBk2b275tIXfaJFxpNOMOQgv4hDecC6RBzGl+8H5EZ2F1MTXIqevhUgtAgY49PpgF wfSlBod26ZH+7FsjHJlZeuOQXd4/xVq4GpFW3JUtowtEht/1QPnLKFscR8zygY7HgUFl0FaeR5Ac SkNuKbCKgPNbP7iWNXoKNQTZoY/tYCRsFNPejF6WX3KNy/ofBoOkFrZ2KPEjMsCkxBBbC5Tc+6BM pX1GwCQ8bPLprlygotYUychR9pXmWEp1rDZ5HEZ4pz8Jk2mM2+DtHl0AdYk476RQj505EyGzSf10 VhCjvmAxl7ZvPDnY7yZO2df2ygDAaC8WHCURfeQ2hwzCTOtN2CzbKBvL7R0TLSY7qN+YqNnDX3aT CYzMFyko5tHTSLNrUfZauNoXfNRqhZPbb1n821HyIRhQpact6ICLyBtiaxms28fgKSkkudTB2QyU cIGasxvflt3Vg5fOVRpY7XgBvJZlS0AcgHjcBaWyC3LLn4jNFO5gUAcycdqO6EdVfXTZ67KSa/z4 m5U3pOxXdvcOBsW3FTvvMp8R6yrxm79Tfe0Z8aGTCRLGZUmYt87g9bRGXvg4XK2ibVO+t1m34QpH zJruPB7Ao0MlqQv3hef0wWaxHeDIFCDxlFL+gYFA0N7Hyz4b63WJMHmsBOc7iFfArCTVqwwb+7DT L3R5VeSbhvAWglvg6HWeN/WuGbj18yeOyFUydclVXlFSB57fxrN9ARM0lCMlvEgrLk8pf0u6y5ch Fc8pV1P3vJFBtUDGXW7Yjc8Vf/UoHJ/qJaKc95lfIZ2Cogj1jD0Nhc4noH0fgaYnNp3PJpZVTCvO mnfky73tNzQv/5Tj3+iiA4t4q/MEAktR/0E38y5Ujn1nWI/SRcnkAJaQ75ndsu+NNiPrQELt+Hk6 he6nKYmuiKSNgustw2jZKvIRoKnUTInLzGUtwsXst44bapCO7RdPkZ5WdEmUDfLLbm26ec+V/jTa DZkez8bt8tSFHMaSNJiyr+jdDsxL3BulMTm0AmXnA2CvVZg39/Ini0OUJ/eIXlF9RCx0zTynHkHK OZyaDZAcQcVUR8RiZy+hHdBYITG/o+9dG+xaYU77AkrpP41guqoG42eBkZ1FXrrV2M4Fl8C9BckR 3s7+RVeKc44cqkpiQMO4xqRoa1BMi2cYLshPfLFklE1yq1bhY8eD0XlLMG0oSc/eRdsMM8GTvtDH Cz1WHcix8/up3k7CWYNdvF66Rwn+ohdYcZv7SU5W4dlBfHP41fF18GcIw8tZhFmcAST/4P3g72Fj 9iIOrig6qMGRkpGWVpP9FKW8dR2P3rZb1Pd6ipr9HSD5zOBLzx/M+jf4K6ozbCuiDS8REQllPY/w 1JIjay3U4K9ytStTtMy30ZUuXvB7A+SHglB6e2r4CKG5p00nxGoo3zqoFi2i37kYpWHmmVbVFPzg bH+6pOEJo6ssohnOO7p4w8cUplBhZZzaYiDIVtXq8WZHJWty4M7OK1rNdXk2uTNTfdX+A/3WbM1R FKrfO2H92ts4jt+yb6MBH3n/Tc9+azrvikFj3NSZDTwoL+VVYo6AvVz6Wp8CF5cHcaUm+dMfh8o/ EjKp/qLD6+1dX5SKi3uFmz9oaXFCPiR6SzdsZQwqOKFGQG32yp7rPnsLkxPX4XgoLfiinCxpEVvM nGlaZojNu03F41R9eh9BEDtbzxchyZygF9ueTpusrAn+bXuFh0uieZiiAOduMJe9F7FETBS43PoF 2Bi55XrifTgVGujoKy8vDpQppz/wsDM8ddpKTnk7ItXKM3ti5vnOWjtm4pspugMJH4V+QwJHdayu 5QyDIB+upqT5kDdTmhuTHSchovinvw3oK+6UcACegg4lMQwNvVdH8FmzQaOrF6BSFnsQQC5LFsbt 4d/RA+VRwNoJtHLYyUWMsKfAeefDGEkDa9SEpJEgbLnPiDZjTjgPymjN0nRQjEf+7ISp4QxnulTj 2EdC2FYTBLpm1msx+PmRdc2TZcyDw1vvMFcgLgB8yor448L51AOgyZmhrSI+RCHTSBvCDq+EC6zT EmogsFhSJaDL7L79x6fbXNKgKIF56hD8fEbyJYCOPN3J7fUNOt+1wqEm4E3AleyIOXFpvFWHBO+v BF5/GArGewFWTqMp+CslCF8Bx3ohuovN64lPX1bsnZTnUbjJNMBZzI0yd415/fUxzxaniQQ+ufUQ jzYdo0DkZ6qf/Ae5wdgkZD5NvhrTFBXmXSR1ywUvg1O1KvsvCLOX4iqsORYTcxCKJazC+a25FlmX ObfKbIbvBGWnf8USqJiXS62YFunc6WSq+GKA8jGyoQ2yT/piQ8ajpxEk0fb+dp8YLNcKUgBFw3OE VvC0YQELSNmiFwq7xUo38FU9cXnn5GsAVCtt5KP/XKoVgj5K3St/LFa3gEBlpcUt30R2CvbIi2qo d2VayCzC4U8osTpe3SzCnQ/y3PnyJbnzZHzdyEVvDv74uAmd1UivEAf4NnO7mZ6bm1xNvQKCIqsQ 7BV2iAWe5o3Y1ZvFXO7IiGgxGy07nFQf2ch8LNvF9lr2NIyoZwvMqx+sB9G7XbA/0Fi7yggeDrft MnNZGm1xJoZKWJ7QOOHY5zurly+6GmeOj4OdEFduCqFlqte799FQYn4iUbKzzPfm2yP5twa65oiy ORiZrgvf/RU5SI7lSASSDG3AqpldkzpZSVRbxY70/0syr2uO7pNBaTBfcOmkH9893aglmsXKUN3A HWvcXjzBOXbFKt/eKkJnMJw+gZqReaS+yQBO7aEbBbCVp71JEt8gKfDoOvy+E925QZ1x0ha11iu3 Jg5cG4SSdDL/qnNGhRk/Sfyw2D1MZstlWBqoHkVEmn5pUYKh2Vx1vUzWWra6FBxamuTEmbwXYGwf ZfBb3eDNNA9rxSmrTv0JncENHBKFInDLPM8puF+NVRN0CzB1ykFe7qAgNeljL/d3UcYLVVxe6qRE tPS47IhSnWEJt48yI4zujX1p4DrIbuXPr1oEz+LGvCNMr8fuvIFIdZGdwGoeRb93nUrb/Y/05B0x 7hqx8cKfUYA3GBemCkXkluKxBW/J0XJ2I015wgj61FHYbK3A2k4jTq8IxChs8HjFSwVpElv5O17I QLwa4/3IMYCqY03856g94LToxradrc51Tdv4qOePoLjWUykaVbEA77Mmr5kAtQ9TEDIypw2pB3js iQTASwQXYcKXsg6yEf5HhpRX1Ij/2Txzifwkxlqis4w8av/JRs17tD0+cc14dW8S1vJFfW16yPnL Gm1EqgmGncpPgLmaJvg7zEudlw2fYYDlRN8vPS8HIsxuwLUhBRUN4MHJVOKCgYzO0KZXIF0f7Ijf 1J8XIRKEFet7RseL7DS+tg0rlUcs75L3GlZHopKbz8DQaokeAitfOjfqxQ2A166BDTpyeprbNikq AFj5u3qB0I5wkiwG3qtZmFcbO+BRIR2PcRKeDrh4tMnMUlMQM8L05L36foD9tY9yj10OtGBh48aV 2ZUkko/sGGwIENZaBus3DdTqsSEmeGfS1FI+xspSTmIc8+whSERM4jktY5jJhQJ8bdV8MVT3o9T7 zzPaVhwOiC/76wmOMfCvivokWu4Gme8xYcdafxq9qgPAvK+4NPXqo+6aqtT7Hk+DdKXoJrUh+Shr 6RSIqMGSqs2uYi4Pe4J1JwkeNhShX7R9BMBLaPnO2m2zkuPAkEc1meploRXPzTvIlDr6wxK15yHq deZ0m0VM1NbUTjX12uGuB54JYlNHnoflgNGj1UUm2Wqbbm3bM0h0r5qz9ESlwGZQ/4Ct2hXpVLJt ATiiCE49XO5NuFTpZgovXi1GZj2Ybr4Sf69+szmrxtagm7USyb6K6KOq53M+8FoPniWjucf4XW9J q9iaX4MVjOsqqFuWLaImSHAQRmIQ08+ez4jKLHPGz1mjXZhkyibyVa76u7RvsTjOkSyQmFqValH7 wNXPNxkZaMpeKpkKwoNC8Cnr8C/VzyrM5ZCBAdWxfDpG6iWkg/5OTzqSUgeaCJhqEmCOpyFwaS9Z I6IG5r99qd3FHKcgK/4Wjd/ahG0/cuDc8Sy7mJ+qslXvzLnq5o4EEA10DxC3dsSkwOjJB3J4/20+ YnakZPAO/z4zfr/JErxjQkMTFxC4tU4a2hdM6LsssbB7Yhg4n9XlztQdGU13Il1k9htne2cLvY9y sCxMiPqGzHEjSh1XOZYZy73ynsFypnVhdQM+ZJGIjAWKWx0llostAXf+MGcmlXil1m099uCOAELM HRsLjdjy1MmsbVm0WNkiFyuEdcGZmJp9NeOFRyltyZ1g1ACjq3iySWq2QSMRA4O+umi4dDd4X0Sd M5gZ5p51zSAuuLQjX8SXd2L9O5dX5lh7SbIeWL1bXZjZ3Khu7CArnB9aDf/eLfXYdXaTVO1dgzO3 leAzpVpnAe4C+L42b3DiHWWYHyuhQ+Zg/B/o7LAqqQpr0e2ussr7PzzHrulpl2Om5vaRnQZboqB+ U3slAiBpz1gZVon2xthHh7+/M1+PIVii+lUNzGoeczCrxlV0yMiU41rzaxuJVy8BkHXSBp0HSgln u764v73UuRgwBKTr/Ie3qhrjCy2xhcnaiSQPbk6vfZSNAU5pStv0rDF1roslkXN2IbhbPmIIvZvS 5sI9pX0CDLNV7z4F0dZypBuUyleHl6T9IRIy8OJJ74AnIU+/GYm+qhH0GWCFfYyh4RPKVfe6QQLk 1dz5YpfHK5EiSrX8XBoPEEGpHpJ1Xmm2yJfhgrARgTgRIbSACpVMtsYhKQtYKCKQ0I038EL3U984 BacsB4fvUM45mIxD5kDW2hIby6UYqGYy3OlkvGgHC4uCL93RdiWLqXXDZhy89fhQlgAYhJNEFTqM cbCNCOc0MxJhbqQHO6y5JB3U/dtNau1myaxTE25OERZRkfmq8pVSH7NF+t2qmZHok7gn26qV8etJ QkBmEWAiAh9QLIwGQx7tyDZKxf8vxkz1AXitek1emm/zHArdgG7+X1jBgzMrjYpKZOo68mV8b569 uHvnmMB3qAFbbOlKtQuYDB+J4q3KnuQPq3oWcnjMtRdGoRth5fyWstHSUd8XLlLxYVFk+V8S28zp g4J4x1Jm1qq/92CQlMsrXiDuNxjDJvbPUDnucLTLh+qj1Hxg17XzsQNKJhfeqbkVDKPeOt0XnrRN 5cwMNRRX1Ry5SaFWkbAHwOytpu0pzrtrfb0EGO+ltBfGn+t7txto1N2bTly4iZQ8i1qgpdhuC4bk OwLAvfRqiHJEpdO7PUyT3hZnK/yznNSCQXpDgHMbIZI4hRqSx1+99cLiG4vMfJeTn0ZZbW+4SeJL a9kRfLUKRnJv5zrT9ibx7z2wMoBpfBCjeCCjv11Y0mKwwUJ7XqYyPtPfrJvZoqGjvXoEsUeI9fwz tWC9ICd3R+6oABWRWRs6786yMh5oIuSOaKHKt+Kye/iRDyL4bbrpMEa/uZC59iYm0ODxMIwhMrGQ L3vWFpCers2TNec026BeXj9pE5WeBUF9HhILMMcrt5Utwe2wo5jJc/tHzP8NjtCqGMFieAV0JDoE yHCcUhOJjPZDYpywtVX4j9g2LyZPjupxyODDWMcs9s+MCzMUYcHXF42heZSPk8TpN4/XvlucyJaz Fi6OrTnkbAZBSIzUWA08wBXKI9TUj09DEIWz5JNoVsoWUW11Siop9MJwAwOi51YS28+mDnaXaeod eYGhvhp7ibzDIQcY2moGT6Alcg4WEcgCM32xcT7GfKxs+bQOuJ4Bujb3+VW61L+x+GMXbAkt+mw6 2dJgS9vrCbaiPzquxj/p1D2W24YvDmIlrWNjpEWC/DFNdO7n+2TfR6zjvhG110sRpN5Y3J80q/nG 8Svxyx7R3MKTFCBE+7JDSZp1S3L3FB7yA604v3W89LsK44LLmcgH7IjnucBUC147Anay+ppnW2ka uzgKkpPJngXebzBQhEk+1DYLlt0yo22yi8XlMzz1gbkLRfWxRLGpT2nstekHbagIiZICzhHlUa5K 7V2ulcVO4MhtB+YQ2DXOOEBwpUOydQlFEFIAW9p6Q8oJEoBonp04PaDq8tmvb+CThAAf9SCrqNw/ LQWgLCY40Y0zr0T0pKEaMx3iGGsXqEpUO+sh70xF1U3GwKbaC33GJbzvb7CgMVUBFJhfn0x8xMt9 7Eoo96HphD3uluSOerG8RZuVRgHXMxUjmesKxnGjfgpOiXpsunNs9bq+GxYHs37blv+EkkHYqsAk QztdHvSv4ibBZPMJt107Jrqs59ddeori9nCTde96TMeyKL9cRwJdx9Fr4lz5rzM+/f9kkoyZYBek 4mCL7BMajmXV1KATvzs+MkHXMgt8sS0C+DmQFq1eb19AWpIXMyr8qFTBcfsNHQJrOyUlDzgziKGV Zuu565TjSWWUOwzTQGAbtismucMD/AdY3pm93uJDpxffF6oKACFMpRIA7/d+nLKqAuMY/kHjUorI tp53zBn3R6njb/dTKYEi9KJ/29hxIBrYL0+DFi99xz+/2Dl1SP/uZd48BUgepsVOIQrapedvnOw+ n1/sGEMbAv6rSlqIb95j4fjK7xD1DcztgADp3qvqzpU87TxID7JmJj7TklPxq5UVD26kK5zurowQ oWaBPSzTGf8VEgcdfWaozSOyxRcPgdAQUDlFBd7ifE/4m0T7LqgOzRX1ImOLEdZzUqvLZjFJ87hn mJWLwuqbloLkVsd4mVdnUHuYuVrorWxhp8QHZvYAD3ZOiFEDmbx2tyTC7TNoDFUH6FlvrjDbv09K HFEu6U9Y89b9d4bAWsHc4AO2vfM7EJ3kdY5Kko+lwH7yLVXecClVt6l5iRmfCsdYhlCzWxhol5fg EFgKobzinLRVIv5OyBi9l1gHrNBOztlVNWcUoP3E/MAYRSUGqucijai+I7aTnwADZ8FqQbemyKii 6pk1jV1sEJlVA/7EN/7G9IY6gVnmJIrbPBht/K3wX3IjuRKxPZmw91B4KI1nRRWDVZg78sXsdmkO SB0YLps9v0VsrHcIxTo2JDrpABZJ4ik8e78mxvTXmjLrrD2nt9oiOLsLkkmAHvvS/DEq9ggmMlEy CkZrQatoDAduHzfqLr0En7GwKT8q02j+24Aa51/VhoMpRQTf3FkRl8nYrcbMif91179YWbidPN9o u6e/zDB+SmjYau/5nXdS/D6gXxIllPgvWer8vKuAO9TTT0pxtWzkXy53bZft0kPe6s9kHZALt/mR PVuSdCFYsxpSJR6Dq/yfR6wxU+10nOusxZOfo8h0YEi0no2Ins5dDYkN2P2XJcdS3pDq6sl2I77e CPcr7j/KYiA1DPrNwidifrxjbT1CJgxIJV5YagY1Hi13VKIX6cV+fzzIkMI7DAaWZ9+qwKdBtB0X cqa2zOa9Ug28rHQZicbTJp/cXJbe3Fk5jTwXa0jxY10mUmLCc7Lm1Jmy73qHfKQddcAA9JmY9iKY hbj2dUKt+YDbDn7TkMLTAK4wQ6qRijg2GrPd+pAWtihhNkcNhAmowL3ZXJVqNjUYr0+SGM1OxpeR 1X1U1x2v2DxjT3kGTqn7cD+ISVo6sFHTDhhAk55ul34DI+LJFve5BRrlBpXsjiXIZVzEAc/p6ieP hNBlFyVPvBbSWyOK/t34aEZmE6njCBhtqgPICLevVeJ/EL+rtgo76KWtRVVxrrbFDuM2kTWRYSiu t58ARc+37XQj93pxpQrv0wTqXYBVjZQh3BIKu4GSez28+4G1kZBf9uddYNDELmqfpMV/o3bkX/ll PLqmxHs2M2s5MA/vVEPA9/COf8wBBEZs92pM/4JDlHnsQ0c58ux15ocxRAwbo7vKxKGNladlVUcL MsJapL+Zll0lD3tGEAcMDxZxizKt3VUNmW0od9YNumNT6FZejN5LjnRMr4v3Qk9j2k4FDLvfFLWv b38J7j5jPvO9uEFuharN1QSzI7FhPE2ELiMqovrLjNjwXXvrvSFGdrfePDErb+Wx9aqMW2E2bvq9 BEeB1Tj/2S25mIUV22hsFszoLZiXJ/z2+jBrJ3IcExcgj7Wulq+Fp+rTwQ/Vt4vkAquCsV30boXh CPcbQqVPyPdQHW/GxyH/2zAL8GByeB8+PbzbQPAmjnmy9cm6ZmiHu9OgkzOLnyhnkgzn4Px47TDm qW+UpINTy2JY3pPFAiyXCaQXD0yOVzmve4lQfzT5TVmD4gjZ9fKX5oRnbuxRB1uHuBMaNM59HRUF J9edTgczFCSoNcR2A4OFtxkAo5VpN85xO53HuWP/oZyxrLhRz+KHaGbScXG04aMKsXTjIWSFFMrG 0+BIrQktQ1YJstWTHz9PXTOwGm7nxym27NtEB1Io+7WZroR82nywjfYSzR3dWTl7skfqNBibJQOd bBdLbTOB5eReJfTxA8QPVoXwxuyJPeXr7+5zJACBu/A2UxLP8Gf8XUIYAaft5Y9wHQ2V/qVVG23h UTwkiXSIsP2BHcK5Bps2Qg9WzJsvZmLv1ZFZSjAfxH+MNmlPWBGCD71OVN3R/OILpLC4ivcqN19j 31oeVjN6XSHpAI/VYq7w0C2bGnxx4CvwKsdxHYbB0J0oncbk8oVmjjUX+yeU21Wymts55dHpTJSd GgZGWuxA/IHH9jccb6IOMWSlUCVo20/WVZRrqnT1Gm03BbTfOXKzETPpqwMJ+/ZdcPacAUILTSGz hgD0BFjxb5l/a3R+3IZOt9oHcKxgfbvcNGGmgT2a/LhlJxf+Kcb3U0jP2YDS2Wh3CyU4BqdDBLnt MZaG1MwiyWG+4ujVBIxIUkd8xj8jYDBzrf9eT5w9b43o5aF3VH9ze/oGZlPeZQ3ZgWDOSydW8dzI M7pgRvSYE9eHJqVnkQCkYbzo2dx4EcmhuJA24/LafCQ1HuR9kHC/cXUewJ1SDEcaThFR2Qn4ne8O H/HyPxaOJFyH4I+qSnZgWur1kChfthS7GkeH7F/8p8pKo7BEKARdHWMRaP8ZULgjqHKDj3pspxnA 3gR98XSrJU1sKp8qfM3/UZC6CeG1E95AhaxqikYXuJxyLyM8HGl1h44ehtvuWET2wPyKa+EzLmpY f+qZBzcku/TPoUsl0Y+9dKEs8EIG5xxUYgsidnZP2NHP8D8d+YNfyUqUJcTAw7mYH4L1zsYHhACh ttLgQlKzgAygqSHlM4B7SknyzS7tluI21MOWy18KV7RvwTGDGnh2BmbsmC4mnyvzMnVc2xNckkwB dE5wkwBPS5remp7BijAKho1llFX8v8+oVIrNUU20yeyUHL/aJ/9DFovjRb+ZSV/u5ScCtt84nkiY kYewuZhZhbdUVz2RUDiT5EhHTXYxAHmo0w7tZKNF6VzQMdXO6hsgLZpMI3xiECS+AOo/aUhrbq8Q yw0kYvtfXLyYkfFv5uC1s5EvN/ve9u83P3Er0WBm0X9R1DQCKr7DJYsRGrpMTcKRgVFo7OA3DxOY G8jPKeaO0Wi59FVmnKcDAm5tBKFPK7mWbOksnlZSiunOH2x2Qv8WKwtfZ6SYsbUlJZTSVer7L3Hl Uj1dKU1sDhWqCuWsVAxfmRMSvKnMO3HnTVGfrNfmu3T9yIgEEPFKX3sCDR/4T/cWUgDVD34CG+yv 7LkTMHNEpFutRY/S18znvSu0mRtRXHe0mn3+JOhKbR3biLP4C+h4VS1c2wCX4jtMk+fbkw1IzvlA aXqCW+c5R/zW0ok5Zn42LLXAoEAlSFLfQ/ZLkDQ0EoKm+hNBB+LQI8FcXmA+KuMcasGqSnmLi+ZM H0O6LnBD7qaDGTkWhHU5FgBdA06l5ehUcUAnAE3QcCvPT8ZUIVmKAYLiyoF0q43PsJWfQV9qDbZb M+1sdHw87RDI+IYBnexJNIeXjSOLNVFdQLL8YSQCx+evX/t4EW220xB5FmHV1TGc1b0Gh/EkZX0L +LpOvCOzjfSy4pvNxuY46XkTN6CbLZC6wgAQIgvV4LFY9vGsu7XlIDQuXsRTzJVQj/Hyv1+uqXZq uibFyYX1wJOGgd/vJhy4iIWlr8UFL5BGxQ8P/yJiDMxmHa79AnvVw4NM/Jg/QUZ8v3q/JqOyn1Uu 83KGucKEnSFp+Usbc0bB5rkZR6JfGTputJUjlU4UpT/eMvQx4X4zrA2fqztt3+y0KMFHnL95xknm VvHxppw06t9EgiY6+xa0+fcvxgPLVhlSvxFDRrcjYfduIcqGJncxyAHJyGYJ2pGgGDk+j/3XFgDl OSZPMzxT8/5OOIkIJtsMh+LR3pFEE2W6uRdylhC3wHkQgA4c1OqPyCJ/lZMFov7uQTpIw5wgzPte WfoA0j8iuSBbwL3DbH1Ck3EHgoGGsqvBbCU591Vl3gGWViY0ExPWnBcxKI/rw5CqzuRzvl/9ZIcO GOwrfoMBybVXqdg1PcES4pu+dKcUNFodLZetisI1zZYyRqBRGlIAOwofByEnWelcoKlt7TSeKtx+ CQhMM8WgFXSgD9RvCZ/VhvEgeAjn6pgCWach0nf+AcxokLA5LP41WyFmuco877+mXaezdKhx5bDQ pJ5sbZc0fz549owJYHRVJyMkiCzjGDZoVR106putASF8R4poLd0RiGfTr18xcrxu6A1+x7zWM4ka Helfayy2kL+ghM3t8JQCRB71WYJTYBS7bUYp16AQV05laud0lfuw8RNYbxlr4t3jBRNfIArfE+KH x0IIzlztLv8FyQW4KdtelK+OQ6b8H8bN+scFaaS0OXCrFsm94WexEV3cNG7F3tDKRq/IiiN6OiiM 3tBrPaB7v7uhUJwjOt/xlKlVQQPrv9jSlXh5ftUfTfcNOXWoY7p1R2sE7wD2z2tLf+/AdWuFpzjd SP5A/tFzXTKNJahdR2/tfBIVh7rr63W8Ofu181W9KDahCMWjkmg4QiuKo2Hjwk1rXKrCIQjLhrwN Cf4YKWdjqYIGvVxncXMmOWI0JofrS9DhWZRWEIjBoD9yS7mzQlPtIFcEyoP3Amqr2LuXfYHALTgy HHzkYgtLeCE5tCP/aNLYbnPK9Bu6N5Qd0WP4qMiUYFHvJoGEd3x7SNuHEcHIlQzFvOi7YmCgXoJY Z4ROKzD5rXJhes6LfgTsQUrRV+IEdgLrjcNzOp5kjSiq0+W+RIPfzz6D47HoVzBoD1rbNGSucOkA XK4+jQ3yit442b0m20mH8Q/dWlgq6iQngGkgaEcCS0M6l4jMDlnPVmuoRYNBnM9w1yUUfZeyXTMM ZDqP6JXU8S19rirxychIk+ruNyBX3jlcXdXftsidneiw4PyIWQZSC1f0zfwj2F/TTpiz0/j8svft 9SXRfOtBa3FlWyXV/SNnV8ajm5dJ/VJomCOYlxkVpVvy5YD0MvMdDHy7yZQ2N68S0ua0VEwuBqVV 24s5ssRdbYMW3Wt3zT/gn/rB9sgb183816YouLNbkbgC6POlMqsHEBdNlY8Huy3bvCJRX+4fo8wu iLtsYSaBvBtGxKtDDwHVAnj6DaoB0Y5/Nz28j2o4uARgooDm/WJs+tEGVcykClKmiNG9dDEI6NqI 6D+rSezQHC6ebOuOwVkIu0DnGCwsXrNH4qd9VjCeRvUVjCe3g4tHdS7jqL1/p5G1QteLQGmSh+R7 4QZ8uZUbiZgVF99YiNRrKQSiMx0hnCLnLrSoAfA2os5iA6R1RtzswDA1W+2C2GrWgxvNpl0QqA6Z Ecw/4gSfUHnGTlwIYRnq/twwMg1fV0Ej5aShhasP81/DsYvboMVWcP6QwsiptHKvcPF56mHXNRWL x7Nz5m/HmY9jJlv+gFfsu5f8kGYuD8Nvk5gX46lfdH7rjL+7hwg5bG7Yzj+7A1XzcC6fwfnM4sX6 FlhhsX9xCnTxMZwguncpmJqlAnIDwhFnyr91lr8tkB3PVsEwHeEjQHNcAArF8DenreXGrNqtp6q6 mJocqFZsHNqz2Kn9sUhDLGUakpTBM10Xhu112w88YEqzQWozelJAOhIa+aXiguvCBZ7ywlvi+zc2 7D1Dt2Xlc42rE4MNxTf1N+xRTVNwuagwFYcuKhxbfilf/lzU/SxVIbMTv3lqoUgWFu1zxA11d3LW R5G/v0l6dHPA7jDlRSz5nqccPaTRxjOtba20VcLz+ztm1sgLcrFs/Ex57qlxsY1Blh5URjPByWDD O+XQEOB/AHQpF94t2mtUFoM5v1XJo3QalDtj6EVrKdnWjpLMDfjSy6eWhuAU9l8rfm09zMzt62Nz 7J2j/Fxo1FLKIs4o8t9dNL7VqB7ULrFa59Afgyn1EQ1fGfayz1XpUE/xJXIxGyWNkDIMvvdTVvP6 McNx4PwcLqTNtod7p4rxEDpymVY07ngzPYfNVlMU1dK9dMAfq1TB32rjUGlJo+pn6A6jHQZXeZy8 4aoplZFNSxA1d8SpEyz8krzSo1nsbYUnFy0S/33u9zGAZ2dN52LbaIH3KFjP3d2GapDGGi39rZHA 5u/Q70RVG6WDubcLobwnVVQQgQRp6pln3df9w0iVB5YYFeF+WeBzHG1pCKjq9zlKHihaqGbuXycy t0PJRReArSwBf2tB3RSJrF7+JsEu+mAhIltZTQUG1MsahDWeNq9kxHuQ7kveWFXoz//AERjEnsSs GjTp+2DAAThFAD1Df94EA3VcJateEdeUy1WIH5NxKv6AR5SVlrq52Q9GfDQ7ZWkn3raLvLoQTAai yK4Iocs0mjM5LQrhunrXdxtyHg0VH8NKpb3DOwZdnTbf4+ADi2d+5arzmBpXQbWNOfq/NUP9GsOW OmSrrZ9zGgnqMhRV825ldxU509wieytcVYGaJnDrJKDzFJSjGp9Nd/7EC8XS58JcYUv9xrjW8gtM LJBgArDC55fgB2IMfzBuMEI+Q60wHqUOX20VtLlL00tXOGHIv6HN4EBlYgNEZn/QpBD/++ac8yTp RJfEPgOOuCRBOZfAZw6X9D8TpGLcjDEGDsZdy8KpzRzxjiiuP87syradcSE3DLyVx25MlECBnLHE A3r8xFHWlROuDeE6LFgue/DZvUHt/81WW7o9LoBDcntwzIZQl8pc9B784vfNzyWYBk+MUqvrUcwl FSKssObgfItFZlMdhAAOqTbcnL855gfjgzLmkig8ShqQJuqvw6JOeOBme1tAYTHr+a9gxj0xOsdh E9gJvZ+xSvkWOQc/mZwTIn5M87+jO1Nzhkbv1MBBDmYIeyOOB7m/mTqRt8sR6rwDy2LIPawX18fa UmDUv5a5K2lyitUNxe+vpdTcWnKnTTeRQLDTaTmINEE/WV+VuU0jSTMC6jETAwIwpYV6hk19gwx0 8GHY4Olf9tCKdl9at66mMHvun1nRDt0x7qgGmA5E6iVyWY1PWPMEjq6QajYfaWqypeqyZks33hep XgK+zbxfT5wIJEcwsypkdst1QvpGmUq6OEg03ea8qCUHnzAr86uSr6McPDrx6zuEFsbQDJTM+7Nd n/RU+WsjdL+EkSEreVxfc1GLjclQAI+hudB/RtiVX+Uo1g5vU3SpV64Je7jjURyZyihmkddzObXA d4ZwN1LHUsvQO/uG5OGscbaU1tJzcQxgZQmdQXlsDru0F7MBrCDrw1qhuALrPnILaMvGR9bfDRlJ MPBQAykI3qnnmf3CSc0iUZBd4m36IyJVwvFRFL2f3mCUn3KKHEFC6T53C+ynbVQGFH+qF3RGxv98 GldBVmifFRP76+g0CEcSIvwMQwN0BONl7TteL0a6yAM2tr2bgEEtRTK9fYqLlHuAKfe+mBvIpRVf 96vM7AZUiiZj/BbnR8rdKz7oXJ7HdFX2WxETHDejeMexbytACCwxXY6qA9BcH5m5L1SlcguCitH5 yihEeOmT7w/TLRkJQk519maLPUH3K20iz/MyaGAAlVXYhhMGbEOpISViLzlCJfY1RVTMYfL7LLhm KGcI48/oZYC9g2Dh0zKzoUpXXiB+ONivEZKc0lFn7gPynYqwCxjZpkPvAulXOMJWpuUgELDFm8LH 5eQgPunzWJZoWhKgeMg5WoseC6bkgMUO/FKwwPmBKrexXdZFUfEykrWGW9+8CLGUdAeya1XJaM8O xLCieXT4kujaC9Q9UzcWrzVJ/Q/DOIBx88WS/7ukqyELxxahIJsEFBBWP3BwZ1FgM/TIxjgFiDwC XOwZEhwnigHsBMOSxwyBdZdd6kJS7pDt8bwMADYOMvkn3Jj5CppQ2xy5By32+EHLhEnEStoz2kW/ /WeWmiifOBp/HGXZoAAsmqtJ89OIiAdU+xV0/tY+mXraax+xwfdbUWOv50HMbldT5lizDDSS+MYZ H8wIuC9x8wbGOcUUkfSAPU4CW2TVvEbzmZS47Lvez1DscskY9oEYlFbkRJO+mtiZRG94YfDDqqMf v9YTENpqoMGEs6k3VeHOwcUHN2pK0tjWMWXu9L9CsA1Mf1jUX3rQYC81gqCT7eoviXfmIorNSLJ4 vnfXBD+OBp22vQopxgZu/JmY4gGOzSkDCEQ7b0W30PAwFQYrEf7/9kgYeHveGoxcNjQOP5CHbz4Z sZ+SffN2hWhERFs/WCV8MzhGRCj2NW8eBb4avp3eD65Uy8jPlPZ21qn4mBquDnSuyLzP9dA+AYZO /a6L1tbROhLr91WIgg82Wv6ZCBuvTZ/oRvWziL7om/c6vzEaT57nA6sojiVMY+GUgrUNDHk+cV2J t6hzcxAkMmW3NEELYR6+6VbbP82n9oEwVb+iA2Gx1ZMxGzgS32K/POzZ/MnpDF28fhzCDzxb5wOQ hnGT+lOCjL3vZG7WDZfndEy8rrQ6C6WYqlGRVGKS1ohN0I8SoFvoOFKlHCiUMSpOh8J9JxZXYJ75 Cdavec7yk8Ab5XJizIBHCqb6+XWEzhHkZBrhgDz7scmLh3Xo55ZmKMxpz7FQTNQuJzmc075qQeFG C2cyswwRhBmPxbX31ZyHBCKgl3EsKBkzUVOg82GqqDU1gJK1jsz74CeJqWt1bTJCq2Qr1GAvHZhP 6AHDJ8tyyGJCMAJ4odx3S9TnwiLaAeWlqfrAZXszjok0oD99cfO+XQMKYyhkB5muT9DLBIUcO+1t 9oMorbxP7l7cKWvQuk2fcc8O0fw7Yp8HyzPzbtyCAjyX6pH1vxT86wa19VzGP80LnkL+D06Bgwuo 9j7OsnYMD7hvHOmp26EUx4GDZ1LC/kE2B+Hdidsr4sPgtBf5yPPXBe7hT23iVCMl2T2hOjYmCFLW /5bBzlJZpB45zIDaip9c3Mh8asw2M8pChVOSdV6w9+NNMNndoY91ql7WW79KpycR5+iCE4IIP468 EcuG2m+FfU5wK5Je5f0bWot6Wn0lVTRpXQcnEgo6uGZaNBSW815eiALd2THEqztzJgKTWONSrUr4 0nUe41hUP9Y6ryDs7wNhvqS4uuVXbYPsDiGvyLtQ1phe4+uNaTFcs5yithgLluBRs7jBCqgtunXJ RZiBt2q9On+RFrAMWBfbXgDRzFOYLL1eV2YY2OwnixRqmd5V7d95vyIprWGDiA6kFuc7IKc2YjJd tJuzAfa6jUQ0drbuEPIUmNK8cyftyaNx2YMwHadR3tUmCc0mf8FD5ES5DpgjPmxYkYV/7QFcoQb7 xCE851OlP+x10/2hARmZLC9u+V7HcRWiV43Tlory5JIgTBxqa+bsmrz8jGRLXoQE0F2Rn0HWQymK X/5yq66lRIc17WvqcqAekhJmemeIP7w8wlxlgNlKcNs3TMuXKR/t5eKRITKD4LM4i/Hacn7adxr+ xCtGjDpaz1WBzs37Y2y1ipgeXKlHlcRQ0CDOlHgMgQZOKVons7sbFqYMXZom5cS01Jtf/1dbOCkz P4kPMOwyxq6ZlewBXj2aHxh+ZVTR+0AJQmTZr4ybD7aVJpNylzjLpsbVoP3qbJGZbUszGUl+rbZc Cwe/8AHsj1/RkuXu7dprnEO/SmPFb2iLg+7qUAT+EV7L2lPVZUr2VD1oGp5bEdVYQ/N84OzIvQqY AtWQDmLqBuYiwhdX9l8NzLp9mReuHDQVSs3QOx7hdXMYCNslvoavkggoUFFQY0ymkq+zvnnDVKF0 uFw4u3hamd/X7pR2QKasTEqrvrqunXbrJdPYApfk750ej4n8nC+LMai2sOI+68kC0u/DkKc779uU /oSOVt4JtpkiZzYTY03g4wWBzgL3OXBJ+2g8/1Wq2Fvhe+q6WXBIEx+tOHa0dC3nPTidOvZbM3IY jbYdFteB+wF1e9W6hqrBgrwSky9Io51wWNsj3dLK+3azlxyXMV1DpNJTW+s67OlBjxJmO0gMqlv7 c7rzLY9xDoz2mNswf94xHOoqkw1NFMBMR6G8YOhhoAacYDlX0gZZ36S4UdRlYxHyx43Exsq+JNuI /S1iqn/rnLpGP7m+Q0UJtbQQGwR/8kjw2tIdr0G33jVzRwBzZt6SLDpewnKMgoDPU6GLPPpdGTfR C2MgxHqrJKzUPyABStdmDKC6JuHVsDqtjJyuS54AS1PAvdkt2l5b3y5TieoKHycobUsiwumIogEx ADfCcEAJqIO6DLB5pbB6BHTed+jK5/FV0eEuS9xL1jB/kFsO7kwjiWPZ2AbMXFWj8W/lZDInu0tG eu57B3QmBQb9L/jy69J4n6yVDqGTOJkhf3ycGEvmV2L4zNUUXZGPa8qjv4G53aybblmM+BFcPoC+ CBYNAg77NLDx6NhEWFdhVQwerWMllBvaGKwzl6t5b9vr9SAY/sjOwu9vRaQEi0H3rcDmDiXzqrL3 kUdMCw4prPaijNf5hOgYYOmRWSDyaNa7AEJK1XigCUKiYMxwK2OtTjHhOzs4Ox4cSNH+vhteBUnq svKnThpQoNLKCOwiEdoLoz73bNoFgOsHDknT/gBW2C/vNBeEqWLYy/bWET+LagUOQLEC87/t/n/P Ovq64p4/EECab4dOaNZ3fAyfXxcEUhYdmQn1bCGyJnEreF4UFmXVQGdpuoeBrIE8nVfHEFUzM1/l VONOJUtlp2bSB57S2OwfkL3elH7x4wysZtHgpz6NPofZn0Q9V7L20PH2oiF6ocxqlNSnYgq/Ls1K weugzqaUX1/g+at+BEBpYKXjCelrXiHtcVZenOK4e83/vNxM7/wNn+ww9+3wLsfUru45DV3o3BuT 5/jGfoHmmOmTqltvNwr5h7vTB0qY2ap3MgmAhvDcThn89qoUmHTLVXEo6QjPoUrXPtwgR1jAVIXm wAohtU/6yNGVC5P7efuhdevGQXlFxmFo1ocwsl7HR/o5tkx1Ezk/Y7oivc+Z29+iKUTYG1/sSHev Nsg1QH8LgPYCfR8y6O6QpoSc8hssq/xFO/S43QwFiRDMli7NwrmCdgdLQSuPOMS19RGmATZ20rF7 nxVbuAKJRnEBMtFt+mUGh+TiA+Ol/g0QV4yeW2mzxn9O1GIALrP1uZqyk1GrKE7AdzRqFjWeIhR2 e0Oez14dr0iFawqlerA9ZEAP/VTFkfCsP4mLnhuRymthr7D7CLwPKi2UqPTgLmmDrGSQfR64lZXg T+awcDWP2xZlIf4lq1Ic6IWpeYQSOMOgRt5w4kIDaiV7pBRyQxY1dI+S1HgmjDi3O9DrTEeC0zs9 EcoVepdwcJI9JKDqRGhhIQtLuw4w6mwLw3bL7SBybAYkiQFWRai5UXJQBY4/udB1OFiCBWw7nwUx Tptt7v1iSB2jcOVYDEzv7uj7OrgtxF2p4R02epZBjNwYi1qqIbPn3wjBEt7ubStJPpvO5Jw2LeVn p5NLlS2XCI4ZU2xSZp8X+iGw9JYtjf9C30ojJ0bX6V6erC2Fw5xCb06o8ZUAYpJZxuzGdn3E/Qoj zZkrwyid83/W344cTYA8TkJkG9x5In7ewhVxQHb/qA9+HsfeFegEtHd5tAikeqKWKmabWemmGdo/ 9N1AW5EYryjDXoIgI9139ZRF+6JiJXTnsRLK4zcxyuE0MPfCJw8/4Myuf6AXzVASGQCYsqmNYccH 3iGRNiPwBOlQfVGk0fuo/+yXaeLuXaLBbilWKR8/Bkn/r8NsDxfCXj5B3fezNFQrPTOj4EDvEqyC dyXD+5NyZoPuzqLXGLIDiodStkFglx464bHspdg2Q4pEKB0vgoAFczjrNMVLy4HNN5Y6yAoDsKTC xtYeTAje6D5RLf8wldZz+E7o92tUvWFb40JaNO8xTKyVgHPq4b4Qlwf4Nssp9aT+N9DBM9wwa/bf 5Yt+bsc0RMI2V5ihuVKNv0irOMAZ4S4i1E1iSW1QBlptYpGRsDsYcuyqSidHkOahc0+nzmTfhQpe AJTvXvpp6ozjiZWn+K3l7Z0N9rVQBYPgDSErizkdnVAGH8WE0/2QM4wF8HTbVQkp2FXU6dRZ+i0Q ABlLvQHFNMKqkwiYWOxGcqLTvPR7a1UV7egS4boOoXnrtb7va6DW2n15YVibPJctLJ7kPBYzYGM8 FUslMUH5gqhD0V8HN7YPAIEJiRwufJpVHRZ36delR5TeuOmnSkGZU3bEFJeQ2ficb98fdZUlBzla 12rIe5Nyv4fZWX2O2FNj9qJry1/gVpPhEj23l+vkmcxoRtz6M39xUu//NX0MSYqeJiIqzfJa7+dr P7BPd9fhgpcrScer34jZsgbFFfHe8GX2XJsXmt724ASxlpPaBayE6y6cnJIY4mUV0vHI7B8ZT4o6 72kSEkYA7Ya3QslwixKFBzsNxkSALdvcSfQG7Bld7FazvlTVOHsyWRmghK1dFWtlCpnYFvxsN0iu WDeo7yyUhhDkXg//HOKGIy3z4CW27faYYchiZzh8tu01kmj6qvNgygbblSso+DKmA4mnR1EqE2wK Y/xQ5Jv/kNw4l7NZV1nRA5neEw79pJN/1Thb88uIuhhrRt86Df14wJfwyw/pKRE1RPAHUewqPa3j ViZXgVIH8B9yunXcSHV2TVHgHMHQ5hoSrIMCkgKO3u8Ttdtr9yIgEDAKSUBlO8kzE7BzUrcSQ4oJ MaGmrUiN4a4RjgZa1z0bUpjqRYEkZjhKNXsmjvsTgeQv65F8AQ74Bf03LM1+E9oYNsn7W/Dlm8rU 2FQzz41z5ZqtaN9JEeAr6SSZXBv59s6xeilLWZWoqWCFjZ/sqsV+GJeUfgceBFSwgr8EdQ8ocwQN a/6mjcuWKHzYv+P/ngY/ZA4UYi1NXTEkRpqEP9vhyExtf95nD5t6ZdwpHtlEcetU6PHQYZfnJ5j1 Oboevfabv5qfR8XHAXcVAzYX4Js0uCnqbiR1GqGKxwdSiu8meMftFq1ger61gRhoyKFp1sNx8Vxk Bc2z9c1xSGQ7bzjleCZVMO9RDReQpwpMAM96kdqoGSMJiLTnlOkS16nxvCgDO7I7yHa9MYY4ZZ4B N+g3Y1jaA1O4gSBcmCOsAPrjdB+dViuPd/u0ToHC2TSbsRsB96zw/OwlP5yesedwlMnN7DMQ42m0 oVhgqPo667zXScw67FDRGB3tJOZ3s1ruUr/Jy+yBP0jcdSIoA3xmvqnk4TyOPaVP43d/XbEMQogm 1E6JtM+ZXThfk1OjdGxaFisxoy+GCkDIFcRc6tVdBElHX/QNFetRaLq7VcmMg26nJa9wqCgCH+69 CekhZWB6OrMAcjzirJmW7aVBLbq9hcMJvfciC2fnQ1ov8Vakj/H2U3DAh8IfBj6JBFiXgC/qVIGj xtlIrRDCCl4bNm/v65gYw4ipvlpHhShB8GqLBo9nL/AdmlMDEp4xrxz4s2nOcHApDS6IdRkrKnTV gLejGfWVqV7Z2nwUDoydXRAhEFjcNsu9gju/lATduEyTmc7RY31CeLtUwwPLOBWs1Ly3TtmWXMIy SUrO3KQ7dcP0eK5X3YPiHsEnHEYkU4xTI8U+tmTrah9g7AVbqOUBLpAj3GdKcgkvXIhtC9uH1zhR dbIyx/Hn1oO30eF2erKIr3MPgwv0zRY2+/dN2vLjNKMNT1ph8GJ2edtywyp9ntGc5lxId+VO4ps6 qhYtkehtIoISTcw6vwdSGGz/mic1/8QfyzTKgMir+FGwXmyN2CMyDi6xZHA9MSeGBkl9C8yrbNg1 TXcRDoI2fsPhDRhCQDO3KBAOhuWYNb4YdO0ZLa79U5EuD/SC/2frUrxIlvQSnqAzrIi56d2MQkE0 O5ooakfsnl3pDx8l6DAUN5ddKfHPgVrs73wKana8eMWvqZGztzWwrZ4pui/sDsnjPFkIk0OLYqhw baneozxD1v6nE9TxqHTOT64nOyDCmSl6sIWTeHiy3tp+vr3tTTyHFAoA3N1plWIDliv98VRRi8LG TbTUGfbP46yvN1rOlP9vCk54RR776pRRqw0i6RaTqEchXr8DNrkK3pPMRJtPLRO40WmBkx90kqZc WKPWiEk3VhaLvKb6ZLM9UtxrM3khkD3r3K/CldE0BdDUfksstBZDZQY9Y46fV/IVsChJKljF/YBp C9kXLNOJZ0bf52mwurN3soCFF0sOK+aZQA3tEnh9DWYjUTghbjC1FDwPK3BvgAZOfK1xir0wWfrp q2xvTyyhTv/II+3WcTjxfpxAKsgbqrzb6kY7Tv8cMO1mIr8eE6R7222epj8LkDsEzfVbfTENelR0 NdC1uMHOzNrHiKoglLZl87mXovGyZ+QTpoGjKcxJSI0d/eG80VfWYZxwuBEgCQBni3Eum7wYpxmX R3dh6RPuFhuxq0MSlh5hLzs2dm9Zc/v1gVby+Ru2DUJJd7LvAW9j6hIJs91mUGnJ6KEPl216tc+M UKX+Brj9fnHTG6waThBmU6FPU865J9cE28Ql8j1CtikAIy6SL+HVaDZ5kqNLJuO6WOOCdR8bAVA0 /32Zyt7/KkLP61TtV0B1H/XlZ+MN02NfEhVdW3LMVg7/Uf06KgMElNCC39bJc2ede6KrU8aHnCVc e+YQAWx+S+sKSF0udu3GPRKm2hD6zU/i47g8TYEROxocfapP5uPP1YTHAdy0JwcVsRyKk2+Pi0ag iiE98L/IPn42ctbhynb4c+Rav/bSumtY4oqKOZ4Fqmt/KzyXCPw14VyKtLmpX7mTkqqrxVPWsF4I eow/E6UmjVlL7ZqCjC6jEABu3w08QWGldukaWS7jRTtUfTarXNgbh2IZOmvgqNxloMJzWvnOVgAp iQ/1nTrDYYDDZ4V+Z3jlOoNjc7quZaCkMlV+3gmP6ZbQjkzhQJzq/KuTOI4x3slhQyh0uPucz7u4 IQHc44HdeBKJJb61eDEvbm0YDkwMaULvWYYmHG0uZR04cj0D22KUkbZNjNcbE3nHkh+uVeDRNdUs e4vJVpvscJV1TKjyNXotB/k0+/y4IHxjzDZAdzvJTjOkqDw2gHag4EET24cqpXZ7CwI517BMTsB9 U+TQ+GOIpTfCV9IptHtzMf4zHgEn2vIezacngu3iUnAD2LCT5xhqUJ8+25oIBGfOzgsQbQbFU2wd XcOuPt7C/sga5RWoPpLD8qp1H7vIaoBAl+SaN6EDEh7zXw6CUBIdcWVKDJl4Md91LWQLg30inuQD EPc7Sc4X3MvG6W3giUq+4LZ+Ps4ixxVuAZAgRmrGkk469/gtCgfF4Wzvavzblu/kJybFwmCGeJDW oxIYCWxhQJe/EPuy8p9zWOosx9aWhMObiYalOwAjDwXk/YkDDRvFdaywbvYhTPkqvLNQw9qR+I0v MHKv/IWE7rdfbS18C7ogr5VOwLaE8l6DeM/2l8iE/KYj5nXdtj1PQRQN7EBAF31kqa9I5AOn/4vf L04kt6bamdLK1thAreI5BeHmgfK/KxUQXMbD00PI0jWAXOP4GcIVNnBVGz/ploZsAkbdXZtWobxo JfYeSDjEDhdoJJXPGXc1F7GSdD18/71Juw71V0d5Py+WAywPo2EMyr5A8+6JlMnWQ2K/Kh5AvkJI FH/xFugDF9pxd5Bgs/3z7IuSs6rVje8oml2f78RxjW9LnV0oAWUYC+7Q50lbB+aCGn1XIGiMDTzy W132Ma2Mdihqd/oDdPRqrTj/4zqY0I9HlUME18qaxcorqsHDge1CpCgXdDuoqEnulcSpBzGQ74+N v0xpvBfcSCFHEgP+zyR5x59xfkFmy0mQmarX0+QraMhU85jwCYATcwGkLU4mo79iTUkdY7fqNou3 9YuYCMKl9TTGbP9s6HlQFrZbcIe1/hVf1zdrk9iaSffXrx+JX1jXToXboHZa8wdENeELfLWfw4Aa S800ZRQQU8T8KxrCXvDbD1RLhMqEiLkekBArRs0E3J6tHRP+KieFKKQMA6O1z5LcH6rkw1YVvhF3 vxgx4kBZ4xWlDh0X+NMr663vK/2M+kS147XA15Tcwzr3a+3pm+1COON4Ek+6wY6KlMxDEqaMuM0g xGOySNrmMd0u45ix2w5ykwimyOnRqdven4gg/QoCxQeMMQTDTY83519o1RTZ32lrwNT3ULB5k6YJ VMVolDLE42SgvfIvy3h4bIWy2I2YRGMOHTSr7PAwASup2RZUdu4E6/gZSqUfd72V97kl3bIc7Yip MICRvfnBLHNN8ZIL0S8Pt21JRZvrWJIO4dH1ta8XtlJQ3A7u8Cs7DIHxxrrj+PkWhMrbWapfz6y2 BOZS1/O5W01Y+c48AISo0GYjH+Tz8OsxFgj4/GR752GNvqxBVQB1H8NVO0t7MkIIxwNQ2j4TXvav BKt5RQlQCgZHStC8uEJCcOMMkOVijORhPWXFCVHHkcMV8Xg6Lqb+71qvhIUsBgX2G+X5oRptBhlQ C8UCkgavaTYf7+kvtq8DJamOuE2aqkqFF2qMkTVeQS/XAKPeGJUUT29U5UCujClnQhJ8GywfhzIT qeHq2u+gfhSFPmp7gM2G6h14RDtjCvDzimUqBVfYilrf2Az7R6+JBk3bCWeHqfTB1b6pivLld2Ae 6gJl/QYV0V07q8pW+1FCjg1DEZ3S8cpUFq/AVLPSls3Kb0LVsJxBnYdw9i9DWcnvqHIvR53DXz74 D5fo6slJ+9uoC2f4ndl7swQMw4iK7gXaVNCdc6B/78dVeYwEbwTRvqzab70FF32mrqoVSJyuXeds a3RyItNfsNZf++xoEYVYhXX62tOnmgcvlI1PEhYQoEBlI2Bg0jfR/ugewuulCuzdu0l7BS1e1p23 NFEkRCBArjiKXdHKZ58A/LlYLbGHqD9tJISoe+Wj/Zmbl9GqXoJcBTx2tbhRHmjlaGyXyXNOnQV0 ++anSfdnDcUbigYEFfNraGx8aW+RE8aJudQ4MUJo64l1mdbniCIrhzTndOxe7kLOPPJt45BNO3Y2 QxIe376WY4JDCIi4oWAS8ymjAnM7q+EuEtZL5w6RSKW886fMfN/1X85MgsJMHz0VegqFKxtfO3B4 jJRsDM99Ne1A+ZCbpHGozMQIbxcPvwQ1x9/V2NZv6mslQ2/fXa9QizMnOxpiEMAzHTxYOEsgb2Sz rYnX64TcWRcz+8PLbxPYRFdx290TiYpTQjc8kayJsBeC8WCX9Y41CqBXZmDS/RRyUqusZYEnc8YX OoIjf9Vl83CpIgKopbmyiHTQlp+WIiUalyMgUtLbVuG+PhRNhMFP+tvsz6NoXl0FwOoHCOq7tV2L NiMABw/tz2WWPivwU4J4JtOd+lDJbOBvSaM0R9LC+VG/COjZ0C4k24toUW5xZDOAMOMQw3Jniubv FcW1ywztHlnQ0sEWnAKmsqOKvXt5mzpvYWQ9yAK4GNj+gcHEuiCY7DU/29u5MNtkUgK0FK7bUD+T u1+EIav6q4PWgzdIkPyZV8vw5UI+EuMf5pB4VGY0onGClnJAajjjLrxnY2vq8ynO8Fte0N8TX89J 7ExXPH4XaALNbNnZ4isr3V9ODyByH5gJFD7NicITiV/ze4SNx1bRe+AYgqNHpPwidsfgeLVjJLCg 4/lLOVyElHPNjx8OJMqx8aj5rTzfljTP+FNPm+BfpA47vpMM5tBGIc7X4cGnTwjWyTJzbRUqCcfe ZYKi1+srhRcwhF6pXGVA4KQ/unqt9LseYkH46Y7kaCNBuLU6Jm3OJGediq7cxAFVdruozDB5H83C hK996liEUwlw1wPKo0eR8KvI9cPHFH896IP7w3xBOiTjAFUf050PdJ97bQnHJLLTvCSa6f13O2RG FeuSoLg9xksj3J1xkGvyusEqg7VJ4o7A1TwHq2qXbeQhTxbgR5UItj35TtR8EMjcyMqKudsKQFl/ wcnJsd05bhd0laSk/jwjOcwk6lbINBhnxj2Dw1+liaJDF+Za+qLFkKzmKe3mlkHOdwTipgwskvkW D9qpkS/JXw3jOi9iPI3wkBFncPnaGDF4ffPPO41R7jQiwoDYlwniUZUMZWDDsh9jZWAx2Av7KxLf fNul4dqN21DZuE6JZRdSDla2Ugl2fRNPcNkxLS6SAiDNaZoEEV0K0eeMhejvD+NC4KQjBQ10f7Vf PaQpCSa3d0i2NsGxN6Nh5OIUrHRSZmNijEeQ5A8oamMAOn28xcaThZ2gbEbhYbkUCirMN9DavmEY BaVw76NK8ERak+OdJEDBL8YttwnCO7AsOkoZWYpB9JB3pzLs0JJ1giOhD0I+k2E2eaT9BSP7d306 3FWL3GDjfsfWsQHj8t99ocwKzGUMY/G/2m5ef1iVCH4DacSCQnQCqXMc2SGPJzcpkOEGzG8+Svjr FOOYprbWeryKX83veAKRzX3w5vQc5WP4Jb3lN3gCPyNUrU1jcp/8Z9xDBTUXH7EMvRU+Sb+OmhOP t4TH3sil7S9ZtOWhFXp0jCz/MMyVCZhCNN5pD/2v8kS+qOGeRH+B3eXy9F+1rm+ldkAsJurnOEuU xaV6INAX6vjmQYYgIqDk7qyMWYCwCgxzliMu2sbAfL9091A4B8y4xiSSodxYl3UzapRyKTz9Y7Si Z1WKSvM3g5tIaLUhI9oooVrLxA3liVMq1S8zmXOBl1bdy0h9vl6wFzRQltn5WA4sMbjpkjM9B2Fi hudsMrB6rYSsX4TRgkcJonS7QEcQonWtDC0aHOlJkz42FRllzZuFNSMwiq9gKiilds+Sg73UaXDq xktXh66GbZRIQAmxGV31XEIvplz4rEEPZEhnoffnY0zzGJkcYMz6AvHROnDcB0povkmQRRDACSEi tCPxEa1MQ3YildtTaq8jCnbb94HyvnTSrPrODMbIialQJtTIEaV3xu081Hste9XaMRQsWsnP6jt9 8M0uqgpqfkgszR/KdjkHzSb2fo+RUJqr/Jp4NP6JvzIxS0IiNoQFpXjz15na4tckvp1eSvNuRagE rp3QlkRtqfEWlCi6t7yMKBIUEkREridl/ue6FMq+lwg2QqLZjIk23/i3xMRAALjAK0+FvaQubEdt N5kB4MAYn2iXXx/sUlbJc5WXDyEn1eYhK2OtQT/sV023zno9ZWC63iKL3hfD1w4+b41TqFpxVPNt RHjAZfYlbkjy8E/05UbY07iJvkpLusm1V+7ZGAAh+zA1yBuDlSAbnXC/0oUT2ZtwAXyO6s18jc08 dpDmun/CDRzt2pEMJf5yGl14fxsJmRL+evYOb/mvMYkHqygpSfyAQyS32gcGedmD1eNavkiKJ3k/ SiPYOsW0mAEbzpMu2/4bNGWQJT5rmD9gGzTdx7vmLlylsfxwz2/KYfK7J4wtoZNp8WeiYT/LJPNK RQrnkapoj7tgZCRqlwjFw2vQlDDSjh9m/uJByEqzB9Ehem9W1pI1vQkA4jJiiixtAu7Y0RrjH8/f rHbGHdwZKtulE2WKjdatwGd0LsH4AoE6DBBQSzTsZtG8Zz16zn1ZdBe37ZDA2a61xihiGfss/D9K b+gnONbqHaXRjm8rs/Vu+x5ELq+5DOAxlV+LtTiIz9eaJ6rmkOXV4/CKbiWZnDdSTAoLb66jB0DM 5jROIT/M80oziQqYb1OTALzJAyEgBXd5lSjtGCY5kP3BRPCoM3/3GZSXFBsIT86ZFpT/a9PovZBC i4Rs5lE0B0pimdkpIPHLZGXDasn1NPPEelAyzC+2zHHjcSB/GghPg3wzyaVRoEQuFkA6ieOXaXWA hJ9SwpfDOKD4aY7R8t6U71uOt6ZO2Pjp208XP5Hd9+K3EXZ3qVfYusqix28niUkA8MF0Pz3YYyZF YX7JLFQUaCd9BGP+7Xmj68VWiNpsDW6nbnEupIRoPe8rCvieor455uApU/BTUDjjuQwmUHagAufk sWaKhzJ7OqlglknrjOEKdNpe6hKytMDGi7gf4xqWrtZktd8R26B5ZgDDOVgCmoG+R6ttyUiOrtQL NIsnb8kuvFV6nu1I2vhXoJB/xA/OPxbNCoJsfQc9dbvxVuTvKsZqB0jGgrRqZUwkIHqq5Wi7PM0P v0mGlFLOF2bag25xkG03OsOoKAdVrPdzlP7syQxhtL8ZHlLWpr0H7STPFA1LMJe9jIfaA8ABa6Bw Pd/cAa0WY1D9imhrD0eI6QaJ8xeR+olgb48tSRQN4muQwy3sgiSCUjgoG5dy0lKBsu1AcXX6kQKR SaMVNw/xd07lbstu3L7yY3dsIoegHo+XRYqG2ejsZVHnvGPVvSktVjRsIx+hBHpm+aw/S96aOqIV SBl0/cjW6yKPUhqFSJF/rt9Ka86rGeonpMrhUoCvkwJoIx7wpqe/x1iJU5quOZyEG9CCRo8UZwj/ VrG6uzdBgjjPPi/yCkYIrwZD1ihejgCW/9NUE5Mxfwx04C2Gc/zFx40pjkz+OzURfwWhhK5PIVhm EAWHqLQspeLVjstZym45xN+RD9oIi2KHeCQEerRWaNdy2Ic6xbm8V71IiQM2E9S2heHNQZvlaXwh sgQ1BgDuVU0ZUhBq6421prwwbatEh2+q7pPJcdjYbUPTS9jbizH5kguj5hlF0u/+5o7nO16SFtds SfDFTQoEq17Huka2qBow4l5UbJltTQDuNK1+nwlW+MDH/tNMC06vT6BlyRLgysTkfVRPJeBh37Z2 FVwJStvZZUg6IC3uI26bpZyEtpz+ZKhrVmX9SzzTrmCH7ChEAtNNMz/FfA9q42yp53YEVIKwYTjv NCEvzwfRVsIqohfxsoGFP46XgiZ3EE7ZYw240kyV+gMYZQKIlu6h8uRvOVn9jQle74+RdeAcvfVu rA7KjC41dBOOPjcVdAqbujAWMTqJXmfWl4saEP6zaQ4MdLXVcl9H+PpFkyhm4onDcCcA2mgVLr2P E3i4PrMRLlV43Yv6uHu+hziwwwJswd+Ew5rBzNrTr+bJregZxqYTclB1YGZOE41REJ/qpGHVYUiP HOEUx4uOImASRFCSFXIwLysi/lNybhqQqXUdYmESPz8r8PJKPUJ5oFfFB35DkDrr2VsY3Pthj5KD zRNYYpbFwAG3/u03ySyOSbdfN11rUK7mb+B3pSMrlFX5rjzgnSGWeUAA170NjtrXWYOLqEXS34CC 0RODSQp+ffrDNA2qP7V1Bmezj08RCnztioWBCjLwmyxYSCSigxai4IqlxgjsFuGPbwOcEgKy9kjB mgiE/VWfEmKwCo8s5FpOKNumMbLPdYUq8EkywfMCziG/3r8la8kRspEaLH+XQiP/mKYjA2q7aItn 84EpFYdr6ZLKgbSuaz6rGsSqBdetSSq5nb74wc2Lv0DqoSP2V5zebK1uJoEiSVhAEjVxxiHYq5gM xPpieznTNf8frpT8TamnqWSaYcp+4xRFxhy/ZhR/zx5NAizd8NVegbFpGS9jRFG29wFKAXyjrDMj VziehGH45cpUKzkw/Hmu0zOfpbDjfnuj7fRsTsOVdS9cyWk69ZjHq4pjvSCp6AjvueHycFULpRen KH8KkZ7mQE2kbGXajZmC/uNA9Sljhn+XC9wXIuERZU2xx3hDH2rAQ4xuoCML0piwwoP5ogwGluZk nVZ3eh+ya25RHQnAnU0Ucd1wN1Ir0EuBV8k99xUmvRkME6YmsGu80ypGndv8iDH2k4p+Gk63WpJ4 jpYO7de/caUEOXdY3sWGEE++r82ZbwMWy6nnNv371k5yMBU8OmK1VYLT87WeUCD8h0rase6R3uC+ EzcwJqNzz+X8voNFzCYg6zmqPLEaE+8WaeY2Y86oI+pI9LXgvjq7yW+L+pG7Ho73YOgRhDplwU1k Qz5jOii4bonudxQ4IzNq7Wc8FNv0jQwLYGg/AB3IpgYag84gMG6f2rcQcFyKOqzv0Ks3RZtJFE8t ALPSzzx1cLXNe2fSeryNJKicPdByRPICEUuPDnsRGLOp9fJXPseMnH4yUCWBFqCnzNQdsgYplSIX HrkFfZ9QDQ3ausHrh1yLL+BjjKiPSGTm18IbKeARjOEIcpfsK6W+hogdL8O5sNEKMnwOrYNXRVYR ChXJZ/j1bib4/nNySoFxG5+wCSMSj9quysJV6IH9HNRF0K89XwhwC/CXD95Hv7DH+Bx3IiHq9gvE iHuOMyPtY8dBVO7+DOmaZndcyD9fP+7tQLwk4c2mgHAGLz5HuKDrACkYQPTEfPKApTAU5YRjWd70 lvlo2x/19ds1ZOjpgp+NpKDNfYARbIVvaEXEaloc09OGqFuTAlFhi/u+yVHyot3vPx9HcVTO3BJH bF4TDO1vofCp7C+HFJZQPJT2uFpXEMeohm6p8Po9IAbKMuqxm63FPNYld92l3+fAhDCRPI4rLaB2 fzRdQiRkpUu2D4FFiuMz9J5p8DCU0KaCSsBCAiy3HyhBjvXWjZhW+NANouIw2J7PpfBkfVPF6c+e EDhCCysn4cL24EDYrVQJ6nqBtuIGFbumplWdczHWXcjbJi5x/mcKfWrqx2gq05if59jBRe1tBvna obWV3Oaq6PsDw2DsIqliwyBSmm1EFYn+2cdQgl1u9xhw+46Q3mcspyZarnkUbosYxGaIkaRkrVJL Zi4cFkADxIhvsIz1U5jBRfCNw6xuzD6dyDQOL/8QKkyGE46p5EuCvTvLz6ivGiwt2MoayLomHQ3l FasBS4gEgZaM1q9tMnQIAbSgLwEkStfmzUL2eCt9it9m6azBgS0EUkh1V7YURNCzucaZUQSSicG+ wNvW2YJXBereCfq83+ZGqcYnA/SgJLiAwJxvky9yp22wXlifxKJjf6XOIaRVnpXddm0vf7QSVWYZ H3fkILXXik0Lmu+xe3lRYePPt+e+37hKhGRptRmOmXljxa2CVWzfTk/fQxnvDTHFs5jkTWpFqjUG VL+qrXbfvU1dg5cZIuML3f7+LGK9QaMYKhSTou8rpk1jChuzSTAS4Z2lwfsH9QmqQJlkDqjjHbKa fUmNvdA0nP05tfc1iJOiNfBNGzqhjpy2P1UA2YzCXXBdgLo6Wz8fYCoetPEzxmVmxLRJfEB9Fp97 GPA61x+oAJBQ/PVEdeyzkVXr5G/n+3r/xuz/HMIqzLVq7ZV8GRgCxuN40aPmEUT3nNKIaI9Y7M3l ra01lQKwWtPqEMGv+tpBM6x+mD0SaWGDZXv2NBzOdnzl03oz9XIOfqVKbZAEQWlEps7bgQ0fOMzu 7WaNjCqd3pnt0TTKeeyGADWexad9JGMJ+19irrzkLkC7YL7II2EU7Njw7+tE5WrQLCOFw+3f59OY LIgjLAU/LY/E+TJRXtWirszhTS+arV2cSNBJk3Q74RjtyyEofr8K/2q23YLy4L2DK/PLEy1/SXn8 PQD6xBKcicyXSI0ZCMfDJcs4F8K788Ej4UkHChbpnLhZJdKfuxBnyQzQzu2jouP6Y+l8og3hVBH5 Ymb/bRB3z8hVtSRNCATaZZvmjmZdxiDaNq5vfX4l+kBQ86/mAF4BISbzS7YjO4BQpokI+JKnwtcv yOGt/J5VYp/ApIUP4K+DhO9KABzJWXzEixAZoWRtyBWtWc6ZlHmv7oELy5+PTlM1ZauH45tUM4WA LfYvfyAussGJBy61sOQNQCQeJqDAIorCN2tIoP/SRpUkoVBu+QiNDVwmOJOOWGMR1b0phSEnGWzU 74mG4lj9SP3fMNBoq9cLOWSXNVTZr/92AbilsqZcchPHmFXNeWoXL4pCLM0YBy5VT3uAp71uzCHq rrWl6dxH0Kuwk8AvA0CxVtn3PyCVe7PVqBDpEY4/VUHWfSeVuO1+VWbd/qHvIQYSMsaKWBg7Rv6T sWyk9AfYT/yWdJ3YFqBQV8Lb0XspU9LAmVCJVirvThLZc+rS6YdEqaZm9LxK/fW4FmGSCk0XPll4 esIbxjqB1GOfWNzMeRbp2BMYTFRjADcka1iqA2//dQDizgykMhMYmvrZ40W4bcMgPUgOxx6hLJ/T Min4x04FmbjTaj9IYTnzQq6Vc0XPcAkS4glDP0ZYqlnqdPUJMfOkWwST7U+iArzyAqWd9ybvZJdt kmj7+aqkTjZOPYwJH8EzsxoArsgLt24EV/vmpXc6A8TUX1bRHG1FXvgj3uHsU4/r4nOmEsHvXS2D BkucNmb9RMv3rDtoTxwV50v+uWMhIhQK97RBt+wjqD9tr2MNM+B2V9/6ckS2GUL1mcJuew7VGndg sTqCtZeptnsrs4e+/ZWEiVT7oG2EMCJP3n0A4yAUtpPlehEJGwsuuoPrDXcwVpNvn2HeB8EvMyw5 XtT/z7K3GRcHB/hN2wqmBXot7r54CmMGGeNFl4BMCMmYj2k+AnHc06UiFlg7NaSqPB5xEOEqG8aH suyfBJse/kH49mD9pR2MecFzN0sfRN8cr+DADMTi/u3eGhkxr9ixOK+B3KKv8c2GRBYaGxD3xB6o JRArwXJDsGLOJeCbZ7pg3Dg2+I9tPo2dIUgribAwSTrJYMfJNJbr28Z7/M7Oh5XYQe0zKz+N1J5T zSC8LDi45FQfwUhwKKDf9wTZeimqxCVOHuhnbxs/c2Sw/2d2TVdlFjC6vxZc1P4SRb7VVk3kHgp7 ifoIgXrS32o/W2l1Huiujji8FatOCJ6RFWYW/BOQZvsAJ1ypoYvdAXdlmGOZuqYSUwHYB+q72Fz9 qSXiQAAoEMWNa3DrJ2SA4m5WjdGr4vLN4YD20s0aiQXgD7sSFAMqqltvOmFKPb6wzxxaMEFXxril T6qHHW4eHn/IfxLXgn9vLxvN6liGpN0MJgH9ea7Kp2JXzubMfaghvUZnv2rP/bvP81RZbIm/bphP RKyPG0SEtnu27gwLPEkeC6rw6m5Csr/ddxUDU1hEj01wfjtPP63a0A/0f+qTda6xCXNz6VmWxXZb Cpu/noTCw3cjjssArwbsR9ROMCaNpS7krMtjhG9cmiUBqpYM+iPD5H76i79Fl3aWnsdE/Ir4Lq8R Tqs/OANbB7wMWXzn/KCEmQ/YTzg+PTQeJQTRN8yU4qmEL5Vx0yaCF3nAe0jXpjoTCbBL0W6e2+g4 FjE3kcYUMi7TDVOP7sGD82UQwzFMEkWoF3oYNh/R1lTEhZ0el/dh2czwGN1U/YRva1cqd+bfHUfc cNp0ocdSTRf+CgFZsziPuwuchJaeEPOKfSsLZMigsbY2EEYr7wOyK5vDHU9EFdWLHXJL2C5rFZxD AtqxVdEsWvxGO+hEUNPuxaw028i/sKX+te281CJCEKFKsfL1IcOADJIACeXGcmit/0oraZw3wrML hmc64PPVGPq48QWTE7j5gr3h0N+rQ3cwjqHza1WjGux7hPASHN21gqsXnAxWpgjzjWPW9ckCtshg PvMHqY4w/lgS0XAs5ccu9UWqSeA/AXROl/Dhy3cZokXm39mrBZacIMtJDydtMMBiAgt+kUW1PtFx 0kcNB42uJro6kI9qLUXdYugetaHXouhLslEsTvJJE3olCLcOrLsX1AkFSCrwemEYD1fl56tC4r+z fQQvG2yU10QKPhIlnz7WoD8YNtkFn8w+XLFrh1cxmX23pZxbhIXpVMRzrtKHX71Q6mfZm2MLqQ+C xFuFMJjCmiebZoI0+k8qaqVlHHpj+e4jZC5hphEIIE9cchGI2YkpTB4RLeN1A8AuGDwzHlAulHX/ mdJpZfnDqOC0k1GLsoeJXJrKTL3L8VEGxC0ff02PjPVil+J03kGy5/o/pPU9W7JHI2iWRaMiZvE8 aiL9ukgWDSYV81RsuliMMCzFonbx7sDdkfOvYH2CHK3bz1paUqZ5a8NlkOLpDJYxgaThhY6Egcuf iqFEom1q8jHzStNo88ombqpXs3PVtW3h3OUpzAbG7iMjxAZ0Txga4ZgnnGH4lfFWOgFI9aMur98v kaAg6RCsp4ytJ1b01ZLwgjOaJj5PjJdX/Fxv2TX/ziFMP9dzH1Od7YDEWI1UVO5pBjmHVTG66h2P 0d4odbo/y11Gg7xHekj/SqW5sySmxXnismNkNIBZW1h3z+7DmF0HCB6uDjxq/WAJkzVzfdknMDTo +9HnZhZsIuiR2rLvbQ1EUNFlptIaaCC99zbLS36uWlJKn/zebhl3txy+v3Kgn2pbfSKaqNSsjse0 jV+YxKn7hS26R9ys/hniw51CIsDcVPqNEJR9VjMn+z3fpqymj/LmzOgqlSKs81kAwKzNJtoHYqit hFsiBbL5k3maT48PCc6+wcOKNR43lbQKeaVzYZW6Y3gkOdUg7/gQHphHxuZlUJt9N5HmHz0JKKpm lymoKJ0oYAZ2yqj8dT1ki5SqIdCP+Rv/0BFeqqG6c2P6sxKY04KjVgt2Vlc6HppntMVEfCv1T2I5 Evju6EtdXnGvZLLdmD/+vLA1SbBTaykZ8aeULkjfvE4vJcvNkPv3ELbn6wMMIX2MiuSNtTV5r2o0 kyIvOlCPgFz9ceEwZc5s2WfryKta29dJIxyFvWL4vi6jzFoITTnh+CJYLhBYDeKdUaJ0JDnJjkjV fNGjUi8xg599fcunj73+nDm22qIinrmk2ApujqzFwt+tff2OSvxTtkxa7M1/IC3GyGB23frWPK89 RJmD+MbjnK7PyyngBOz0nKbhOF3jA+GqeTDovZLNXtbs1DxBmFpNcAsxZ/DkfnJLt30gjcMbgCa6 S/LHoVlOIFDg5t61cZ6O7EezobzOmrYUfZeokDH9cJ0PbWmJbOMH2ruuYKuq6aG+pIHRQuI1xDNW KcFb71ZeTfGQDjl5YiH3hy2n4XiYVoczBzuYIrPdTUDvF8hR+Zya+4f+oOKjH+kQ2gaJPHxocPVJ XnuLGx9zRtSIrJRZGXql2ZuDGqksA/YKIGzzwEwH/BY0HAOoJ2JcuSKhcqBIy4BiTnVYhcQLTShN i399VsKlDk3QMav2k++u0CxzXC88+k9ef55lYAs0B0vv+RaAJbfIUilfXxzl1BHTZMza0gqyqDph uaxOM8+qfg6mT87PPeNge494VWxMPANh7hJDfk+bNFn1VBN6rDvPzmPlprXyzqGeh7n/8AOe2vhP 89CrzPnJsNkHXvOekamMrR5AJhGu/xL8myxIX+ZefaIus867a49ZjjdLqcj79eZAKNFGsnaN0lLJ ukVA4qZBiWsbnzAF+4ETRbztrwzhlv3UsWFsD5F4mfB1YblnaUiS+NUgdkhyH8XX7n9gEaP7ERpb EtzujgFfPlu0urcaIm9SRE2Nv9OGemgarfNgTZIygOqC3rOesJURwavJOJdMJfhu7zF08xw1DZt7 vEbkX5MnoeBpjl+sXrpuXeKaFgxN7o04AglVHwn36P6tPF83AnGwU0d+hSkmngO+e82TFD48piXB n+G1EF1SnH65sggHP6c5qVBVQnvhi0eXMPGTPLlU4tTrjBHkdzZlAAREMtlyPy5pGmr1ZvXyLltV DWc+c4j5Kcn0BdM6OfIDyH0cj8vrgscYHAdh+X2uncvoB8rX9QJIL7Io4wvpSo3W1bT6hZbVXud2 /o0PbkCdTL8jcQKNwulf3+rKsZ6OfygM4zFHQoAnaZSZg+cnu/Xr+NqpexdEwrjJ1qBuDs2rLn7r k7dnAinXFjdALyVrC7uQdzTLNEqRulRiNEWubM869a4SkcLrGFjjkQPI6HzzUuIJvp/Fw5l1sRxJ 9nuTWhVYV5AOUfJ77K3TzUnOq/q9Vm7E6/7Ru2XmhfqSwT7oC1dpSIeWCGHwqBkSgOcuoVxATFFn FpCQOxx8X85nkaIFN53U5PyKh+pMnFCHuxlxS1oXUMYpggElwRs9vRtkU63bTRwxu3dY8ro6Mwpf +j3PzxopzzHlxbZm3Jdvan297ZMqaI/UefpswSvXmzFe7wnr/rpzyQ9FvgP/Yu2kw4057DJIdPXH ScSVw2alxBuPMV6qXQJuzqg7s7obQjN11n+pmg0ctavrnQ87STeGaN1PTcFAco/WXEhKawdGwqih lhN5dFEmflIlijnEu0wTmR5PYpZJ2vP0dP0QE/MnD3fIIDQqxj5cs+L5mNQ3eH2re25Lu4gTYBXN +6LKKqZid/UBtqY9cQMbIMr3mteMvMTj1UH69EwUK7US82eIQC50pFt5qFcQYFNAGTcsZSyewNiJ n6D5Km4330j9q61zDfddNacHBtYnZ8hr9Y7u9ESLj8VtCCUDq2uLT3BwZhdfe62y9KTuh49L8YaX g9lR1+rhEKuR9d/5dExcFL8DuMa0W0oFaYV6RmSt9ECq7UJ9KSpjCEoa9+ue6gPKor4gqWCcrAUH C9fa4mDd+7ExM4oDZ1UTugfadmF67+UJ1mkzHrx5zSljnFUahuk6GQWGheJo/qFKnvbmujZF5UDl DFtaq2kz5LK66hUCWAASwwHDGg/owg4Fi21E5BAdn/zAkee8lMxkA5NuDA6oo5mlIjo08Psf6CSg SPgZXxJBLR8SuqhJrGk8TInwsvRUSDUzi+SWDoNXE5KbhHRlvCFZugb+5WK8lx7XezV9iqpMxdyF UnQFd49oRefgDpnBwHeN8AcpT9rW6RpdtIA0EEHRQoP0n/fDcWnVxkj7MlMXU6rcpvL/wsUG84Ss gNLwxdBRtx1XxqmXJK9djeZXNvaTkqr7FM/cdY1J1yyiFlqWyoRENmURHnxOspXP/+r3IZ7qYmhu +jIoUTU556DqEnQlwIqUyIWZjb4m03+On/ro41i7MGEP6yBZfMoiQNLqcjuelUnC4daLmZ38EPJz leI3aivzGYUHaNlmru0JFyEyLM2l4rfQ6S2YhNZrieA3dAt1UL8dME+69SNRNmUJMHVZa9EHy5rh 6zfa0zVHBhOBONi85pw2bLJnxHqh8PweobVJuqzChvBVcr1LRkO9esmyPYNyIGDpRM+Hr2W4CchV 0jnUyd6H7XB1nY/SH8MVYUPSEUlBWl/lKCLzaftRxSxDV7u0O7HabOKMXIM8VX5ffIrtd+TaVPD8 XLZ0W/zQZTX7BflNlU9iJSSHJz5EebwNudgRtoSlAKAZZFjNkk5oieK0AI1rYZXAfIw8DoXUVEE6 D0TFK0CCeheQW8v9UTZEaWAnfEY+toYR1bW2a9+ZCp1dSXRfc0YZLHU6ExN09dy9gjJSv04DkePR 9dDFqt6FIX6Gng7jJIjz/hw/TcLhLMVqiNmCHA3FLhiZB9G8NzzXJg5lKbLEcecqWdc5EwRZDllo YiTe51PiYK2ipoedO+JYrqizkLDet29r3Br+NlzVGFILdWhef3TCYIxl6bdo3UbaSYgxzjZ5Gv7R aCMhZYSYbEiHcQgXLi1kDNu3kwliH0zLwa8+AYaQiUrtK9+nOy5ldWS45MnlMC+9CdkMGo/6A342 jjOaF1lehTDLNXHecJ0gdbdu86Gt8HPxeGvd41AFl95mKUiSsddz3B7Dajz2/r0OAdyY3hmvnGw1 J3jWcgneTxBtG11fqKU1exOqxATxEfdyJ0nkz45Ee9O94GltUnamPdemI2wPjuIvjoUakG+9M/Vw JrSRQ6hefeGPc+0rU1czVmZhZhL8ywh/Jot8uMUt7OGs35bQRiU5Qy2vGfKAtdiGCz5zQp9srl68 tlHl4ND+hEso3YLdyzztd5QR7FVPgrit8MdKi7nhBVy7YzqpgusATv0u+CLGNZzroVY2ITtW/ox5 o4oataZXztQvDee9o6913KVhbvVK611RVyTw0qNupIgv9aBhuyogPc0ZJilzly7WhNs29AJItBE4 KkRcmThhgGT6Nabw0T+qbYvoY62BFrTEl7qLEYoatBxdoG48BiRgbwFEiHMkrmj5+IAu+bYhB7QJ hnAhCr2/QdWx3qDpxdldf8iQK2NSABz4muong3VitxdQL+qHJkiI8iBvwvjR7QIb5JOfl4eQIISX cyqZAb3kuJv+oI5WNawjRD3Ef2yE9yP+1aQddf24hFOldlnSop/SdVFKMZqKsNG4Rh/yjgq2HnRi w3oU6JTwqtbUAw9yIG7UgnlAoG/ZbymoUDWVTC0BQy4nc077Jnd8/ySztCUaAk8KAZ/h4sLhhaMj rizuHaz+jrPwAd+eweonfFNWqlpdFoLTL1/Xwuawn1fLzfTgB53G0S8zt1wsRBuzw+BL2znqszhL A/nWO0yaEREtuafYd5aDNlIoQA5kk9/ltquNpmxH6PUm/mqi4gZkeXkaAhfwjNCU8TKHAdsng/G/ /goPPx6fzya9r+SrqVi0HF3MjMsq+4kF8cHd+mh8jELUF6R2nwzm3uffaTHjfH+yNL6nR+eSNOhn nD0cANr03XbiHYGHsHgZoGNhzWAy/u6XC9ewCtoI8agzOn3eXQLoK75nTp7GY3u1KDE9CLgfH458 cPY/BFeKZU+72k7pY8WZEnZ3JfJPIEigYjGS5n218zTnkEFGtRkicHsz/sVMENlO9OblnzH1FP5s uKr8RbXI1aYVlNe0dyZS5yCBmx8elzJLhth+k+GzqiN4H+lYFV7Zls57dDnLn3e6btE8QI269+Tj XjtPZ9Ihhb5iE2drmI7z4pTIABIWoHU098LfX78OdzzU6L8/P5AvFuzET4vlkch1Yqh20e+MWlP9 UWcyU7Z/5r3a7hBzGTsBBBl/4guISjFYu1p+50AOSzF3BMgyiiTkoBcpLj+8qZGBULtF4P2lhlBO aIOSfcVr8ZZ0owky3L4+zu47GZ8kH8OMple8LiItCB7nbdUOwol41qvKkDbjHxjkjIBM5SRF0GcI EM30hNMEdTFK94bOu8xxMMoSPrspBxxIVzFDN54uCWB8ZEmTNt1ri9XmFcFbN/fMdLEO880o3EJx yEt+J6gAxhzzz182pqNT3Upueh+dJ8bQ4b26Fg6nC4RQu278XZYuFW6jI2V5spB2IsOyVprRg/HX S+rr31DCTBhgTJp0wg0bJl2q2UMvgqQY96vqD+JrrqzVNeSUHo2rh3KqLseZR05OJNGxFuZbD2bf P9MJ/eLtrhrB+zZM5cy5aLrgOzoN7PamMXxVKz9PFbQwkKCDcvcOpTUcw22OTriH+O3ifu5rJroS yBiEz+Q3TDEPBkqQ94pPvla2HEwj91F8v9c+nQrJCzv8JGWvQ1avgLv9GWaKTs9fPhPk6TGB2jJW +6Q6UJzGv2xMAi4I4LlJvcwFVJ6G0CtpxV1/QAXbae2Y5JThnOYlDOKFdEP7LHtOmOfpUCoMBf8R EO0zIzNSt9MXqOXxh53yvLQgK/p+ZcVO64rm44RU5FtbP5CvIz2R9oyVxYyK5PKPNi/YAVj6Xc2A RchNs+ay3HO5z4xCLME8nBAYETguhWXNIXHX7U/lit08ju/57loxk3LDnL/xhc0FALwn14cVigGe GAcNigXWCdIEE2gGAQodhZFr8hfMSFQ7gAj3fRYGhb8qxb3DBMKBQ5wqNq0VQxG5MfTQq2B+JJpH 0EIuoPgOCFtqqV1dKuoYzEjYx4rRvgHop9F5R49bP97RlOmyhuRq7alffw+57mTJzCxo42t6YMcY ffZ6j8SejTFhjxezp6SWZXc8vg/SCF+2Pg6RdU/1IDmWB/QzOM5uwEFyzy+NP6kYo3bLRrJOfB5p SwrCwo4SPuqeQ6Zhd2uQs1PdwN0/a6kS96jzXsrtAodHGuoFSGgLa04cu1NjfZCXBkRr+qCAB2Rc 7VuX2gur5EnJAyZRg7RNqedQj/Ql6EEgGQcySVE2V8gOalO98UCN7xzfMvXIOhv9/OscxGWmYWd3 4gCeBMMLgd+5itMBlu2406XzHZQoU+VYXupObAWCqkyO/vna67vBNBNEyqCGD0/zKIUM5/QQ8x8M 39CTtYexYJcjQhqlNFTshPXEzPTPnE4xV3GFA4+il7wHeWqDaqioGPHmUe1UOgwX9NeIIkNe+847 Mi3JD2kCCEMM/XTQ1GpspC6XI3iNNQnIOyBTKm3AJWNb2DdWNdni8utdRdRp0/eOyZNvf1D8QBoH +psON6Nyy0d9XPnnewnPhE628kvCeULk38zd//MwoGOGez18oYS7hn7W3tQLD3VzOjzJioswmy2X gL5+S+6mSdwgp4VGav2iDhiaF7w+SRcp0wXhqCk3QMAERuW+R7FSY7ifMH39NAYbnIAtbFRDZPVq ShyQJeGLCmBdPld4Fh7OHeFgS7q3lxQCmRlpxqlijk5t/aObvIYu7eJBwpHLyQKU2kD+45HXvkPX 21o0Cp8TBkQpwSTqcUZX76xwOK+uVhzb/mZxVjp0GzmKRt+yVMiiRVgMOnwZis4HF3UlRiJa1XwW 5lhqPvFhGXK8/zXfrKW1hs8qJx8E/2dxdjmYKNur5MbpNY5Y9zsUtW7uWVwtGrejnJ+7xd74U9U2 8bJWC69aTpPFAeeUQ8TooSdK08M8H7YMbkuCoSEOnbzeov9fBv6/wMqlJq9DOHkYlgBQ5CyTxMs6 /ilJGfxvGSf39SMFjvZ184Gd8JL4ShBhT8COIBN5Sv4oHHlQt0RQOEB0qGpFGf57+a3+g5cYGqQ2 RRAxVlpzxUObS3cZpbO9PY2K+boMXb1G1hkw8wW+DnTq5ymsHIWe8KuPAcdsg02HzZycz9+ExjSH adQ2S8KSp3X4dKqpe6qDrSlIDIY71aP2MvFPInBTFSy/bPgRBGlOLg2dXih0DylqCVBbonq2op0N zs1uGYmBwy/nFC6Spux41jPkzVLl1xSVzpIUtUmG32ZNA+oVcssFm8HP4ihf8wZATteTe9ulEv9J w0qVB33IsTEQtvzmryFlsopmq8VHzovdyYL+x7dTx/EX2cfQTgaz4yr0L/DdE8nvRu+hODkysawH eU3v7Py8FSMU21k/bu0q8dA11CbkfVB+a+5X1T0OQhoqcf2YvCPBg08Xa4lykRiYN8LJ1vIQN5NU JJ+OVFbe4GRqCJ39R4WvSaf4YXnkrh4f5oAidqXNGUID4mvEWWUd4Q0+3I+DJgLdhVK0QnGZy3Vp bVeoI9DAbjpuU/oVxu1qO2/3QpEQpVA+zeB2ph8tD74iqT3t/CbX9z535iBoxPgfSHPbw9Uy7ioq HWbjZBOouCknsbdQsDfgFgrqmq4OgYmaoEvS/oiHbSc/hofvuHPoOLabwa3cljmNL6ssVdMpciE/ KicVkeLhFpKWhbzKYKAO3PuGqygvm7JruTPHOvnsgEC9O8gXVhxuyBpg61JKDYIpa0TH5OsfsQ8D QnqzeX1wHoYkCK+wXn6AdI/LZncTYdFnbswW+OB1DOiSaCrVWvhw1iHq+mf5hR/0lhzV6TzwuA5O r326V33BbnoQC3CW2wDUxWx8ATsZOp34QLl66txWR1QB8+lAGIe9iVKTun3XokMMX5DdMiYjbJTD cEtWG7Zp0gE2z8qiZGGBEJG9azUScv/fYflGoFkShYc3XIkOkK2YDT9c+qJPqvRauWPbtFfd2VTm eubHFL8x7Hwaq/aLRdkkHzCsK/pTpB9JHn7oeVc/sJQq8XCavhSX13s1H8PvHZXFa/QmhebSmvE8 QLqcmR9HR3PeoLtGESblvCSJ0P4BZKqI/wWdrhSxuCAVM8j21+9kFz0olgIZkHGfJmdrVNYVE38K v+8ErLi6VlNkkiXtl1k3+I1VzGLOrlDOmpaVY6UvAhnuxoJW1zM1F4rLLNRtubnPtz+YH9Ari2N6 9swn6nqGaflI8ka+fj9xCItUZpjUuGktJf4AuQfeZ7geXwj/9OCDsUBWaPOXeM54A2qOrw6MroOf CUdQHaj7zjLr1/ikWj8nc5waM3U+r079sIOS8R24TUydw3L2PvbvQjzNLfZY30op1X9EaPIEfL3Y 7ZhZviU7G267+wre2CbbDVlLNW186MfgcBJ8Ibt+ruJD9fWpBSW//+Mpgm5pN2URXJf3KNER6If3 +/FRguPdNi82LqKXHv9UBv/71F9cI5xeSGhdccf2wWUrj4JAxSzDKZzQeDIzgtLYCJWF9IVLr0cp AjHpBWffuyKs1CO4S/zhIMb1yrYR34+LE9h+zkXluSDE+S6d/+cnszVwx+VvTYNnI67UdhJ/eCR/ MAYTl4JBZcNwSwUy3oDsypEe1CoN3yQ4zLfz2UyVtcrdZ6/dpozI6MNPaEdAb88Yrdfg01j1JWcQ EaNjgoaD2IW+1gPNruWNuifuZk2S3yPfBVGem3IWzoo2Mz+0vxqzO6FM6OX9r0CTVhGoRn3tt3t6 fUjJ5OinfEYSFyW4dde8OIFJ9YHagRQSztWP1oyLv6E2mnci2TfooGWifTTJmLK9C6vDczrRI1qI 4WkQKjX2ELv5PfYhhVCJhE0S1S56/Phyc0M2x1yV5w9Au2jqvyq6hOaSmXDWTMePfIxpVKnfo8lF pLGlNz2sGXN/MzP0cBwa6pWvf4nWANAj2gE7mySY+i7cf3sS5cNPKgTr6g8s++50Xv8x3IEbGnpR BuMGNZ7GNN1QyzkoSKtPp04ca0AE2wr0xsSHW77QxnjLlRGG0XucR3wM2eDJy8qhOtiUkBjkkWvK oheMkBiOngfZaoZ1AaFQVbTB2VHFAkZffqrbNI6IAD/NQkVm+Xc2BCTEaLUwSVZLMaNzbhKNxCl0 u+q3HJCQZwgHgu2BNNT+Nhx6dLkHRF76zLF03ufB3eOd9xheFbqDsXNWTqga9Y2+NeZ0RCe+vlgv 2F8RuCwUYJDOwWxnzOWQ+tg73ubJVlkN/D9uq3CXi9L1ZJpDiPtuMA+tQn0TayarHSuzdBeyg/OC 3wvBxohhT9yBXWNgj1Uq68nZqQbfW92ceJooKXMHll5XpbGZTBmDJtsUU9rHBflRU4IT1RDufvPO 240dUGBW5geUAmdDAlKg69s+m2Y/sgtWNuwaIzzS8vllHRxS0X+o0OkNpCcDh1fc9LnKk1c0CJT6 KOZgwiv2QXZrl9qJ6TdSdy/z7xueYbONnl7jzLD4q1LLQbUdO+xlPEhuCIBY4rNS0DQ0UY9Kw11r hNnJSbITBUxj0yrlrYEXz0zgbhPdD46YY+cRkfs2u9gLFjyytQnWeSZOMzApSiFyrKJB7gPVC73I aV++QqIiUnuLFPv81HqhXGsfOplqF/hCZoM4fzcEq8isa0vwcFoCzRNQDakJKgApXzi85+SjzlvP 52pnemkPtJBrkqX+a5gjng6yWYnwnU45D5VJtyQL3mRnRjVRI0oFVDNiXeSslk6cvfMtXJKxBo34 wD7V9CWjexPLRkNasDr4OxOQGpKegbndyeghXK75TexZBa81r7yI0NAL+8z10tTWP90DKbHFpFAm jYaE2YGMwTO9JqfngUz6XJDVHSoTB98D6az9G6CycmFO14qv/sX9+2TSWee6/PQvVKcvFxhYKGRf GSPi/P5k91yWnWm7QyLDHtI8R1deFZUpAPtHPA1YP9NOtos+3VeOnKDnAmTz1WHyJbmPZcW7qgb7 3X2h7wEVdTpeYPA1FnGA61yMESgqLcDB77LDL2mHjEFx4Nh3P1iiHu426daB2iqZ45DKOEmK+RiP SY86x4+ulBaYt0X6zKbgSrF3CBVKLFLKDJ1/Ku11/YidBAL9k8qUX1/9gSGCDNRb8eArWd5gXOKd SSZZf7PmG0GKs63lff3Qusb5YKwLiGeh74PeCsdVgZ2DPUUwwxbm5N8Ua/PE1Av8Sr8oOnIYNZkI gD5Ef8V4+JSoOwf8QYCkuL89Bpb+gOHn62mY34BiHtAJQp6TY48S/9SRwzTcPABexa9686f/1An+ emac8seRZA2Jrs9KVNgI8ctmZhc9l/mgAAbs1XUuNap0cF8SN50X4NhWH2xidiu7V6Kd9bRdbEin mWFz5Fb7nx6ocvW/9YntA0mNOPdKgsrUiq50ROJ5aSzC+LJmgiqxy/e+M3SxcFuDKV3UMC6WeIi/ i6EpypUwqlW8sJgR27kFboZNzgIbqdF7kxp7z1B2BXWlHlYJzm55c2vDR5DtkItKiEUTUZlG7ET0 7oaxbFYFEMpgUVcI/FSAxAv+WjT96TgR5b07KU5T8kuuyxBg5T0BSDnkQxwMlKfY+pQ6LvuE85QZ ZVCSYDNZlzUjNGkpic0JeNdlMiaEZ/MStUcjK4Hya8N9oNunTtCkryGLsHnKqypp9JYEgEl1fzLs u6TMCQfX5gtFl1yMuzQqO5NF/sz1jYTTuJmPumiYbZjfCAMUWyio6HZcCa2/pvliEgxPdFsmC1WK 3c2Er7kYVwLeQ0f87K9/CNI7AyJj8FIkDyHcB19hpAQwEYjks0hLc6Z8KPQpMBDvNKqDPMX0JmV8 /MUi2sk1BzFnvENNc3yeKmageao4rvpW/9GlfUjepKC33s7ig3OhFw+GXk/mQNqHTqP2geEt7VWr GTQMWE7EctfquCZp8FJbh2M3RjifS/hMAudeon6nw7WfhN0FwN19khSUjl97lVVTqzpOg+SdKrcz c+iofWStb1YFJo1ccMcU/zTG2sPT/Sq51ABdEyInL5IIohjM+UUQLS+HuaMRYunxHWzx+8ocAQ9C UuQg8z32uJJBHE0pQ4u6el+0GyQMFYZTaZTnUxIdQnvQItmKpHhMbSYy0YX6BsroPKHFtUoYBvN/ 42syJlK5CGD3RXRc1+sy7Q6RkooWg59W7zDMBtrqZG2PfOhMprQqo8GJtbA00ZehbpyhBbUDUnUA 3JyWrqDDm/bsqBBv7Gu0BbiI+uW2XYUi7+w7cO5HDAu6ZrJmjM56D11qQWVY95CFb7oR+dhkDCnJ xSlKSYc+Szarl4wqOCU74oufQYljMCYT+GRw8Be+VTcXWNxDqrDYU13q+IFQg+HHMER/nHvpwfY0 +E+s/A5Ss6ztVvGeyZ5I0bMGSE77meSTatpcYoYto0ZETLRVWMLnQsjoJUC+7idaMtNNGGKjtbC/ PT7wrat5xybtO58o2Wawvl4xzuQjxp5weu/f+9gf1T1kP3ABV3IJdBj9LQE6JzxVqEsFP0jqi6nS vxkVxYXTR5OT+R4HYBYbO8X1IpUmV9aa+qJAm/V81c3fegjTOw98TkNVhskzUOrgMO8+kph5vpWg E9RM9Ru0iOq9fw+CbLHj9C8VkHDKS6UtxBqYEH2Wgn1HgdT5ziMepFMxFGGyR8sDkrC2Krx55eCM d3D1KwKE22rv4uD0JSoa75ubKrsjt+BHxyyXpHuL+Fo1KLFi9XJ2mom7rvFb11kr9Ol3B2V5kCdr RkZS+pf3ynTKa7bh6qXD6QRnXgjDtK/EWgYWREO3kLZhwRW/rl0/D1jGCSV0UARbMvbpiD38kxkk /xRVmN8A7lJ3qBlfS64X1o1VausBJfrjSkid2T8pd7NLYwtsPzU4YU7UHXo/PClYkigsxQVZg739 w4alfcrRYGak74gBClbJIyCZKpY/Pb0IJHvNN15zCFcK/CaSn/ziaRkoNhCxL220LekoYmMH2xgB ZFzbzh7mRd3NgCokwcsl1is8JjqU8cxq+GQCn2gLsEEf4AgdL58U5PvYMIHG2HrGHpZFzaVHI/ea kfMSk5tdZijto0PKv+p5f2LMH1VMx2ZQf6GTu/4QGAxgGf7yId3QMqJ6rxfAkrQh9wwy2k7Q60te sKspGtbcMGSqX85SjKwokHV2gyzpX6F942w6fE14hPPIIAGXxCLUn+P435IdwWcJdO4Q6uG1G8jl ISIdjbn4ST4rDeletmRLXE/dpcMVaTPFeMDSYOCkXUGWL6iGFNjxdJoWxx7n5XuYMKX4+sa5e9Ox 6OUbBNS8E9Cu/pAYa6k8NOfC0Vzp5V7eDmgo2z5ZfwqdghdTVCr3Cmfw1ittBgbwL2Xs7CCFRQGp AdCVpsRtj77iIPFMdb1P+ssxemZQwVIafQf4sqi7oLcG8U4Z0b96bD4l/aQp6WLfKTkFel6OKh6z cHEVmYsRDwno6ybSsJjEfFeCQzelH6qx0Z+5E3nj51q5e1/DDp72wEQvbUQzHB7B09Z3s/FGP/Em xD3ySUpwOK0bnjO2qB0iz5+gU1vAjY0+05WOGgO/a4mC5f2F+1KBR30b3z4/XWoSptjc5PEa/TJ/ N8oCSduII81GiOsLpXj0CQY2VF8T4pom4FDELhvNKJ17KuapeJS5eFMSfafgKGJ/3I/tXP/GNV1q Rhbux6KQSKPVuvCvsR7xjDNWTBP4Nqsh32wPOVsRfAT1WZZfN0MeuuqUEg9yks6CRfWI6iccrtxw sLiXx4M7wNIXGghiMFXSCRq/y+ColXUzsD3ZOFHAfcU9506H4mykbHRcsAf0eH0G6FYudmQkFNUC rw31T21OgUa+OMXt4a8ILcAO3M0w7CcFVZT/93QoEyxxP4kAlkb90MgtTyQxYsBFiw3Qr6QEqZoB oZPWv9rjyFCT/6ZXe6RtdYLewv9j/sNnhRx9ujQetHVDmDgrg3NArwtRSsaiRa1aBnCd1E1GL91k D1BJngVfijr+zTHTjU7UthfUuMnouz1gE4StcZOu4rw3DyN/Lf5O63rbRxWb7/jvP2ZEV1zUaPFq cnMb9IiMQuWT98rqR/9TYkfvkoFUPHS/d+xa/Jnrdy1vVfyw//k9X2mMiFgMnnfrEEB4BWQyJklf S8AZDhUWlDciVHNa62q+M5+qht67ab4hke0rWW2J31c4xZLBsKFtAcpI4lu9GzLRysJYLh5Wzxx6 oxRvPQo6jO3+9BADpkcM/46Xj4iCjRXRwm0EBMt8nj1uxX7Bz4iaAcaJPYwkgwdiym+r4DJnTk7b JapKjeUTylBXp1igOhVZGmurgAk2XTbxuMwsBSOWWzc3PLs/fDYf57n9TJfd4h72yZozWVARZRFN /8LoAljPaXletYFuCb9WKTvrNE/15+nlz0zJ9UYTMDT1fipB0SkMVwSCyQI1ZrIddzxyta/Rx2Yj kzEF9YdyRiR20ZwisEl4CMjso3Qs+74zn+mMxCw7/uSrB+we68MX8+4hlr73kx0IVyatLlRAludH x8VqB7Xto9X9Wu/l+8sObmNyztLKNUgvy/OR3DruMgdF4cCrRG3Y0o04LhyZiNAIxt0yQJfDaCeD NmNYARCLSsfAh6PdaDKvE1qAdhvoav5vpl4V3YKO79zpsPhdf4NyaBEKKMiB5EbR3tVGafOlsyYU COOlN5XlR3HHkDPXifHyCHuiuE1N7XX3i5yz+m9n5sOxczdhpwwXfFtsWNq6IC+s2ZUeKgnMvSfH Tdy1yykhYz4WRLpNGNK13SSOAOq22SPIKd8F8H8/L6aUhzI40ypKR2W3nxsi0ZFcxCH6aQMM5Pyr GppMFUyJKVMk/vHcixW7ct1afb8ox4/OWqwzE/XXRUBHwVk4hclnU2khDQkFyWbYL5cQBiFENNbR uQwJy6T8w6tv43qnLIrqXwdU7b3Ctw38enwUIRrtrNYBGhTi4S+X8l5XsDuwSuxV2T9Qql0lszrc EoDVQjCcXkCsEmMf8oJJ1EB55mr8Y0/yPBcksYuNXPxlAH89hK32GuSjS6glBLnrIcqEIaOla0lH n40lPVaAWgOoUnSn47d4vLnQJu6vCOhIjnoci0lQiGHYI+Jqpe1hmxV1/degQfqIWjDrgEq/vC9Y G/yKYeoO0VEHtSY6f/drHbh2vYM6S1P+Sugywj6TB6hf2oz2Z0ePZOmrHalLBzqsO24LGLjbl4/s CzAL89G28IEMH96aBwKuX4T+3AiCeZen103G0F1FEFVd56yO74DCIaiI19CXXTajjgFiEULvHrti UhTzSV86eV7yuBcs4eVzDAZs4lprwkMdy1Eu4BYT2yd2ipb/59pL9Vs1yn+e9PE55SDPN/V3rk9q FylOSAB8Exyv9/aCatvk8PbV/T4eKguu0beGgE+D0cgkX14VkRDG0Oo6KXtY/k3Toy0yQ6Zttdwg 6rinRQp3sGj1dEsY/cpd99EUmJ0im/aq7KTojYos/cXBzHKFZsEwgljKPL4otJkwCNlT8CZJ/KgW OY2Pn93dJhkwn+/cXj3omBXMJw0gw4BuHMMOXynDYT42H+aYfrShj0Q8hAuzoLEsXWqnpr946tKk UHPdJLQpNXRkeJNURQ+O1yXw8j+ABWIguA88PXPlL0wMO8y50VEEe7sNKNdNl55uOWmU4D/XWL/4 463AFZbJPn8BAAXxzU7T6lk0KHvSA+gqemmUntzCeb+/rFnP603mM+CGTDp0Q9fXVgkaIN90ov/N /mfPBkZB0x1M0XoC35YP9dX7ZqSCihyNmLWJhIiK85nhzU+I0EVSjwdOhGwVCIN1JAaKFR0iLOt8 xloRHojrwbwXzALjxHcd17BGqRi3oNWg6yl39UEIzSMb6iLliPTTCy9qC4ws5mKam2hg93zYfRSe 64fRHSSspnNcanjSAqH9t0MuoMvRJjcUJtuwD0LxzpG+PmuJOOq/qUf+Ne0swR6XBH2w+jz4bevo b0piWIQ0wnWeL5SjiIQQZ1kEnz9JzTJDXKR74vVhQEW1edKtnEiJTIqZlLYom9/Uk8pB1Qs8+OoF qYZ5aLYm2H+psUCwEwKQ1ikSgLA5bOCLD+QZGdiuqusG+hhF8W8zbSuNZw4vFz2Rps0yAbCIAiES +Y58B5FlTDhDBm3SsV66B39jahTBcXEJfIy5u0ArXr3oPWwATG2PFamF+EdCGnVU2JUANXBqGq+4 /RuA6JA81+yhZONYnHVuka22gvZZJ0n5hvOd+bEegm3VYnbPhWNglXbN9CWKoU/tBwWLC1y0YT9/ TghHGAVIr9AiMhoHBsnRMDoH946MSZFBAVr3ARJ17g6l3XKlsQloD9Q+HukvjO3lHql+6NpayOCB q6QmDbMuGu1/rAtpTBK31f1GeczxuACq7x/cvjXiemwwtITh09u3CuYRRVzOyzuZ1mU96SNJvkFt X6Fy60m++9w9kq8nLvDltl3Cp/26NyoOV22zzVJ1emcLW5Ty0Z2itCUQD7X+CPa/p32tOxLfzzUN c4oR7zhO+I6TctUrUY2RZuBIB4wRZup1bh6bBRsILKCm/fISDngQtQfj8HrWDExryOrXNpCpBift N75FfZpCI1MHtuernffhC41cUjQ7ftMEW7/uE3IUULXznfqvmWhTHKf0lXUTb8OcqrNUkyjkGdeR uJViBcs2NHeSZvjocL/bwDPSbjNuITCzQ5zz/bThBETEYY7GeIdU2U5rkCSyLNrrrR4lxCBHnPqT 1a0frhCKwzvrcFGSKpNsRZCHq8aVljNZCC3b3ukjo2tnfQAFNSv/7RmUDLOiVmOCOSk14ie5Et9v QZPD07WxXbwUDV7y+QjvjhuLaImiUaL3TIhNzsM0Y7TCQlmG6a5hN1eeOgVGQUOvglpjkDzt4TI/ GLRqhwqfdS3vP5IHKrALbGNhsS0owbxP4ZB3r3JCrWuJIk5yM0JiBHikqYvnKIUh5I+DzqSSuTBI QUyrTNRhLVGAgrIdIuU1VicCqvHLrvdaqD507IxmHEqeAoqyxJ8Y0Z7ZHhbMSNsF/M7bW/FoABQa No4viX5zTA2aMRrq3UW4x4PyqzYjz76MyMbqnCbJLvce4VuwFEwm2XU1knxb3Z945o7jzdUcfcp+ 5f746Mi7snsmHeI4e3wWZJHcb79koqiH4Z7Slu/WSkJvIxdVIH4GLKvWZ5z7G5PUY47aTtcYV2CD qVP0QqwQpofOaRox36Z5AyRjdcxW6bwbcS8RApWuXhY8cvTfGbnqNJej4w89AYfcI/TD6sHVGuC+ xqzOe9quTER7A9uK3GKegask2H5XAlJ7XzSgL60+X0UstYaD6sFp9r8Vz7Y6tOx+wdlPbQFQWWzE hy0m5eWt3YkCo2YwoXPOKK37TqYRidN0lOqtovp85PSNuXwiv8AdO8VTtsMqHtAvehs+GSZ4OGJV X6gP00HVHCwKhjql6t1qJQDwDmoyj+Kzo7+1NAyt6WCziiEJPzW1irsltoX0RyDx+2WCybx1jmc5 Sv/k9BRTf0OtXRinr3raZ+91c74KqormPgpLf31h+TNwIvwcvHEjybbupAtv4bEZNWrc+lHF7f7M wBN2Gp1gB/6YfrIn05PciYX9FDGkrBUZXZJf3rX942iyn4f1Eig402EnEXBeJl4BbStJQp3ZMvoP D1yNgYfObo9aJWbVn5PW4eUAIr6zA1wcODm9OmByXHB97a+sEbCCOBGy3adGv+M8YltiSie8TwRL 7tozdQ8PJ6dO8yUItUcRN2fK+KBrrErybgSZL0M24YuMs+keP5oWzk/XVYd5Qce43t4kQJHleUTj nt+2Mth5A1uydGnPuENHZ6GQZPY+YG9y4v+SlcJ6EiOo2suDTl7fhGRx7pxyshbZdmlyxTlvh8ez vT3ymK97Rt1cAxIwFlJxS3c+SfOWV7Qr2mEfK2Bj/o3qJiFp8z2mX248CGHxBjcBpMN4R4sFOUY9 bFAYyOkDTwyX3vXcMcmqoioh/LyNbExwi3Zfi+H4i1womheI+8vY22uIx/4nwhkVJStNmoJZ6yn5 Us7a2upLNWHIrEjHkm426fw9JNOcCgZfDNrc/mP8igedeqA1R93ZRa75AhJ1rklU/NuAOLtjLoV1 SIo8/tdAnLZfgSYQgVNsW3HFctsEQe0CTbgYpmJ31RtqE3/tQjsSO78dBExA+2WiAQcG6OBkc4RJ 7ssnV0XMtPnwUZNQtVuAKnUb9XGctLg6aEFLhowYKmPvigJvlPXnxfShZ6DPAEBMsB4TwE1oVwBL KAJ0jgsYS4Dd8ugz3e3iD02H5ZBxLu/wmKoqQWp8euouJgBiFwetyf7yNCcgQ2hEh147XgDTtmSr LRI3iMEc9JoTPyuw0k3rhjNKX6vCaNMuXeOuKx45fyP/ZBMosmD83cKgYfXfLw2UF3bDWma+pGD5 lw3pXeB/3fyBMCGKxFQQwpPt8QLdIk5LfilmaJcpWWilRYZSuc/eka6Rn+xAZZ9ZWDsTZhyOnnop h8AroLI2DyqfDD7Oa2Wss+5vr/fBgfrwhv99vg5wecLdta7FpJikYuSScZuFxaVUFYjfJSqatBOu P0EYwcROvm6eINIg3UXom15blkju3z3yfZRCHxjk6e7uxnzAmgKZCbuK4VyjV1Vx9fgHvK6iFo+c 9vCM0RQVn8TS3UOoyfbIS1ZZot/y80aiA84CHObhV0lLm5ExtCAgcBgfuslGVOECALSpbfyJ5Dtt aAnjweqbZ/3jjzl/rG89bkv/i2BBZqIKX6JqEkFID+qsMo+bNN78FSnrHJA+Y9HM+jA4hd0pOhUw bqJRDRYwy+ZNcDZP0k2h0Bco2w/WfG0lwz4qJXDFHE8mRZ5uDm8ZpJ6waXLxCsaJGETNXcCUlzWt hwW1W8RWOVq9Q0KdsGbimKPp7aMtASsIXlNFUjT0ymxH7L1YdziMwExWtoTDhuAVHI0z6ycAXH/P 3REDDunGQeDbSQdtDjKfaZ1ysMGORwJqoGnBhvOkuHF4AL4x2n5dlMYufjfquZ6bhuWTapbleC/K IWcNojl1awAS8xMwe4vajFREwimZgTMxN4Xi3rNHFH3WyTOXV+ayNxoAsA7owLBRMbVa+/GYUOPV kcrl6KcxuvYmxhaFvg7dohpiSlsg0JtH+7/y2039Lu0rs/++d+TDhd7MKsPHbVyHzEmCsIBtZdkN 0WuGlclmNWdu2LNOXHsa+8ZgzackXu29OcBvtQQIkub+ekv9NjL4wnzdEfIvU2yOzJu/zXcMASpJ WiT0ZACrANLYTwRLJThSQMIW2XNvwXm3nINTQEhYKiebG2hICaA6b8hdaGC/UfkL4BhnKEsZie+M +jvNrFwJDOUeObCwKygz/Wixi56CCI2whBRWxYDQl19H8RnERxFCp5hPpbAa5clkW5gxTcjWjWKr 8dtTARh4OBJAU/l9p1sJlmSJe1qV/hx7aloNsdcawBcUzeTYZE/pkTSADc2q1e0DXDaUDM90brE8 BnfvqT+q0K+wSrP3N/O0ZZmIBR6cS23979DpfEu6/xaiXLOF64duAfH0IuFoWvvgXirXborprKeG cvb0G4omI2whudf6YeuzB99ksX9Oia8pl8IcoGZXEG/pvTtxFcNi6c62fUzxZtYgUPd3l5l9bG9Y ygUSrj6v6StSG+oes46eXWJJxQbW5Py7uUu7++Mzj+bY0H1T3g+PtalEw5Yk5jXAreBhR9lkFG89 g48YGyyCQYz4A6pX57vTiWYlUnEXEzNK6kXuYFNexLx+zoMaKogqOO7yZZLdcSzAFHwmb6eiEnFb yhQmiE05Oafy62ApsCpWUxV3f8xrGN3aK87vFuFo3zgC0S4vCD4fGaFEBVBqHGh1kK/yNoi9Iohn 8nKXB06+j4Mt+Tdk1S0tJR+QPuAn0UyzNAXwVYpV8+2IzzyDkOrotSZk8lVuMHprrE6gXibq5j9P OCwhsjihzFaMpQd/KEmJW5t4/ycUe8K9HNwdPCs8AomIwiETx6Y1cvuiW9D9DOMlqT9gpAFpF0Pa a4ENS5yLt45jE3WgPjHIZwWBhgyEGjyw4fDQ40e/Y1urVu1jVA41GPSw+IzlhiukiXuxN0/7zydL F1b2trv6mwoF2K+Edf9raSwy4Av8JrEKuWG7cQlTpOUjzStUOfNBu6UFfNFpfjjiX6qKkNOtay2O wxJh1rtY+ENK7ZMdlPzF9q/mYz95mHiWjUzaJBfKY0v+cCYISCqhBd9RkRpYRmFY8Qqnk1U1WAVX 3yh0Uxx7JLBe4O1vBTUNYTNMv+WuMwj0Te+1U9sapfgysNvXxbTfaBGQziPjZadi38AxCiFtRM/0 hQ6mC+6tZnrk5WwW6ryBFYrS0Lvtzy9+DyrGr4VY6PCwgQyZmTWUdA/gbw5JHTshYw9N++kZ+Jk8 pkybJkv0teDup2oXSf8jl75mseh2QSQ6JPfNMceKPnmOTeXl//D7BQvj3qfz7gf1EeyySqZk9ghl Dm3d7AOhKNkHaqOBfIxAgrNVAQuWBW/5aTkl37d5CWC/Lzq8sF+x3o2LO0NiYJ9c9aGjXaNQV/2V YtgaLH1PqODSWRONVGAhW+5dyrMaPNOeoxQTINZ/AamUzYVSZP/b84J1kGBFINorLsyb1mlTrVmC BooFGgweb1nJRran7OUofPHFgy6N8Eva6lfov4wXUxCTeOaczam6epHP22eVnLVSNLlNo/DUXj/j yixnLI0x6C+CgRvZbivWXwuiXGMj4Li+lQiL/+sb4zxCt/KpLojpUu2bxkBGCjVUIbCass5BepQm VTdC1ICAQiNZ04KlwMk8FdSIfA4E8zTgiWwQU0w5jfXjafFsNw4X6oLdOSRUjZPdNYcYbleBGdS6 KiJWpoeZccDS2hEdS5lSEHgcGcB02ch/NEcwFPowTvvEOOgDGRbCD2LRQtNl0ILNBR+/CckX1U2F mKkLseMEHRY8v3fcXUcTOhy5nmsdxfhPnK1kE6pqkvwMQlYV9F+FbA0JRSNrdA0R++bEOVK0/kXp YJAyhuAlHNhatNzaEAwmNA1OnMHBnVV0VjyTKYl3K0sq+UYcOdXgJ+VKjw1c1Opyfn9D6kxNudhf kc9Tqup6OZDlq++1xlxLqZhXAYFNtGu3ayFtmlqxYM7Sl4ItHZrg+ZpSh0uXnsj657ZU31PEaEKr dgFpLLFNoQyFZRJSrPGhWepTMf4MrOZByFTgdUC1gf4AY3XqOUjFKtSep4uxfz0dTl+yMnTabn0v Sbp4w8nnajhDRXtSwl86P8v3Q/7wOV+8w89c8G5pN6+7GG+Sg6OELlLNTcJymV4Ngy4QvJeEDbBy jTwDmcQqCIGSJVaiCwu6dBNyZD3ysvSCapLNLjjFZbTWt5MuZqbvkyqpm8KzEssJHRLeEFwYMNay O7ReYNFh4Vuk1Fc0JzZxZMX+x0MGvi7V3voLzCTWcmfLkkVoZHB47/iTA121Mpidgj6DOvONGknS Y64oe8qdh4EdKq2YUhrpKhk9lWgb1fhURyywYExS1xaYIcoBT1nFL+RpW1QVus9yn4yFg2pp55Pv lOWkKp/pIM1hpHWS5PKbiVrvngtINg7HWGFJgje3tp2Ah4lEzuDACVswYPNQAkT6fQ0vzV2nq17X UqMVCJw5QX3X3x0DsWlUziEbXmijmvkya6+v63jhq1sgzNc4ZGTjhOuhtvfDkH9dFERZ54vrNA0u jFjh6bh5nYq9HLBuMYmDZML9EBCP6MREtFUVd826Xlb+9Sx4gevuRfPRLMpb502WlsLLG88QlMGg iFdk+edYQGud8f0wajbgP8pQIWwyjPxX5ha23O8JmUym5JMqPZgu3rrFqxJ1dh9N8A2lFtXwAols 1s/YWTg+sW2XSbYt/ZV05Y6pY/5fUGJ+ZtdsE+pwpupXR1OSXEfSpPEfIzI6iYPcy6JWZ62bGAzY HAXZXRtNmSugtNA3+x4edcRqAkDKPmsHXhQdz3nZKN5AzgFIxpmlVWbaoH/JFdcaBkSMycwwqtLl nx7b/1s3NrzAQdd3UUMNLJ50eyQb30+WEOzFlMPgtXNnq/WJcO1rw5A6W8N6AycFO3/VSLw7hpIr 5sRl9hc9PbUMbDzOu7lc/rcLOfDzFLUZR8eX8ZY/zJEuE9DpgKVp23NGq28lAFL3Hl76IVsSnan5 35L0WHfnW85FsZWnxUpJVcANUrEgjtQ7eSzOCCcp/CbFWsSFis6cQoVH++CzhfQMGfWFTWkrlV2I 9G/g+7oH9tlCf0YREzzkzYu03y/Rc0BpEh8oPlMRj6oGabjafwI2iVk6GFCVECy1wAJyD5Jjkm55 n+YBfoHXMJMq/Xi0pEQ4h9s9xARkAYQLthbScBbhjSX3mssxkd8zc8yvXGyA0EPfQNR20IyuMc3W ixacoBmtdfg0A1b2jw1h64LrrPVf6nNELmycpOMjtTCb+pK7BtM1/6O86vX1s2TwUCbkkZeSYRIm ThAl7+HsbOWWCfliDks6ONgfZ5kerXskI+Eg/792vln8ccZGuEigh+yB5ct4iGysyvbpX/bPYIeS d4+BolFrt9/fQ6YCJfwk60ZDGXCYvzYH5JpEPJpMLSqp6793gr5/Qo3PDpeRj3IXqy8wHoeNExlC G4sO8w7Yt7ie+s7TkzgjRGTsXblXGc0IMcsah69pzVBvnjthIffN8BeNOpAIkzhqDEyOXh/D8ksH SyTsbf7EI8Z61eL8B+SFeonoxfcTa/v1n1t05/sI63Jf+yW9L7FSCyB8Vle5p0H2+X/khmuieApH xLwdgmhtE9wFo0r3lS/UBrW+rFMwHE6WvXABI/xIRsxUwvZeFuNFNjl2QiuaqzL9aa64pb/elNpR Ke94KoXPq//Y4sni7HQN85q6EKPWoBGxOhe6nybQGIafJZ7/xRt8zRUEQTzsETBOIOmaeEJenRD8 LiM1ev8kz8256iky+GgRed7f2rUw/2bFVwKMFfxI6Sp8KY2xmaThx9OYJZ8qFx3++h9wBq40wM8A K/ioVB2eLQyA8rg46RHVP969bRZPqE8t0UZ6AYtY6ejC8qmxTquN/ZGNaqGXOsbD909FcmxmyeHN Fx/5BEzncFgTgAlLk5i7yddY5hktRqSW+oommqOqZkHlREcOp+x0NH/cqj3naSzMoqtIO/12D4lo 6rI/loG7IHwrrxs5NfNxyHnTugktpjrC79FtWKnreIqsZHMqgZWYKxzZDEJ2pa1aJKBeTB0oCBX9 RXlBYZ9H+PBhPBAiig8/wU6IsNprl7RBHIU9pbTg44YfufZW/WIfL95AjVydEAIFATv9EFID1Lcm YgDK12YHrHrl1yeoKNuUP3uDZ1rrVsZ4YlJG1tMijkwr25sYoUTm8AKqAeiA96LPKC4DVnGlj7XK MVL/40+Dx9G/FcIIEHY1s9OgOxeT8Rup2e5l8ij2Sk1apR5A/LDw3ceeupZh4nL24JLYy7yJTUTS WqOcdoj09m2L06Qa5iYfxRSrXOGLv8Y3G9U9FVrE9N1Pqev72PCfCGXlkboOGn8ZxW3+THBZ6uaU 2HekjdFXEupTGzVSwGZ0Cl98MabISGQhddVAMlw+E27q38EasieiFEqYVZKK0+4WciWW0yFZSu9h z3bubIw4PxTzYZa1wrbn6jxBtBUxBTPWVEHpVtoOz0zu6B55gA6HR4UkTrOuQYJ4mNR988/y47A1 YAj66gQhU2wxNe/0sibm9rOzkAKNL5de7wR/1ght73MDLgccYZ4XHhpZdV27vK/91W6PRWy85ltc dhQ2Mc4U0rMSRCT/cKxd1M0wUcsdStafNIWXOv9qM/qO2oC2RoPe4FntLiLYIBaMFJTUIZp6HutR EejAzN1ndxvqTEaGvBh56wxKNeSjlXhgqWd4rIHYHdIZ4V/0O2ne9Sdxb3OLYDmh8wx/qX2M8rov cbNBRKSHJ7KAsGhFcHAf+84BgTp55v/+l7zYKkV/MXh5SIImoYQVS9Wqea2L5SlzGzZgcr8Iv9VX GD4FP3ujrtIW8g5/+qLZ3o0TQXo9dBpmvbxlEp7IVKQE+Vfrho6lQG/gDVLktbtmYgo1mckSNcdD AnhR6U/KBPsNQ/iyswLHjGmwzZyLH7UKtYH9+fkrTZfNE8tBY3hjBrkLtFLsWq/xd/fLs9wkfyZO mH7TW0XIKrF+oQuwpCGsVSWbgQzUxWU8RxoeNsOwgVRCAmbb6Jogackoo5OmOC4o8Hhgd55YCNTk WYlWch0eFRaeGiLIJmahxUUqFVdUgKa+HrpEJnNxC57VRFFzvL1BNYXHr98o3oYWWLICDEjk+rUb YpCzCDJy2qPvyOpdI4NWDhsvp3eCUH4spiy5jsfjhzNd5s8WFh0rbyaYY5Q/UOCkL+uJ6+sxESOI Yfl6MMrAxUKdUsFUWcBJR8EYy1xW56W0iNhBDx01w+W0k5DABxEa5NNaPWDmieZG8plfHu2WL9KG HXi17B9AANDwzBrctHEFIQ+oFS4rUthWpqS55scIVxGh/fZ6LllBGdY0JFQ5inDgLW6bQ9c61P3F xdRFHS8oGVKwZaSsIafsAJKlqxLHz9qJgBvLpysJY8K4RQ21khOP2dyfi2hvysaLIr68/AolPKFT X/DBPSfhWEt6mwb5EROu/C39YwD/mWnNdn61XNCG4/NFeT2njNnhBFGhmKTftnR0WlIYC6ZUHjPB X39TO+khfMWUKchMXU08tHTahyg1lvtOEcmSv70wK4t0UWevReCD4QEc32WwRIK9Ix427dgUZEaF 7jmSjVrvLeEjx9uj4CtrPRxwBb+l+KMrNT1zVsU7PDCzbICWkfb4OZTCg2mTyV462QVyK1TTuNu6 IQLXevDktdVndG8GdFNWKkIdIeF44VojFbVe/PcqzHuF+n+NphhO1vIRHL4S1pACBdOaZTAQPPJ5 ccykG8kMGZ8+hnCy3fOhkU1XorfWVa2V6w2hKjp0gqg+KntVkB+QWPd538Za6m8p55K/5YwwIrnQ bxmAqbCZWIPzJ7q5mVs2fXr4hX5euPtPQoRo17vXZA4lekL3l3Uk7FKglX5w2TQI0Ym0KpX0/BtT Q3zeeTKHgqXG01psOzKS5dpilhsVKAdHPICbZiu3o7A8aL7q5WXf9h6/eNCuXw8nCMQtm0iyIEO3 +sbRSJuEFvMiGmPrkirzXZcc0twDFXFNAPs6lx3FGjbYumjrKvGEwJaHbpy7DOwjiC+hqzM/GUim uWDhd8zKleyj7iS4Fmj4HUTpFrAiy0EAm+ysrxcmhfnccmGgu6Y4M78p3fa+Pr06vT9O7cZs8K3C Mu2W9+8MFXWNdq0N6Nx238SoHaEnkYN5eV4liMpAko8ONOra38XxigwFEK91uG+Xgzj+/N+GSDDo BNtnY6joiOnT6zpVOI6Z3SixzlpJ3+UT/4Jr/m/khvNPfRwYCC6PO5n7D+oZuFeuL2bNHJ5xFNJp 3r69pcSFufA+khv5gvbAWvqbgos3m7njLhTnDqAR0m1yC++Q7RS4+RSbfxu0t0tm9dWgH09dR+9v qtQPVwMUex3RunPsyWpZlENBBCK7FAh1TRRyN2x2E7hNlmr/mrwXLHItcgCRGkE+fb/sg2SgkeD0 sk+i45XQExvEBKDYXz8c239IaDmWj/mfx6NQrnboyxZ39cl4UJJidYfZoz+CyDZjpliQH0q/MywD u62gU0PIgcmHymEKTWVidIqWCYmYNPZCGIIfRjPzr1Sr8kXOPJOBJ9dF96mbHhVGk+RcjSMNLY4K 6eS4LANjvRF/xg6huvz1x4rPkkcs5LaMrzDCckDhR2NCODzXByBOTbcg/v72ZFM08WF35eYphi9q aOVzIj3vaxPIFC6YgY/SEYxrPT7NhmozV22t/8BIuTC95msrKn/6uya1lmHB3iH/OzTBxBq2azSC a33Nz72TVWj0MqaLJkQ32VK/PETBUxe2vXgC7Lwgn0x/Gib0BjjWwADiinDkRFf2w7oSi3jqeFmO /hffEC/VzJHS/LoIkpi5CU0YdH0NhO+N7mYz4ggX18zYWeKGbwRhF06xa3wYwaHhq8c2D++enUos 4cdHZssHHEOBVJKo73KLtWWu30O0P8a+Ox05edDWoxFSS0nY/gwJzhwAWy7imn+cOOuHEQ0v+dgG LpknyQYvxXj2yy8NLYgHn4vapTRmbb5gx8nhCDgO/uppEcGCSLhndVQ2OayhKmpOaCJOCEnaKQLN CYxINR+VsA3orAbK/t0a1jdlaB7zHcruFddrmi2hFjq3daLmlWzLGLrEtVkXcA48WNy6uF/z1CUi jLJphFDBAkxbSPrFppwkN6azFyjmhO6QvGrMaejFwbFEJU53MXtanJfC8pPS+kqMbU+wucVSHxyI RudzGl82MJZKmBNEyYI7BlG7F80ka8takRFjxDkiWk6IiJf3PQCtBCMD7RIorveDaOp0m799Tlnz vXuHTbuH8eBYBY6VoFgdZXuDShdlOCaq77yMkVvskWD3XQyGRDL9C3JdaTwbt2nOaBdMs7znjQYz 20AeWBr/K9gQHRPCOo7OOTUpkyT6KW7dcifusuFPG5fBcxdrldcCNNHF/kHaEidWeOYj/aHq3xPV GqfWIARNVv03RJoixXUgnLEfH3xVJ7tIBvnQV5yL7ZsFVt56+Gmid6Y2SEvsU0cDz+Yj7hBSMgk4 yfXJCgVagsgPOuyTs5gPgrsEbih2CGlVUUfSB9OaJEc5SmarLL7fv5AERpZTi3UCE+OVvBU6TasO pR7eHHxk6K0ankhx4r7kAfaLGxKQMLDak24D/iFu3i8jrZ+fmL7Apa5z9JND6BbdEZfeekhBdFTL tadLLQRlLfDUJVJvvvVL9y2qJVCzU3zryZHS8cRnUq1CUUzNiSjuokr8xY4Gx2kkXumfaimR+d+O KnZO+xInifMaIgrhYTY60T8XlbH+BSBmT52ZA99pjoci3DxdeisnFAtLmCU6pnUZHnWOYQDJIqjT yRDmB4cBdYaBZJsAGUpxGCDY2oPrVtolw1cxL5qgRX1F2oj5d8tVbyUI+vZKXsM+qM3MInjtMm5f fRxSCh5LxRdjhW1xUDbPkRX3JLl6tLDNDk79/GOCdAgfr+1aTBl19ogDul5O4RgKM7RDvk5Fxoli /35q4hSYwm/OPRVD9SSnfYawvF7tlbWJbTFHgi9JLhH4MB3qcFsD3Zag+nmYgurZAtbqRwHfR0bZ Lw0sll6J9uSDCKds7JW1vYSdrGbx0RIEBGwZV1fJDEICbhFo0ns4nNF4QPAuCZ//0pk6yAkEPt/g AQwO/zlO4qCZoECRxo2LK4XFXHVJhetgVL+6vZx7u1pPss18FixQBum9uM+DtzQDmAHqzP+eFu9h Asj/HFCz7xEOZhgJYFrgOvROWLGNmU7XUm1hyxBZfIdL2w8b3RzrTYCSI4GEvnbVgHBVBnhly79/ CULmH3UcBlK067LqTHLDTz8AAXoSsrYJNkCUuEQiJOzQ81kaqal2lac1HRgaNs4usZEbJ5NU13qE RR0o0V9v7zNRFNjoZTslUOYQ/ETRWD69nbxjnm2+j+k2t/SKmo2tTEwLgRM4JXAvntmUy0jMPxti zUCfeJ5L2f+LQWC8XaupdH3OceuiLvgyPVlqmWZSnXOlJaQvZzQZEpHSiYd62eHh79l2V+NlBQzO nldg3sSg9TeYZc6PIhUNjkH4OxC2qQdpNf9aikpKoukGZSi87s0AqZ30qcBEfQTlGvqRfxPPycvk 3+AsNbztIi5hHNxsHiTrdUPVoN806x+9XbLBSRyoD6Toq+Pu8DrG7hiv2fz4K24cBzpa4K0qxYyc czDukg9b9X5smrO1sHLwUza9TgLeidOOkSnv9b43T3SNVKSzqF8ApUDrTuweroDq1sfNVJT/Vh9w FuFXN3+pJfmK6zq4+H/YN+MuWdaSU3ywKj4EuYEU04eaCf1HeOWVT/8b11oD6LuRPBZ21ACTWFk1 Dyjj+j+U9iMoXAm6RorBrTlLAdcrJ+BXEJoj6KAkYQ8PQ+LUyIH8SQwjDceJEzM6GGQZKy00lnpP 6t+Bf4oF2KuadWhNmPgDbfEBR2m4qtYDaNQzvMaipABHRhPqkRvctu/XFSK8769L6PNSS4engM5j fW8H7P/tK18K96XbFPIsxPNLEHtZ7PFfaV9cPqm1WUFcDIJKXByn3ZefNsOeHSSG3i9QkySQn7Tn XccMmm+PGGoiDbwHDHYG3r3j9rkY7wxs7iZOgH7Uiwu5DJVjlJI/ywD7+lHC46IFCUhXBSu4SFnZ k9/YUQPfQqb6VC0XEjqFw5Khjxugke7j0iMR5gxMGc22iDA0TQwaoPXUGmkxoninAWfjuPGxyAIb oBa79K5V8UMYIyvMbHOqlVpPUMI00Hi4FtIP669LktxcmK8PsTaEFBfl4y8cERlzKBq072LmH9v2 pKtc/+a6WtJ9PxgLZKkoTsmQ9GvNPHo4lWLdePNdMTh398eOeNdherc2r4vC5H7OyE4Kq+noaVUM ni1aI9q+G0D4KKZkMqbxnrPqzsW3czdmRDmy0MSxoUyOR4lbOqLM5QA1U424l/pm8q+MJyOLo9ht qCgIZyrPjGgj/EK9eE5A0A7XSCYRoyKw8Pf8jfjKmTt6Yg+N4HwULRQObOF3nOJ51CA27nm4dHWl 3wh1BDIWAdURMtHJswXnEoJU8oxC2S3XBJIPzPW4SSHMBikK6ithliV0rK34UrsMZHpt82PbnoqR +1ye5SrF6oHNm90cnR0cnqrVxtGS9oTn6lW62tZXiLfj6GoZm9JW74bx2kFjMNRVS5oxBuzPyS2G 39RYv3UwBsH9SXeT4B9jMxDbutXdNRK9a4ZXygLiRGpUBc5C6Jg0L03L3uc39PC4dnFNFRoPmF6N wRnkFzqxiEKDhdP/Sw327ZeqH5QpHfMeTC3eeSjgQ7sfrjAOWhHd6nm/w7MITgIVXxfb1+XlT4j7 6ubQZsnPKqOJiYySbIAlIcTNpyv29sB8vggH0UJztENSxYhYhmWhExmfX+FxKwSFUfR0jgvHtQjT G9PPbzqP2duD+/513A+lophsTd2VPyhnt4IIvLJQuVE9mgFYDzRMno39o1Mur9U3OBwL+ODq05IU FIoehI5KYM13jmrl3GitDFgqoFQEXp91LoOIvfWJ0o4ewbFz0sxTmspEL+nEEUgV8sG+IAyckbaV CwAT6Edb+tSCewi9GEEJwH66tViMsXaaxo0c2BJh/+jAszQvX9oj8X015Z1CZh76LGwdR+Bh+XZC xXij1wMFQdvPZ7N5/1wea0l4Xko7HUPKqROxEglmnoBYeap68nUKwEkFBzDqlGps0oqLWcDRf77S WIbQpRhmO/jARmVpRHVx8IiKl25fl0E7/Nj14r4qA0FH9dALceBYNIcd4BOgtjd/f+8Mx+khS/Wx 6fL4fcbP4jttsTwKy54hLfSZeXY1z5rald9RP5mzHgVwiRJBgGT0IOxXywh7R3QZgUMH/5XfHjLX mhyMqw7bLPjGlZuZxu5YapDvKmOrvUI84RSm+Fo7mBiz4c9O0GaPcNp0Ypyfj50BGfEq3bDeOPP9 kht3LvE1HxvvlZhjw3Tb7Zjebo8x8zEBdgkQa8Tp2jqrSQzLNHoV2W/n111FMJPNNwn1GFEXlib2 tsxGr40jaXH+M5Ey0iRN/ON1hnJ5RllPjbshO/TAhAi88SsUy/E2mrILo1FL9Jg012yJvgQYnlCf ZXikat6Z5RJNt4+BK2pBjZUC4O++lyyEo9dwDlgZBPGTJLwc30Mq7NVr1jFQwUuB3hiiarSJ8kUd mh7B0zVOXvW9tNLdgORonIswMkuZ5Mv6qPI2q57nz4qiGXEpfoRGGtY26xKhtfdN08Terl8qswGY NDto1cql9o5r6WG7vO2MB31eju2Yy8QA7afdW+Q5sk6NyfOqmCt9WKPPdjdbGctpY1jmXfDL04V5 fmtldjm5oL0kej4NepCgY+45DJheTr5W3zp/R2m1qMwYCKdGVgQ2lpbt0SGvF70KF0lJwfuRE4Y9 HqMItlFn8IMCZeMR8b+Ly8VSuPJQ9gX3TMJQesYjT5t86kWh2ich7CZcGLwrPLMV1tBJ9YBAhOou VxwWCYyvB4dPl98URckxtex3BNh4vko8ojcMUnEw04FzGiSQ/tee6kUvT9JdrrOwUNmzDQe4ZJbG FSchWhWZABEW5u5KSZbdCmUuBuiukSTuw89nxpeZrmxfpZLypIsicFHrmtiNzRLCdbIxcA0Bsbsq fQZX602jTCt7NS+FjHWOYPA+kXLDO12+PD9I3Da5/vMhYGILXbdUlpAUt3MJaIU77tJ4pujMTZZ2 Cj0SIxXbpdgsi5IN+3UQ2AsGT+YQxE0IJFFz37a6qRTMwE3oJQkRXzz9kZn5DnkcqrmDbj+sTTR3 SfZzoJPqQb7MdYBeDHl6xiqpPWMKP4BU61jDOxPNJj5NuWQrCPWgkvT4zr/0MN2jcqBWmrLsB30t UQUkKFRlFiaQUJW729p2HwE9KkYe8saVyfV6JrSTYAdjsQMyo/EmTNleBoc1DIBsV2kn6L49c4HN 0gW3WPy0oT9osIAGwiRClWJRD/hqwHfOkxVAmH0wrIvOY8OSDGJiju9Xz0WHGYeV51J4RWEF0EYJ eR3hXs6H2ABqNk6SZcvwIZsNHc5s0HTrFRqjPK35pJiVLYn4drN/m4EHh3/LxAcpyNi/SXOExx9m M0Ae8FOb1TpO3d0thRG2y4yBBYzNBaE04UXNWDvq+pXxZBI3emn/YtIu/oZ1V516X0ebG1Y0gKeM U5XmTBbJzTQ8hd7uWZzl5uplnKk+dnYnZSbVF1Cl2633+MMnF6nZtijqwv1j7bZscdn/BqJrGJEE Q7sfEvwJIpRNSB/LNt5ZKtC/SNQ3r4IrmTKo2IuzVcqhmWwF4XMeparQOX4uMK8bnjv9mn1XuIoV 6/kF48aSd2yCTxoplkAAQqiudZsq98GSYRy09/Y6bRCBKQhaZt/5qWWC3wXOFqGAHDbiq4T62Uqc eCAvhSPAgRA7trW4aWJitQof4oBPRYXML4t2wytC9XcgykjDZAZGhKBpV/84GJ0yzWJhRL363kmy zHA3YDFtc7RwLl2hFCr+q3u7E9PJJATMD+yH65IB3TzD1dMXAAQWv2Lz3sWnNOyDpMG3n6tfcyPY 4lQG3BytE1t2eovLuBG3BaxnrXe2FbjQMvZZs7aNhHp+QY3wK9oBC4dPAU4CQCEI/fHuNV64E/9o FOakXIKVXQQWRGxw0afgMqzKgzCt6AiwrHuXkfv5N3AAKa06clW7tANBl0fGQMRMwHYt04AjNMBH ehjMalnDEPzS8msE0bJMS7sgdlbrMdRfVtdSV0dyjSLDZOMgyGdbzc764Ic6tSDbwMZvfXab0Ct4 Ghw644DIkjjqCTAHjBKa1ZYjdc8Suol91EXzvu3QBUaGw0L05uXTOwM1d0CP3fCMoLNV/m7/Nmyl vOXBTykwtREu4t4qt8JRfRAyWUDPT4Dbee1OyCzlxFoEgaYSFS0AOYiOetBbe1FLX3bGJ3AD7F8I CqPNfVxVJe0eUTXlMzjeS1dDMAo2FNczVCGMql2OQB99G3CZ7lBupQhy98wLSTgKL1pVsGb+ifHJ +5MnXEE1U73m6EhBKDDpe7/EAaKxQl5hBSzKKDogljmZWSXv8ay2vlGVpjoKZUne+zLaYFTLyirj sBZLaaN+a3JM8MhPde2RNT/TsD42ygc3LTtao588tpaKqlKk21Tm6tRp0ZBwmk0fdhrY1/2SCIht zpjNsaNWk7w2HIvsD1ICME0i9teowle8njR3G6kU5fiXFjzrH1skSKOyxf1yQ0VFsRHt+2PGyO9F GzOdEkEQLUgvP0t22Mu/7dTuK9etkFdncm41vdI8Gr055X1+uSdSBhtYGLVJWtIujVqc6jL1waiW j0yzhPtWus7ychrQAjmRDA3OTBLsNSaK2cqFIL74a5sOno973o4yNinkAg7gkKomPNiRfC6OLiH1 OiU6ENDzmoteCIFvETb6g3vCH+MKYdacv8JWNOrQHl/cU903VO9NcH691NNVlhtD9xyuOPOlBpg+ XibkNBh1QtqjspDBwG/LXWXONk36cJxX7LuExM8/0qk+lW/HrXNxzsspMFh8G69qkusaChcB0thD HZCoK5dKydnKwTuPqyFkkRvr5Zp9csQYQckxAAeGmk+uuisPixW6ZJh/kzckawWUwtL1IJZMqjcu 4OZSGp1Ur4Jj7waYlYG62l7oAchkMZz8dDAx9eT9Yq4ipFNHYMMR1O8D4ILYtOEfWBZ8sKZlhnHR eF5t69bUf+SU33FI8M1r2EfGur+UmmQsdoLMTcoZre8uMHvsxX6cKHen3wa8/BCXK/pzqkh+/P1n KuRQn/nZk5+aAXAAyxuYQ0cvRlbFlZvRmtLB6wzQSx+kxZ9trUrOkd2nA9KQHIsT5yjF9EgOpt/S KrIpLVapRoHCpAy3XrG/02rrrt9JUTn0RYHqyEwsfr6C1dYGbPSZdf6yRJfdRWfWLgmxyHZ28HSh wRaxARQoQyFbEcJQFHMxNnqd4rOiGuUlUJPtb75UMeKQ8kCVBGXAKp93zdrdCogIIHKCxJy3LRM0 KAFJ1TIZaP7aJ4agWogXTSIta2t/JHCIu5HUR7nh6AwH9VX0ISRlmmcPahSZs0olms5zd/2XNZyN MDfU9W+0gPT2aEp8b8C562GI2TGGFgbrwmQT5OmOO6iwvsZSkWSlheqlfVK3M8kGFFrHhuFImrel zTC2iliMLIBtvzlDqIJcfH9HAi1+XP3k2ZwgUf0qEPOxjIcvshSzsWYHnb8xJxx5yOalATYX/L1J 2nlaNs8qqC9FDTv1BOvX3F+ILKFF0t9chWfG87rBE9WwwsO+PyLjGI5i5/w372wd6gGefDBMAOXr YcX7ApdkcyvIFpbyNUWRk7Ny980zihQWQ6GizsCOvRsY7eVwl17rAmh7QfHkXhhLLDIRQpmA7xKz J7QExFFsjKEi6vRvRkP6Qf9q/+4e4dk9TvTGb2OPurG/seSdrFKsqs9M0f2bffX4cFpLid6MDY0R +UR77HW+VITGPLgrbi6Xqq1tAND2jnq1ypNM1frqcz+JR78Eh/Hzx/U2dKGbQvjIJfqB0L1decQD 8ei/PS+i39ZQrMdvIkPyF3LeYYnPuexV6uRX36U9dnYGbDJOL7FFDUirbqPs5RdR7TKeTtbFJRHm 2Ef/VzHK2jmINaTZ5HBb65w+uWZLi41CqMsZdDc3Mn9c+7C7f4f9tilIpTWvas+ws4T+18TIgu6s 2MF4joYxdmOCW6CpQ38Bl6onAg/NUzBEO3F3ddjinQeX4ahj1oN2y9L+sdSwOBv8J4QL9rBf45sC d9c0MZLW/lwl89wom9rz+A+rX/GsU3Mq1GtanMicDA2/V7SRBTuve0F43x0c/QfjIhGoLENfHpGD Fm6JMidx/BYo9+whBbJQbq4Lz9kdtTBVKO1QS4+26xKpgktsuZu3axhur7+uYOR1SNbQlF4LFgM1 FM2f2b2sTuGWntD2F2c2hvnuBohF3zmnOOrwU5AU5OxrIsI0cykVuh+vFBnDzeDG53FDXen019Fg bCB6O48ws036BFpfRT9zgLQtdf8ohefmHi/upwVV6yStW7qEnGglQEq9VhnOOhkZVCSzvFBkRifG hNcxuUSV5qfkZbHjAUx1nccFalUD/1vDLXPIde17CgqVONsZEU+04fFovxVYCItZeVG2d5TED8om CT09DkOkEl9vbq3UkCWisEP2ePvDBpJcmCVNb6piZzGMAg+SSVopuMj+mS8mchht/bge67ltG0+L jdaSmDd8EqD7JdFFWEHV/wvrqstxByln9YFzqH4CxFKMcHnZ0/zmzUliAIMVQTy3n6IwJDQBpdf5 ujt6lX5MFxaLbFHr5jq23WOQdjc0uksNREBJPty3Cct9TM3EVAuWf978j61bLngDr2lScgFA6dMG 3K/7LZsBE12aPfggSb+Lg/AV99OnJ6TW64tBhFzATZgJQAOX8yiEfFHMaqbh1uVVmHMnq4XrL2HU nCz9B2hFtPgCIq4202/ndQcbZA6I9OzdYUpC62o/aQqlg+/jJd/OiflyuVUjL0gSLAumgX8IGi9w RVtTc5R4gu9pxNkQj3xzMItj5oaxl3myw8zbYn71+beO4KWOvkGUlcPzP9SIZVpW6UPV5BgnaorM yqCBTzC/gR27lBMMhy97iuOWU2ghJW7bftC98QdTUZeyEWpPKUyimdVu5SOgqr1NSr4E+QFUwQsq umIUqr8jvlpOiGOlXCfDVW4oJybE6BFvkofhSkyaxSnOMVci1eBldN7YFwHqJwCwuwJleaNKVLPE XM9v/sM/DmU+fRwe0gk0ZqO3Glkxy61SPJk6Bec1x9h7fGAlLxGQ+oCNhehfDnczMOd+lkn2Tvhm RTxtPbfsth9dh/QmGYESPBrT9igrb8fKnJRQQLv9rI2hs20yewcfW4Vy7hA2KBcg2zBq3EQsdKTM hMbOZPYBsOVd5KqvbxP9kLlRtySUVLsEEmWsWJdXXmbUw4eTlBZ1c6O0aVAVFInpsTvAriUT+fE/ 7uF8VlN/jpYSIwW2Je4K9kLswBIZEhmJrZ5P9BCJ3hrV+83Twz0BR/UjI7jGRj1PFHIA+kxpTjRO BlPF5ckxj8FnM9uO9Lx0NbaGLIOqbnvU14jGhde0VpHVsAjjI54GTrU4nb5A5loUboofe6I1GyvT H6medSTOGYxM0FfZxfYG417bFTItfIZ3qW3oSOZsmAWA1trkjaIkCWOO0RV8sp0dOVLgUo5DqdDI 4QWQ1/Yi4LpE5N2u3i9Z836BmTjuMUdHfRfaVjQNleOHQcz9CptaCbgWgKyrJs2yI7n2syyfWikw xE2AgW4yBCGZsbbBSCUQyzEw6DtFGQw2PxImdHlOhF85BQkeZMObn7JvCo8c7aGiVVBp/T/ODkt9 XhUFFoludbdVHxe6tEM/fPsVo+saIZ9mlUpeNfrJjBgGI7J170a4jawZH+Z5670qi/ERsf/grhQq 0BLrRNqDFeb/oj0mCHGAFsGYqj+7e7jgALW5Y2dozyocp7h9/5qYnCVvMfA7cOC2U+7BAh864Jtz OiOKDwC07qZ/9LqsDw6hEitKOJeek437rNuuxgtZDSgJYnjiOwPwhl5nrQFHBiRJqvYJA+9W8unw C7lIKGfZJMzCI7+r2K6JvYPXCciHT9xx/dAmvfZQD0pK5h/xgdSTqi0YilMBZGURvFAuHPlTRMSu n2wmRmWgURT8GL9PdQOA/9/oqR1Gc7pHgAl/Fh8mwP7+5Zw8y8qUFfIGOWRmb8lmHFbvBJw7hbWh 7L/nd0dJy24FCg6QZYdIONuqoiHlbpU4F16b87evjci9iL+ufnXU/+kJK3Tt4XZl1bRqC4bIpJfB Zp9fgdSisJEIT55ZtHFNwlIIKpGSfjqL6WxxfkKquY2ImJHYi0O/4+0lkCdVX+D61a/O4OBXsaVY kYhtH57wa7B7vlRAjdpSlSAIDkF0TCEd4epfkZKPOkAeLdFhxrBGzdPgz3QiuvJteDJzl95p8+/O c0yfPfb7R+CSn1s1v9Lo6asXaetk2uybPcbTg/dzLSvOaABHYE/tijISmWM+5iH+5meBHDtHRuD8 F6Vz8o6uLA6RgkLyKkWKIBbmfdZgh+1+Zel4858RvDOdMESNjeBOjHr0EVZJ+nhpI6GUZupFpmmc 6whyzCSg9o82aOPe1bJ81IWlY6ojNcXt/OXMQTcbCq01Z5hw3P9yIjBBUJXN25iP/ft8hjJ/UsQa Kpm6eD8DTBbCvvpE/7UMJLaLULKk2hCiIxhKTwaN+/GH41nL7wBsXAnoHGDVUHawh0tgpBFlEWeA gcScEMT48eEKnSD6DUgcby8Cp/TWyMBOX74WXTpinHrSatzjR8c9IxOQ+FNxYnovGDoRN3SRSCas f81FmqLUG33Whn+JNd2nUYEJtodCk05A1gWPbbfCD+VsTtOH4TNysPYofhAKQUO1fo0uLvCUPJIy K/kT72LpsaFKWIKVKV26Tgw3kZZKJEbfvrM5g9hsiLruPZ2CpXLhxsH7OC7eEpzKcWjfkYqVg2bX y3xwtEe/AVN8e5XEIaudbHFmrn/dhJpJ+6zNN0sPW1TfixZcZOZcfJWtp4bGgn6jSesr74D6Gc71 aPOlE1/xspAzrdZ59GK7+T2fE6inmxByRtonjYKyoXkIgA81+xMkzJ2sAeG0Xoiu0CCk/NBksTjk o2+T8e57578/YzqBf3O6VqQa7xh+APG3tvZ1S3/ElLqRkCBrkTIUopMPd8v8gs3W2nN2RkNubXZV ugPXP6YYLZ7YkHXFt8GgvNtegJLGwZAKd6JM45qqA0UwsSwYwvPgHaYZLeIByF+/26MS8qHGiKV1 uzGn0Iks10l6a7kEgWitIhUIfjtAWfBh7NVOPd+5r535bCvMGfakX6oQi7h+IZ0P/WmNuegpMwWS PlJTLFLWt7Jv4BQX43AlQbgKvYvCi2lnYdmVM6qI6FbniPwQDnozxq4F+LFU+2CdPnm56WT5ikdS Tq7Jt8fmVBrpXcRkh8QW3h736qp0ElL83nIdO4kkvTRo9oVxJ+AY8Q3Brl6J+jwWVEgZl7eqAszV fC2f3sOJx2ABvzO3NZ6J3gIYQ6+dHhmtKB/mvgPSpys4/iDaf+JyEXnyOv2XpNSrAfjMiyUROqbk toPE0vdmP93wRu64grfCg/BQsQ5ERh3FA6VpwCIAaPDWttB6diOrxoNfDM9kAupRIkPwUSRhmlty OyiR4/HvKwmVpM0s5SWRqHUeiJNpjbgINgWul8LVobYL/u+MOv9psbRVZ2vqqXlpIGMFp+qXalVn Gon1DleIUnxexRagk2lBb0bpkzZWil+bibh8KrWn4Jyn/iUoKPSVigEgCmGX+voMNPs/px7cwqwq 1yYKwvh9IV8YWSxcwGraE/zMM+Mqx7lt/bEfMQo4sPieC2iSf3g4Z5Bdbrhzuh8p/kwoZ+008sp8 4rADA4I5GpEFMuqKsWiAZYBmEwLpZ4EGSTRprwt2smhOYq33d0VNzZttintA83+dUppYqKDIkEIH 7ytNnKghalxDvSeaXQHpjrxNGaIKFopU+UKKw53SDb+GvA020zs7sFD/XU8L7Z/23gXIDC3wDoz3 KbEKglUDqUdEn02/rQ88c6yAmwX8st//C+sjRdu8pNLXnfxPIEG69KhMXFb4b8JjvNYZGms/cy6E Em8ROgau88L0o0jzS3PRyXHvu+Q1RrNPEaG3MtUAWmf/nH5vOZDrgEncxXML4WZl6s5+b5hpBB2H pqvHexcvWdIx00reEyuSK/JLst2aUOCmHIiNdJDr/C2UqfVk2h+3L6VUnaMthShp8764FrStifrF EkHn4KHoFLg/iQdNaq1gLurMXPpxWQAri5FXIr2GZ61V+ScqZnceLQcs/vF91RcLO32tp9xsi4M1 Sv+q32XmrxlPIFJrJLd1soYEl4ofCZH/+P5Qxzo+Lh09R+RCGl8D5fY+XiP3IXBDoMTMkELWUtRR bMo1CV1lMlQbr/02yvnSKzcpCoBg08MjaSwUJ7CjiaSTdi7iCJ1CbHUkm/aWguTc1AEnC7N2tWm2 ddpZaS3QmFNTDqOLI8dpnAkxt5q4LzMCrOsljRsmULpUW/sWFfWvQQoVhc3/i3z0JDyxIZ2nSN90 1o3s7CyiJ165WlpsewyST/5Pq2YS1WFFIyBXEyFUGEyvbZ5MuX9bEuFMYVAX5+0JXdLi7x4qDN29 bD8jRyvjftsFmWlvYDHgZyuq7xgYLbyO5Y/90um6l+yQjKN0N2VrG73kC8EY6Gt3e+vZyHgLY9SP z2MvGiRLsKyz+zKmYTYVYqQTsnGfNhKypmXm/TNY3JOMTio01ElQGRMvWl5ScvtX/Q7PufCRa6Tm 8awT3FbYwkU9FNsDtHT63d9AffQOB88MoAR3LseXxh32p4euwQvDPSZ8am8gS5wRivNbGspP+SAE Adq+YtoNdDEX1m1S5/dIkap9STGUEkAjLcZlXeURsiOOrskfmINHUrqR9X7B67Nt7hfKaaVhTqQq 96hRbVaji24yXMyjsOi1J8kPiYukBsrSpxKvYW5NHUyRypzyo5Eii0P79lHfU7YiP2hMkbSMKvOq p6fLTIk9gQjxXWwf89Djs1s5c57vG1Po51CK6LUSx9Na8dpSqlGup301HG9wrWOaz41b/G9/q/na v96GGC6c5ZSYQLdazfc+vqqWQRnSg3Hmry8oBsRHIPt+ABe08L48Q3qppIiRjh8yYJy8u/5BdEfV 0UAt8aye4NzRTXyIFJOd5MONLX0+bSVLtYIW2q54/1i2Km8nvG0qfk98t5ZbUCraWVkCGuhqRkvI AhgkQLyNiMoNxLn3czFXmJsLY6jsMKGhkNvwgUFwKq+1PoBndQILlDjnlVzYG4s7hirxMXlkagIf Q822Oi3KmSUV2oVl7sBsP555LShiYtpdoShAg8dMGBN9W41stDSafqBEfegdswqLwAAO9gEe860M wbOrDAZgqLlDzKoBZgah044XwbNosNoL3YsbhOXP1fsI46njHJCkPShRkwBx16eFaSkGfB7cKLxu wFQJ14COp5zMfVlaZZVV4eXvzfz2B8LieoUieZFRR9y9JQk9iApLl0olGFhmRQKUADslZguWRMw0 OXn/M1zZQvh/LIgCqLypSIEK4wm38zhrVGuwmTKw4uPBgRoDERCM5n5PB7U6xmIjKQNK+Y7ij/bu h8umWd53k5JeKCaCjv1Jz2+vdtWuooabt9GyDJgeebSXV+0Ebiq/LYPx11ie/jpVGjggh8kYeteE 0BsCvQzwql0LWtWzQB5xdmsLG8J26XoErDjwK8BeWYna91Z7nJfX9GXGxaeeF74RAnmMbKi0N/M9 NEN453NJqD5fRdIogBJcpujimF+tHDLQwegVQYtumFr8fWJD5CBq+7an42af19pm/iZ0wPUvcj3C JCOkHEY5OpKznpZOumRZKKWtGZ+gdxZiatbzYcSLA2st/xRkPOLGE6jU+7shifgtl3mNJfR2GYM1 jF1b3hMzlUjXEawMQdH5he5r7yqikUJOc8lo/M3m2p1GTVLG1kiHoI86VQJVtBP93s0S86sGo15G eMZmnqhZVSV6RO+cUd3+/FEUR5T74kkhwlK/osap+OUUNdnCNredC0ecEZr3i0sIFlDGhWJqRM7Q U4aY5XLDQlfLg3EKVQDGIDTWi8RZh8o6rHvr7WoGoMFBD4aDsgnjOl+R2P3TBWA6FoyR/hfS/k9A 824eh0Hgjx5k95kRsgC2QNf0r5kibpgd8+Atr+XBx3sYa3xijTN+yjgslm/XA+5L/DI8Yn6Hwgec ahTlFUPLQ6D46fise9VkNLjGdx7/yQFRcSDroAhNRaeSaNglXrFVPUStDdR3NZpWn/CdSMbYN1on BTFtVZaugUifFJOdD6KWaKyl5ZYsBJyy53LdQuVjAQdIQmqLwKuC5w4W3lc8UfH+4Csvr9NlImfE 9z3JL6q/urjOXZq7lVUc5VqR10byvXws8Jfu8Yb0Xjp5WA22zHNBqKth8IqyclONwBgxXLAxXlFs v/jTERiWjMFR+JEDRpZ6C6NJZE9lUSkQP5NOIblSLugsaqI8PbOIJ9bSxsMTiHrv10PAhg48EmJb TCwuN75CW7ySSgucJSmwEeU04Y7SLYQJ64oCangjALfBoTPEZjQRb5vOwMEezY6ilN0gm+dxL4kw qxHHzm/9rWhrlNJ+qKHUn0tJ2cqs9VeFawvDKhW17BLMrJOX4vo4fdphlTeohPVYtpkNBn5knF2o HXnPnnxS5p22/KcE1uzIAkKhr6H0BXQczuKsC9jWtT799/vBf26WP9cwTbLdrtlwksOwoycpYxGC n8Pv2BjGHEEcdjSzkxifqw== `protect end_protected
mit
a54e6b1b724633709e2d1dd40ac13a97
0.952711
1.816316
false
false
false
false
keith-epidev/VHDL-lib
src/components/n_register/n_register.vhd
1
619
library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; entity n_register is generic ( width:integer := 8 ); port ( input : in std_logic_vector(width-1 downto 0); output : out std_logic_vector(width-1 downto 0); clk : in std_logic; rst : in std_logic ); end n_register; architecture arch of n_register is signal data : std_logic_vector(width-1 downto 0); begin output <= data; latch: process (clk,input,rst) begin if (rst = '1') then data <= (others=>'0'); else if (clk'event and clk = '1') then data <= input; end if; end if; end process ; end arch;
gpl-2.0
28156a5027ff6e7aded77db1e05c99bb
0.662359
2.714912
false
false
false
false
keith-epidev/VHDL-lib
top/lab_7/part_3/ip/dds/demo_tb/tb_dds.vhd
1
9,630
-------------------------------------------------------------------------------- -- (c) Copyright 2010 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Description: -- This is an example testbench for the DDS Compiler IP core. -- The testbench has been generated by Vivado to accompany the IP core -- instance you have generated. -- -- This testbench is for demonstration purposes only. See note below for -- instructions on how to use it with your core. -- -- See the DDS Compiler product guide for further information -- about this core. -- -------------------------------------------------------------------------------- -- Using this testbench -- -- This testbench instantiates your generated DDS Compiler core -- instance named "dds". -- -- Use Vivado's Run Simulation flow to run this testbench. See the Vivado -- documentation for details. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity tb_dds is end tb_dds; architecture tb of tb_dds is ----------------------------------------------------------------------- -- Timing constants ----------------------------------------------------------------------- constant CLOCK_PERIOD : time := 100 ns; constant T_HOLD : time := 10 ns; constant T_STROBE : time := CLOCK_PERIOD - (1 ns); ----------------------------------------------------------------------- -- DUT input signals ----------------------------------------------------------------------- -- General inputs signal aclk : std_logic := '0'; -- the master clock -- Phase slave channel signals signal s_axis_phase_tvalid : std_logic := '0'; -- payload is valid signal s_axis_phase_tdata : std_logic_vector(23 downto 0) := (others => '0'); -- data payload -- Data master channel signals signal m_axis_data_tvalid : std_logic := '0'; -- payload is valid signal m_axis_data_tdata : std_logic_vector(31 downto 0) := (others => '0'); -- data payload -- Phase master channel signals signal m_axis_phase_tvalid : std_logic := '0'; -- payload is valid signal m_axis_phase_tdata : std_logic_vector(23 downto 0) := (others => '0'); -- data payload ----------------------------------------------------------------------- -- Aliases for AXI channel TDATA and TUSER fields -- These are a convenience for viewing data in a simulator waveform viewer. -- If using ModelSim or Questa, add "-voptargs=+acc=n" to the vsim command -- to prevent the simulator optimizing away these signals. ----------------------------------------------------------------------- -- Phase slave channel alias signals signal s_axis_phase_tdata_inc : std_logic_vector(21 downto 0) := (others => '0'); -- Data master channel alias signals signal m_axis_data_tdata_cosine : std_logic_vector(15 downto 0) := (others => '0'); signal m_axis_data_tdata_sine : std_logic_vector(15 downto 0) := (others => '0'); -- Phase master channel alias signals signal m_axis_phase_tdata_phase : std_logic_vector(21 downto 0) := (others => '0'); signal end_of_simulation : boolean := false; begin ----------------------------------------------------------------------- -- Instantiate the DUT ----------------------------------------------------------------------- dut : entity work.dds port map ( aclk => aclk ,s_axis_phase_tvalid => s_axis_phase_tvalid ,s_axis_phase_tdata => s_axis_phase_tdata ,m_axis_data_tvalid => m_axis_data_tvalid ,m_axis_data_tdata => m_axis_data_tdata ,m_axis_phase_tvalid => m_axis_phase_tvalid ,m_axis_phase_tdata => m_axis_phase_tdata ); ----------------------------------------------------------------------- -- Generate clock ----------------------------------------------------------------------- clock_gen : process begin aclk <= '0'; if (end_of_simulation) then wait; else wait for CLOCK_PERIOD; loop aclk <= '0'; wait for CLOCK_PERIOD/2; aclk <= '1'; wait for CLOCK_PERIOD/2; end loop; end if; end process clock_gen; ----------------------------------------------------------------------- -- Generate inputs ----------------------------------------------------------------------- stimuli : process begin -- Drive inputs T_HOLD time after rising edge of clock wait until rising_edge(aclk); wait for T_HOLD; -- Input a constant phase increment each cycle, and run for long enough to produce 5 periods of outputs for cycle in 0 to 159 loop s_axis_phase_tvalid <= '1'; s_axis_phase_tdata <= (others => '0'); -- set unused TDATA bits to zero s_axis_phase_tdata(21 downto 0) <= "0000000000000000000000"; -- constant phase increment wait for CLOCK_PERIOD; end loop; s_axis_phase_tvalid <= '0'; -- End of test end_of_simulation <= true; report "Not a real failure. Simulation finished successfully. Test completed successfully" severity failure; wait; end process stimuli; ----------------------------------------------------------------------- -- Check outputs ----------------------------------------------------------------------- check_outputs : process variable check_ok : boolean := true; begin -- Check outputs T_STROBE time after rising edge of clock wait until rising_edge(aclk); wait for T_STROBE; -- Do not check the output payload values, as this requires the behavioral model -- which would make this demonstration testbench unwieldy. -- Instead, check the protocol of the data and phase master channels: -- check that the payload is valid (not X) when TVALID is high if m_axis_data_tvalid = '1' then if is_x(m_axis_data_tdata) then report "ERROR: m_axis_data_tdata is invalid when m_axis_data_tvalid is high" severity error; check_ok := false; end if; end if; if m_axis_phase_tvalid = '1' then if is_x(m_axis_phase_tdata) then report "ERROR: m_axis_phase_tdata is invalid when m_axis_phase_tvalid is high" severity error; check_ok := false; end if; end if; assert check_ok report "ERROR: terminating test with failures." severity failure; end process check_outputs; ----------------------------------------------------------------------- -- Assign TDATA fields to aliases, for easy simulator waveform viewing ----------------------------------------------------------------------- -- Phase slave channel alias signals s_axis_phase_tdata_inc <= s_axis_phase_tdata(21 downto 0); -- Data master channel alias signals: update these only when they are valid m_axis_data_tdata_cosine <= m_axis_data_tdata(15 downto 0) when m_axis_data_tvalid = '1'; m_axis_data_tdata_sine <= m_axis_data_tdata(31 downto 16) when m_axis_data_tvalid = '1'; -- Phase master channel alias signals: update these only when they are valid m_axis_phase_tdata_phase <= m_axis_phase_tdata(21 downto 0) when m_axis_phase_tvalid = '1'; end tb;
gpl-2.0
417af0d5088f77d04445e317f613855c
0.566667
4.674757
false
false
false
false
skordal/potato
src/pp_counter.vhd
1
995
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 -2015 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity pp_counter is generic( COUNTER_WIDTH : natural := 64; COUNTER_STEP : natural := 1 ); port( clk : in std_logic; reset : in std_logic; count : out std_logic_vector(COUNTER_WIDTH - 1 downto 0); increment : in std_logic ); end entity pp_counter; architecture behaviour of pp_counter is signal current_count : std_logic_vector(COUNTER_WIDTH - 1 downto 0); begin count <= current_count; counter: process(clk) begin if rising_edge(clk) then if reset = '1' then current_count <= (others => '0'); elsif increment = '1' then current_count <= std_logic_vector(unsigned(current_count) + COUNTER_STEP); end if; end if; end process counter; end architecture behaviour;
bsd-3-clause
e4b1f387c125c84eaa5b21c53083c69e
0.694472
3.148734
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/lead_zero_encode.vhd
2
31,657
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ceJnqxVc7CnRAvAiL4x5UFSEonROielsG4MVtWc3LttE43eKeDrHVa2uzbTA+bD9UYgj0VR/NSgM BTOluVb5HA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PtBmFAl5fJyFAWd2ma/0RKE+7s4eWNXktWCWmRLFUfv41t99qE1e/AS+YuGKxnSHfldiQeo/qEge yYOI+RvpbsBpZgU3AByILNXNh/i0adnOfG0mPkfm3arMemRjA7THa1R1Ue8QxTkhyS9y3ztjVKgd U5u+emIaHXqIFW8ObN8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VFwBS2vDAcZpC2poc7XnYDVa7ZcW/He4NCA0KDGTc4ppg+L7wfUT+gFnBcSeXm748c776YWXTKwG hMyavy8jcxdGWRlhB9vdrmOrs5a/S9iyebhM9+cBb3fQ3HEMsyLn4pIG/f8NXUPjWMA11xzM0+R1 GkcPY/Qw+TsMeIowFw58XgR2C7/Yvh6TvEy99E4YQwJf9CdPIuhlhOWguyTgpaLlbEKxmwCPLULI oToWlwE8P343wyI9DqfE/ztRNP59VHgwADgy4DZUMpbYVT2Ir9RDbTjbSt2xKsPHrhRtR3PWoyII qME2SZhBLCzLzHTGe2RBBFDc/uZIvlKo+UF0rQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZBG/IW1UspTBNUODKQFbrec+coljHlIM2wF+gEFC/Fiq+uFJlMa+i2FfCxtKaQXI6kTa6BPE9cF0 OpeGvm7GQtbKIiGKZdbiVvhfKsANpq5fB3aDlC50NJbuqSMDKk7BgvKBXA7gvNuZWipfNWHFI+XV R2fiKHmHUphU2x501qs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IS5Wdxf3+SyYlLHJkFTCJsN+D5bKHMretUKBF0nttXIRgYUd9TT0p7Z1qEAsaemU4AAfakvHNAJl BMQb693XyMemHjbfakzY+jrPZDCyA6th9Em3NeuoUqQzh0Y6zEszDOyp7t28Khp+xqTzWb9Azv5O xxKXb2x5QekrNPwgWnMCxs6x+gs6qMojeNKBLLGWhChoZgyC1zvEWuyqCTrqt7EmIjoZ5X6Np3Ie 2fyV5rjISVKwXHV61exL3mpY179twr8YuNEpNEOZjKIlybCxBOutZ6Y4s1Pkl+JufN7/UQIxe3Ec ztbX8BHG5CUj6BPC9EqpnqMfrXfbIHTAGel7+w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21696) `protect data_block s0AZ4amB/H35liXoodL7PD2rsOreTf+GSBopvigLglf8G22hMsOivPvx1C9i1gvT8NSs/TtOjnfA /JiPaYl2ieaWtMqlYIiZFWhQjR2FIwqNCA0oaBWbtHlhCLN4oX2KlyfjfrtkZUDo4no8i9urb0Aj m/vV3oJmA8VWLonttdbiSWqt3518acvbcVmr7g3GJFk6BTNmUk0QQpo31+kIeYDgs7mLbOv5CF+J ttyxsr8qUSaOyvjY+ZNLtADQRK4gvxfvbCfQfYSYo4Z/bJ4jhiUR4AElHBLT8/1VjX48gOMt6qt8 oznoBKguAbAJrQMDdLyTrT/lk9yJyvYtzw1rY6mvVBO/C5nZaocm1cSBgLFSpvK7vVVWnUKA9692 8acVzvTCmbOAwIMBAxJoXr7KWEyP+g/08OTslNMuZuzp3lOGatkLn2A2AYGPRbOSIUL+Pp7px49m E+ggE7Ns7DihBs2PyD6u5RSuU1kYgFWFUvp4Adp/2nw3FLhhO8N2wMQgF1htsITrawZ5Es3PSSVj 58nqDU0lg1/jyLW+5OrQTBD3VmjLtcHLaykaxKptnxy9nBpTng/XnlO1IF5YXk5Bc/awKkNvo2MM 2z7xQWmb38ryqvi2HTtq0znL0P3WcGUh9sQ2WCdgSVcA4YVjyfXR8GqcQA1Bu5gvOS52uuWxtnWK D8UNgASp1+R0LFOW9rFmtBH+ymikqNHNP4aIFqRD8b5rYMBqXmDz4ZFzE24CFCh+Y/j5QrhZm42F 6RRA/MOOSH+8qPDRIXhumTG7GU4kTtL53LoUn3RfSYGrbNTFbK8Z2EbH9VG1T2GSsqWU+braE3Sr APC5HKV1TB3kA0oImttiQ+izFnRvkEJkIWJwgranh6HvVbBEaozsNGW9UM4j6UKR/ZX24hG5vjq9 CRv1zwWeJJH6P6Pq7lo0lCUBOm+K8/1sfGZfvsh1FpWMrGUI4JUvNmS79DvuYAZWyqUI08gKTByk kJ4rM7TIa5BkhhbVqzyaAogF+O8Vs7L7eVmX2uBlIDTsl3aTFL8v/+8nLxEHgR65Ofdf+51dsFtH jwH5mq74naqkq9yyxjiX5avHTu9Nap+fUYf686eSRE5m+RblykfLbjz7lQ4REiCAXTs+sfo1LTEe tLnrPgrwnrQDn5rwnAAbzBDIiLb+CKcHbpsyNEjpEZM3C0kcoRUXxu8v5wnxHK4GGcGuj1h4QCp0 DEN9DDZiAK2AW+Erv/43/a0WC/ljfDJdKQOsElSzWz98p0PNVUZFD2PZAcow9F5ByJYZd6oVB9c9 ZSqoWCfVGcdiabg9CFX30H/TBa+UAlRDokFJEZazayOkEYcEXxcK2b7q3/k7UyTEcVOiLWvpDDm5 cUZSAMtQ9lCuS2GY1pC3xrN5lXcsTjzpZuJFfuL97TDsY60fPXK/sRMC+YRth6DVbUs534bRmI45 j3yiNlBoeBDRRU/o33FPOUmxoV5bPGZJ5akZaWCdoK101hH234xEEH/1JDUgpDEKAMxgYhFsWX1n BlDeNCg+24vVqfwNm1FW/zhUr43Uj66tsV2i/eLeMrZ2yXxY0185w4nkxB1J9UnPJEfoFWQXZ1N2 RPZuK9RexPiP3fkqNDrOlUqraoD96bk5BuncIesM4jgqS2eAGHiMQ75N9N13jE1cttWu0U5Ja/9M oZ/DNR0zAkWKjqlTp/CLONCYNDZ8jrKEfutt5xH+bWvQ+/kWurfp72wSVLuGwqwUj6d/Hh6Ab/4m RD6n/wQtFjow1EWzPSlkR1UO7zgNqxXN/RyZIL1WL1zhzlbOXgPXZ5jez9KAfHnPA131eOKHP3uF 6vkFgEeXH3/6VRshGPntEKt/VRA3V7Bq4UR/3fkvZTcPZwa8tV8KG53/gSDp4BCwNT4NOGmruDiq SX4B9LAhMzt0MjH4gku+NqFa+QSr9QW7FcHil3tSqSbFlEvqIB6Kb5IBj1S9GawtkSOyHXZo3j/h Q4l1wqK8Yxo9jb/ZuUKXxCSmkWJDidm5ANujQCntfD6nFeUPM9I1Z84LKueFLpyvOoDRP77m4gsc B2mIVyNWDIeNmHmDSSc2x989HSQNclqrc/EXytA/5M3eHw+1YHsw4xjwkMoauNauE8ntJ5mRkLmR O2Vvl6VoRVmsKNfNDLniO8TS5FxVRD6griaVkc+AphjyHMUh8OqvVH19EBqRbNnSiWQtfVSaVNzJ c1p/XEnR8Woy0RlohK02JLVPaMoYBxGh6OLibLAATOq265qiIya6tQOar0eG324Ks7T+dPnCu2zn wDthQeu17c/vYSdTIGjGa3BfWmwI3QCaNMuOfyQ2Crx4ZpVej4h0R6iIId3xx340hpRQ8J4za8t1 jKCtrL85TGFxkKCadgdk5QgQTT6iOS+DI722t6vRLbKHu/tc3c/1qdKYoVoVF2R028cXwt9KtmVr LJiIW/MLBh2xazMIUIQxgmwoHoCsx+pA4PNxEC4n+qChlHEgdqB2sKrdH20+nSJAvF+Xl/VeIs2H ZFDJdLBngo6yEj73D9/00GXfwtqXzHETIB1+WG5BiaEZ1kvbXQhsRDPVsC6cuwb2xsyQPHDqc8dJ IgFv6+2RPSK9fsK19jquzWA8XHcSg5eVGWzt4YWL9fSbjgy5vLtW0UModQkKMdTl/EjcQ+SyJQH1 V+bHTYyGvUqzc3GH/9GwVfQT/Fhm8ej1ALta/aqP1ezB6K+TrOvH+uUFQ6RneQB1aancjVAkrY1x AAc/3RK3+0EZHLS7g7DU9tRZvu/mnESSbpy/YRDZUD2Y2Qf66L0GCdxIVO165HERmLWquXnghH79 uWiaJkIYjgOoetQt8D9uL2t03ZnPrzsSTeEtpkkZVi+Q5pMb/RFcJWgZrA8RLkPGCDq6uX/VE75B +uMi/LXMr8Kpn69k0eayIUPa8Y06n8B6wVvqLR4p1l0utWWgtqOXtK9jnS/EJdx0yz07u/Q+jzMX 3G79SHoAo49bncoet3xq/lUmxroqZ7JifsL61x49NHfu0d5bKMswEzQLexFA16XgP5doJ30FHrta hqOGSvez04zbyr20kGd/bh1f+d8OIjYWMPJ5W0rT7kCaS8qT3ldKTI4xTjW+7WiUjRbt+Jd+dVM+ aRgSRq9RR5Gutv7i6OrQ7RkuWuQpW757duVwxve9YdPa1fEuGIzKc8M61L4megFo/yu4xBjA84so /z7fbYyhikTV5J7FaM+Ag5hUPyTubzNGjQKPokAwiHP1xPGTKHm11TnECCmRM6CFUecE15zt2e6/ LcjIQNowBZrkSm5Wlh7LAZ8130ynnJcZYqUl9iurMgFO+jt/iY1DHW6BcLPruKiiMjaNbn3zn44/ EKq1IpgpaDKGQiWVZsPZRl0o0kbFeki5ZPRf4F4SkHHm60AQeluO1fjAHkXnHJsnlvFOpdUO5XI/ YASdB3HoeGAdNtFoGHfgjHhx1rVi3ZxdSrWGKe+9GT06EyV2v7E+gLKD+1ZiHwoBWepXcj3QIS/P /50XtTEveBdNJNPGbo4fSOWxQD3vvb7tiSqvXC6K4/yrfW+Buc/PBnZJ6ZXcCh40EUF83Q8HqDvh 9f/kP1DRwbwpUBAYOafGui9TVPzC6zD1ci8bYLKRCeElQGROrBk7PAtBzJmdgZWxM58GA7v8eYB0 9YCnbPVDZjR4+e0kKJHbuSiiNywrGPA2PO2w6b1NsiY6+/0eukPx5nL03HhLSKwXGTzimieiC0AK 9YFt8/bgme5Hs2aMmkuLyIBu7qLCh91f5uw7qsSPM5rt5IWqi+Kore/lDxI3zpljVPiG2Hji21ED WbKWTRdOMJtOsrCN76rgL5QkY+BVgzzNimnMIavgT4vQ1HdhqVK/DtKD8mAV0ud8L8uJW3o93BHx BH1jQelSHidNw9urVT4NtclCOlOTvqOUeSgX/9FKv1W/bM9OGA5FwyWzy2jPAvI1jyK2XAeTCd0b nl22owWho/Deqz8X0sPQ+l3S2IzKN1Zsui8bpAyv8MNJjNGi3RD8WvrdjEgjWgYThiDmyLXgW5yr IBG8qSxe6YBCtvWJYqMaT9C8nIR1PPgarOTCLN2igiEixvv0K15smSrrscRl9VPH3VwxuhD8zJus RKmwEFn7W8KynWBY7ivJ6zA0eNH8jOfd9wLEOPd2cDXvItyHpgKkaOZlV6oOW5OvJLv9xIS93oqa HFaZmIQU1CP4BmAS7VuHuuBcYrEee3RgqoHPkl05rerBRjhKI7ryh34NagX94hDitQteDX97TsEB fCi+ZsFTZOH7UiYJ2JGloEPUmrNhcStD8JUEDM0H7+53PFo3l8+U29KYb8+h6EU+1Mhn0+rHISu6 ajlwaS76C6OyuUEbLkaRaX/MqmYFUc43WyLwUSPGjlytK4QldWgXHYTvLCPRLR1MZxiNPaBaLqkC B/6Ordnn4BgNmRBKdcOZCWm7LXfqgNm5NulOuK4qbuTpudzy7V49pj7w8322VwjaiPrMAu2d/97W VPQPofpZXgC5WpZOE2AMLhkvwzwMz+LFRCvh6VKIo3HSIgca3FbDTla/AzjkwQsaPtnATsADcOIy e8i2tYyTMU7S8TOBtWfyPlmMoY/8u11pQnRY4OsGWnQYQAIeQDbeMuuqPLvfG7gHQqskSn2C7GXM MLkZzhAl6UC7SvdqqVpHg/ClQy1jE+1mxWPdFruILuSlG6OFhXVpQa+QEmdKLOFR92JgeLmbDwAl 8D3PVBPljB8qlIAUHZp4QCNZbidLTRQX6Q8k/3xaAjf+LrqE/VjFoIJI3+/nKHAQ+cGZk1xDxOP4 sCTXGPCVAxDw9oRbs9OzzpNt+/gSbDoz0Vj1sW4Dda7WdswZz+6Hj3t9GJ4xZzLgkq8gwV+SxeSt atquYZGnJhMHzxXm39/jGvaZEX0yaWLEFSTr4bG5XgXrnM+MZBo/mNz0z3ehy6LcyWkHxxTEqiNS jtBq4sWiXoRJdyg334AtDNTdfBNAIDdu6x77u0PkX64lJIR2YtK197wmzSzr1q13d1uajYQ6Jwyg thi1YtbNhu65BUNVbCaZSfC8Q06zFkQN5T5GWb0hWZX9Rb3xzd9zCSfEgWMbc1rhhtc2nYTL47wd CIQRqaKQj/wa/fI4trXfOP1RS7fn5cAV8piUTgCMzR3ud/Jz2aNrU2BTJ/uK0ZgzvJ9kXKfm6svb 71vr67P0PostSS06Fe7Gqg59G/3OSu4BNGQhCX5VkfIQlQsfCSawdlOTH3ka21jvsdp68kyv1cPa ejKTl8icasG4QQPgAkarFE/PVsd+ES3Hi564uUkQGp22YE1BX4glh6cKlG1Yi5V5lLYtGnt7fDTZ B/d2wz6bkH7pTcwM0/1I6wLqbeBJ0DpFO81z64bGIugoQeSr/HwbSZVlKDw4MVkiE5zBI+BjxL8G GEWKQxDYRYUAUGeuCO40i7jqyg+M2mheqj9eXW/MdSm3/FSk22y/Ig5WNSLL4+l2bpK2Vt56cRly awlW4Yn2JaQjCcc3y/9PV8BMFRM/v8+Y16bqN+BpqtnJrnSWeJIaU6ExnNleHRjGPhSRn1C7cPwT o7XwQJ0gf9FugP8e7xRd2ecJCxi2gm7zXdCOqYdeB13Iu3nwDmx7XlTsi1t6Ktag4NUlGuPbqdKG zYNewmNVL5IeZZcdF0EUokYED1HCshe8F/Op5Bum2uQclqZ+lrXkCnRyYb76Rkf6t+vaT6f2F8yu 21Sxqj/GGuVaAlrNrRt75NjLUjTqiLKmx3xtb5TmpXbsRBnZzwHbQ9xiMxX4GOlqJCDY8tsls9ip BjnAlrfWRtYRMfXBqNekMwx8j6l86eQm/WMZZP02Zn8tlm4kt6ei0ijPFw5Nxfg+cTJLwEOIDbAO +b/Uw82qr2adMtdv+oOTBtDyMlo5ukoJpJmSmmCPtqEqXKNj+7cjLLJPPrzyLs/2Iqzbi7NBuVXQ C60PGc0d908lp1Xnc4IO5ntHcdV5/S7SC9gPucBpaVImfZiHQy3KfbwIqtK+rpecHAhGzDAJ4nyi T9Du0DbraIg9fgNSE6MzOPUepiwD9bCtq9Wp+I6Pu8sIDI0f0Rx7c+sr/VHeh/6i8BPRkeGs7DXA HwojujYErFdVbkfLFW/V/Yixc7/Uac6tP/7gMSj0cDtVNG/0B0lKlOms2l74gxiuaJhDjWN9q7EH PFuxXra++RipF/djmYV38i3v7XhAmgh+iy5i9iDHz+3Hrsr7J+CuVpUS26+rrFXeuLZqYOxAkNz3 A2IX/Dl7u0ijs0PeahtTllUCqDOHaIZS0JEo3D9j69lKZRr9VDtR2QfeclF23Sj/p1DsK05R4cH0 DWj+FhKCyuWUG2w6HLXDKgEZPgRb5wqxkoqnHSNbi9DpIs0M/KPcFPx5P4ifY5uqerbC086vrPWH wqUmcuHk+7SP5wgLS9shNXX9/TZRpCaSJTK6nLk6ghBxnsA9uT3NUU2bdcOG2KIZdit2/CTxv/we XMcd6E5RsrUm8/kl1wpTw9+U+HhGwBShuuZRcF7Qdq8wTfhVp/gk2p+eh5tVCIlfl3f1/+zTuSR1 Zh0SN9ysESOCx4hdfGYssoXZP2HFL6z1qg0f3QWoWZzJMhnVzQxWDuB/ihRo4CD3NuGBIr+GVt+6 8ZwMMjt9dnwxINp+FFwPvGEAK6eUNOd6oIAsGfdmsiMRLzvo/CcbZoHWEdO1o//1R2Shg34upjXL cAArYC03KLnWz768f7ifO73D1QC+bxgI1Z7G32LITBVHu3LcQlUri3IdaW4mbK0RByWwNeKQyALy zHKmvaI3QDAhHBzxX3nxysHBYrllUJftfYY7jJmp8A4JBUTc5IYhQgritwNdt6TT9z21v5NFXo1M uvNlTGGStsRNem5t5JpSRYsdDm8UilClOVgO6iG9iOFqI/YYs4s1ViNO7950fdF98oCRecDccHYr hMCmaKo+87bdkRRCQAGdlgXSafy5Ps/xOQ3Q+jRbkYUMXbFuuDxNwzCgHiq9rm4QNsASGhpgYbc5 RmzhIL/Ay7lJbbumNdOJudtavLSfHw+F3RgJlZ7qBlHyC7JJHO7Jqe0iB3BWYRPyflLFj8p9hh6I WVBFWTsn9uekXVUDahbLKo4l0RgI7y0D78tQH0yDjRXXgF8/Ntf/0WLyVJyxkLSyrFif0IzJyYHP RpVa0uRuuDOtI2u4lqeq8uIYdjfObA/D8v3YbNTgveJiMW37Z+RHhi4Y7Y6rxnkNHuAoIQpqANrg zIvSTvnY4fll0/bYHnFc8f47wm0736AVPG+DprfWoOutLZgfvcxN7X/Sy8bnbcN+jPNCv+aUsmHI /oofv6jKGxG5G8G0ltB07+bfyVEwoISh8BsDNH2VGRxpqgzXZ93Oyu1NSdV8s0cfolMCP+NKEweF EjQg8WJjPMdk9aasJAGmSIBvVe0/tVAcQRB7tQ5mD2TEiG5TD2tLIvIyY7XqTbIL5I7aOIgvnfB9 achIc2uAnGb1nmTGs/QgLdlpi+0XaSv6bNhXW5qd2eDbG+K2lDju/E3BFa8j5eDjlQZuSkN7DNuZ KDeD9oM1HVheuwihnjIsLgGIQWuF/U6AgtgbKZPriXKp1wf7UiFaY9hptb81ADw9K2yOaI8eXfDH liOZ1cTBYiw1gNZjONlHV1LNIhDCLviVFnDGhRC/N2pFe3jmB0KovjnPmfSaRF0zv/bsqjpsiGsF Oo3lx3S6TZVuRtV3wAeRjcZWGNEU6jLtisPPA+f+s/tlAQ7u5n7lNWCyW9wH+QQW0OqchOKhue4m Dn/wQ23HRk1VIibl4dbjeDJlv1FxKSWhx1lc0Usw6dsaYSW477jKddn6wgiq1dVyAoNbJlJuLZ9M MApVPTb4jrj2r/oeGTZp3dZOpdTYZW0yBg/Uz00btEx5/3cMcmG4Ad4PjkABJGgSwNQbiepEWjyX lVWfgRQceZ3oe0qveVTu3HeUpHeN0Yj4In1R0p43TuIOba9xr1ODQ8hR+fb4iCH+heH6ALg/gSwQ jlPMeCTivToPaR5SR6hZYE43HSxcWa72MCGkulj/KbVsu3ICiCGMaSOX599LA7sDfvfRNpkWALYG f6DbUVvpeoSYvv5KHcgn2wX0R4FpYgMbQZYy1xMTth4bMbAh21fdndmV+aqyRQr7gT/DBGMY2EYm YcOPpYNsodQzOu2NnNo2sRRMgTdlJjQXVqQH3UTCMmVn5Td2tt5SdSgt8Kfb/18YBkmIMV2dt5kl j1e0eT8NQLpiWIO13Suwwt5cDYNtJnxcxrAH+YdKe98ZpGevjxIcPivaT+dL4KkqjaDVn3FrjTqd WPHT3rECEXoVsOEF8duJGHfqOtf+dJV3uw5iQneIdO+v8i1jcRSU8xDs3wBdfGCb7fTJozh507xB SWx3uwdLt6f0uyLwIzPgEX7GWQ2FsB1jARfOu0mDDkMI/9qNFxReStNK4MXLOuJSLeXu9jHScBxM uPahxbhKCs/G+5tB87nI7FM6PlC47diqdVB5UqhhE14dgyAj82lA0bAvWS40zFZFFP13WKMu7YI3 fbt3WvzsI4yfT9W7Mp1EwrB/xcg9XSBRgdgjI5N+PI97jT808NIZSzDBsF2itbDmvS1fUvp0cnC7 Dm7+Uu9quZSjKWrvroSScVRGAaeO9do13b348olcDVZ5gsIey1ke02shMg2g3865GrrI07Qd62fB Lm6bbL4GSo+wWgNkDDYBAEms5JhXG1eg5e0WO0ptYYhrSFVPkA7a6ithSrOQxlMb8SFzj3dlxUz7 DCxt16J8j6bCTH5osUkDGPAcSBaVwwTLyIkl/Y5YoF9xqvXincXdOswx+EL5+M1mxgbhVXtltICg ZtCgT/WS7v5VcQuzpIrQy7R6eHRFqqS+roz0XkrH8aR6Y99272DnTTipurtaXRaSizvJLY73jzA4 /6Z5OWc7lDeb/4aDpj1qsUejDm17k3PglmBPJCESwrvfq3UafFRb0PU/n2rqOPd9Zk+2izHrPaVH TXtLGhD258qjgWebJ9NbFX7Wp5IeBiT0Cv+ll1qJq4qgw7yIIo01CBLFDHTOaPPFWb7s5cF7O2M9 pBAMCQfDoYg/4daGlDzbXDNbBpqnokQHMLpSclHMHNj+EwbGcM6rNC9SOC5dLQeOIubCOtas4chR 14lrJOUT2pzm0tssuokF61UYhDeN94zy21pMd4sRUEAcCMMdQ9LbClKc7cy4jyrnbFEBHUaNWkRC qamqQcPyp6FNXZgc6GB+6DorEEPFZMximNFwTJfbzNyfJzygLti4tS+++MxMqZAZ8RQ+0htGTO5n ixSfpI68NDrCk9m5asSC08oBOx9Ckbixj8UIA4ANvaRrKjiIuWpzvLdGq1mRRPiKFzwTJdhjCCUC Zz5+Dvt3Yo8RgPF7fYMEYoSVbPZ64lyINzXWRx6PPENyeew+uNK0F8TU/WYKSpeKLdhj9AFENdfN aWZcBW0SkXo0G1SD5WZ/PSoPN4jhPES+I4xrA9M8nn6VeaXxXqeaCKwwNHeTdRzfvcBRx7+oD8Hu zJuO97HXi2sqsLYIOkj7dpORR6+W1OKU/cuIcpLE+GFATqOIii1j9HXiUwQ8fRGBDREigodnwjH9 VR9i4BMJl5sZTLfSIh34yJ56Gp/MT+9tnwkxAamWr+0Esho7xznkCQfgPQeBXmDfGGYFCoaA/3ve MjuvO7OOluT6B4aNWHe5dQNAp8VsiwNl7U9+9/J6fO0sqxZlUX/O998VXdHaqT6e1/jpvlIS5e7x b4/3TxgpDb5NXUP9oGnQcSRCQT/25ztZYp4nMQyjNpmTk8Fy5TW0sdLPjvwdvDe+FgxXdD4B31l3 UM16s8NUiKPZqFUrNtQvBfNj9AheBOl+l1gc/nFJ1PP3R9Utqmw6IatXRQfBJEXc8cYFJCU68Fda RJ0hCWaA2cZGbnygZL+ykQqRVSghIN/6aySsGr7273rN9DO6yC+D3KDz0SPlZqYzxGKRUNOOGvqk W2ui1QdOeQ7vgB5UwO1tTo03tOt2ubAA3NMakptq75cGoPQz06Szg6c/eO3tfRrtQv/dSMPAw3Po nVsDZgdNaG2b9middZ4xM6tjCeLacU3qcmB/TkpnNi6pbqMmsC6h2KEvIGOUjR85xOUB6EFWX45Q gnE7kusMbwJgPLFVGzMfkhOgnFunSqYBIjyK06fnqgQiHxmvvK1hS/z5GAYaxn+wEdbVtlBTmNg1 zOl/2pKrgQGZtAKCmM+9TDr6iXKtKTvrj9GZBUAfxlZ3+DvqRRJG783AmzyJUGGKsD5MwR0mg2+U WTpXXB70Q0ucxmag5715JeBAZcfQg9sdeCHyTsavgLG4jLFOgzARBP9d9/OV4rJkRLlAL6L9OQzB j6BnDc9OZ8Jefh2aZmPRv89J996DnB4c7W+5+RVk9EASaoVFZX45KL2mpn+3rP0PqvIr33rYnAhA UIfP5CZ7lfJ7/iOR83ce4U4nRWlIkLI+LyF4wEx91rKm3/OlyFzy3yFl9H9knljr6unoabdY5yLZ 4M/B0+ogO/3IJh9TdEGw6avcKrdLtJkRrJTSXANieMJ7ni0UWjKBdsrGlMwsSSfYigHzJ8r6mzCO IhQNUiet4mLegTt3veMwFQwJBPEHCHZuQD95EaPFfrvFB//aSK8bdinPxzIRBZEaPclUAkYtAfsc LeF6/M8J40nm2F8ztANDdfZbhHDJf1mRHQWgYQpgcR1DbpPhOrYCMabj2R+o90/vzisSAeBrqxAj MlHGqQI0ebEGbKrlzjIT3YsisHl5Ya6y/YskMBrPvDid+VGDp9w0hSRas2Y54Z1SVByCM++KySYU DAC6XySv3dW8bJBZKUzTDjRRcivuFUmwC2Vq1dev5isqwIUSJCQtjYxTUuU0eIptKE2tqiMCocVW uuwQf59ys83YlVorTyHdN927dcZqZUqHRbMOmixue5XJ5gSwzd0RdUDFXAzzw5i7DU1ebKSQeZHO WZu4BknhguURxtpaj0Xuq4v9dlH7kL3qM2EZYmFUNLnCpNNKy74RjUytZQRtCuTRtBHbEVX5ZTri BP7KQdoaw2sqcOkfvhRCntLEHjH4ZF+u2V0NGSf6yJGgxoDswE4g2Db1Bs0/AZHXCayqS6u83t61 JyMn1Sxj/gg11JvM1pkR1ASPT3bR7e48FsiIE3s7ljQ97RA1ZO/25zSrkYRP34iIczPhyCMVm9CD +8oZfFfS4FSvwuF5wBv7tAEV6d1w6s1pklnqtbX10r4l+9soTaU354NxRlyZhDQca+JwM90/GyoN +sARkmVr/9uQFr/sojhmYN8JicOhHANgoBHWXmohBxsovTmeSXJSANYD7FEHFwUyH6UWr6v1fTKB ZEunu/6xTanEJFTFdeFo5SnyPZj/yVCh8t87HzdDo45qCvMAGsYTTFn3qbtpcDHh/ZlgwUFbTEjp SV3/NmvcU56vtQ8ngCNvbZFYtYR2bDOGGSgJ+Q0VVSx7a0tEC04deTUPhKRrwxsUbC0hUBIQybGd YetrewdiOkJDnfSg4BTK9a/AO54niDV60erJVr3thZ5TVT0Fdf46OWhNXRCK4XGIKXwD/rYtWyvs sgZpKi1kLQNdnbEx2cQ53nwHALO/N1pTNEGHxQd8OiAlNifzch5d6F7Cvvil+Nw3d/UE5c+xus6K LxnBxvI8zYGBrXpx4V0mJPUoj42dFHr9WMkm5ZikPDSBWzaGd1heyPSU5MIGuHGsop3UDw3iT4fJ YvWG9PV+RO/VfEzHeTP9N6G9Q875VxuQdM/OI5kNHWbudOHBBYucv9YE/xzCzNJ7vLwi/8X/XruF y94PypXtyUM+6aP9K2V0oECdvRsX/ft+CNSkOl5P7ApvwKOKAJ7uKnHLcMpMUFmI0yr611F08Nq6 ptnc6FajIMSbW7krGPd9oB2AkYFpzMi4pWoxbtgaMH990yqUsjsVqGnNDdkMuumF9Plptq4f922M gt0IRNNlZqR8xp6UKxsEoO2Eb19QgsldupE4AxXFH0sAs3uBSs07KASfZyFTBHu6IKI+EM3KDr25 8EtyAJUE5VDFF9FEPQT3+/do5ygbX+WX9woqiftBZxkFR55qtR0zhZNUm04iYR0GPKDZTczQhOLO s3g3FWGKwe2ln3GjzOFrRmjZfVIHzPfkA15Rn2cbNASfRkgSu+QJ+4Yo1Jkxcuqt8C2a2RRvYWz7 8tDHbqFuqxUkoC2vW/MILxr1wnf/o977fR7yRkEL+Gn98hjGusyIPAkYoPmX0bsnVtVD/WCeqCy/ Rhqcrz9hi35HkKcKeU4RrcpnR8w5TwOcKqbY7yS1fvJtXzqZzhLFbuhIYXLyDOfUR235wuxuDMkF RhAJWX5vujg+R5TbbaSrebSa0x3kn+oIYWIflHmDE8GExHuqvhen9wLvS1FMFFlnapRNmQAQx8y3 7jREirLVdUUTbYL+iIw2H3gd+OI/TheKmicSUL7Yrzf0TeZIlF3ycP/oYI4oWaSDIE5Wlv+U3aW1 PTrWXvUxCzmpAPnJSrOJNcD6yI2OWg0EH8yXR/VJfxg9mSX6AO7NuyPTGeFlex9XHjgu8b7rx4cm NODgoHYn1Nrlz3zrPDWzpyHngV9hoBwmWrlIA52XkG7ll/n5WLuTz4HnMawDQy9HwUF2z8P2zjIe HNCIWYI1PXh/W0ElWcxV3y8rntDAuDVByS1R+2nTo53RwbLP45r3VS97xPFyGA6249ZoSG1W5zST fT27uIKfkHOtBVvOANv0rTTKwZqIu5T1yOCgXWY4yRSxRmJHRKL8JSgyyDoNUwcQcbyF9qdwgIuM XNqXFq2mDUQ73QqLkK1bY9Kjea7vlMY0IjfKm94eYC8t631Eja37qG67zbThXM9QR3/cULWwbSo6 dOeQvUPoB+PGoRz0bWBPp23Tc9z3M4ZP/AH7iFVkL97/cP6jTLNSSGvkyDyFHcowm+D9VJEywxZi 6IuTMj4j4pN/OYFe9prZ4nxi5vE1O048hWUwylw+OhL+LkVRl9TWQOMv/2OEfFJsk50z5V/FYWZA hRQIMj8hFHs4L5+047f/OzeUlunXoZCaK+hDjafVuLls1B124xYTG/zrggjxshinohbrM7uhv/7q 9UQnCfBSaiMZgMA0z6KVzfGWlJ71w1crf5GmPq3Y0gopvRiiGKH7VtF9v0dbVswe6F2c51KFpiPZ 3lHtRWcyG8ZfmR9QcTt0cqwzxEJkWIItnkWC7iM1z70DU6Wr3csW76FjXbkoU2hsvtRjEIZsDOWQ JRgnHvBD1snhmQ7ZGJqpBUgABcYzzl+0yzH+p1T1W26cDiEzwwlmrXLlmMmJ3NTpyZjrESsMtgQ2 uBZ51uMchiszkEGm46fqcncaA8+3HY5saUuhWB6HHlJabj69NtedUPDPSZH10351rhNjJIaXtfPH GW9FAcntPPDhRB2h2r4EoZmfpCbVi9I9xfX6C2ScGUO/RhQRRShcSLfPQK7Vb5WI3yloB6L+RCjY GtJC85rAG/mLwR85BRs52CTjdgoLU+uI5hn5sWRh3dT/1oH3SbAMRd0mwp/QGHYNB/tqxslrINMd dzbkcQrVlsB2H/j0h6XJVSue7ekRci1NyqjZsXcbIFm8ib/bO+P7cERkDBAq2Z2zvq8s4I0Y8ZCA pwlhL7RpwSYiw03Z6OoTI4qTq3XAevAt6LWw+zZZURRUJC80l1yKxPqMg7sWaWvPV7cTGl9Rqfdn vJaApsRLblEhYyRXN/PmekjheQfkqp+j0NwVdvPtMR6tGn7TF3OPwLreBFyagqTqv9SUrHcazGWi If4TsdI/2XdB3NypsB1Mr+ldOXcElpkZjM12XrAaP46brvTtnLp9a/sjtd2EtPu9AntKdEJ4UPeN hlPdaoPqjCgUllOlR1MUu6/JNCgV/pPbWJ4qwUElcZkyll+VOz6tfd5m0njp+z9GZANIk0t0l/8p EIHeUZnhWOxmGiwuUJspb28qZLONyZAqT8Mfxa6jmluzClsM3XKCuvxQakUumfxJ66WEuUF7bxEq 5Um2sNoj3cjPMEFIQomd4KgNBz1kQBx6UdHejgtHtfi4qXLQhiuI5ac3RgL3ubDmmbEuEb8nbfGu Y1T6etd22VSVoGydUIM4EfRm38qB9mQLzKW6FIwXTiVyISdpfOoRKbsxy/IcJvFliMB0q1il2Y01 TCvksQDDHnGt3ZgmKChrAqFw4jEjwRREiJUvYuQcMSkdPMEVf0yft1KNdFezW9xAfR79DOXF/1ww DHIsHjBcfCVUexPE/qTgl47tR1I81S8TBJAh7owGNTHwNxuG/lIE8tXrlzi5dYHm5JKjL8ZkpfDx TXMUBRpfgrcby3LPGJl3sD7zsi5RhY/HhS48ZS+Bl7PVLHgZqD8cNyNdmyObTKRS4YGbYQH7c6lu i4hNq8L5jeU4zV9Fu+7yjWoTabvLCIAKUxxDrrPNWL5tNgPKlR840HRWavj6O1R4E8Ti4+W4i575 vxg9/2dRpz1ry90k7ONbpPRAGlxAWfQQWAFHcsxXQJgmfqm5GSgpDxIEQ6o5iU7QZt4HxCiCEX5m pQmvUJOaRt7IZlxktoWUQ4jzMkgtMXm0cxww0oE/afB4FbBOrHdpUDY7bPS2BBj/hH4lWTr1zsb3 hWWGg8L6aeUyx2I718rK0FQf1EexS6yPDm9qwgKMK+fuNmp1VXJiRukiRejWM/9ZeWi1DelbBNGH 8xVjmZsdVv3GTaG9jChBWw+pN//sUYnZlNg3uq+FUUnj4DkBDQi4qTeV0bXJBXGuJBo0WbNhm0lG Tbd8QHZGoC/Cvg2PVJ/UzDyAYQqn+2SJfk76zpkZloMK3CHvXH0djyLFgx+vTT78Drh8TOyGo30P Qrf+qoQTAueCGtfcGEyNH2s4Bg9vPpz0kFsUqa2v7uGz4sUlPkg3Ymo4tYatRm1CoWfteCt/h6bA IIpOuZsfFniIvOQhtM1Gglyt8wnQXhJQxBPl21xa4FBo+tmZenyRyL1Lr4M7t7MWVoKgya/4Kj3S BV7S9y1VvhZoWKIBghip+1LmBQn4xaWNhYky9QBaXC5vVEiQCyizqXBO52VwAgNyxsgl2FRXohYl k05eBiwkW1Yi7yJzimLuRMkzZktMiolfCm2nkGsGtbT2hBFLQPSVJrt79TVHLpuVWGReTPC+ppOW wusWNa3sddm1eeRz4OVSRa0Kwpa10QAZIh0f8+4+UQXssWlGY9o78NotoER2gTVvMyUd8YZJL5N/ TiOa5x5UIugocqbg7/3eYchTZvfgQ1XVm7IIQxMjNhATtPeTx0l2AsfS99IweVNkYOZ3vfBT+w1w k1vC+VIHxUJF4gcQh1HjGg3JSdkYDVzf0kawgBK+xwqZAWOTKz86sOktGJpCYpSlA/xhGb6ze59Y KjQE/e/I28YdcsLv3GK5NDXGCoDxAx2ivxNCGQZSJuNDXol8Bus+LME0F08HB1+szoX7joBUWnJ3 B8YjhDlvWrcqRnTn1MR+SHFzHHEHpdbSaEtexzHK/08YH60vJMIPSx7MGAdVE6W/8DwSl/kGFfip D3Gjsa+O/1XWvButguQZHkUUlaMUwz9oXVkk7+CCN7sepMdaTAIcdVnCWVnD7Xv7BnGMyu7H+Nd9 iSaLbor2EA43Kxy+4N0tpPiIRv0XJSBWPIzMGO17pAGt+8n01RgxGZLGGg9IkNm/Plit1lAPcp1o MRrbYOIfZG0cq1l03+Hnea8WpSzPs5zNfMB6bY31V5EEK0zvN/IPqn9ckyNIEsL8pI5260nsC8Yy TPWS9POyicN4zTxb+88V6noGz6NcQFa2EoEz/XSpvuF9CDs4vQUtLAKey1KEEJTEvaWZCxf0j+5J S5j+T5DV+b2ojbcs/j0/7oFS5J06SUu4+BLSAdER/WQuJsFL9/3EZhs7uvkvGLjjVhkxLc9Z9teD hiIgFRCEIFi3t1fxFH1+EpQ3XdkbP0Rt8ixGIm27+lUJ0jHgCp/o/UmmlTY6g3DPU69gIBZ95RpC psPzupri7TD00jqd//1L77ZtDAvRWa0sOZS/fZDDhqzTLNceOjaHT4mc/K8OrcBPVnXi7tI3+8CN 6hPwFMm9PMKOKMHtjDpiSNw6yqBOad8ucOwac87ZgzLWDdd2ErciThWNeSJZPA+PXqOoa3swc2i/ 5S9lzOnTizpxF4q7IhxhCNYLAiBAZGkB+jVZ6qagi06fxYoO4LhS5AlP5ElOvl0L69GAvla4Sgas TPUfyJ+IrrEp7S9KfaFovm4KAyJh+VpzX8HftIjeq3q2YERAlbrrPzZiiSS1KEahRvDquhIUMk95 /lBaL36oew62tqFDCLFrZX84nYKki2C3BqFiF31VZgMCEhKjJXNy6CGFXvkdGEmDDzVDzSBspRl/ OnG5/2dJ2gmt1FLDA2/RoWDrCzDide3hcVKtEGOokI4sA/vbXygFkbElXhifnxXtrtC2rdHHb/Kw nVf0Yrh0fZ5i3BGR0Pm9BSL4VoTHOdMGt2EsIW02w+Yj0CyjrL//0FVpgHPujaYE0QHNIhVs6fVa gNrndkSGzrlk7/ClWiNiUSLVGsMaL/um0qg++CPGxxRvkrFl5C3J4Xs03NnC45eheJT3X7bQLN9w V/HWkwlyMJoXT/prn9v4ONIQhAvoOHl4Poz9LFNR433eOJjiseHYqtoEEI6XO0LjieY47u15wDkh 0LZNemQkC7uTytx/+B0aU4lxB79WPh3jy8psD0OFSZRoiTT9k0cbbvOYBKecUWLJkn9g9s63AGP6 dlRycQkxzElumst9ssqGoYAwNajDyIzlxro0+fXUBTq9NuByq2PvQn66vIgSHZaq2TofaWUz/euW DgfdESgpj0ALKKucYc6dqAsgl3n/zpafMp+XEbsK7ajB1eA8ZGeY7ULAmp2RzGIohTIDe0P/8kYO 6skIkIRcRn5F0UKlFaA58SUr7xEsIGp0AT22NUhFbQkS0H+aYo3l41ksqKJ9hlxoe9SI7f929/rr hzoy2dbUhcnRnGGwyutSe/JXIXCQrcWMoc7lZZ1TTq6z6YjGdwo177y9JmUZ6h/QR1Ttmi4nMp3v 5MfqC32PlrN4kjzwCB1iQnLdnivqGQMa/euDZfp02t6Xi3G0QuSpHxE4Y9Le6bXe6G8pXoHJ/PQf ADLSz4BnmtVR4wMBJBm01j4vzTzik2andIqG3Q75QM8KdJ5u8+mzmUp4gLWG1f1VdA+Ffo7laBZk pJy1QI/RXLggfs9S1eHgR0hAcmgZFeEqjUobWRWuu+utCMYL/zeWknXpLfqbnJVmKM22tVr/ZUTr LuDX23zsupvtwswbOezwwh+rl6Mbo020JYDJUrE7I6GKwCopWykCSbhBMaJKZlOK4ZiJDjWV7/PD CcGseJuZD10RSJLZ6/voeqmFKFj//Os2Hv1nYu3rFA/QICVBBTD0PQm8XPVYETH6xwMxCkWT6cdl 0mpL/FQfjWZX9yPM4zXal/YpF3VQsEhY647sVtjo6iu7fT2ZS3UcKDgFGWmmBDauTQ2KHfG52iEk Y4Sh4m/laiXMSPAIA5caIAPmDRywdP9wAisqbIUAwu6lOQtSizKdp42r5GSrpY2LNGG7RDPJ1xI5 o8Q3zCwkmhYMnaD68Qda04Mdz7/X4/0QKx2+v4U7vfelGA6IKdF/EErHDp2o0JAFviVJlOJ/6CyI qsWiGRx9vLFxPczsvFsEoJSvxtMHnxNTa44sk6eP5kj0LHTp4U08fLyUENVNZptVTcbaMemjjUW8 0Pk9f1IYTFnudNNWVpiLPIzWdrRt/2UXZQ+zrmlNvAGOFbPongNzjtDc6jtuGpdBH0SJ9K4L3WF7 kU2xuDN5tQcV2hrdkH1czo+96PRHs7lOuR7W9TxIlp+MvVcguigvmPGamPGmqH4A2svzpFp4GKww bZcpYxEQUvaBRidx2Ahq8jaN/LTovcTEi29DvYszqb4cjDQOZZ7c3Cp9vRH4Gv/RAShl1nFrMhsa FhSqi84AYXGjJkVT8JuHy3jCZiIfSjmr/QCNdm6PWDIwjrk2j7S7aGemjhd8RiTE179/NlMRUt8t 7nxGUMOU1joUSCzrXO4+vPJQY7wf0Ga+kIQvPRbsWkOX1xRfLFGbblCAFjV2A9oWOVw87Al/bjV5 XUbKS/sQlIDfeHUvWb224+Qw/l3zE9AyCkwuDWDJSNcnovWLRtm6N/MuPqguokT5mzALkftLlHyG hsRNotiIZwTF2GyTUfzGMSQRiekhO5oon6KF6MwJW6/9NPkx7g3Q3O4XmgiQmE98+i1hI5YT5UwN ffJfcLbjTzifyJPRR7CEtBoyoSPsf5dAjjCmpFct4Yj8X8YsERy3cnvhoxvjilLqKSbdPPH7Oiv1 MImDCLHFTowV8TXfbDQJMJPCHydqGOe0BUT5oJATmjZCG+NIWiJvgxMuWcz6MhMLC1vChkQeJwNa agWBP8hhnE7AsPz5jrMi0dA4l6EMpBoCZikYTyw1cnbTuHQYtY/MKolt6EabcjHsTjHDB/qQgYbz UabKOIzqB+o4lUqTTFw54zTEHKLZ6Fs+h2KWGPPamrjRTl8GSVnbMFfBUvIuHJ7hcL5wGXrUrtJ/ JyRLYVJEE0Y8WEqnUOER1lky/XwhINIeTcFqH+YuykwfzkmOYxjasfFJ8NZpqqsBxpPw0qRszLgE QECvQ8whhVCsRbzEvxSZ0vBv9u7BtuRjJRgaBKJPVn8QKOBRrdfYbnhtsYpghEU/H49OpiQCaGeX aP+06/ApOlHyTMRBZGr0+QQERsSzRJdZenNlm/EtF6JqUxm71n0jRSmJ5z8ibWOkG8NEbLP+yKc1 yC8c5dzS8bCrHDcYo98efCEw+E4TeAiz9x8Ro+XtO40bt+r87yyW2QYDLK58HcIfG2QzI+8prR5m +YDcLxXak2sV9vykN1J7EJzGDIhX8EXbDqYoqfd36uCg2GSC2FUh4WH4Q/mNNXYfEXP5vTaHuQnE 1j+f9KQaCVTwwqMB8YHZyNVH3w2yLwtbymHFoiKrS351/BY+SAaDwv11Bw2GnLiGAhhf1tW985I3 HVdqtwSUUBm0C1u5ZEfn/ACFKt+cIimvXnm92HoxbHjECiRt2xvm6sLZfrC+zMiDL4GvWmEnaEdF eiLp0q6320b83ok8GpxjdYoifbz6xzfB3x8EmPqfP1QYUvpEuaFhI9i5meY3kZAuMeYwzhEfehxu Wx/Gi4GRpS7cJldy/m/+Cm89gDPIvJ1FEFFlw1JkF7zzlzFep4Zd3XZFWxnTTVq2D0qTVjllgv67 JjRfEIt8FS8Eky8/+tIAnWCt7OOFdUiZ6Q0p9sapZlEYyCLUXrJhxWE3gjIwD/bQltxu9Mk6FY1Y ikAjWMZJs5LZDajajTKLb3pDIxAk+LNdz/v3Jd6ffvgjRnOG3SYfm4MoOBgtzBfG898tAF/aDeeU TE9Oxwwgu16wVTMdoXBkIS2rJTxrPd8CeOfXSH2VQEvwWhN+BRToRkBhJUVsJivDodG+t7rxBBCa YmupVEiXH0kDf9hWXMRtaScy8+dRKAgCx1uQkR50vTBckOufY8y3O6W7q0zRe3tWhjOCtZIPmlPv X6zW52gpqKeeKULGI/eDqDaUN6G6q8ZINsSBnkyKvWC3P+v9nzV8tXqcnQOvYXqbzVNzXnKpWz/Q hOKdozJyZOBmMbRsdf8QOeRugGWBOrFLZuinUu+VRKrd1plZfNnF1xORne7cC+cPO8a5mB3VdPat nDB6fD+n+lg3lcQiaCwtPH9IaxQRzfmGPgrPAAvowRpumnpiYOwxLveGjUP2icSg5eia6dAwQGft GyuI4b2fsOrxz7t72blZcIhPJWlu2DKcFO3/507iOfl0mR6/ISdqQ/4YCedA9fIemG2DXSZ4krGU zV+Qt0MAkv+MAjTnppbe8cWd63mfmr5nof0oOWvJACEdWatKv7t0YnhMYRwgK21iRGru/vkqIigX S8eSxCaCh/AGPJKlb12wL+IYLv4y520qfxN5YtJWb3OCZMcqyfzKEqfwGuNbL41EfNMkPoQyRPoH aXJpdn/Bgb2czAjHUtfxcHeu92q/GME50rT8X1hCZm2Vkb+FKzArrAAndoQ5odOA8T0h6hUOF2sr Ql4XPCgA7xTL/yYNs3pNFJLV8GIMmHzCTjAouMvqBoFEnS+8+skwlcLaNqLL271oZTpIEi8dynmc W6oF5+f3kTz5Ath3wO0TdZ7ikuPOnLSmv1QFHvqHR0jV6wRe2bOZBl37a1mQjKFIaccK02d2qo8k lTqXS4SIfmVITQZIs3AdC5RFT5l+LIqnkY90hodN287n0IBoteQvQreoh7rbkW752DX1C0g4VtaS GvcgSHm/Nkks4SOZjz4Ab9GFDQmT0+1YtiHZ+LZZ8pBgj0EP5JzAW3B0cOTfWleo4dSU3plXh3iu xfLF27h/zymRC9n7E1M94BI5AWpTiinSO47CCN7/ksQTUaih76WOPtofeWf++7xpFQveu7SPudtT neHUyxA5JRCxBKKAtTnC5rn1ooIAuBB7JWNKn8GiSBVJ6ikmBSvGRzxZjFdnGzozQX8Dk3xyhfrk MaN0wtwues2Hp929mPgyy7st5L/fCM/0s4eq8bpDWpgSgYgF9qtoeBBMWjY4azTfTOcc7D12hxWy z/LltbXm9FQTV76FPae0vVRVXMICG/HdC3M27MKehNuF6u41EcTwK1/DSg+w2DUD38BxwRFWcICC gFu5dwGxU3mIpn2wU8VEeaFXc1MohjWIukcosR6/85VBBEB8A9thbs7C8XnguD1NpkfTw4DhrMXz TOqOshvteEtCtzindRVmuIeyf3Kxxc8QeQYctYVdSfDodSXutjsp5ypLuJBp6I5ovOn2+e//CMYl oKk7ZngexAd6Iq+im3wLmTvlUZlWbsTv2wkUL/uxbViNyYNWgM8Rig0uOxjiJ0maapo/KrtmaT9H nskJ3tn0D8e3DW5IW4J1WLE2+pVTQrnDZIBLVhw1N1xK+fXMzYrA8oETkNs+JSCrMxqoagYMSPyb QM9tJYjBsRtZuwHKY/qvBmIrpURxGckp0M4knsn2ESPse5kxXu9fpsR/TawhPqlaX2auZkcNQ/Eh 3gs+0BOnRDoEDQ01JjLt7EwlZqsoCQEPXcCulO9R1/s75G8yieImkPqgr3MnEwJ+hXYMedfHCAyp U0intj7RXsf3YyurJEIIL9pvXq2lwHtV2w2xMeEN7xNNwIeyx9TxT4a7vF7RVUuKFqIuWA3ssQE2 p1CJ2RLRpwd1ZvUjPmtDsdwqfHXExfiAXEimdfT6BtMYJ5sD9NCZ07iZ63NIoaNbb7OqVBAxkKmB KYqt8SXlrJgy3VhB/rUZcS7tJ854b/KSe1mCyMNPisduQomlF0VFazpdsbB0eOXw3TwljTX8nFtc 2AOQQpAPa7aiKKsFRmsH6M4bBXyOjh59VMWQ1GCquA34DqyNtCsfrMBBJhbAMkw+FkZKKGPxWxt1 tybxeAAPSQyUGyhu9DrGUFS8p5mOvngwbg98o9yxsh8rqwYKJJsisQS+ppO61Pe7aQeGXzdR4Ur5 qAuzNeixIphSrKktKnDuATBygyB1ByzO4HQB0RJlvQohgHNi/01rhAXRBa85vTs14ULx07bBtuNM 6Jwm9e4w+6k8/iy8WtCxNkN565Bl4c+D6d2vEYpiWp2Uf9/4mvGhhkaJ7kbHip3ofymJeynvDjcQ fbFTVsKtcFdzXCUQm4Xw78VA4WD2dTdqPt0pgPIZUDPCSOKCJKT0fbMSltSh31tYYVAmAFJQcSik cQxIyFHiBl7D3fQZ+igt7tQQfih749bdECXi9UEwG9OAHxfkNdGPdf4brtT2FcwKv5aFc2Ikf0Ob pYR41pOCsX0IjDrw+UJpBQwaoCTo2xPAcAKLi804cW/hh60x8lfcL24YmHBwkwwqPk61QbNjKp8X 3XFYcr0l86qPa8PvY4FXxVQaa+zTCHlOWt2NTGDq+h1TFO4p78661KzluuIRNb1BsEbnMPDYs7QY QG8BsEXmfP1Vd+EhFDX0PicYwQqvq8iYTbHNjCHRTWywAtGh5TYGDHzimbwY5cPbkZV1iFOh9cWR UkFF9B+FbngqABSz89gn2NFSHP4fV8N0z366q4z6Y1oHxRNWL8id6nvk5xtUj+myTFTF8JClcZ/x Z292ekzBCe2AK544CRncBH3NSerGKSY9NEtMAGaelx9UIu11Q6VEPfuv576oieb4kTUGuMMn7jo5 PhLgoZR/TllrHb65ec0FKYhLFAlEozqTwAOkSpl8Rh4bmSfjfvu3lSjf2KJy+lM15P0zcojUNQWu CJMJzdDS2HT1NecFzVnGbGJEn2QgKD8sMYoin/fl+kEeRcriqPO6Z4uvAarMlMQTMIrSu7tNWD/X 1YJOxMxHArOxaJH5zG/igReaUntc8C2xs+++QNiHV6w5FIucgWb+d7laEYDcT0cnX3VIkxtzoIa2 FhvIO0zuVk56ZBWRoSaONxAQd1pfSCzj++EyLfXWf4GoXzqXTG+GrFzfWOjXVyJgDkYinvBQdLy9 KYvKtwhkCc/cd7TGbEU/VXwbYrSxtGWEgSOsT911LSt2i7ZdSd0NVKun9uAnId7dtQlwNBqSz6eV swKhl+Za1J352JcwMwi59ytoW2Kp+ceRnx+9kXkgUqNFFHt8Vu1FYMNBD/bCrpGC/vGhpDcfu/a5 3JI7Z5dVV84nB4VwSApudKhf6T8PYec2kegpKpLwDOtQGN/8aAXa8L7Hl+oqv6uP5Yh/snoEbTwd CM3buQhzNZfg7pXjUz5ozGlk+ZlkHbLgEdB9IHb8GcMKjchuIlWyS+0txqMfrLBXdH5pKQcrJEMt PpMbOCH7WOukqMIv3mE7iBPGZSXjoi9SKBZaUTwoY9n6URj8I6peaKPtdIepjRArThcDHYLkycGm WplcCr6prjY5+7IvQeSsOokPgbYPeygzpih8bfgvpagPqSNA+EJ9/MYBGO1tubQb4D+oo59dwM9W eLq3XHFaKtmWRSclUNXrUch6k07jFABzJGv6vca62u9DDCI8fTOtxe0AqbnG9aYH/zKg9NXJxKqH KA8SY8D0zsSnrYGlXZn453DpLQ0KqhyKfLGROWALvCoBlWtZYvLLqJqRheWd4t5SxL/yRi2W/VuY Id7KjQQKkHclPKK3hHNjkZdSOkrDLGhzUhS+N95SU5dKev4UhJBT1RdCJ/hg349r/ARuDB2FPgkD KQPg2zk7JRwH9sNj4DvOraXwH0YIUk/wrBtNzx12OWR4te7EiKKgakglTNMq+CyRqIRa1gvTWSiM KARXQCyVC9YiKhs0Cn03cVY0EI5mJt6z1bLSVNPn+J/KSO4uUwyhIhNZzUEqS/kxN1+apm13//B1 7VrLSvaIphleLtEaGjt4v0+QQ9UPPrSnLVNAjxbvbwHdrBuCUFyaC1pO7+nEyB1faFIYmwa0DmMq x+BK0s6LmnwvEuLdrlqOAbR/z84Aboh7hxWlzTOt9HyaJKyeIE0JhWqJ42hOQsXUmwa2iv89WHos oiDLqlz9VBX0Z5jmVnkfr8pE/mtuRLQ2wegw4jozoiEtjdnp9MxFmCUY9ACU7DoTOnRNfUFWOQia S3/0q2Y8uqSTTu1Bsf4DI/f5nVwjqBMBGS9f7IM5/CSKYJmXo+LoTNVlozUsLUOtqjcujldNNzsS +ddVAXfq7CEvpIQCNG4dIMNazr+bNkppwGoeBcKHXymuzkJVBTGn87ezAeWRPlPRZRrmgF2LNrWI tuZ5/kzFJZ4C7ZteMyQCcSiQjBBRcVu6rti/kCkWZByF9KCpbjrXNKK6v4ervTG3s8bj2ZjM5+BK pRL51d2Mg4DsEeM4/jjQ5bUmLnil9SBUeV9sWVx23PTPHbsifWNh8e8rTI9VXF79ItpWeBh/B1sw jpsPKqtNuTlnoVkbOD9JXg71VIMsuwIilcTmuGzm0SelgHGzYlqgqn5MAwmBxBzbbyzN2gCbDVam +BKPychegtZ/VGc58L90kRakjF/HJzqX6BIYIwzpB/Z3utVz7pIkj+BhvHr7ji5/vPUZmmbw3pWj 8TAZiDsjYkx42hXxCsexsXHtLb+MJ8wFDp+infZn7nCA+TdqtbtHSFPfYv8krzff3WFbmrA3VLcA JBvXjQEbCcOPjTMotgnEI6ntGQrvq+rmD68hx8sHZfQNovT3IvhqH7PIWYJgl50QwzTb0RUCkyai q1S52moQCENxKvwuCIG5w7gM3fR06W/26rTiUj1NQ4C/DfTWbYmF/GDlFhqTAi7aZnw0LiJZGpPE y9gfKXsNecqGC8AvNPmZTqAXC2ozWS6GzgJGUa4NliW7FGDLUAC6aEqJ1jSVrGSAp1Atj0TxfODI 4NwtsvGOTQXyI8zHYitHOsKWmU0A/wF/NxFnylQlOTCuhh+AJ6IkSYfxUFWyhAwrnQSiJLBu5MPO bjPqrhXbcGE9kd0GXQDOJkk1oswb7FMYuOpgmkegnF67lhLWw0VvLE/heMqTzmz9wD/McgRxqziG QtmFHhm3KJKonGhMkX+iGYCq6U5V9pL1plkVUwCxG9XCoF7I1ZGYvwSR9DvI4slcgEFLMChDHm+s sg52rjnL5SPn/4I9BHStfaREsiYT2bsagdxw8HWHWCZ7CciVPtHNdyKrVVZYqKsU1W+d53AKgiGc QF4edSQ4ljX72C9r8ykTOmzOToN6R/R+UG/Bbi9soSRCz9tLtJpWr9uSE9ovKjdFxifR5ZFhB3kI bZNYa7IDQ9ZzYkUa4AVPiKRR4CEuRTFs9SIQ95gNOxlX3P1EsRd6D9Po6e5RaZg2PaekQ6EIckRi ffruhIXFzNwcBXW4cVT3NNkuPnE7ByMfyIJi8iVjNqHj/+yKSw7YNuS/p70U3Oxqufv32StDJfg9 8DOmhVe/rOwVr9a0cmA5qy/FFSj/ExkgoMDkgY8zCvQIrWduGpm1xoBn2PYt+/GNWpORG3QGBbHh hqwvqIJ9hpLAe+hMDY2BA61ev4xnrwWnXmcbBq5GC+DGYAOVqlpJcbrvienBIed8AKaWZDEuDaXL 0bxe/Y8TWTYN/xF9bOYqXJYzt74ojwHjpmYCv6vP7RA+oXcVk/orLmEXubV2gi+snoclV0K2OKeG z8vISLM9awfw8YwMOMHUyNU6aqD+447pE5PHZP+uJSbZwG2ib87tnT+DF20dSrLnK4nFmmLWAp9P AvIEwrYziLoy2xLjl5n7yYNYcMg5/rzdSCgZd13JKWlWAh5OvxxlvLuQuRyKmXLVhFtRZhSH3AnM Lf4EKAPHUzXD46VLOtcZfFUTKH6qtr8PtGpy7P6NwYXsyWIgV6ih5sSJGQiU2dDZuyUvwoLKkBRU eniluOP+eP8KquPzPG9VYwau/IESseXcGQzwTWRs3bjN/LHWqIW/QJ0f3mM7LHUmuNYQoutNvFtv JiYtbNiRojdwG+2wSClULsehQcs3lUuWrVjStLntWJT2CQXF+/d+VnQjnWNkNSOg9fkpsfRkWsQd UjmzFLTMu6pwzPuZRuKE95BotgsuatL0qUscdrqzOfR20e2SVLQLbMsvUifMaHVM8DRcIt/cWW1z iHKTupNNsF48eV/i8zf4S9iAI5gS0DBEp+Taa/JkPVl1GHlMxvzaK88YHX4Xigw41UUXIOtK2e+R gpPThdyCSnKlwTlzF7JctpcNlwnDLnE0LkT4dmrxvpYQ3D0EzrisYFqwJykQ5lDPGYRV17eMPWR8 zstwk74TcF6/EobL7tjcylzz4zPHTnK3p1FfICSFauhM2BpAbyMJszZB6DtWvrbrFw/V+5dX8cA3 AyB+EdC1diUh6ubTSAq9Uhs0IB8NTDPgugDzJx4lNaNanjm68KOl6sL66Zieu5cKJvEMZ2bNtLa3 kYym7YiZNZ1SQMS8vETS9kxe19cLBMBac/GvS6HzneK4ess/9YYLI+ByXue7/HN0rgoBZukmSQkC 1uppAysU+5O+t+XqedogwRTTDctgVWVb4D1F2Ab1snetrT5xcXSN05WtIoj+hnFq9A5yoQoMB6jO 9+wgrEEa2P4Hk6+ct0qVDL/18H3kDRXbz4TQ9q92FHMYrc2VGz+yPd3NJn4enMmlmsqPzpC96Q+j u0TBHvn+5Sa7le7ib50wdBGVOQMw8E8gwFWY6OaT41q35/o760/LkDAtp5bsld7tFEyOIIj50JOn bQujysugXSi0fNrv548IH+mYKybxG0KJMr+Lfij77Y4sbUtJX0/hv4lUWlUMTU9yaQ5RzlZwIyGD Jqcf/D/b3p8SOVc8wdgfiQcFRiwkjSGp75K2yyqSkkJf/1USIIz6WnThv2/O/a+lFJy3k9pwbPmO DRl9mZjDGu0Vn8BkYBB5QHAVmjSG9bQF+6PYSZskUMaUR958eKV6C1BIX5i5pAor4iLnn2ofAZpC ngtpfkQvoMFE4kEQFoiYLt4qsMGRsJQ3mUlsfCfV/xLCbi9bx+tx0E7ycFbtXK9wEtZu4O+WPrZU yZKocvF3y2tkI8b6/GykWcn29SJl1PDGOANsBqMqYPeIUiiPXntR2HUdXZtcl5clD/Xbl4aFKG3S COgobGFjrOlL07rJmiUhH4MtBiyxqu1zE+WDXEGRmfl69rRPOx5PNQFY5dTmbPzWimSZBoB5AAKo WudWd1+nHB64CR5n3hC7sWkTUweGDota0A26P0bKFIlVUiVQEGlycLTliCTr19Tjj8fFGXFTRwFG oTmOUvUeg4iumGQjj7Bl+0vlspKNuCRNdBAEEevHMhSndfIo5LbtzJ5sCyI8SDZt/HuTyuGq3njI HUdGjBjfU55SxT6/PKAKWKBubChqiP9ITJ+2Y4pz7h5pUG2JdChsONMb/O0XBufQrtys5vteVEvw n28zCyGNqGGy0Qn00svU9IWGpHp9YxiM/czV00b1UYfU2Un9FwyV3X8/lhA2SVCuwDt+U5UJct3o uoJZ9hbGTz6BZNPgOnw6h3nDJcyg2JxQcSqtGBVDVom7XAsjwnVkOv6CIKMfTpxcUAZ4JuRlox87 XgF6Cqjvie5zdqFYoCZZ42FRrvH8uzUTaSZHT8SROuDwokQgCanLN6l3Oa1j54tnIIgU8ySNHDIC Vygxmw4Nr6r8xVG9vRVCDzBKkjMpf2XCwUi2avqjxp85w35kXdeRPfxLfp44sV4A8ROFjlw2BgxC Go+zmnbyJjTzARZycwodEqVQ/Yypa8Kk+KCM3uEQQlv5pMckIjtnoJH4DZ2z7xBdPmc/UVOv0Rw0 t07kONnW0utet66i6r05EzDqskOYQg51I8IcAvuZ2q72D0a+z5vPenasNynxbrm0o+8U1xqqHSTH ikYsJd55ZjOZmGV6RXMMZ/VA2kifH7m8FQ3mJ/YckbbwwSYtFwS4ZTNWzJL4Q08j4RWZlo6ThM7c DTiOoLFDDk0YPuK3r7osWmtm/AunIAO7Zpd51PGWsjQRLx3SLOG8dz/mLhZ8zf1qR3sfnPXplkwZ w17385KdtLWJ7XlLDV/p2RVgQmpInt9tZbzYHagjkaLzHYlRKUh8NaPHRAH2R+1Y3YSUeM2MLRii jcxgclwXxsTG1P3mnh9Kvz5EIzFZaIDNZHxmFrOyC/0cxeZA6jL8xu3KzWLpkng6FX/BEhX0YCC0 fievGDXy2vvFpSLsbSEC9QrbuXsKp+w9nvSbvPoAC6n4m2wk5Rn8l6biEKTavRLPBOeKyo8gOW1e B3rWUrEX6AzXb/3nrHdGXDgVBJlGC4vrE9HcW8DytlDkrzRwcbRR7nzBDAn6QLfe7ZFyU9ZOTGiw c++FCRuHgnOIrWWDIvAo2Ci0LWFjXUwL7RC6H5wB8PtCOjNQsJIn6YYgyGcwk2W2nq/4yAS8KoxH X3lqW/Nm4cDOF49k3vXFypL/44vDkk+2/IJhi0SQ6cmWrDnTRYiRC0hX5bm1+YjkQoGcHTVwdfG9 DrxJYikRfsRDedUlBcpfdzw874zf1zivRxDcfy3CFH12QEET1gIU9LTBCvsZtKRdmnjNNpIyrVxY u6iHaoCblksaT+5I0yiRRSJOKP8RqfgiKKzHdCnJJRhXNfglpnIMbzkURXRJMinLUrbUJ4pssAbv bPAISU4rtNl7T2CqUFcX4BrxT98te85sWgMF7AvYPvSYCS8aeheB+sy/mNi29JJ/LDQscsl5fjCa dxFJq8kIkrqwwAhbs5nYgjX7NqsTgABorDMT6RdeFqA9PKP+qpowo+zAygvstHiHcLNZJio0kYKU y+DNlPhikeQ2UK3dM9lKyqOOWdkeDyhoU/h3xhP4kyFeaC0XuGjHufSXA7vJK/Uv7DlS+yqIZ/wA t5E2QflTq0fQ8QoNhl4h0XGq+ANTRZGTY52NJ/tOP0IZdMNl6bt6uyN1VIsxr0lRWr8zv8nEKa5P 1FxnPI8iGPfaVSB7e711dExx24oUoDCQvPwYyHTBVriukP5xc/M3s3Rm7o39OXwV/pu/uYZMe2Nf TMmIvd8M/h8Tm6/IFHMugWmA+PPJodeiDn05H//IVUUqkin4J84qs3ahluNYZADdUvixTIe7Yfio K+1nnMuFGZnHTuBszouwAochxYWotl0AKALIV9OwGIbNPuhNHfv3jVUX5c1bpo4/fl66wPL81tUz x+j4MnAXxC/y1FPpRrnEiwxO+E/g6SSj9Z+DZPR7+Iflq3V6komW31JCQz8mWv7sl0b2wiobXhZ+ p69LNAhB/7oejzseLHkBZkImIwNOqgk4KpXaVuBHzYd8729a5s8TpQwN5mFahay5tdgameqQTW4+ 6SyPsKk0/USrRE+Nx/6Yy4mEAD+2vHazTyxlC1IzMzRY8pKLCdFzoiU7aUv3/EWae7ZlOUlUMbmg 99TG6XTUmbgUeSfO//5BcKd5C4uMrODFZlNTkVdlLstHUzZEtHfjj5IAllx8Hr5PUgdTg9BQY/qY p7VVlsTG2IeHrCePKws9WT9nT1qPYR2RmvZy2B8XvWb7AWW1 `protect end_protected
gpl-2.0
4788db7db207afa4de70ff4f97c2fef9
0.945099
1.837959
false
false
false
false
UVVM/UVVM_All
bitvis_uart/src/uart.vhd
1
3,756
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.uart_pif_pkg.all; entity uart is generic ( GC_START_BIT : std_logic := '0'; GC_STOP_BIT : std_logic := '1'; GC_CLOCKS_PER_BIT : integer := 16; GC_MIN_EQUAL_SAMPLES_PER_BIT : integer := 15); -- Number of equal samples needed for valid bit, uart samples on every clock port( -- DSP interface and general control signals clk : in std_logic; arst : in std_logic; -- CPU interface cs : in std_logic; addr : in unsigned(2 downto 0); wr : in std_logic; rd : in std_logic; wdata : in std_logic_vector(7 downto 0); rdata : out std_logic_vector(7 downto 0) := (others => '0'); -- UART related signals rx_a : in std_logic; tx : out std_logic ); begin assert GC_MIN_EQUAL_SAMPLES_PER_BIT > GC_CLOCKS_PER_BIT/2 and GC_MIN_EQUAL_SAMPLES_PER_BIT < GC_CLOCKS_PER_BIT report "GC_MIN_EQUAL_SAMPLES_PER_BIT must be between GC_CLOCKS_PER_BIT/2 and GC_CLOCKS_PER_BIT" severity FAILURE; end uart; architecture rtl of uart is -- PIF-core interface signal p2c : t_p2c; -- signal c2p : t_c2p; -- begin i_uart_pif : entity work.uart_pif port map ( arst => arst, -- clk => clk, -- -- CPU interface cs => cs, -- addr => addr, -- wr => wr, -- rd => rd, -- wdata => wdata, -- rdata => rdata, -- -- p2c => p2c, -- c2p => c2p -- ); i_uart_core : entity work.uart_core generic map( GC_START_BIT => GC_START_BIT, GC_STOP_BIT => GC_STOP_BIT, GC_CLOCKS_PER_BIT => GC_CLOCKS_PER_BIT, GC_MIN_EQUAL_SAMPLES_PER_BIT => GC_MIN_EQUAL_SAMPLES_PER_BIT ) port map ( clk => clk, -- arst => arst, -- -- PIF-core interface p2c => p2c, -- c2p => c2p, -- -- Interrupt related signals rx_a => rx_a, -- tx => tx ); end rtl;
mit
df083dd158e1d191b26cdbf31b8ed01b
0.45181
4.398126
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/alu_toplevel.vhd
1
3,063
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:18:02 03/28/2016 -- Design Name: -- Module Name: ALU_Toplevel - Dataflow -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU_Toplevel is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (3 downto 0); CLK : IN STD_LOGIC; ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG : out STD_LOGIC_VECTOR (3 downto 0); LDST_DAT : out STD_LOGIC_VECTOR (15 downto 0); LDST_ADR : out STD_LOGIC_VECTOR (15 downto 0)); end ALU_Toplevel; architecture Structural of ALU_Toplevel is signal ARITH : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_AR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LOGIC : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_LG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal SHIFT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_SH : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LD_MEM : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WORD_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); begin arith_unit: entity work.arith_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), AR_OUT => ARITH); logical_unit: entity work.logical_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), LOG_OUT => LOGIC, SREG_OUT => SREG_LG); shift_unit: entity work.shift_unit port map( RA => RA, SHIFT => RB(7 downto 0), OP => OP(3), SHIFT_OUT => SHIFT, SREG_OUT => SREG_SH); word_unit: entity work.word_unit port map( DATAIN => RA, IMMAddr => RB(7 downto 0), CLK => CLK, OP => OP, RESULT => WORD_OUT); with OP select ALU_OUT <= ARITH when "0000", -- ADD (ARITHMETIC) ARITH when "0001", -- SUB (ARITHMETIC) LOGIC when "0010", -- AND (LOGICAL) LOGIC when "0011", -- OR (LOGICAL) LOGIC when "0100", -- MOV (LOGICAL) ARITH when "0101", -- ADDI (ARITHMETIC) LOGIC when "0110",--, -- ANDI (LOGICAL) SHIFT when "0111", -- SL (SHIFT) SHIFT when "1000",--, -- SR (SHIFT) X"0001" when "1001", -- LW (WORD) RA when "1010", -- SW (WORD) X"0000" when OTHERS; end Structural;
gpl-3.0
cabcedcb43f0453b4a6fbe4230af73ce
0.568723
3.053838
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/dds/dds_compiler_v6_0/hdl/dds_compiler_v6_0_viv_comp.vhd
6
19,297
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block X9k9kMjUfSr7WyoqWFsWsDNcEiuLtqHTCUAGwDZLSKE207a75WonvNNUDmhBO1uz+XULTxinDmmZ GT643eOIIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fdnXczTF7qIbl5SijJBkB154JKQr02vtVlHSy9DNdjdjXT7gGI7iVyunOxkDela6yvkq8Cx/2G0n E0e28FZrRvaCEgIzO0L7EaMLzF6OlVupowu5EXneSrN+R8Nc4c7Vyz+thZByoAfPqk5i0XkYJKmd XGKp7hQQAl3w6oimNxU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 0jUieClEs2kiDDJ7Jsra850e/3VLFIvNPjyAdFywILXGvkfJ/Hsc6WG68GNMAswNl/pNodIuC2f/ kxwjHK+i0zjOCuv6Th2jBcFfHhsGlq55Zq9/pmcFhddg/XqrBo6nEZ08d9ExO3LuQLohdFsMVY5Z WVi9OEQ8PtWUNmHj7H8YgBtq1sdKwrUAzcEegFEFaamWZ8cRLH+p6Q8JyelxqcH1mOvbYspjSqOn XYI+E647FJ0I59mCsMTj7UrbGvveT3M9JWrJWEFf2igu9YQnsYnNMoYEIyI0u7vClxcnjkERtDoI Qzg/YV+QfY2buN5Vo2FidHhaVUO4vKadHsK0jQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block P9/DoPFONGyZrv7atEKjrpkdVAn/ceBdIHR8IzNkA8pgkGpM8tu2bn4JV1JL5imFKxR2hvSQIX05 PGgBOrUOs+t7XxYgrrw+SUdKcJwKsJuosPRse/3QjyvW+DgoyBIGHCMYeh8JLluPsjOvJL8nnduY uXr4YPgP66QaJxpRpWs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KpQrKeDvYGCfqYHg+HTMJiaAMJ9JMuGupLPHlsGeN0FJ2O9sJ1YA50vBVxPKOsP1JEQWrnt/wUpG U4hWuEzwY1TFyWPixee9WYksDWkNpnBcEJ7/rOMiFi/XweYFqOKPMbpEg56Zan/MUIZXcZ9v2+pd A02UnXbm0DucQB5QDXc8mz5ots63awblijVl5km+cwraN1PB1zKK59S16mZKmmz2zwgbAy+QrZFJ 4j5SczSKF4HiGpvXEPGeecRkZbMSl9W7Pr7nQt5aRgXAOg3x51WMkzv82Tn7lMvZTxZvOZzpWzHz iVRjjnIgOJEurBgu1rZTSXwPDisFPE7D46zuXA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12544) `protect data_block 4AFlUxC1Pv4zcDzG5BSmmyIN6eSPx8qGKwrcolMQzDkgejRGmOHcmdLdmY43qxSKvX6Eo26mH6ze lWrhZinu3L+/U5FRNfLIuIfZdZhdgkih2vgXSdKf3NsCbJ5KcfVTQjfOqjQmUWOPKwPwUv/kxDRm PyoXyYVRdZbbWV3O/ngk2Ml6Ptzf0qCXfO11kAXjBew2qLzLCClYKUxIjz46v+2QnHLB2Wz/OIz7 D2bxh9ClnRNuMJhYoJzyaKwNc5pVtfIRdPeBUK+yP9c1JeYsrpyye2cGRxiNBuZeXs/CbsTZ8+ki uxkg2kvRvXFY/4vHpCqG2zv6D5RlEfVVzSAwzl4w+RmWuxiQwSkqQK1B8/0oFgcge4sipexMm970 +z7W62Ff/JYfexgsyS3oFoFnCDQDVRuM4M/6fGWUTkbAbNYOTYrfm2aEDEpGPda+hylqR5DIAwkv zzSR4hMZXWJz19nMnPtQZcFZDSSimkQ/geNOoUsOPJ5mKotJg/JuIp1+crMq9hQoY8ZQcjwA/vvQ J7ksyHIgWTXGz7o8vcFb+UFeRLC/mKSxUTNvYLEwpJc27X4AAh0wAVg0FQ5LXNS+qqBebSGPpaWi +P1DF9hgXEhLu4O3kXz1SZHFYe+sf+8xdmYItw6HzFUteQbFvemGeF3fq1c8UwCZ2EfQWC7NP6Ey ecpCkZ4XSJWJ/Hgh4NFkXReDDB2VODHgmtZz9eZutTKsBltLCuLIi6LLlxzzrUM7YXxtSneUyPSA pBS1b/MR0dSGEsleEo/9HMmmNVVbqsLFF6S2kus16YgqUwrm8J1Kw/p216bArR/G5eVc9jc4Z1yy 8AynQU25zfx0lhgaebITEKX4C811l7PBEyZ7r874vxaBZodZocoQzXxm5jVCMU9olQhE8N4CkpPf ZAMH3jsam0kVamUbdVVKmPDv6qRW+dr0ryUlTs5h0t97aGacCMIVb0GyFwe3YhyCwYx8qbHB42ZZ czDd6QOOj798eLIZzkHmi6E4mFG6ovf5ZwhPpmAJ1RgNbo/K68Dz6PfQHErj52lAHvsebYxaEyP2 tNZAbNsCGkanyWDCHlM1NaeZGmZN9RxjlClwdVL5breNO5qLcLCdPXMK4chQ3iYS0pt8aWvOwiIj GtS/aBhpODh81cV/itFA5uaYtNcc+5L/B0J9SYQAqvDEPpw8u98LKfzAQ5fpCjXUi8gx6sUJeX5l 6t/NB1PWcPYuo5bT80Yn/+MrLMzRP5ihs9tjChrjDOfbaHGXlM2NSl9kzkqMSFkr86ZILCO6TOfd Bz/j5u8R4Vdx3F2FsF397G5AffeSn/PrNqpX1EZDjbWUjin+ZzvgfkPgIXYIqNTbQLymGQtenZK9 Z2qw5Ek9mPt9N0w3Dy1dylKXIUkfiDrwGgpURtnbZ8Dnvo0gTJtIotWIfqrfyp/688c1nHBcNnxd gKz+k5QFcDQG4pPelLQ8/OuqFfoDhgxRiRmCDf4Dk2m+4WQLYyde2etMs8kGrQ8BfEW/Sa2+hYdi LiH81Iv2plKGGWIE8REE9R42vB9FFyxn17dHkKX6HY4qpePGrKuqfykm5Ea+QDLOqT3DmIRkauUG iJEqFiGPWoy8Z66IBr/SRfWQ+ZW60FTz6nzxVDnZ3qxplC8ATPNU36RcvxAVJU0bRsc50hEbFiOa eghZcKU4fdU2cNnMjUyZxfCUQ8IPR3oTeXIZ6Zd/TxWqAdQEQg9L+TjXgu6N1MSirpcFWDv5NGak N2JV20JXLlpH03+lF52redbp7c22Ge30qABL3KXzNMfnvy6oH8bYPAyRzN9D+UdAsuhfkaKo6Hw/ ItZ4eE4aYNiNLfIVmc7jKG+m63k88a60GAi/pTndBb7NmDgYCjBCnU8BWuTZnK05W/AxbzBpn4Zl +2q0CMo16jG/SAq+xOuKXt2bk3O8P5uIr+fQ9WUxDPtIhAQS0fMLzWNx+suDMosN6MTpmeO4Z5DB 2+rcKy/MgdqSSxtANMS+5LEqWBn1JE5IAAqy5iokLo1xi6aSuaOud0AR83ei0XfjkhrAEEWtUhfU DlKaaXsTNEKEn4qKKoyf7NtzOzG1wdhSKGiYqg4R8pKex6GSuj4VR6LkgXOPLfAzjLkUHa4KujPm CL0zI+goyMAwzhNMFMjIs07YjI/xvoKETBkdxFBMwo85ayQo7ZoOjrGuom9qhXxHeTWELvIchf4/ riBG41tRlOgJevctpWuMdSOi52tXaNW8qQosUa7Wo+30j/x/bTEmc7kW/QZ86a3iR9x4W8fR8GMP kbH43YH2mZHDbqKwxJmRcZH8yL9TfwDZLwhID5P1Cx7KupM1v/pHP4doLfRXLE+8RfQZ00nyL8iM Q5iCl2MiF/p0iACe1cBtUwCTA007Mo0J/c3ch2MSh2b8QorPjs6gZce8l7gEG7rTHJcrXIJ0uyu2 wxhgcPldhXvfNgpPos3LiFul0zNVRbCJBMLnQNZAGMNWQ1kGHGJLWmSXxDfil1/PYsd/wJI/Z+gJ uaSk8rKLaTOEEe9C7Z9OUHS4SEXLFuhjzK7C0BAM2CR2+1uXv/vLWchjKjx+0eU7Tmn9+/IA5u15 L9QihZCJFTeyA7buiUeBPyZI/YIw4ltGGchG9T3BC+n83Ir/cwNghIVborZ2LEFrWb9ggkddNc7Z KJinxjL0lxiK3ewkRdF+JUtkuHRxr+WjLpWzInELjxt25xIQGEMbCSGsvlG9tDHSsE1uAayMlVFR 3w+NvG6mn8r+kghhHtR+XMU0asFIeHD0fJ1yAov6x99hPA9wPNNwT9Ld095S57VOeQiIgBz1RJfD TofMebRynPRDoohhvjL5vAfDLXkgpYounN3ALjDksfJ03xqRjLcavEBAKGNYY+nEpt7ilwvNFArX wQbJlbra9+3UwQ4T92ncJnNXROg6fKu6Ktb0uskSsuXWHpkP15yc0gN319YzzeebReY1gxovwIds latLAytYunGkueIEFJ/L5YI/q3qwJG6PZ+ih4H3kNHNJ/iJRfbxTt2rh8okap74s5/TzrLV4cLcn cTtrHl3rOMR5ZXb5aNRBDzQ99fFjRcv7ihpcIKNtcDE4F/Tn3rI8n57t4zSdA6op/yeDI5qp+KMD IcbA6+yObu2apUkDOW7qxOlStxM8U5tyAUvjwqGfD8EOk+1vrxjxEmQvuXGftjQ1MIKCLk/HBQRA zsizIOIDhOaRPw/bib+3L0NwQWM71sb0rTDwcq0tSh8Rm/82pZ1XA1vX1fYKFnjH0JLIxNpbgrRR cDWIgQ58pzSC43DPU9z5oXMl8Iw8ymqOukk/TiY1Au2l18ilC/Z6M7VSMztSg+MgODJqdkpcVW6W qp81JZFsHcoWFeI4GKVB/tSFr7pt/+ByS2lxC434/c9ypIAasMTMiRrdCxwSCRBk35hPgaZIxAAm rjKQ/xQHurAsgBtv24v01ZLejYXhAItLs45GaU9E+dxubFxBAT0zwDgPAkpjBjDirl+iOC+jI3wg 0tKUYAvq7cmTdJjENtEyes+FdUZbWNR7z2CkPqsiZqcdq4R6YsoCj9DQud3H08rKwbFyPjU/C+iw RYr0b0IQ1lQqw3IzrZmreI986cbi3Kpe3mXJk52CCvDrRJ5hWR4CeR2enA3ifMYA0l7RBstwO0// FaBemPTrlqxsyXlYali+BFowNmvAjAYo6mvaGJjZV9Qr5GCUaZ+ADKn+yt1v15h9gvxrkauuSGYw /OH8PCpL6GjPdVoDsp4637CQ5tWez++vZKdxmlg3K2qOJFevKHWRgdlgvQJ3W9KHyKI3v/WyCap/ QCm1EbMl6jVN9Z6oUZ+KmHeC2LMXr1s4LRh+wCcBx+s3nIFl0C7V0cUJqyOe+5mAQSUoou69GqOm /8qAtkRJOXSKf3YjQlueg+z5WwUa1y50QWNmpcENt/16lgIvJfI6NJkILSJQ3r5DawljAV23aK6a uY8BdQCLqBWFSUb5DZuOTwrMTux7Kgoia+N8+Ke1spIfebMeILpyJJcz6T8O8OFD4ElaWcHVNmq6 77pfU4YVi+nZYEA/bP1+nwtZJe73+/P3+wffV9Tvy5Ux0EARavpZd0OZJqKL5p2W/Do9BN2FE7q/ l7oCSC4a+qoPgPa6OInZYlRGYaQRnIM3KrvlZoJ4BDBHQc4qeV1+63qCvdUXkomXVVzKPqfHRvVf +rpykSXOw76aCsvF+5ZorZCPkLyUxOeGlSYTckievijUlq9S8jtNIXHPg82HLyuRTlD1d4QTtiay bJzJqGlqG7RPlPJLXjb9tL11JNSXeR2dcXHbXbGFCW0p9l/gw4K080mN8uT8gXnhFRsWJznKlcwk 48U4Gw9GNmIHcrwK9tx98P5X1oEgvXvf/YR93ReMKKB6ua5ZnKOnLr5YnPH09/V4/tNL6/rM1JbC 0V4CU6ZYTH518aES4LBcOdKv7MwdAeGVIcGtZfVMN0bbu1j+vxKN8oxEpJrVWWARyCCyuFLM++rC OXWU1BVQUQxs8zEC5wztlISD+eCGTGFhQ6FmUgEYuDixxxPsSNtMIweTyrIadaebC9FGZXHxyCMm UlrxXt5aPqPaT1hHpEnGTQtaOE3a5IzSQXahmJEPj7KWenKYlXIQ+3YurS/o04nWixxEPvcpcAPZ 66H1edMHJqg2pKMDIWHT2DY6Sz250Ya/J18YcVl1IwTGYiusQlTweWOkGL0jPjQZwSAyp0/2lDW+ yiqNvzLziYsBNUifG63Wd2ggQq5mDX4F3e5om2mY+mfqvc861zJg1lOaFRZt9auOI/KICRT3JSMO mK507svnXYDfphOTPY2PlzoCcpEjOOfDf3LnIDDXiHnhL0zMA/sbRezbjZFAFMK+fQxOu0rHT2o5 q/Y03GeAc0yM6SkCtqAhjgIKpJBHelJ87gOj92vZAP1bNvBypdvJO5diHuoNIEJgmjqfgblATde6 jrJsVCCV1cbvW2iL9kJZ29+x3FoODV7H2xU0G8/kMQ9Gq3ddTdchRDsCNPMvT3Zf+l1uSNKWAf3t 0LOYRBbNz9EC2bLiilQcn9rOHHp25GAu4lnUWsN/a1G1seGxZHZuqGBmjqNzRNXXWxpf4VtannkZ 1zIw2Oq9q+mtdJ0tGWt9Mtaf8hzO2n/d8MwwzReRdBtrdUYsuGNmwyO8lJQkFt9lcuZamLgMmFq8 StGnB9yCVA4yFeiClZHr7/xnpkf1b/VgPErc3sJ/OW69qb6Aptn8+uqJotOm9mMWxHvbjwi5Y8jG OYdGoqma/5lkHToS2+a08IX8a4Na0TWgzi9fEyUqI04VWZr1tqIwSNWyb2j6tA0hgRkZM00pa9nj uWF1/udLUQyRCj76cYv2Txd0m1UebXLj8wL89UD4hVNj9chKpsuuKHnJciAhEB0zgDiLe+uQUEkU z3Yzbu+OqaxLBXCN3uW9SGB+lnvr4TKV2BzE66CRVqEo5zulh7kSUXaKHwE+PBcG6YVExNiZycyK 0+8c+KCYx9bTVFequ/d86e2sWet7WFo119UOTllDoj+Hdy/eBr6+Yct2cgVEGSTZUsp5Qa9UL2SV aUp139Y+sSTB32NyhNCYW4isShkgWZBCrorIee3R9y0GH1IqDIgcj1OSG4vF/86OiMy7bhhq1cPA kcgSYfrLkvcfQul9+YSmraltcIKXLAnhq76Kjyv/uUhAUZbGYq7A3yxSfmQpzWVuQsPDU6iADJt9 GlPh/WM4+4c9O3zLfjc4xzv5erol5xmk3vAmxCjMw5TeR7IADMhlzPdrCV/OaoWmeaJJ6qM2FFFX h8yKphTOx2IHRJkmDKkkPHmAmbLlvp0ShXw/oMaSIk1gU4py7JsXY60e1rbea4WwCgLeylhfPosf /dHyn7Cwb9OB/EGBC6LE11mwgQnifiUtagxbP21Jnklg5bNn+6WhAcXHEUvHfszniGLhcvDMA0Xw doTtgzZ0i3osSeHC8RM05pPukUuloi00KoAriOKxvNUW86Sv4TIFYzngeeg12T+CBdetye8PAkhm eFmzbQox3G6EIf2XZzNlVtNXi0DZTsLUlLaWsNmIhIaYZV1b3jtr00bHqXypqtL9AxS7qsrc0yAa NCGxUS791xGCONZ5I1b1ETg/Dq8ptCZ0hox/ceF+QvqjbD6E+Apxkr4Ow4bQh5EyEW2+W8hFPyUM QwgEvWPUj6vuVxGSjZzo1cW8qSUYJ41JYvaljxh4FSieOAzI3LEEO16jr4+3lAozMdwRUMJ9Lr83 CNlsfkrznD3G3nKAPPivHeeHDWj6gKpKV2HfeQvk0dBC+015EXcLqkTJJ+V/VXiyaN9V3lnVOyiJ uA7kPDBlZGFJbAiZyMCBxjhxp9OsQmEzgfkzUIyAyGmckQQHEUdcS6rDKNc+0VJWf9+NdBkyer79 gmfWaheAkN+oysExYpAHS8/o8SY9XU9Tu6h1Fcfrup1cqL1lJdCyS/pdYlyyTZGxSZmP/LCct3Mf +nOrd9/bol4/Ow+I3eqVwjD6YCn4EMUpmmwGMMFAyvYZ8YB77LsxAotDOzC9Dx11ZVf9knlpZqdI efZfAKyjPCX8TiWcRT77aN56Poh/fHUr72QxYj/TC7xb/Xs8Nt1IdvoXPralPh2qiZ1oYoDqzkeX nIWxjLTnLqR/6d8b4+Fk+LQwcD3HlXjLq7zTO4jIFPj6CoFVxPj7fngMdFKbgFcDA9wJ9Gt31CXh Q3gGdwd/8oCyM9puIQFH386qgYEdmsT69HqYx4pKGiKWT16AIaYqNzvTBwTx9A1dABZOOBPr7aJ/ /VGXzN/lSSKNNhF8TgBx0uQynrq9fdbXXZ+cMM6jWDOcEuyHB7/LXYksxjDYhRwbfhW+866omGzr kQ0CfvzNP+zYiuL7sq/E7j9SpA5/w+kDBNaOyVnWw3afDjjzbInG2PM4muHtCR28HHSfoJ4/3Hai lzk83VStzIljgCtQjrT4SoS9tnsLMk90FzvncS/URNa25F5Xa/p04e1L/8XF0fNIjb0e9Ua9iXTt klDTazYZfzkAgHFseJ5/Gs7vaDMtTlTkqLaTDmE4HtqZSzoZ9HnqMOYwfmZsly/68iTIWeyalH0E C0cJYtiHmsnCcbT3PXG4+FrUv537ysXvLjo/Ea7G5hBAjBtqCoShSaKIsOYH2sGNZ9gxkUgkHBUs G+h4djtCe506nGidnahe7nU/99h6PawDhwdO8umyKDqxat/3b/3ejpuCK8DqRwgPa0one4y9qL9f bHZ+SEd6n+dBcKBa3HedG2KxjNOJByjcJLJt8UNg3QqAsMKCmDlfQ3Y8Mc2HbPdPP93k6FU2tw7F bu8iSgw9zOB8AFSvKnGilbap1rpDXLfP8xZkKG01qYG0b4ODO7DMMpv0z/CxBVFus0g4vu8Tdjc4 j3eOksBTi6IJKhKLT4p71c4StI7hhRbZdcJt/I3LR7ykvDd1y6xvSMqr5lv1OlWsZlDlEAfw7OMz sqPOPpMXgs1wxKO6+MoVblj8XYFkrr9xruPMrzKS+ZEAVBK4FEO4TJEbKMe1unlQfYMC/hoKt5/r xZsJas5PTvcimk1lgrjZAwMwYUDqjHgQN8dq7oliFu59DHoGLMiYvbqm0NobhG5FBMW7/BnZzpgw D0qKykL9Oq2SlyQJKq4qSF23x2AsZSEmIfYttk/nT33tjUvUOsidLqo1XdoHTEclHSWp/qGIm5oP 22aN4a51WdbXTphtpG9jqj1o8kvsIJHs0QF2xhBp/vK/H/qQ/Lm72atWtX+LNneIDY0qmb6bY4Uk l7cSAi3uwz62IcDC16BQuGvb2Pp/n3FW+4ZI+CNIJejDqk751TiWMWtHS4VtLm9w1vXmtTKD1zVr XgDK90L6inMCTPkOdg7zdxM3ityi4UW7BBr8KTDXViLKfN7Hb798DZGXLrf78P62NdtQJNih8Wks Ja5X+u/eGU6WUpx5mSjcbpSJ+T4D1VX3loK3t3t5QCr58W2Ks2RpugYsg1Ycpr+2aaXe+bW3GKqN w7M2aPrYffQ06UAMmQLKzDcmIUgue9aEi0WTDrEMCtjHhSY4rNuSePpOYnDM7TrmRxs+ouGMTCAF eo3GAZcqCnfiX5NdORfRME03XehD1gCCbvM0nybTEsjTsgON4kUI+pUnMpJRQMjg+4lkP4XWp61J 0gIx3tobDEU7YyBTujTgzR30ryKkWdJCiyRwZEAejCQBQOCCF4DEHDSKw9TyAYxhJ6WWC8t7cqWk 2tLvQ8Uvq3F++eV0Xa0pj1523tMeAgyP75Di8ESWl+Jl8aPuHtZZqsvj3sJ6eLgjraH/q7jfz+Mo SrqowEU9ZTRm7vruLzQi2W46iqbQ7P3irJsrwfLwcMM1VwwaJop4t1yW+xVW85PpSElyT3Xmo57s 25mDDYQP+lcZs2Qh3T+50Re1GXIFuozngA4hDY+WtvNKihS/Vwv0R3LgwW2gb3XQ++HOUTFgJIA5 Q87F5kdAsguxmaldFod3VyX7Bzf1XMPLz/uUC5A2Va9bKbxKTGP3L2agiezJc4dhHRWTPZyKl6jD nq1k3ywSkYlG60i8SulfrQzBx8bROWnZdxdn5K5WT+9OjvUIxvOTpTMRN+Ew6Y8236LYLbkfCBzJ r7jGIgE0EihZPY9m++7LvfCMed+tiQDCWIq9UyJCu4Ep1VYXgLAoEAY1+vMi2tIDbFBgtbMyqfvO +4cYvCL/bWV5spHZSB6Z6Kxh+nZrqg/q4CKXAtOiOWkVNdzG7miLIivvmFXlDiXuB5q2qxVuv6g+ b5+GkVcWG41/+hdoGlCLQkLFVonbN35Wpe5yrDgRukWqxSRaBoTx5tQ3NAFTExDSd9wrW2QiDq79 Fcz7OFiuX6mQVd88vukHgkkiFJW+CbSPgU3ArqPANe6bhwUJ9aRWhKtUeY1wMsTQ5P6IY5EuOWxK CLb5FBpcdagw9ifD6rvlxE3UewnIqiqz/yx/HPd1mgaJvoVtzFPN/GNILsJxLJaE9Bo+4CFY9SwE hYg/Yx2Y4Ic7+xWEMrY+ylNRsFNQGIRXl2v4WLbde6ZJiFbBhqfQt6g2qRxQRYsGBZGXsnS+LRXx HGFiTcgWT6UhUZmHm50wx590cD6ucAfOvJPqxYVp+U7AvCNV5tF/bwprYCTGJZOsSmH+1q0Dmfdl KGhDZPjJNrR19Do7gE0uM2TRcq9nNeeCwXcnMoLuTkQ/9CHFiHGGMYUGqz1/xg+9MyIRCvRkjYmY dnE/SQueZPt6CxbHrLioAf7LVA/IcoeDtPzpCkINAH6ZJ0C7rlkADsNI9et5xp0Qv24Syr6mhZoP /LjbHv08c3w/9wP4Z93KHYrcsEhIiPLMPCayi4FWJ1iL1iO49VwpfENk6kirbNw3+s6jhDhQS/8G BO5ZXI4/9rgHqKe60m2Oo6o9PXYB716kbh/dlD7o3ySGuheK9CFN6FdqAKkvckCLprhecFqQEhLx DXPQMFtCIU5TW6Qdj05YWm841MZ2EtGdFnPT/byltTvfXwPZq9HRhQL/GPZ3ep9XRatEfvK83Aqt wbEW5pJu2na8WyOZqStup4KA3PJmdzw7UehgcvPoiN71Hh5CwJhe6GURiA44hj+64CgSmxPZuVYU HPVL52/ersRZC6NuceVzmQ/c8Vhh49mUAqvow+iRzVV/oE/JDMBzXPTT7sAd76SoceLaWpxD+sQR fAq/TOIT1PUrGp0QZ6wbbDWY/f+h2vVJL312Maj523o+5B/cqrYnnRtogsEtF9JmTKE09z5jO4DV kCT45YKwR4u23k+Y3eZpXFh1Tz1BzrU5unDdWcFUm680tYluCjFas5vra0P+k9WKjuXaTdMZ5T0Q onCJJM9HfA/CaV7IMKHV3JknnwNWdfZdNxA8DudICR+otvFUmckjrnJTlo+iS7K+ANM92mvd1CJf kEpsgCNc7wDyFvyhkaWLAMoLN9epAeVORa/vcFk/QXnI6dXqaqoc73V3/nRFy18C4rqEP0eWtHYF tSif91MSG9VNzgo8vjrxsN8xHefdtFAJ76Zk/dUCTFk/qIG6VIlYhgtMpEEQFYu/wzUUUUxs20Tj gtwDYiN1JYUJFeum325SrR3E3WMgjy+t6BdEwrTe/AJANii5b5BRyyK+EnRVDIlkGW0zo6yXKSoG htWZL61mYr6C7jSC0lv8kbImITKxknY2W3rMvyNSRGYaFD/Oz7O3m+U0NxwgcevWKvVgntuZMy8f Hoq8MCbOvNrH+o0yPZYhJScv5DAZWCjM+kZKX/gx1x8nuNA8kuOZSLW+P2KyE8413ssj+9u/twh9 qYXrBku6FxaypKWzZ/Wvqxz0o/HoKkDJXjrjxUajGoHUl2rbOk9pCoX6ho2ybSQV6hr3VeetZ5cz 4zQHJgn/mZqgWL+rwl0vGP0mDsAwgzYsLXbKAWgKVmA9ZhLHr+ZhGJOc3fTkcHWGyhfFcZGrOTCt wKjHTXTy9Zu8CAVFj0f0tZ941G+qh7Xzz5dMBL+XiUYG8SPlmCYYkjUQgMZlp2/zsJB5WH1Yiy+t gu94L9NeH40tFIv+nRf7Bsm+vRJJjrElykHSAPbwP4leHD6sOIzFM/K4qA6xbNmeNqql51sB6YPv fth5IEZiU7oRN1kDweAD2a7FXawrFD6aJSUVDJtp7V+G7VFHigSKVjWub2wfhzQocx7HYQugCb2N pqWmzSZCeuG/bKHZeeaXBjODfKJdOMt+QKm2MRpZtVVkXWCBdQbNHqxQDPsXE2a7pry2Su87hnZY xK9fJcbVh4Df3Q8ht9FdRzcGI64bTgZIZiG4yuQVPkwHxox8CKSQSxKNhtgp6HJq4j3/ZvtbX+tE gTwaQZVahXKx+knbwJt5VHH06+KmA9lzppR53cMmK0KSQQ8ey7zJqHsVc5jQrGGHDppxP23E27iw 4EMWzXEwo1oIThpqV6wMG4G8DUtnAXBlvfbvqFUAtM4jPjvYomQzEkFHVuz0qvTqgyHdQ8gPDDwA 0kGVdwF3Q29ioQn4hleJiVMj+oa/0j7gTQgW8dam2DEYLJAZN+6kea7HEN1oqFEeT2XYDlyCmvNQ SYxYL4eXNsHka4UXicaEQF4N533jmtAyeUYGFWWBOr0BCetbPFbtkIxstgcdzi81Z/PON/tuhbdo lMa8hGtfd1knuLwdNBm2Jk2dxmPnC3UZDhcVoC71mQeMrlrPdz5lcY/uldla1qK4MPJNfKADyztE wtWhTm2LC8ac+xrq2L+ixK9ttlpo8kmLAts7PyPK+q8qgIlei0T84b4EPseGQ86SUtZTfyyT1SQI ZpQdALHaeacey8dA7pJcUdggo76eRIgYZv4BXe5IiiB2FxJU/2RVF/txC3WhdrNhCoREcKauR+J5 R9kmA4kdk5/qe6hOh6RVB1i3KkuP7PAx2Vp/DnDdrPWn8YWi6hhSlj1MlKIidJnL2sAiJ338eZQM QaxPvFh47nBej6pkxdREEB+RFJ4Qvt82z7eb0fCjrXY8FCYelAMmOjwS5P9zvvsLxL41urT1w+DM GhCWXfXCiW4ORx5TCtXTV8xPEOBi/4pbwUkyd7z+6nORai6J1TM6+WctewKk/27pEekEmBzNyHiB 6VU337dO0xf02jh63OOx2ENq1QnTVfSKbB+VEdTRaRV+YJjKGQI3EIftOF6k9TKofgzdMmgHtKjZ VSAVcV2gKCf0zc1EZmkvs8OAdxSCZwItuxpZ4Rh5LasSgRQeamXxFfjJPsTt3JnWwMNXjaH7Pxd3 FdyCQed5W1RzkY5aHhDOMRiuSiG8PUiO60zLBC1ApsmUmSXepPYgqVmEYNLhgnTd2YhLVlf34y7K 60AZYJ7lc6xVF5Co8N18R3NoOSDEse/FY+vt3lxTGTamzSgEIx3IO0wrJpkBi+Xew+tuQsLayHRj 0Sv49DqiBVyyOc086tO1zY10Ldg+brEFPRlbNmVS9JN8KKGQ1K6gakXwXxysawM+D5U8rTA6BNHC wXGlUk5SVxlc9KStW5x2zwyC/KY5Q7OuhjwsH+nwuXFgSfIUETgUCu5yBTF9Z2XkdksUNTInhdGB n3dqTZ3d2FSsSdogoU81cHgMxhlKHo7nzsIuqXiY/Svx15kUQCdEBonHJlym/vKqimQ00sauKMkv kVcervJcCSgU2EnM2958FqTfvnWygGO8IKZXhLrXOg9gKCuLbK2zfLcPg26SnA3atHyJSjwDcSJm OS1EYIRsNAUSYIIwR4+XswOPcXXSh0FGCdUrHHDC8Ale9ISJdLXZbeG9NAle8x9J14db8EsMwCiM YBSbk5EaKS9AUNIdaQ1LOFYQ61QP0/oOowMAbm48hrWeJEEtchkxbY3kCYnHIdme1tuPfqmG7SBK zMEXY1Rb/FfjDbHV8e+VZrLUPu299FItEmCY4FU4Sgaihe+SnhCFtLQ45+SuKW8Z0mqLl4K4yVoa SOqK/nkldHbm9m0oCGGgFj47EiH8iD/xWwb8x3fXV998OyS/BgqfTLUYoW+vZbfjlHFmnBM+uaaq MXvIalcK9QjgvLwO3Xn7Z89k8OtKYJ0JWUjTPdqqEky+wzlzof11WAG0exy/5FN7IY27jWv+3/ie k+XHncQHT9D92xcyn2mQgSOOKir9xwlNYgl3KxMCdw3NZ+tAyvA4oOsdUGKEKWhCj72meHnqcvWF o++dw7CTK8UjT4a4pkt/leRLbzLl0GOfZRlBEQnVF2UdNcYQIokE8QcCOc6+525NszKAYQgoTcw5 IgADmGvGKhA/Mdqrzsbtm5BZxiq2FFIUeMeY/Og9w93/kMwfQTWqVnqgfUte2G/kcwzRQdCvD7/f HfYWdR5T8ioKKmg3S+U9HzTKIC92Y9xyIJK8Uc1ogkYLkt3GHvOnBFmKzY1eWzYowJ5YTq2nTsZi cq50iq5t5p1pkPSjk8UzqYoerS/YmXI4RNVO/i2dyPAsh3jFpXJvculV+0wKoE9XtQrP7BZEHHkI t3JJJ6edu8rbunUU923l9pfU3uD1pZidvo6DbWlnN+tVQSWs5h9Usmk+w5Nhth/jYyvh8Elm/CqF LuiJgWgrt3nSoBOFCzsp+35xS5D4doDCHCjTGZjgiyhVsO0N5zGNK3HmJsBbDjLz2lUsHsbMbgVT vJyzbbHaeVkcSk0V/NzahcyqrKbgcuiQOdcH6jqZ6shCzzCwAh9JMKkswWMN8oUVlPcZGOmUuuXr 7fC1Hw5eqcR4DixaCeeOB6QUk8b+aySObyS3PoVcY9KFcLADEGgiwL0WOWyE5uAkdu0Il1vq1Ug0 LB5NW6LBmBVPojnR42GGttZObUOCPmRqDJMEo0l0qzVIKgEbbzUi9sqsOf6eajfFD2gjv4ypuevJ G+oYGc7mETiuK2vCJRC/mqO4MtaCFlWDi/fu9Thh7bOnhJmrOHzMzLk3ytbLXDXsMoJChCmWNd1k rM4iuR8JBF0KpE+fpsMWGdp7bf+NehR9AfjW+8Hc2au6WdcDlLbXQU0mvS6MTXiFBobil8tNzec+ 07ex8/pZT+jsjam36r9sEcJ6/y5Kqr+n0Td1JO2DLvaQCO4SmLPlOXUWiTk3hTy2rT4Hf6lg1a4c YV0rUwN8AR/x6nwyAW+AbS9uEEhHtleITw3/z6EoFOE1p4dyHpC540EACBdsq5mwscOYs7pCHBVh I1AAJChQIjdTssN6PwFcPptbcp/d2+Pem01PkGSeEsWVOOf54NyrSRgh83k4O9LVyq+ckl2D8Zzw zCOWhrfP4i9FFo13rRa+6BgO/1YH7fLA8kREYNE5W6dZui68uZZ9vqr/Otdvv3nwH+Gx9UMdEXbx pItDWn7I52T5YRqj0zUrFQRZ2JSHAnmVO3pTdbqwJQYGo11uGq9ldSKmsnv9p5dVuCwoKuX7qDsK mrIBrn/w5TIoQ7sAP2RjFOvgvyvsrHvCmoBVK61RyL5nxyhdsWbS/SwvlvvEbN0ImTnacBKvx+SY dmjJkHQDFTcplWVvk5y0y5vozKIlCVykjnxtGdf2NZskLHCpzLp6i+0oOYK2TopACw2gIl0jQyll R/J7xRQzNYzU8O8mLhmpNSKCx50cgsGP8ne+NsFxeIsUBa0C4X6SSKMbuO6lZp8MeWGxEBpnCHOu HrrzillEdXA4LyfdLwEDQex/pgPULElERQTf7wj6dps47qbTD6WRbBwqzNssCl/S2O3TcZy30aer JCUlcV1KOwfX3VGiYXI3aPNDaFgawOfEnRwk+v86r9vcuQO+Ek/T0XmyWxNkqB/Glj45e8D93Sgf 58SimZ9JZzTFEmWkkZABPdflfd+mVFlI+tz198rLDXjvPPNH/hcqZclYI1TSzRaRnESHsyqdJ0Sc rRvtRskWxrMwT8/13arWPlH1358Cu/+jRDnccMbl0fLz/CDYlIUS/fcjGAyI+TKDnXq5tEvlSaQx e33szpC4tHJcwsDzjW3sad0ZO4shIeK1VFa0LDEUVVhy8TV6iIsVVGsjAjBGbZY3DgOJSdGm5LmZ ggtgE166EzwXb/PES4w8uRciy8Qg5tAHq/Fn/kS6zyxLnhLExzN1QYN5UYBgNgSVRnDz0syBAzfc r19JvhKX8vNX/H9U1sk6uWv7KUiRy4PMv2SYiyp9XFp0xAQnVw64QoGpphHsJz6YBRIaS/Tu7Ifd U+QSJoM5zK38b98oKVCGpjm3BhDsGj7gWZt7VhC3VFADGiJ3FlHx/40ijtJlR0Z4HFupxMRcZT3E /BgMG5yiwYKC8rA3kwpXR4x/1A3LWCuVLup40W6pHAkMo5KOgtiQUhfPVcqI4yzgXJazFeq3g3p5 s4wb38MtqJMYdnFwS9KRN64R8b7g83360J7L+DF8mPEF3mCyd9h2NoW7l79AFe32X2pIxIoiuczv Lhi0YqcQVAyUjynLTwrClo80dnPBIiBiSxJDMUyjmgNtoHag5V9D1d0y/xNU1+iNseaWsG3hay4k QyycooIoO5DOaJ97qjAZPW7Gkoj7DGxMjuYwOMRykO3PCK91kn4xs5qXzvc2YulVnXdbX41s/Hc5 Cp7RXMLFhrMURsZse+3P5Wy41U+kSPgoesWQanMWUdCo8KmvzuzwLUcEknArJmmeP/Kp01wJbrY3 cT5/DiEHE35sQcyyNoRNY3gWTDJqtjzZrbMkQRQvKxzsUaI8VQzyiBn7ihvIraPVbuK0WA+UFWUM 54fZWUmUMgI7XYAf0ZVsL8EKGHax+2hflNjrTuvfZz9wSrwXAtFGl7OvwWaxf4Sy1mg5zBEym6xk yC27zeUMAW37yDMsfGrotGNp6Z0S5tHqTI9UAeLRiDDA5OoNPEgkojY6OsuKHWi8DvagLNVtoVdb sohrXfrCm/IKNiLOeM9VRiWiJjOHUr3fImNipcXInoYILeX/P2UATXI5JqUT/bAXmQDFC1FwAshE MWEHpP+fcgb6PWAU1vRr8zYBde9FSoniOZThEiErZmb4CZaWwp/qZdiOxNV+QI0c4ahCxHAEXSnK ZFWY6fxmJTbinNkA5yDYyooT9eSlhThVOF7hkDCh1w2fYaF/YOG9fFcW2ABWvuUIdQiIDQvGthVE +YktRQ45JDbrPc+U/CUd0xHRoE7at9JUkzgQv57Jatc1z7p19++qCnZ1nf+q0uTx5r5bD7avwrPX fU4W+6d7B1r6Sxt1qsm29gRhahH47F8lKHs9NkuVm125bZZA4VPiyJo360RbWT4j+WuvhmY6GxwW 51oUb/ZFGkCBseoO0MVCqOD+eXqA4gEMageMJaE724P8GpoC+CNM+vedmCMRfZX6kHp3Hgl7otOv Fls5mMh/68JtQAx+4AEXTtaOK/UWEToewUoqqiu0Je/OcEWGQQe7IxLP5azhaL/Cx7h4BXcmVLs4 2JBQ0WYBjPI1yejjMglBttpXpZFQGCtySMpbakT+WYxV4LfcFLHkYYAKYytAYZccn5OXTvq6ExhG 3yryW4eTkZ0869x7LpNNoc7CRk3BWx2EWiIGTMzkxcea26r6qolh5ZxmpJlF2D80TSwME8/Lg6Qe aTN1LAw1SgU6WCVe6TCevGa7c63jVnQ19hBdvaV+l+J1OkbJx+QX9ElH9w7ALLq6FP8F4A0fqgyS YJa2yzTLCI4xa1HcWDAPjyv81eWRAhAWxGkA8NmBejmXxKEsrY3NKHRzruh7Algpmh5iF3inXM+4 x3o9yJTwc+xdHSBq7rfen7CwRiaZNmMA4sM+Gz8PftRFUrmJE/WPx2Lwg/dUwh7DthiVVwPacyxG iEz/KcYh5ZckXPHFwjgRAvpLTxAgW7+fYkLEUAxLlySYUHa4gWzG+N7UA6m2GGfDm+87YY4lfBxh zlbB10bRypsJ4zE12lSslQpaO1aj9j/p6lGXoG5ETCplzdZ+sRiluxfud1EKq6wQYB0ZqMSATBqE 5HuyvgZ5PaF1peDcv8CqolZf9EO+Aqi9Uh3oFCuPw/hGGDT4vQqvC/o2l8x5jVawp2OBx+NgroOU 4/WtslOiNFkQRVWIsoYKk0iekEDE8pbbRyaGkcO4U8emBwpKkdJxDmIunPBA63HqQ//S6ljLvqPt IFLYvg/nC3vj2dg9I/NadSlJ3HUbgNzRDnzKYTpdpfyxA8fM9hirGxM5idqNeSLVltLEOBK4gU/R GXlOwW+5ogCH+zSh3N6Bmk3UowqeCLYnAPy9/AQfxZUYEKMPW9xTyo4oi0AGWuogC5OSffJBlHX7 LgW5uly4hF3NqTLqGfXLdQspTf/RBijOb8jUXIMumFLgn2B2Ip8MXm3jGhRxHO7wWQwLFu/NQRPk LL713A== `protect end_protected
gpl-2.0
2d265b3245a651de97f143264b6a2336
0.937244
1.859593
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/xfft_v9_0/hdl/butterfly_dsp48e_bypass.vhd
2
44,236
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eFpqs9LHu0m0Ul/l/E8SzTDyX0y+gAxGT3H3D8dDgBISHN66P6UieGs30TGj6LAXub05LTm5+cvE nZ2zDyyY2Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dmsv8jzqmYoWKUt0j0+30Qm/91UICzp4OiRFVLz1KQ6bvFG/vocDPAscveytp4GhYRVISuDE1g47 /krE6eNJ7p1tPaLDduwoCqc+k1t1r+8BEtWRYigz88qhmVnkg0jBNTQT7K1d/ykz2AbV8nLYKHcx kKhhD02UAiMJnLvjAHc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wkwb35Ff4xYl7ncwZXxhILwk0LFVXuPE7R8LZhBzJ9un9i+89dY3qcAT+pfzKMHttVEhVoc4Z1Gz uf1Ai4AQxOlIyGiLNbf912M20wRUMyHasT65K0N18ZeFFo/lZcbGrW0DJ679SpQLxceEU/Ygo0vQ 14hxiJpb2r9K6yigom58STEFsB0DlOn6tMoYPxYiGgTVatIBTAn56aXH7mUFSkqU3vvNOlTvlRUu JlGtlVd1gHMUpTCQvJ8+M7ylFA0eUbPrpnmDg+IuDajvjHxVYHUupk3p0ymXnAQgzVM99JXhTplu zpgWkDu8rwXrkcU27VqQNXFGZJ7duNkwwZ9lgg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wICSqV29A9rNHKh3spc346m33K6I9UcPqKtQlMujJsq+DfJPQsnX2wNdFKWeG+5dy3iQjnHeEOSN mlDdpnJc9mv8FN0McZ+PezmCuzmIdQh/Di4N/UFJwElNdT4LBC4pLgaPPBbImgIhVoG2aHdePcBi X7aSKt+TOpvxDCsgmro= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block L/9Zis7MZ0iVYEdEKUE9Hl7FDMtVcTw//x3NFxbswidcVcyBPRbm1P/pbsMiIcipK7687aBSRXWn LziGwHOFqUledBKV6M+5QWUK4fiNC90RpuN80F57S8xn+fqcWJARUu+R/WxLqSwryMUfq4KP2hCh tKBWZRiA0hcGjB2gC5U3trWuFqDjJOV9Cj9Yq2YKrLDR0oPViwZW8MwV+ThUIu7okKzYIJ3b33/G bdcDI9941phhmvstWHsEwL6uUtzmPakqvN858xKsC4yNB2yf2ZYMvSY9unZsiGgrZpoIgRb5kcVD XfKFneeljLX1qP09RUHdTDRRPQdFhlA8Vrukew== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31008) `protect data_block tBh1juGes5Hlv5dP8Gj1b2HknBxjOGR80ofjZIAcraVRFzTGAkeS7DLJ+WfYg3hF82T2eM/DBVvs CzaaruwCztVxSA/SNHazKax7YANwyJzAP+gP5ewWe74q038yemeaH9fxp06UNSZxq9vPCVy2b52M 186vAGID9ZuzHF5lBffGQd/IOHBwiK9uYUak5YRQz9SZ4g87nAqlE4HNJmPaX0ms1NXiFbdXLAcx bYrkgDiMyvgrqBmvSg3I1bnFB4TJsSTQmtM7rPC9AOFiDoOGavDwiDiMfoMj91/M77iQGhDvktZC VGk1YmLb991m+blBIELOsNXYm0x9mYLqENB5iwke7THD6ec6wjn/UfgQ2wSO3xh5LGskdFZ4DrKu KYUq0tYpC28KFJpMsOx/u38kcMJrA3V82dhWPAA4vCFN7froXq5EjrwTMtAwhS+Z8SUcjpZ0LYiw 4b1k0HQEVwI3VmWqgflGkYywUcnx09QgRFk8lPAd8qF2UvEIHzVhTs6yxjUXF2rCuZwuLMI4U7XT H+lLPT1YV1mI/1PgbqolwfmIQgLEQx9IDPRIT/8e3IxEce8zzmnQSfnHjgxRBCod2yE0dZd+vTqN 7tu3+dShl2W7c5WniN+9jxp5mfdses5gXRm5K2PNJ9OwerXcCi5RghzyzHKWNS981gCFQ4Fuyf60 3t5LYfRw6r+1aVgmPTTKaELalLMH0UaMqOwXr9wnWcVRG0a/7T8jaAufFPRzcEYI5JuDGa5SyzVL 2nOMwHXaZ0BVPlUNJnpmzOhmf1GRZy/W+8R4PY+oCCfiEyovQsAx4QuBQ4/4cuOM377LHv4Y2VbF qIihKFiDNGs1H7uikhM6ONB8+lrkP1kaf5t5LbPiq/5i8k6WbAPR/4J41qHHAvaamVVvkbIVVV+2 d+j1pKsMXgb1L5ktTOeivrxOecgBZOp7gsl9TnZF2ilMcIVXLh62eieUu0d37gggii9awst98aYd P7dJtDvBXbWgCiuVoZkUU3GLgKR6Vut4LLWKaFTz9IIbvp1vCVD7fO8DoAYA5HNhN/F3aUoILWb/ jfJrx4sh1YsIqB5Tt8b1rsZZdJ7Vy0l95OfpyUhC8JijwMxgZlfuAwVAGuaneQ1EQ/9J4bY4I2yn XSRkHnqvoSEGRHGjVrpisRVl/rIWInRVt+ODGUmjG4OrMHDv2vDkv6yRlP0z9X0Yq55a68YJQj3z p8Aq3H+CrxndKLd6xcwnhvJtdZl/3/Sst38WccpjVEhOp+9diIWMwaIGKhH3ymHP+um4cOZakSi+ VsnlgVpKwrcorCDmctqy9uKdpXRwonc3mHSksNHX2keGnvK23HVF8vslZFFrfM/ZD1fzpHigVJ7Z U66hnkEK1XOB713YyRFzLyOqio2NIXlZVNAog4iHtMdk2jzHLvRBak4H9lSoi+IY6FAOQvklNc5J m1lMn56plxrxxhf7bxQmnldeVgLN+DSauPKf1vMLcHVpCVQi7bC4wn+pMt4ff8usHbneJ7KzMczL uDL1G/eXpXNG/Vjzj/7L70FvTooSVdHu5wriYakieUXO4nLzR5vCDHkdoyk68BLqPzXXkKlWNQUi rV/0i4lbDBfn2Q3N55v9/9eJkGKu88zSBMTRGhMKhMXeOvWnVmJH1VXd7M++dG4MqDoO3XhsyQr4 JgwqsVBwUOPXVb8tm0oupGp7AMPvV3NSrTaRJ0N03kbA6W/lQARXYHeUWzbJZULgWRrPDCago68i mt/2ZR0sHeEosGr5IVeV+G0zHUa1IOuMwqIGM+lhUfG8a+heOUCXh3JBbryRdvYjFNNxznc0B4jP 6d/T+OHzkr2VVMyu5kwgPDCNj+9gY8+94vxWFNmxpowqIM8kV/tAujr8Do2DS8tz9oB86CvzsZnb YFp17LKnRZavTgTSmXVwvzsDPH8T5pQPoBt+AWpXJIhk6uBxwXasLiSstntum0Nf1kmRZbBqOwHm u4PncbzjTWDz2FpC7sZvLXBZg+siWHbBRbfldoEm5GyP1BnUfvzisH9ul9c1ixO3cpPN1a4fGWZi CsRC2JLAb+rkAEmfTBr5mkizYoLx6bO+8AXJXQxinDpy+WcaXsI578NEj1DhLZQYxJmT8kmI+HSh UnnpZOBWtLkmQLAEl6JT/Jq36InQ/p2g/4epUbRWj+Dxzs4+VI2Umq4YrtNfK/tsbrIDl+J06iGG RE09qi7lknVu8u2ZsrAfvMimGotBP0GkWTPCDXL36GeKV+75GG9UYGCwWE168DzRMb4oV8VPD4Qn Egu7QtROQWVvzevF1vve000ZL27Mu71Bm4gZXisS5CJ3bUyQ9DvRIzd4GFEkKj6EvU3QtEu+fEGe uyCDt3f3ugGJiEqh16p5SmSjUb1Rk7tMn+n6u9hzAacgYZZn4kBxT0L4rqYwjuIRgq+UeS4PitK8 sTzTqGxiEJMGj1X6+ObxmU+3rQbzJjNFQFCVl2eL9ykUpcQJHC5ZbgLig18Ka0ZwutJ7eznrXO0b 0zsyTPCWnk1hdESkXlRvx8imcIT4xUwgY7ikp17FIKiLB8enw1RzTFMDHaoLfxIA92uca4gGEE3C Em1q9Ed40W+TkqCpvRRt0GkiPcluvW+2+lJXVHJCaepAclonVGefYlNo5JVovcq05sDNsbvCWB2J rMT6/SK4OPbWeHf3l/QrSKwBa5wRcUQSitIt5LyKfSJps82whEt/5DBE2Fngjfx5rmooy91HYL1j qCdNt9iqf5Yw0zbRTCr7frvF5WizdEUI9u0OwI5ELg5M/86m/E2KBh3kbKcQ39NtmYO+Ob1d7drn fNeeNsqcZ0PNjFL6jIO2XUj9RWoBnEjI9lg135m6JhhFWHPwKNkhu12z26iHaP62H935Wa6e5bE9 95tdyBqL5AIouzsplgZmY2G8YiX0k84nKmr6D9siYZVvv4OIFJVZPy5lH9AD0DuWAvuV+S/UiGK+ LzZUUwBpfUYMymBF8DXq7qE4voGpvf6gKS8BL57F/XkP613wEuGHuLcs+P/8TkrqLBPdfZIp6ryf kDDHQ4LUGBTGtB03sZHGaJYQkVx0SaxKmCSFcRW1ORY5TEhyEGHhlDBl3laVesxm2gKNavePaVtd pEKkJK1vglPUfT4vHcwZDDy2f7YmqcoGjKi+PCu+6e1eVc8ZB4ZBKuZuiPoOYtXwEFiWQ+BP2D7N bjeZA7BdqMfVCkzbcNbEE7jfVymohVOME5njzZsX1OAwQm1JyOWHeabhGjcfMiSBWIlFOfeCOTXd JbrGCtIfLRMeEDVRHfbK1vyODrPHEdhAlznXd/1dulwKY3RfAM4tI0CWF0ji0Gd0Rep0WmQ6WIQH +WQF4eSfXvOVkI/NG4Gzcr7qnDyahlDlUcsr9r3Y2tyXq/DCQ+pi8WlEBTOVGLrMKehygisiCbTC pGgK2jVwmT36ehrnbhRM+8Qb5BSPwA2AM66IB0h/gHiwRCTAi0mprv563qHYvOyo376vPP3rPvtm pT3ilG9YYenNk8KYQ8ZawpCTUc6AFyFDpFeT3mTRALsRI4QwQJ2AbfhBowIBIUngnfQqwh1M3NuT RF8Ul6wMAM2y6v+ZhjycuQVn0RDy/u3/BvHPxmmjd2BkhEsQUHm7X74pKX57BN17fGvB+LVELZvL 3nD7/fEWABohxuzNyqOfR1TFLk9/ElE9bdQ6F1Schs2dCK1hfUpoqzH7RlDNyyTttr0dbQI/YIdY 0nQhlT4t9+iic3nmnnOqbZDuOZG0QzNnPnBmm6EmAvCiqxv5CDYfbuj5CH0wyYr49SS1My8GrMSe m3kyd95gijGK5yo4LeD2K8di2+YqPkAb2lhMBr9EaCiEyKnwLObgscnTFRQ9Kvk9YZAQzuygqfCD NQqgOZBtuVKhMtAYgYqRqBoqUPazHg4v6iD0EWNWM29NOCzGQ+1Rg5fBGEqjMbSO1Y+MIjhiJYK2 +zK+/SH3Xik00zsl1K/p/QkBGi2a1llwNjxAKAdWXoOd7kz9VaG3r1DS0av2hbZ3etIcJP/UElJM Dp/sSmgPnpbE7E1EzhAL9XsnnW78rApkPo9khMEi0XVe7SCmBpPzXIFbZ1MMvkEX/Kam87mtEM7h n9VCnpWTA1bOLUnNlhzK5niNITUnZoz9yQ7nl8JL/gLub3wCTUtuhoMVzE2h8FgQLp6JElf8nHMd TqMz4XYA5wtBqWupb9U17Xsu8fOttOEeF75DMQ4UdC+DUjULArOYjxvca9dNT4lO/W5Ts6PpEys7 ocvjnUu0a4Tp7ojXeNEdHrsePpZ7NOzm7hp8fsPY84j0oJ5EVX1JMBp24uryrBtWlsHco/xFKUco IURvR/gS8C17l4c5ux89Ws0rW2OutfdIg/hBWibAjGpg7AtGpoccIjPnjn2Co7U9woOycCigmqvU hPrs1F8o4dGA1VS7WKYcLCjzXVvzwcrNKxuWepK8Tw7gcc48Vf/sAD2OUo73mezj0YB9QYkFBj4N l2em4JrPSbZCYwiEGk26uHnD/oWdR9vEEA0/L2mlb385nOUIaWewY/DW3xr6JZUbhYDsrjDC2i64 5i748a4zNj83GO3C0FdoUQGrSnX5vOvt6qBlG8NUq2B0wZ35PwH/dci5F3lrIoWZdMKotD6c71i8 Be+/kH5whzyAGdX4Xzna2t5JtfTMbSTFHzQeCzNahERCaQG1Q4rwco7X+W4hAGcuCoHhcGkHuHY4 bM1Kq1jhUWciBUT2IQMGap1wglshHOedQ9gTKggXnUa5wGKitx7VutK4bQIak6I2cJ4QvOD5JJoa 66D4TQYkUaf+/Fa5fRYhCVr5wcZFSW4TyRJRWHB9UMScVti0KJx1DLhlTPChNgQt3nrS6pDPkwA4 87GFTd1IQ61w56GCD59F80JEUjFFhGLaLS5aTsTgLDCpOdiVYOCByS0BNBIEek8fwEUByvWyNhVq XjEAzF5c6PWpWSywvQxmlT2hAEvKcAH+KT1cHnElaeyaPEahihb5AIJFJ0LUOVOGVR4Fv4o3lu2y CBphf4R0Yrlb7L1z6K4hb57plmVRsSK09iZCJL8TtEFj16mZBgjZ9l+0byJdsLqeolyTV9ZNkPI5 Ot6tzrCFzQN8M86XusqArYOS5lzIKABXlR3up6tUakK15ooz9KTFTo/+MVoLBIpWcBbvxx4g9rTo t99hA/vYXc/rSIe5VL/ELXW+J2h1Cyo5I8E46w/s7YyntYl71qqIDodwt+llPNx4DPSWdpBPaakc sjiHc44uQZd3EmUkrP5gZXNXNdsbGm/NEEATxFPU/opd3PfNyX/XtsoGFyJvHm71S4SlCLZhV5Jo PgQaZzMytJLHjNjRaLuPCC6AholwlEdSO2uv2GipfSeeAEwbOnA42j2rnqaaSZ0FR0pMiYzkhLiF wJ16DBljMOoJinCRrMoum8JOIt13TRP5bnnLtR2EVEYjoJOSEleeLW6zLsToS0catjJx7j4pABSH SezEDkNTYfm/4cI3SDiMuoq2tz0lncdsw4Kkm6GdKsQ10NSfcZltxAX4PBcXQh9CFuWVDSlx4iZZ MdbITaHPXIhi5eDTSmTun4iX7kxwXK9w+IUFXmHGq/SiiPRcVk8KJ5HVV4BFGfHabQl8xovWwjR0 UxFsQ7AyrXTulaqEGztINyy1rExoIpq2U5PekXRwenQcwSzqEpfQPCB7xyIe1j3p28D9lzddbGLg +Hb/DngZ6GzwjI89Lbbm8a+NIShEuBHDR+L7ewhi+ca2mQopAgroXeAQo8hy0k4wYNnTtJPlPjDn Ebzh8bq4r/nOv+MR4xpeLddRUW2CqFjNAmiUrS0/UtDos0Xc4wY81LrmoNqJWmen6A+P9rypINeu CEfnXB+pmnGWOAcDxFmFEfN94qOuhgu4DoHSo7xGtccm8Fpct5r572OO8PPf51pnvOWf2F7HJi/e oABDC/norVo2TYop7jBj6Zkq9S3VRtTizdY47JHtT2gzrjaLPOBWUtbDxHr4sWUHYkAi6tgMYcfu KaT7tjED2NLFtet0uO9CAkwF5Fb0aoNXS8E9rLqpVe5vpP+wGfW4do4StqiNWkW5m3TUgJ7JSEao s71d8nOIwy3Ato6Y/AETPpl6lPJ9hG/87Xn1w2iG12kWkClKpjuXYTeUIxaqh3ijWRtMKz0tdxIB MO3vaRpl2x6sujtWlBaLgwrjjxTZRQFagGwOrzQoMemLa+XmaFrDys6k8TF6w7IDEbIXo4Gw/9uA BA2uCyFvpGuTVg1GPCscRmn088zFzjnQvI3N6/TVf/NvbECL4k1I1AgQWR6SE3+mWILbmsoSNy2s PCHgrb1rVkPpXdnd9+13ItUTpJGcMKTnGKtPica0kXg1EmqsuY9IRLHioR4ZFw42e0lcoFlMKQ0Q tbqmwOKQUkg/ty0FRPfR1hJE2Mbbl4+P3OzTq7lfslW4NtdBXvJBdI6UjdKCfjKoVOB4ZlwH77YS S0sI4OjKS2Z7umysbajUMnmzz/RJZiZyy76PyLYUlpQZBuROo2sCBfdu2iRyy4LF8pRZrgbOdxwa hlFzoeTnWpW2GdiuI1q93eLzLmNBt2S6c0ygp4schxZnbPAdXO8lT47TuqypwzoC3ZcshFo0rh6V hWjW5csFYhcl7G5mdyqOX9ZOzTDhdcdfh+7w/Iy5bRcYHHDGi+U/ax5xmS+N6N2cNGq0Y8v9WuJn 41+wBCBnD/L5Vs1b1lauZ02S4t3lReDRoJeniO8dUk81/MbLWRuYvzFa7QdQ7f5IPE4WwDoxvukP lN6S/ba748/2AK2I91ZFdRboWNAgPyEeODtONL5lMMBKiHzjo2uMmGwq0y/MHPGaX++rSRoUNFrH dyVcXi3M1W5fJ6xWjc5hzrzKvAPLi7mUzbzvFilegujTQqXBXbw0jLgkcppfuYyO8gemCLS1+t8t MJY50LUCKLSdBX5PoCp7bEEqUw5pFB2ACWv5w8aU06y5w9nWXVkx9q8L/LKCAF3c8CGLvRISlUQ6 9FW1VkVC0VuE1LH6CESoVQZnZu6hpr31pDYDvnHJTUuxLBpuHLeC8lDYZ0sL7MWsxUh0Usmcuv20 t7M3mVqeH2uBbjM+xRxF2arO4o4TqkyTaLkxJ/w61tOn+PGRUctOPcPpLNlb5MfWgt8N3c/tKB+5 273COrz+cc2JS74Yidse8UhmEgBSSFJzMIZccJ2EG4Em3bQ9ngOSmQEKC4qH9O4IwJk7YfzN/nqF 4NK0jL+kVVvT5rT7TqVI2QqCg+GA5jHd1jTOI79MfClkL+eV8NEZsd1ZK3LnkVegHei1kp0CWkPK JIDDRbZq0y507YPle1rsIC59PMLFNqyfxllRCk3kS3jv1aJtAZ8ZdEO/agqQFFCrc6ItsSr0ocQq x3ct+9FM34GnHvgBTRA5RinJCQLacng2vB2fsI0BdNwnbfhA4hm8NlrItG78WOgszfqo/PbY+Y1y qFHIkcM9IOuuUmvdOXOGdaXImt8R8UfyLM95/qkkK782bBUez5QisnRLqKvtX83tzaBo6qhmR5cU 1274GU97cert+900fkEPgnKnfbpHMUQfrIpRpStUUQwLl39UlqzL9RZCyEhTPLM6PKGhzCEOSYG+ HNUe1ICqgnQUsCStMDD6LaH4z6InUtXLOlpu24+hrbBjqhDdAiOnRVhsDwNew0gKN6C7P3fxbGue uZk9kGn3ug/tcI0o8JWYaPn+chGiG9teBN7GXyuESmR67eePHHzjqhDNggZF+GqTUfZ5Huekk8Wc Df7dJ4B9xG4nm/qJ8GSMoT9nCrDWw72xUXFfNWIimL1uKFy+gKbu1wQG6WW6Z58netpv/Rq8dDjU 8rE3UtxNdOPLF1ya5S0vq/ZaDg8FojLDjQ8U7TzkokG7Si2Lna2rCfC0Na67IwB8SQVyTVaKsyeY eStYsozyYw4aeQrGzbbornF8i4iIlIGTCgcwrdgjmw6G+Ya+0OxdCfaaGsH52Qfk7gYJEzwMWNtG BW4rIu3qupEOcfUX/ggbkFduspaVD1QwLatPphlNKdk6fnB5ssvo37P1MAULs5VxKhQJYK6EsEjA x0GwWydQpWnZDe1Iz/hJxgqWz1RZ+S+W5Nho2gUsrltdJs5DNYDElUE7n1OF+muCFmSWLzEKzxng gBh4n8aXZuGwjff1nTPoNDIZesMSlz6FAYVkXAjkEjFkwgtvaUf1w9Pw+GhBag7FhGnAy6YxCeQ+ QobZoJqmhHa9nsWkQzyRBp38zDclP5sfRWkTuFP5Gda5MbalKOwTC46kHzm2JOfuL0v6H1mz+QWY eEIYl0nb0McNM9hS33A6QyW05xQ7lma3DYlAzLDu+taojezTmF7vPgiog3xB/elnsj545nrwaTs1 vWkC4ffQi0jF9k3iGMTugRI5X1Yawad1cZTFou852xWQzIU9QfDHU6Mm8Ob2b67mLMKU7wMDYdzn z77VLmTMiRhksBW71Amwq1/XXfptpVHF1HCGpceZY/EjMlXvOi4Gs80bIH2ODEpDc23hiqFTLYbP Oe6qEgCj+g/6eIDeyX4IOOmmN5UzZk7naQmnBaiZ88Op/wrq9Vs1acYFJyIQtrsmDscqFQGpUnNY QQFDLNQwoGwDdHJ4/OobFQqxYpnTGAzmWFQKL1ULyjh2x0LCubilqdHGuVXPTeQ9lpYIXEkH8Ho/ 3n5CPSrTvbL1hCDj88iJbGbo3NBn5mtGjzeOSaAiTLr90zthaMsnDi8IItSV1ZLJbEcWR/Lp0juT CA22cZ05Lx5jVIJW+hFRztA4tF+HpnrsMrhpPS7uQt38/wrbzfQnxvhNCRIhHGy2qvZuof79yqId cF8jzBIYUvwv2FywbdjbztduoDgQOvxOxhqfJaEIxi1MZr1zAEfgUNGayjrw6OYnYlFeGNp3yjJM XJKNI4643C0Z0ZFhuzk4/SvUkU9tF88knGglKaHyRExqyY2bWor3wb3kaVj48sNe9ctFjqs9Ac34 fMjcanjYIJ6IDtWTwM1M3dolzE0e7vtZNmzyz9aS8rYLZE1zVc/zqi3FlIH6IjsuHBTHCMzLfA4g O7P7GW3gY/vIi3rlwlyTUgzeMRitDqjGXfMx7T3WbNyBEElAYq9J0beSu5gLgzsvHJJy88Yw4ek1 vCdsTtHLlVVGQP9T2F8wv2lY3Mf+vSLHvQDevgWIfyPONCmEJVnsD3Hlt9TXKojHH1HpQD1823mM QF1d1LMHKMAUttbxkx9f9AnRda4joIehQIi6fY7PnQv0yc8ImVpd7H4XIvym8Qy6IN6qbBAwogGM vR0i5qmL4ueTusec5+PWGTx4B2h5bfGbpCL1ewRH7ySbyKrnTXTgbbf1zTvrsWK0NDikl4Dt6tq2 dcDZSOA/F9AFmFCbO+oXt9GSddigg5HPLDM8jdQTbiHXQzuUf24+MNqQHohOQi/j5D9BtxhtCN/F XooGEkTC1OyxTnX81PPSsA8SA1XmGaP7fnF4aEoMl36MbzjzqHnoNeTRqTZEcoOtrEk6SbURH3Q0 1Lx3FHjgfBCL+tMorPpjwyB+nEjZ5nJ000bY3TLHvfVGGeqIAuDFbvTMTU12vfkB4JxOa4dn4udG xVI5qusGV7Q9pkAnL0QzswqGnxbFGHNHJzctm9PgR2gedmb6dQpp39sYDEkurSdI5uDNKzwZBus2 YgkMmJBAxeGDzgki2TcRwfGQRr3K61WSTQEjgrtRk4Q283BYOMsm3WLiNbR5e/Ity0rLmRHJ0bGB k38A51d2O6QYvZy0Ko7qX5bddcQb+wyLR6lZwe3zI6rJhL6yWJdLlHdbOA0uOoJlaxjJywQt0skc o2JZHNjMTOeON1QZYMUA2zvSY493YEPMF+d6Ix54QkyDut+/sWEzKVxwXyT706OsOfpi9U5lfahx uKL69j+YCpFTbAYXnEHTNyX2/OlKb7OMruxKr9ljPkeAkrRfjvORUuz+8hRtN69Zih7gz24+8gnT mVL3tetqHrE7T3q4CZz/lyI2PADb/2x/4sosS/bm7c50xY041FuXpTnaGrVyRcr0+X7eOPYDVOlO eqvVbecEhxU0yVzk2OqW5btia/CyW2L9XCqwswT9JJXH3nSGW9jOlcr/dPdzsv1xmbWYvx9LqafX gNHMNslOwtqAe5hR7nEjeBOnWlH+Lyq6vV99iTAb5tcGiDP8uteNyjLqNPh1kzy9ay1OPmq/pWuW LL+svXi3r9n6+2AXtohTiLtPU9hfKyx8AqivZIBa0Cz56/0xZlyJmFAljHWexOiqQRYVIQdgHRBj +HpUYZIfnUitNQC7ew7Zmtnt7Vk1p3ThnwDuMgrekLsc+wnAOg+0aorIA/mRR9XBWRZXyZRTEWeE eZzcT+I/FBO5CA34eOp9fYvjAVTCZhKJKu0vPK1VnSMGvu2axEYxn7i6Gz17JCbegWFtHHpK1aeU RrMszmzjNvfvsMUkPQD0uGuBwf9xuDw5Auq4RKsZBMvdOsRxt+4DNVXuo9JsyyyrOiKFejct0mgc NQV8gyRlcb2J3FW4pYl7838cDZqU5eGqV+16cIrbWsXggs6+d8wjKeAIG/7rPGE2Fbv9eXaV2AhY h41RZ1B5rjbvPk9EWN8zs3/uumKNsz5aDxh/TJu5s1Tkao6nuWGtz/PtpPFDIkY8dqvTVdJzbHZh tQJ+E6PLEVFgDYEF9WyjL7HnttiY23C9htQYgDzUoPfxmpInKKfAsIVfYRBatdZTcZdSA+lRyQ8o LJyZlEfmG/+YAFDHKHf05seIH3iKGXO2gdmxII3YcsnbC8iwcrN/LKxODCL3l0j/OoxMBxbQqbOc PnmryhKdo1yi6dEmdRnA7mxN9IIkot3TGvbKxIzuSh5RQvWtWmSTQPADJZ5pcfdvn3PCoL0eqxkX fchdRZvcG2Tab6r26fQaPNNEdtFNpDZXDHiwTt0urOrrEjHI6xQf6QNL6WCCfhoebCGU/0p03ZRp VepikSgDH66LzuKXg/jVK1tOS1x2eCkBZ33CN+ggMpo3u73ZJYL3b47NBJWOj6O6czmfd+aKMyfF uiUOb0CqvKbgNN01ESfUSOFQv3+OGlRime56QrMZM3Jdg7BO6eyPZJ40PkHltVEWZEaYU9SoUjqX j+m65dGyXDhf7B0odly+NEcys2ih1nyKPdao7aQRwgujcFGMun/cM+O5/ocHJePodxhw+57xZzTC qvaghhopVAf6YZ6juc6wN6zjnZalUCWfeDf0i4v9FxJ594/Ndoc9lwMvBuYkVGRKl+Aeipmw1yKj 0RrKXO34cb8kh+LwL+WuQWWm3R9QSOvNJeEOA2vvuIFvYnvzOZxS6IDPQbX+wPLZ66jCuiM5P1+E D5+HZdlBmxKOl/JTDlp/SWl3uS1zlxDYhQenet8j97tm2V1taOjVRNV2Aqx7P9cFoROpyHN+sZzc 3qGtIHuVaxME1Sd3cqlaMFgtMK0uwWUNGQd2bkuxQ1fm9+LnRw68RpKKMYnG4oEN/MaLqulBYLS7 KziQAX28EWkFIiPBClfQ5cFB4x7IGoaj7T/hBEe4lmpFOOM9bxyEpqjuo/1kqH67L3ahi6pwGc5L gRHKmRdELaUJzfaDJMWgvC8YBDoHfbQ/psbViVSy1SQAhOdM/uWJurOxkZHyzLsNkanhCMS8lQb7 1jILGBvD2cLghDfCsozCR091q8dgf+Bpqo8GceB+ch6hhCMHoMZMn35TS0pImbBHVbdhgNbIpmGR iowwh1/OWl9OFJWUqVCTANojT/s8VRk/a7zAscxgLX3/wrKis5lGtrkzgyDbVdjDqT1KiRKRc2i4 ZeQwO/NNsiwagp62Dow9Je+mMEWBmC0slEo0fJIoeaxUwPdF8Rg8PeDAv3vAIyNLNAEqC6qSYqr/ L88Shg8SoTE6ioFCDcIMrNBD3Sje1dKBKjHZSZGnY4TbER56LaiP9CNkFAF+6tzUUfugM6D9v/Vp cmptbRvPDcpyQFFb0oSj7QI7u11IumLtl1uDyycCWFe8ffNp2p+iB5qPnX8d9XSrwCXA5t4mlXRC cYFp7MNLmfVnjnAHzDKrHUrJ0lqMeVGGMjWMVYkyQAKeWf73+TbCZfn/sOiKi1XPngjl7NXJEzVJ 2xd4TZ/LllVw2torfhYvjxH/ykzDbyBWJ0mcjiMxL5n7pUkuiYVmBiBm793bZquRXX/a6ivJqQ5b MTnqOzQpNy+0yr1Eg0O08NKLdiC+0GP6s3Wk2ApX2RWxUetJk+FkO5VXXSahYShpipGaVOoQYccK TO0qtmDTp4ANRxTnBAaKKBCqlpyCz7lc14LcRGyunNEj+xSRShGKuCbr+EqeP0BC5CsJoI1sX7Pd KrgrLAu3QQdTIN3fdHJ41PuV/vuEXJIQkDd02RuM+gXkDbkwHZrLe/24UM34+B+R4LecGDbaGxFC 2iX2I41JHF8AN4IDJDq3jupHk7HlEUGF7MR5mB+3ynMusov+rZ3CYpV3azE5rPs064L5w6K2eWdJ 2pJUnETSG7TfN9X/b3VcOU2C6Cs4mVRIQqJw7iG+o7TPWuwXa4p6daQNKOvd0dueX4+pFfYTbXGV VzMKPjaeIlurVZvTajVHGYA/7b+kjGIOBT/E9Uz72lIVoyRCvbI7800gKT/ScmS4HZmhGIA9gAwq lucukbf0n4BvzqTZ5Glar5uy6E5dR7PZKH2Rdg4JXPgzyoVjAsWaTConi/KZFXbhX+mXupmKI2xm NG+/F/1mK4eoZqCopZkIajoxttJVHKvGTBXSGpOGUUv0e3Ca/AT2MGYdK7/MVGBcN3lScXtiWDv3 V+iv3HrTPMCyK5intd58nm3lFUfom9ixlwMwxD5VEzAegdzY0w0CKcQgmgWgzy1SFkBfRcS6EGu9 xT2j2iaBhh7Rn9RTaL/okUfHB4XiQ39AwSE0mzXxFDWsP3DgrJKcjfKETbuT/yaMGiuMSNHNUBc2 IAprnA/fQsjGM72EhyFLSkMGVs9A1eNZV+eKO9WcZOm0njj556kLROae72qnyuUkmkRK9FoR/oGZ PDYvP5aPjGQ5jSqOPqJXzkHpXIMfG8h4eGcIQhi+XmbboRNxE7Ioky1aUzatcMX48pX5ma8LhCDR bE/DMt2BkTunqhyW9mIQDi/ReNeNOgWHKD1eUcvlYjcdfzvZms+DlI6QTE+QAcOUcoUZuMMxXDgC 6VIQq1M08ttKiY25wNWusDFPNsLXqwy1L3MO3JDBSeMD5tzJgTMuVvkcN+R/R6m7sPPy/26YRJF7 SqvPam3u360cxt9leFBq/cW2hWUl9F2W488MjUmnIdkZQ/izREC+p7p6exv6ALZC0RW20X2q9+bt AFrteAmaqMk1afQdL7NwFkxct6Gplf1CEA74oi8rgY+0U2y5N5w/SnJ6B7TLpI9j5byAKXQ2Xz55 OjOr/WD5vYP70VGwf/73k8Lw1HLH/ul+WH1hzfArN8YcqSsV6wM9PrcPcppy2/H8FmPhV1tPZry+ 21vLgNKtKFM33VQXZiMfOTf1pybsFNKTqWoIIKkdQT0z+rZCdW8MSXN54OxF9xtdk7nH4FQ+kKes EXrtguClW+5mcwVBRLQpNS2DCroxFAewOgvfZsfmHT8FNsRvHWBbf3AuEmDUhxQt8ql75T5J12DK 5Y0IMgnjaJnMbaYSvlBlNqiRq7Oupo1nNJxi1dJmKm6rxF28RbGc3qYtUZ9ky1MDAhJKuhLEHfqI GDnqJNFnhKMzBjBPr0FM/Y4gBlXsEgFyxOYxPtNL7vLibyddY5BUuIb57n0bqHkp1QPQkwin7BZj S0m03aUHVhBHdBztwtPG3K0uaZasU4SUqAqz9ulk0U6jQoevoHCSEBLJ6DFyd4epTq0fAPZBueRc tlL2UgVSi4irRkxmA/p7KRa3VfrU8D9wiN7/vEYfpnVxBncGsf91zqFGdkNTqT4i/GkAGxjNpFC0 xN3PpnkQI2TyGKMP/x6dCm6oJKYnwpNPV/gEwTmBPFpda/0oDqn9EfJArrTtqlI2La89OjEKyKhb 7EWI9tsprCDxvldH7OeKwTQNBPHQotePHMyutu1UhUDS0A5Ga4jjZHNiYnpq07+JbO7TgXHgC0VX B1mwICitMR+EXzM0UQozIJOxmtsXlEvBG6vJ6cayWtKGDQc8E4ji9/B2facs+ZakR4/7l34u1TRx QpMXlzkuColJB95mgWHKOh0zQludIVaGF738837ByE86jwNN8pgV4X+hazqihcQIXc9cjhE/lXRe WWVZvNYCsYiOA3SGZ0BzSr7xV7QhXB1ZNjgOuaMaEPBE8rCq5MqTUSrNnSo3KvmEDweeW+2pF4G9 if4rdZfSsm7PBkeFiw2lNwsESahnhU/Wun3GVJSshyFfdTiGyU4agHY6y/mAZeMsa9lwNv9MIe6W Ka6IqUijbXIzM3iXSaI3N1RUVKJ5gYMhXkuPJc7ZTIDCJuMOvVQXagvTIgCNHsk7mIHPcl4gbWSS yQMzbOFsvSpNNb/k+07N+he4Ir+k+Sir24Pzt8hmHPynMdQcFpBJ5Ob3jngO6SGzQN2fOe2Vg845 D4snfNef5BCSMR+tmgY0lw/d7MzPy3UY/uVSh1wF2YfIo+lLrinQ/52inbUc8xY5IR9i3bSjhcXa g1jvzxhD3YRWSQuyzSkLL5lewKOGc3kudjIPyHQ3Ug+F8DuqTc6QJH4YL1ghtZzJW014PwOORkY/ 8oH0YhhQDkYWzCoP5FykempiYK9d7L5LMgzaqS5TfcX0DkORiBfYyMTr5H7Ku+Gl714xt4biPkWv 9z+KTlKwBnAjhHIsYcin6es3uzRS9Bh0ZRJ1XbjkqSKZNXmpg6tgvER+9Pw05LF/VKX+hsdZEEwb q59desTPqd+f25uR0kmfyNxN79xdn3KtCw97CoRf+G9IgOmGl5cDKLwhgjxVbeUMKlHqulT92MxR 7wtkjk2zOmjcxmL3R1B8qClrKZHk+SG2hBDvdmLBUzt0j/tro0w5Hw3K8zZluaQ96dB1sbiFH0yF l1O+wQNLfyeHFRefBodO+p8M8Rnexf5afiTxsUZHMcZUtT9Gb7NtqJJAcKfiluKYLhaDa5L6At9U EYPpub7MTvdwzYaOTiXzFmJrq5KYyim0RDjbVj10F9eUhzZXsp3oUTLT0mhogKsmkA62s0yWqzwL hGP0JBeiTSchKur5rIUsehGHud5d1r4q8KnMpSGlIGdPEg4R0T6Vk4mpa0lwB1m28LIHgc27/zQt kedbYXkf1DsmoOYJCTTsqOn638/Lc436IDVrDcpHwTRtpvxDE/qJREuapNNhCi4mapLjSAfY6OP3 iSD4ZeajBXAbwRcjgccyYW+gFKZ4qi/maavJugYiinmFPtWuJcTXqa8Oo5B7utgNwQVr4YO5U3QW t/MjJgD5XQMqvkGxr8CLoKvK1kjzTWmC4ur1agvbMdOAfYjKnTHbJGaROmHAuFX7IJGKc2rtpaf4 6C8/2cYXxIdb/O7deWuw6dJadxEiojienk5ix7AqXo24Jh9TuG0KupALCAPJ67ItGT5zQuhrplCH ZhGD0WJQaUVK+GpPyvLtor2ifjHqIWTv/SfWJoa4xEky0L3gRhxuJ2iQzAth+OT+lfXKVH6hnA9C v7CWS5CTsGISF7uf7PUUVf1FRBhxTP3TGFmwAE7E+uHFPuvwL+abdCbbgr+bC3YbSwjX3LffTQru OpLGsLyUeNc5q8NPHXQ7tjyVri1py4i69dSQy9IvEBSIitbYfc3iG4RZZv2rjG4Hpt6GO/HT/av+ IPPzV0Qe2zUBktZmWjvzkH1/QhXpz7/3fj4rVzzc0oSq5cP/jBsxbQvlPFg8Doz4ao3ymTtTRlTK NrFNeuaUr4wR+r212zGEsZXRp9iQloStPsATa3vwWQmTt2+X1ejJG4zVsu46PMpjZSAYcPi2NqAP 9R1TtU/1OVkw7MsGmy5LAoA/slDdbLWuSgPsXMj8DgAIroxFhFdcLDdhPwv2mtfeanOmRjlrbI9S y3g/2OTVtzDKrgjAciG56gPwBMkF9H3JXpENWDDv5ETP9WTyKXq+HEzfbx2l9s7iEj3Bv0k1n/Nt U6IBLHzjRLpNUj1DGWCUxr2ebO2uHdcOcvT10oiXt6uE5nwkON0jjtJqfo02f2xguwDaviG9WLRb 19BipbCl82ureDNI8+6yAajgN2m/BS6pE4dU5WMNi2Y5iexRm9PjpHsgAN3J691fmoCbrKS7KEDO CmdHucRwQ7tXy17G9s1/2CrN79Akk+A1Fw0jK5EnukofK51j2VLdGaFIFvKUfCwSlSAGDSlyiUaW ixNCoF85anlyu+HcM6xnUp7H5VWKloEPl0mYsj5oLDe0CSwOwjgOEAMnaIk0GOp2BQfWqrGbComw PY3UtAKIVMJA6dZwP6SS8zb+tgHt2Vf7duuXv+WwEAGHrjuSbM4eUA2JgO0RKLzm2x5ntmoFp7Cc xggaDBMx0DyM8MTvdnuBfZBEu1uFJ4jhSULntzR2jcIQu/owBtYnQw8uzWdt42XzuzxNSbAxDoY0 fXzOgvvj4IqnIA5qBhiT3py/rETb7jENqtV938vUOmQVxOO3bRyRVdgYmmlEsZzhaspGpktPxV7x elwwo8lBIoLz2pwqNT96xRA4sb+ukm0RHZIyK9A+enHXL5yweS31Wa7Z3wBsnN2XctRm7nVSp6ct ccLdH6VlmoTII4Y42yAQ2ikaumAIfkuKKN4vQLFwbcXqcCBlMypqxol7JjxW3cOZrnWhsX443PX2 K/5zIxUsnT94HPNjUivEz0R8bbBIpDOGqU/7KSxnRQXZ4pfTU1jmN+4WS7DGSMKWNBE4KapjZQId GlBdsu+d77DZTgHokM4Y++w6uwyWkVHZMA4u7QEWHPbHirvoqt8aBYS1p2TwUYQ2lzBwHjmu6JHy fjuFw5muW01l8Mw9XH6TeDGAC2l+bfL1w41xqE9nB2UKIZz36TEngMqf5dy9NsZb+ZPLQa7VG8KJ lz1sDGtLMZ0LDZRip/BG6rgi5VgDIi0UAZsf3314Cqa8M865A2O/s3HLt0nSXixcAYPsEaUD0IHh cdtJRabAszPS7SFouExd+c1MkbujXVYavX4g7TgrqOQM88wf8OS9o/BpZa0lS34/P56Odt/NYahE 2gbkdpWPIliEpVJ0Xjhy8Z9mckzzXTwF1C1eVXY6tmOtr+Ri4NpIoKVFxtGSRQfQiH4gwQCXplL6 WRRMammhLVnMR/Kjr+e4poc1VdkoiEJoKX9Q1xiABfuHVF0MoRyMYZPSny2bzidjVaOdLOWlDF3f 2NpCG7GWgh0U5PeLC9nOhx7RYthvcJHwYVn4lY1RoBmrTiYduFuypQKsgwxG+7aK92W7jlTajsaJ IOxNJWwD02RuJYsVf4Q2cDO0Dmhv8FZdy9PCCameDPIV7GcO3GNwXOC7GMPIpkOBuWXVGzta2Urc ZZ+mNsAIw3srub0KSlQ+S0r5gkwWmlpYsj/ykGw092qXpecu5HGFGrr1lBxazDrptnahUdBQxpKm AE+yAw63juNXQMmS5jtIYkkn8ssi5Lw/GJljTxHEQsruUXMexLmH0DNnUKAAxqpJJEbcgBwi0xfE +JkIbgnvGiQHHAhnuTjL+0d2NzL/43wGuET8+/h/cVyjcguwfBdwmzEU0bYKUR6P5T6bOvWK5f9d T3LnOqAVa15QveXUUVGJ71EA3Z6PgN6GGhwmY96nvLrSKtNwIZRWVr70YNR0FO58/bHC1TybLLoB tUnpzQsbGLUk3sbrQ8VzHATg6h3h4NINOYuTHEAonngVkRa0BPigizYwc13YDgKeOaKDcBJZxTut MxfI1OjF0m5sPvViamB0Gkfuy2V3qMzeoTVapjxDSqu8IwwKuoMFd8ZSZM9hpa/hy8+N3zYeShUu ZUgCvV5wOukZYvCZVvZQw/m265K6Xbo8taYVsyMTXpBMCzXdU3aCbqO1zbHqp2jSyc6Bjjcs8Ht3 anZH0pFten/zCQtbEBFkuFVJ7ysg0IPY/419T1xhVQBLLbuNdUuftnHUxbYyAUeVsPw5mKkQL76b wOE/3HNWfRMAHqdn1YytI2wpk8+qosejFBf5/41GVt3MwmEWsG4o7wxOkj3rfApW1M4ceXSNUZ6K yJ5lvPBY2jHD9M/SIUtxWTOHprxo4cnjmX/Qz4z69uqzkXcRIIiR3mVXveZalcrDdLp1xKnzR82F OR9StuprgbqHICVLIVROCiA/K+n/NrzeKBDPuop96zSvUcb/4uzkd2oFE8NDRuRuyBzRrMgMMsh0 LydftExBf3WLyBZJyoYfpSVVM6ohSi4gnWEowZDxWFbtlZgMFW1tqo47Jr0mDmzdeZzXjsfQ3nFv tA6cRlcDWix1l5YuUyJLQfnzvRu91bkN8j8FbM/a/+gSiSuaGRP4bhV+y5K+FJbSUNEVpkMzgVpN nmoYoNdf01XRzhAVuHE1lK3Sum5v+Tq29W0Pdr65oqDI9UPhqAo+S4o/avG2U2XfCYO8cYCXTmWQ Fbgp3cfaZm8HznMSqskOMOu1c/rjS5G6x32WP2oDvTTsgq22ABa6C3v9Ds4pWHzubIVnMnuv+3zC gExm9FH22DuePnkGJJ6NEN5LJCB50fplzI4qGcbavZIc0ifHC2KMFEdoWKZ8zV1itf4O9DEfg4yb Zu1km+o7I4pyNSVEhMq7qCxHrks4Xfsuv8Etu8Jes/hB09QTL2qKQfwFAQXOn2kNRvJctnyBSEc8 cW+AeO52Ru1IRN8wYxMNZfLC3Fhq3rWkCwf0uw6VEThCFcZCvK3OV/8tNqwQiMhoTdBtG17g31W/ GxSpJuJLZpfJ3rfy0nMa9rvVxfd2qud/khJdBmQtgkrpQM6YR8JzfIwgiK9cFtBQZT8QJK6botvO oiSHAStM8whD0BOioWvRCBr75EaDkqV/m/KXmYTdqjpPaDF+vKlQEjC1+wp7f2fBaZaKcbFlRw09 5LyxV6+MKT9zQIMldrGWuWAaqbaP3fB1PxAprboENkcBSzmDGof4J61efR2Vt9xtRF2xqaFN4Zyk hxhsa1bE68QRHcVePBAipktYPsVTX19tvVsKC2f+rn9RkVwtZYwLBFFG9F5kkj4/uidi/I7946Gp C/th3MGJCtPItZ8RGYESRi1SyUo0j+jiGio9biY2DXdiwtAgRuE8kMAigx98FORy83vquK8bQPnH 9KUChbYhbogXfI9eekmmrET2H1IFlhN+4gVHalfwMJTN3s1/cIii16ZrR5LFwiLYq6kgglnrhS9A UKOk9TBlbbsbHjbQZY0WYMcPlxtM6lymVagtrYuj86eWIm/D49owpT7xRsgBPFiPvp9Il4d8mqKQ qASubd5D2h1tHB385qkLa8SfzkXUKzbOa8cAx67pWfu1Bb7DkG/5E7ocm78KQFrxxz1+KJ4DMlaX ZTA0RKhiaff+bnjJR5kE5jM0qoHsS4GzAslg5Ozeh2GwZzjDCp+OaPHWBTAQDYGPaTc6PUQliEta 5jHkxIj7PCZmz8QUrd5nGdV6GE9VUVnfinFB5iqYyQFWl27Ffckbl1DEuhIFvAmqTwCnvyiEIeMs fFpgBrTDUZ1rL1Jp0WLMM7ftwy+oLkBNd/3HUJ95U9g+XEn30xnThHvHG1AEa1YBSDlf9rMQG78t YWZH3DJmtrTIMgIZ/jjLvqwbNaS0Hd1abs3Vt9f+4RySTPJz9kngylzGvKXoUOVO73o09lcF3TI2 kYSQX0iyfN6VkPnJv2j25p7plcKp6AJ08jrlsRZXkTEngQl340B6ZADRbu6AhFNg0ZOd3t935e6P 5OHaXF7ixfxwWnofmaRRvjO5pynKuY+T/E9vVjpZpr/0nbVwvP7xuRwKsjk8Gwl0GvROaGfdH09v 4HU1QrS4D9XxHpYceL0H3JFecdHuDvKcCqSbK0ZlA0HnwrIVgtH9x6fd/MCt6PME1Alo5SA36h9J hq3QMuVYxf7mo4P0Qik11gIgdf1fVbEp93Oy4fPnqlO0QHV3xSKccsbEPHkm10+spB1zntC3s4ei O//iD/tcg5+ccmHPJlpzOiMWfrdIuHamDKE/OzsPwUWfmyieW+lgPDsCZkRcQX2m1kVnjulVbRRC CWB2u8bnDpthXAMzqQre/eJYzspMW9toHa/tFibvchNkNMTU06VVVoBi15DLWeUp1Q4XbqSDuZBq i9cXrQrjuiVNX3OCMyP+yjJopQVTcH/6cp0UerBAWLMQz/cFSguqM35vBWuZyk5LmtnYAtWFeKvN APMLMxt4aMPDd9VU/RxWADpadKoDpIAWxK5pdDHhyvQ9AgNELFna2H/PwQFj+DCzDa0c45RwyOAR tbAZgZMWGcE6J0P+My18uUwp8C+Us3i0HDZkOTO//JnLHiIrrTwsZ+scNSEoRwVcVq5K0te151aZ 2vUSNDlYwpoGnZh4bVJtDlitzlnYolhOlQqnKwzyXTekifvptTTl4PdhroLU5DIsM+/okXqChgaR SfnfvbRfDwDkhlhsuvd7p8dTgI8kQNVWUGgww+GLRZs6Y3hPcptA56lvZSvXVWzTKbBYwyf+Hg96 VGzm93xvhEVbNXovOmkYx8G0GzBUqv6cylqqMv+cOC+pocaU/n4zF13lNt943OkPkd191yxxJ4m7 r6E/HraJM+xH0gJiyKrkf8gr96KvP0MVeJ14lAZGir/1DYc74vplwm6mxava6x5ZfwDaNPwh8jBu n038sa2l/bYv3iIq2biynALNCiHaRjEKHUjGwInFjVCjW01z6DvAcgym4WGCuer9SrlSdO242MBV QWRkxZ7mqIBNdNydovbGnn+Kt7UOlAayO9w9256UMJUsP2Nrwdus4MY+7cJgzdPitL+ZsF7iqrk4 nAmjwGzfl6M0r3fpEbFYmyzDU0P1A6GsBnBwcLptJWZRv5GkpUyUoN4jXNHToqPnx2284YKYNOMY 3bbSFhUwetfYuQt8cJx8GpDwFbfwpNGIPtTsdUCYXc6oqvflf8/2FrMiLO84l+p5L1Zb/IkeqVBw c0DVvU1iEEIsLdEQUCkQfHp2/aNS23fM7YqNlGLwUJ4oo/OZQZi3vfbXuugTc7nbQ1KY4POebjep Cyqu9euKLPtmzkCtsKbjOu0nyvoflNafSTBQJXDHCwQbK73PTggf9hbfxxgF71eTjaC5iZ68dy0q jKFtPWWuUOOowqtXyzupLh9FZNySXd1MPlIdk+fLgdVKV8OCv3LHRjPxBNYSG0fn9PHNMpi15UnK CqCaeFALLfV4ZbVr62mYi1iKw1NMY7Za+oQ7yvVatZMOpoQHJLeSgND+IgbudZrpf0R+eyFBSO9G BqBcjny+tqvguFoKhnUc3I+w1MqS52+j2tTLmjXdNAT33Bh07wTJqIhcAsTK2EV4VU+k/sqvmpxM GRHaAD+4y1sDZ1jF52zPywxW9FrbiUWqYLwIChhIL07Ch7d29HlF3HJA/nBXUV527FAaU+4kugDR W669TjrHlalJgM72cUwOzo4LM15XqkuNQB8Jf9liWP2Eur++FX/qSKMP1QJfFOhOYkeplqV2rZNf OMLWYnBj+CSqwS5kEH4eLrL8qd23Aq1CEilfE5qd1SXHtcGbTqZimi/fE2SKFm3q0lX6JIF3mAqK hkzmZS2r2a/mTUpG1zuGBWYWhh4EUQgjSXPly5YEXRRL5q/1ljEyx3UzwCxG8c2uuDjlX83fgEPl s075RM2OVz3hSetL57Gwk6zDm+/JWsGMUSB6idYWn7Oq+haVQdApHoLfe0PAeNRDnUjMFNTzuY04 DwGuj1TAS73e0cK1YYobBACeCxzD4QPC8ru/LHLbQeOv6Mc345LAnL4S3plgRhq+7cKMvjTqItdx 8ebO3dXYIFSY7QN5NBOOuA3KzFLkgF8Z/P7g0yW2nD71/cqDRyMWlO2kZ+E5KJvuQJ0Y2/fZ4RG8 +MSjVwomX5sCdzkv1C6/cx17sp42dVMgtLpSFgYnd7mWGRXc1WnZQ/Z9UHkaV7ZPjl/WyNZXRXXk IW+EPMaCRXChpK4JmjCvyxLnlKaKitUQ0mkvHyN7r1VV0gbrgxEL/tQjth7fwfhC7jZyYd218cp6 A3S3Qr2RhyjWNEoExXScwX49ckbn4cr7QRaupX2bVCanbBPKW0h8KQTi65PAwBp+Sr0tc7jxtT+o RwMW+wdR+ZEC0ud+wkbHgSAw7N++11HO7ODkcOVySy8eMkhyecZBxMHCz2l8+Kul6tKIdI21yYyX JGbzyBOrKMJkUQ6noEDOCxhKWOGPfzaxvzrMyLBLrE8/4ssXbk95LnMtM7mTO0jXf/6fQi1O9DPW EtrQ7ehzJ3OISwT4Q7MDkVrF4CWNgr1CF3p/bB9jhUsLWOOQO7/xs+lGWWwz6XnN7EH2phVMOQih zIy4e6+EYsVt3jPwSHtYD87Z0d/qkc1/VzHHZsaDzJySKtq7gXMJfJYqyLUBhv5sZvMQ1EJcxUVF Koj2rWu754OuMKCsy3rq3q8E0Sr28a5yu7bvMPfCLou2JmYOez3l71ymAOwtolOHzdnYP2clRkQo YiY5RyigA4Ogghg8uqUBqEWvoe/soMgqVPkxLt2wIRDO7OPw5UycIUhCHHS/xlbRlzjYiD8BcFBK RHwsEk4LjZsCKbVm09GYZgwf1Ukjg4nbQrhopUPlFixbFaeZuiACRQo2Mmi7acy6C3jNjrRJ07dS hydgubqq5O6CuqKYnFHMOxL8RteUV8f4pSK1hKca5q8n8xadcsP/gsQ3iv5HugTKEqp5COptidir 7yNHINS8I8jkroq9W4+/4GYKQtsfGXW6Efb1s7TWr75Z5+/P2rcM0mPnW5olMTw6hCUCsUkwLAG3 kATzFZC4oLSrAmZawmYJ0B0ubd9hzFfCNcqHcnAvEWcXtvqdx+UNNHcPnInEbWoQgLK7RP5TNcvy J3sQv2T0G1qSzZ+ShzJ025OdpKXAlQJaXVpC+SDUSvdqm4vIx5yBhhStDmI8QfuRWBtoEliATiZs HPI+yciR+PWq9nvfrhR5z+5HswCy0l+I9ZLkqS0lRchcvqpXMSbUK+nutFm/6YNyW+N04cY6mqh+ L6xR89+tygzPZVAXEkp9sSGdA8fSWO11A50MGNRbMmJcwybWVPkgHob6Q4GXfhQXFQ+egqe30BgC AyuwaGgHSkzm4PVibcSY7fqUJGTebGj3PMMReE5iE+ghaLwX6oZR1bhiJjG3JmGuNWbocr+G+Uyh wsQnvQqH7dy9+NTmK3paWKdBJQDJBkFvCLwLtYSWddkZ2FShqZq+igS5104jnUSwksHwbt/0YKy5 ldXCpZl1P+DfvDXquIHfk9+74Jcq4nTLnsX/we/uTA5NNH7C83n3qRP1+qq0T8f+kLfvuU0twZjk siIpNBSeDGzMXwhdgSnDMsl6rguCNKaM+z2xXW+bZ+3mzI955YZv69nOFMC8PhP+lgGbUW2hvYt/ WpPttFAuxdr9Zf5ktVGkfnrpupZ9BeeaN8WJNOeMCFeiHpz8T38Jq0f4vC5uV2DzI3C3kJypgBqj krNTXSC5hQdzoQesiXotNYx34m2gyAAxTzC3jQjN5/+kA8eMAzgGSblmXM1FwFa/t/o1eM6mrKa/ WrjqKcjnFc4EyiBi1oU62grX6blqSiG/wczkI2orQMxo4oWmlasaaQZIoQ0uYt+IAuumR6c+w8dl 0rChZ60eCRvIhELdfnwPRhlDw8Y+DDaZBRfxu0cHsyIC5DMgLdhDNcDUMH8+Nri4EAHanun0wbsR ZRx/MhO5kxYsXgjSX2wTtsX1Su437FbDoNI/oAjkeekUgEwO+jwUht2b6WPoPhqQlI5U3Ef3+5V5 dyU0Uaa8intuIcV+x/OZ8RgI+zXagFTYVKvHw8AbHAvgIG6K3zxtgusADS7QTNRyK/j83V1wT3aw XdPCaIcSwt/qTZZUK9VFesIG2OEuC2csObDxk5GU/jw7bAFN1Ei0sQgAPgRWxrQnY18kDNRWzkY/ tKHEP++aTv41dy/oUNYRt85rdEnly5S5RpZo2EC3b443JhZVr58GTWfNDhM/mu+MdiMOxkwwkWH2 XuauwXhUH4vhwQLKTPml4EB3MkOQ7oIK/0LvbkLPkzWgG8BJWbMC39ee4drDjoIcm8WkWCNahnfa qCtB9FZP64fsrtwBKOGCwFCsao5gN3GyJiURdeTYS8W83CjBDl4ThCh5Fnat0WcI+u8mjQep8TQK 2W2arG9/BjDX00WWIwi8LcJQKqGkTIf5I0UM+zbvKMBWCdic8lWHnVZgjz6k//WWsl2stbrkXXMh ikstzwZkFpAmIevDrxs2j36ZPFmSsOi8fz795DugrVBMEkumKnztXEalbQd56oZM71WJkcHM2iUT WWi/86SKe63PheohNfWj7Ty2dlAc2Us/r4tm6ljI/ldW1IrWBtYB8oRF10nF9yqG4UaHgMBRDjil QpOOUFS6OuSvsAovJ+c5m/SXKCd/wM/cebkmpd0S9cl6OqJR+4l8RufJgWlKe+55cA/DWJnUZLV+ gOPlZEQU4PQFl4VGiu5e8N2Va+f21JmR+M6aQXtu94SqIxVUBwtXnuflVIDz8Spho9pnVFnjGASn A9eyvPytAK65QMjU0297Zfn2AIMDmUvcU9vRllrcIpjc6Oe2TuBAO77koXGC/wVfrcApnl6Akp3F Ol6q+UxrWcqaX1MHGa3E/n0oOr8YUYEtAHhnLokBOgQUyKJv0VNzHIpaBQMS+ezSNuf1oz93MKvl f97dvaulKbRIz+pz6vUmuCnwJ/OcPsulKLoxO0PCGokPgwyUdkbdFsbY19MS3u5cqXW+uV6ffD/9 CpSermAH5E1OyrAa+xsBjXhUdxyhQTnxRbgZZUlfCaNh7sl2bQOC9KLQKULUQ/0uOFsW4THh4fJB E3G2MH5xqZ0SVvNeJSVpFDbIR7o+Hg859gmm5tPrwB8jLF5UuGNDe5DsL4WbZ/86kmdI4cRDXuVP PXKt7VnxNag6ih3SJAOo3C7Fegtynl58Wr/FSqgJt4P9UArnRzzM2ORqKHRxzt8tkA4K7t4AcARE soHGW29uZGZp3UJvqUCdr7wWKUm3CE2xdtJZ55/WECQ3wSv0kLwN5FXITHZ/8t/ac2v1caV5UKVN IyxiezxxAWS89LFoo65EUFfYm/tqeYUQLhPqGT7mBsNxpM3E4+rSNgMKChXCfTmpCtuEx0dktffw L4YdFBOGXJYTC0t76CIaGbxLX+UK3ffGLcP3/jGT96kzI5GFiKAzfhGHR5O/y2r7OF9EmsVLUsz1 62KHSW2qDpT+ztv2W2lRt7BPMRl6OUB1NbFjq+lHi6owOOeKa9z8wMuwfSD9yiMm/7L0aO3jnJw+ AdRYgsf8T0aLfQNBqpt0mt4m6s9O4QJQciI6aX1jgQ3k5vDgMaxqxUkFwx9OoPC/B1rg6gZOaLyA dp2YvdpPXA+n29ZNj155obBsaqUO9GqgCgf3Z6raeVyRf5koBTvHcHpTQy9nCDjVPio1GG1qMuKS dHTMQT+fuKO4dwKqWeHf1UKLZsLZyZMivmoPJq57Uuss8PzD06CTu1mRbM9ELZrAsy/0xpXW+M68 KjcrpLwxOUZbqDa1IlqI3QzKUfGzlHJWNm14LZlniXIGT2xLyjw8aJO8pjeCSXMgn2yGLoUWObjH gJB791iKN1YtKh7ex0p9RrbSGJgmK3o94gszmXxIQw7pRh8TcxzU0P3+3BU5l2ppz5duxcwINryn 24lfvYtgIzIpYDT0e3VOpZsLwun6DdE1U6FZmL/Lf3CQFQo7AISyqFOSeVcD1k8aWg5MPpoLJ7Yy RVzZIWcC2npvDKQ4izbHEEGUkZra5zmyKv02WZ0ADXQmdEhjPwIIcLfveRSaVfW+3WxD303MZJWV oTsHeAU4mp1CqO5Kajebk3dOiPUBQym2i7pXVNFkHTw9C3Ujwhdan7BW7OIqGFIuTrutzWkgfZmx mZelhtQeMvOZvfSbSiOVrgNytJxdfhFcQklmUxo5rV0y2Az80nLvoUy2lBnJOQ1eoJXGSx3r5xhS Z3yNjpilvRHWK/vy/Rh4VlVvFFv8hzrXQ9KfzyOVmzAOsfhnzWtboQwGI+Zpr84iMIW/Qv+Wu0c8 kBecEELdEvLTx2KyM9UTCt4dg2XpLnnzIceSbEHMo8zcBN9EYCdZu+9mSpEI2wGB/cfqcy/ekilP xxCKM9VB6CaV3AsHCqElZkLBDb7MSz6J663B+LvhyTuQRu8GBiciDRh7vFDj47/h/zWUC96RQoY+ Ho9M9luqjZlz2HEafmKcvmSINA2p1KmN2RoYwLlTJWBW+ftTWCmGkQTsXAB9a1AnbCuRPC1GQxNS lAE5nkjCAYTH2mSlX/0EuPOEFTirxcyENWMozy3anc7jdRZWVn6aCc6V9Hlv57/dm4+XtmvOODoi lNgXCKVcRoOJHoVLXBK3IZ5cQjJnj/aUBLm525E1y/+Oc1qCYMLGDlMo8GY4vOcGLoB86wJWCi6I 2a1MqGrTuWNOjXNcA7tQ+5OJbR+RTr3MVkRuJCc1v/QMJa4eFHZGZ2uNLrwDa+RAG5DBCupYa0bv ZHIloIw0lJ1CsNwIsUAux4NzKFiqh0fxNvvAeAXbbRQagbkQZNjTra5Lzx72UuRuzWJSGEaYQ3kC MQaxK7c7h31cqE6WtJH47sOZR3czwavEEPdi6wuelarINaLKJpVwtNFiJhzGZNzqnx5BsH7SOn1a t0RXcFd6Dqn7uHtsgsuKsyI//MxH1RpgiFGKyVr9R4zMoIdWpQypsBtrdGhAC9AIUk8IlZvjIWUk NKm4OuVGQ8viF02PMcId/qWtkgRLxMm0InaXDcisw8MC2tRoTvQuuqZdIEA+gFVJtSa9TX/WH9fP 83UgaapDcE4tGyRCueKcbjL+1nmZDKNj14bqcnfOQOawkBHL3kDtuFA0e+eKBFW5dn9MCTwPVYEl S4ED3njVuI1Ox1OXjyATExPLVZKITnC76syxt2ClhxpBlUENikdvW/iVwg6mC8W0fOJXRBBbBjfc Ex8pB/VWA3Ml8X6A3CQPE9JYs7tHol7gPlntZ0z8wbKM/2RmT/nbSXJprO702OV+kzxJ7HKL5kIh quVgYAWG0vh8xQRzV3mqibVjtSPEZTpTzTcAR230EPvHfZDvfmgbdtlWncNEkLDwfKlSVUl3EpJ5 4Jz9KltMNWNaiT/KtyVrd9FU4LUIXDcS6Xo6h1YNfI2xBFCCqMGZH01xiOl55Ff09/aik0JQ2d99 CqKG3+fg56kSCYKxHh7Qg4WJU7kkYoTf0jGVoNCx4/B3YIi/QFF/eA5XzoOezYgDidjSw8cqRcUp SU7O1kPX0DnlsA7nNlpUGIXbYzROtkmTkcvrPxBptOYFdyPVIogvCmzFg6HONALKwYtfAFd2WeCz 6ijx3xclYnw+3BMCncaVluOQYLp4BHWs2Qm3oeKGl3zTy/mo1Ad40UfRYkp3zLw3qGi+E3L6ukkT lBtny4d06HabqBpDH55fGZTu12A2jJY7dV8CCWuKNbPpiqOfM5ZFn9Drm2LF88txRMhoq4Fq89Qa rFwelEo9sExcQJ/DHgfdzvKoOEvA7qE2NDiMZ53ay+WKAN6sI9X/31vvdB7xnfqkwbygabBBZqKt pgD14eFN8PdANsj/15GOfnli0fTqGsG1AwcWSOe9BXZkVOUDJdlYClrAcetLpmjESExKv9QM/O9M T9v7W05tVB3nfVOS8oSgDxwXTOq9R4bAWu43yLfY9UUXWoe91AU5YUNVKYibmH18EytAWUZn4G0E XzUR+mbwYoRd5/FuO86+YLcfpRlax92fPub/8Y2B+u/cmdUuCqhPMbbKmc4OdTnKcBwPzXFOxs3I qGQFovsWPOOQFlb85iEbaUMgUyJ/ZbKjUx9QnCI1Cwv+IYy3AJtRonFM4l5JD1+1IRRGp6mLSzZH uRRq+6yeL6s4rj66nrSnlZaAn+MJG1Exg8DeGm9FYY6+MQg5MgvhW3XIUApoVPySgIrj4aHfP1/W Xh0vnIbSapHI+S+LRQ6BUYqo+enfZ3WjJGYsLfLcr5Wi+urfYJmoYbKbWv4QL67lhFDOx2XQl2Jw 0wOsnWTrzbyDJEedmWKNgB3025gn0qbXZsuVBPLpmpKQ8sZgs1Qe42XYb0RsbYHCvfzXJfVwF28P V5aQoSp3YdCnI6s4Dkv7HwP2XbGNzBT2Yl4g9ElMKDxCzAuRKEUofB3HQhb5i+hGjot2xIMw7x2I uNEdJd1MLFm/TUI0Qx284dWjuSY+GAeW+YUPTFHZ0iYY/VETAQcFw42tN81uI6FmhaJw6+2EoM1z eV4p7kS2mvG5ggG5IQF+vIxPn/LF1Oh6N4mhMKYOh/rcemBlYkpjYnzXqEyClA+lHgrMeqIZJygb NZ7thVHA6lhbjiWITngVOGcI24u51ldN+X2hcMVL2HJ64x5PKOsIlF56pVpIVsKW1UuF5U0ADCHq Ri+rzEQnUiizMm/L9Zayyhwxzvg3NHej7pDqKd1y0f/yjBWeg3vEvnt6m6FQi+Epyd/v+M5bzl8m 1iRvWfM3HTj7xBhCtp29+1kKUqRjjEpMA5R2FH8Dtz/6Q73J27JXEGu6h8jShWj/AagXUsCKc6If 0v2AuauxUfHIikIj32a2GV3M7sCka/m9wyAMzJ8z+eupa66cqIvmA3bFax+6yCn9ySVkfzbtkJmY 8/EUKIYBGg+Al9nn0le1da4n0hnw7Kg9Tuj7slEPSHg1/aduE6ypCee2hb4Xmat6hX/HgjYeRVHa BMZQjpDFYXzqsZtu6K1U3Jn43TjPORTQOcblIM6sTp56ieEYxkG36yBp3IsOfikC56TaBQHln0lR dTnHmPvFtw7BTJGpWI1drmqpbc7WAdVagsbcRvbRS+PErPqlg52CzDKay2P4bqpVx14c7uEcVlz5 1Ma6IK/j9551iNNANdWKWH/lS7QTrwGEMc8sLaokkSgLccvJ/1DeHTrzWpa8uLzGOHRjBiemqF8L rrKTpUFG/c2QE1sklkqyPuFFIZrIfsDqEC/mnkFJ35hTLNBpxeQF2s2RGKvnx1cNX0qRjDTpeeTN 7OyUyzTCyxaPh7GAqQfk6y53TOQK1pUapEDzb+OPnNrskIRSoLYOubMZSOWH5/Bt9evU5xzAG13S aAstSGgmgS1u/w4oOZgxG0GWyPwMBxROhOvSS7dlc9rGZBinm1t3PcKMQ/PYbJaiYk54QmE/jH8b tFwKFk2hNAylrKrD0fIque/rlNtRHeRj7VNJdrXJ3oWBK6q6w9gKy9E2pQURwPiL7iC7+yKuNygU JL80sn3H1QHYg7z9uUDTjyn5IW07LIwQT3gu6pQzEYJiObIxptDrYYvAch/TQUr6Pfk2Afc6pbyh lhlr3UdFBbLQ21TQp2S80LoP8FwdbKiIx2Eh87/V//2HVl3KuNck7dPqyziweaEIJWicB0F6LJ21 PcP+gdZInaVdGBZTFqGPpa1dGk1mrgP5O0auEEMxBQSZ+sQEzdKFkxTLEYACr5zoQ5rxsFdD+t1g VBxMNMwQPM1YTDRCpU+F7VTr/fk48JdMj8FFmZJyOPbtZ3PyJ69Q1raPV4DE4EFS/5amo+r2nl/H B0bSoDxCuDWkrONLjjehfvQwetEF6gulduJ9Chh6MDOH3LHqIrKxytvZdihovyubxhqKwyqnnJuN dF7p89OCJqc5bco8kArQwnQJqZdhIi/Kl4jUEkCeC3WlcSUVl12BzGPbUomqGhEyPO1rAU1/nSsX SsgrSubB9sZjJN5+Hg+jzA/AXSeg7kSofVcAkCZmIJNS5+sDOSi894oSMnb/US6XvusBRWHtVbPv 9P9WRAj/PV8vb0kXxfOE7Z82k8+Mtp1HeoLGun91wkY72I6LtphqjyV8X7YEMjsvdP7EIbf9CRSV Qda2Gry13r6NCkvXm2PTp9oLqDXSHzt2d7h898U1u9lSf826ovjpyhxR/97w1acLzZ67FuCFhJQ2 HUftL/ADJuadcVKAovbqvPvWmw1jjtx8+sX3eEQgwfGwZkshXfcIf7ItcfjWhKb3gJMgA3ep9oQt 576lU6uadFdiF08f3d2gfM1xec7nz8Do0NMC2WgXgw1oYvloC6h9gpwxwyjCvg6JhVIE2ypjyw08 8bHraH5I6/vg2k/ao0RIc/LIUm0Zq8CqUIkpTjdDboY4RXr0h5BXCv+wK607UB3CGFJ1THyAeiGd bAnLRci8qgkU+y5jAN2wipqAvs4406Z+jnUuu5ZVEVzndOC3aYnkUNbmZwxUpog5e/pPtJeiKGXZ yHzxrVYtZcs+6yGsxVJ4493oG0zFPQFmVSVRjjaFqv4kUMpMPbKbtOvIBaAXd/rl3M7S5M4wbEw0 lY/8JSv06UqX6EqUgn1gfSaPTLyRKvpsCe0qOkoxhcCCP968kiQ3Sp7BORQijRRziwyN/zuVpHD7 VFS8Z6mkdfmJP89A8GP0rNjw2TR1S2revfSWeztHiGk1WSBJGf5VyYByLtHn7DI8DCXSiqTnKs1X kstg5ApvN2v83Po6lmMzUxu2t2RIAPI0hOuf+yDKDave0JxgKaGV8MYzT3pnWzdOFb4sf1KUs44q OHjjjDzmjnVHfS28exGqquCvJ2VmHly2TiAwUqj+kD9sqjVWZD+yubwqtLXlNnF0ZLJF841q7WAF TgqZW1nxVG21DMWSryg4IZjwtuTMV75a/drAwbJwgG+tkWJNjLAT0odEq8n6EZSpew5xAIccBKSZ 2zydglFVW0t+YaNqt431SPa+HUZ6yIGi5vgHXtGrPXL/27Pf2ytBZ2tPvgR3xb4Mze/YM0KSaaDr 7gI30SEeMFdit2wHnrFS7gvB/OKQWvUDXQPPyT25slrYX8sp3NiAmUxObQ9Hor92Nld+IWieYBwC Do+T+zYVzzubVGnmG6/1LagS5Smcdb/1hDVH/QikvyJcb7OmI6TL1yvpeVCR+fw8yc2sV8r7JRNI sdN7u0SBwpLENmemMUi4cCQFNJFG9W0d/A1+BXps8RWK/BIIsM1CNR75F9kKfQUlOewGYROWq14d m1JqPe1RH2BsWDcM9ErxKx6Fw3dMiHU/6hDxSH9FVgr3bW6sx/LLNPvVjhJITDq0n7HsWaBeendP KoGV0kHnRMleGRbzsUrL7yBuL03/ywmqTo/TaiYWIx/0DNbcBP1hieCZoGB7voM2fawr4X4oYzMi DVllXZEyaLS3dVcEp3COJPZ2QQKF8wp+/bZrczzN4cV7vIycEjGDUUsUmm/k1YPeAKMz4z2hPCmN 6NTysIbRMLPkm7+DBiL3eTWQOsq2BmYLKXnYmqAcfsPxI6Wr8SocNpET+GPLl1Z6Bv2sFf1iWwuh mVfGENKLzklbjYn/KN2XtrbDbOLc2Dszp8IiyEtTpcY7PJgirrMFbhsc56vGnT/ykjobQEMAAi4z bgoR0rYIJbe9gSvv9gT2xb1R8f+oiscTLDKAQtjWHJ7IWNK6TjZ8s1J6rY6ibQ7+g7Uj+SFsWZ/X D1VTfNoCa19VRMxagEBeSKSAUq2NasDFCex9Gfj0CnSJ6+DpUrufRazL0XfRYc1jWp4PFiHwNWyv +/9e7JEEzDG5Yv9jdqM9riFg5FF/4meYlYX2tDSfftEKldZtnxfnAfMgAZBd5w8hIBb+tXiuH2xq kqVDyAY2o6KODexymjSJcN+vfdTLoCU3GsiJuDfdECYD6CD1KSo87/ihx+Yysrl/ptFHYxpVtzwV IBaFDlFGxC+r8CLktnmt+olTATfQGbe98mH/Ah3+FgIGTDVTgq8iYSOAd88BOObVFQzdbIPS04Qt fLIknGW8E35uKgy8r2jnmD8xXjhFOJrPS9e9VKx4zjPX05LN2pOSdLRHWV3vDpgWEH01ULC2w6Hq XZLEeLQGHnqDDGayGZFz7pEeUUrQnIEuM+urcfnJxIisV95oNMSKJEu7vXX4Sb4cqJT7bYPYf9+3 iIcW2o5JPbxy2n/o6YDb7iM9UKkidfbBdm5KU6mwQMYv8m1/YxMO680XF6+a6HVNbPpzhTaBgRp3 9vJtUVlYOteV5HViiE0/cO7FfgsBKVLMxqS645IeRcNLPoh2PCxSX/T9Xmgw73G+cjdH3bQklEQa DGVj/bAMZTm1W8HbHkY/SNX5tKpvh+EW1whjBbywLDVr/IzyfVbBW+TH+0EMfwQUFsfFoZ+l34y8 fPDOv1FNA6vEVgvk0whEL6xONkstwAQJvAvh/ShBoLvyG+G5hvDp+2syXMsFJJPHGGLBjpJMJmuU XdYmLS3TjaqIw6vraV/qK+FiD1O/otdV4mfvREax/rWX/1MTQntT2x92AY59pQ3tgNu5NyGMfyeb aPklLpIfbhWkNfSu1q8vOw62fVbK1gW/JgIWF7asjYBhnz6m5Q2PFSDQKjwpQpYRUEL7m5uP1AKy 4Qu9HdZGFyP+Sv+tjz8fQTpXaPzCj68hFLp+tVl92gLmYvFzuOWGRF1st8+HbmhoDwU3nwRKSj7t sUfk7VoUwllQoCjw29z/lFd31BWfcVJFTD4P0wha2uG0xig0Z8XAZGxpN7X9bF9YdBC1Ymb7DipW K6LN1hW+/Lmw+vy01VO5SAcrxg4hsd37D9iCoKZebFN4aqNSHhI2JCzCF3+9uev9KA4/7vpGix0l RfAqnPAqqfVC8TQ+8oyoAQSmihZg3JrkjTFIYYymAZpaPfvAvDuKJTAsrncm+4ndp88daWwxSICg r/pAYTTmnjyFRCxhhhdiOAGimO+tJlHnGrAmaMu4vFV0l0dDiOrknZrJh35qDSOXDRA8GsBNBvpQ YKNTCZGw/pYpOyDKXpjnWbUuiOxfUH+njlPOyGyiVvCHeFoY+fm52QzYTakNgJSmaI/EuscUTkpN 0ib+neqMYe7Y+ULF1bkGKSot/XULNae9v6F2Imh7o85ZyS/kkU7gixQEJHUqrE2nYGXQG9M+0/NO g2cVeY9BGfFYjgRwgpFSm9oM4duaIEinJXmp3gLJbkAgpHp+Tuup+qhh8523EpD0rmKkILwHqm/Z xt5//P5WxPYJC8fI8GQh/T9PlC7gWQ2h5YauKGUXLEGJOanNtRtwk/zGBbonHhQIhXahTEXf3hVE t3sVE5ARfFXXnl7hPO8wLqBhob4kHv3TSRksLbxWZ8NNlUhqJSyTrFAGBd+Pmd2v+KDL8o0LDS+7 LuvGNI0hD8DvesQjqzhVFW0kkgEC8tRqdept34zj4KdIn8/VuEodgOVCnrAz7LyuWErLQa59H8Ip Sxnuct/IM4lrEntgIkrXZsqb7F6JlXB3tGMm9k15DixbHv9/2d4NDcw7lf+UDsuBxYP7eHD/g5iG GC2H1A0Q0tlhpY0bLw+S9dfDqMeu2tcpdvlVr6L6dGFVayuFGgOCKiopdWriFoZN/faI4AIrT/ON c15H8rcnLB3m8xdraL24PNOnSpq0OzBfPPZag1Sb91NGDOJWa76c4GM+3HLQ38U+Pc985R4vB5r2 sjPiB1dR1agZWB8JCnRE1KCuOr8vGAgkA9tV+y4VtK8Wtv01DOh+7JPLcxpQiQdRlmIRqj69GqAZ SNPyfHu6EjrRzprjlOKNVCwstVon+DFwKuFmRCrXETSeKiDfzT7zrl6mWHEVB1hmFOgiVflzB1th CKVxPlhg9xMXar2xD6uFGiQynbd7HTDiUBpFDg67H4fzwJRtkpXlJvR1HtBhHmQTJv9N1ZEZushY irTFTvbdPk+qRcKO7zmOqfTDh74YHLKsQELyI0yaBrLosBGt6PVNLQUtXesC56Elif+Yc8T3sq0E uR/3hpm0g+Jhxon+H83mTZi/67pEq5wtEnLdd4zdSMAg15aOlcFZ1qCKoT8UXw7t/Nli5cQZnLSB LfcVGRIpuzrVHewUHwTMsu8ItWuJU7AMbKt5xuHVs3hDmINRnBUK16glfY9RpDWZ8RmeU1s7/ZhL OmZbxU3bHoI7O5sSjF06IhWCA85jhAqeT37i1NfXQDT5MQZYoMCMowhutjidyEPPiWeKWw5jov9L dB6lN7WnxmZEYxgMweo2j2wNA8iwsIyaqsO4JhSKVzqpItXSaRKU0UT6i4KI9ThL7de4+pWS7kGM BsEw8vzf5JIj5qnjTzwZSQ4DGmi3Z2abjXJr5iqb/pea57XRy4hurNvVJfYaLX0LoW0XU4FGmyc3 Lja3734DS3hdW/iK5acS/20y973wdz22JIy9U22kfobZe6lJiA6u3xvQW4mLylIZNt3BvdHqtJnb mmXdSQHBfrcDfKqNl3W4pCD2ltPrE4QnQQLgEpTEw8J45YiWtujKIdLUKJm4+SI7cjhvrb8uD96n AEGw0rSn+DnAy3G9JEl5h8tUExrPXRJO6q7KdVLH7rHETtBy/XX/3eOiCaECjkUSZ26jEh1SeO/Y LQv+wZmLzD+udiXfpTsvIpSutthPy0KSDzNQPLv2fPD2osZn2GeEQ40LLCOnM5qkq9mUxiufhbJq +8cX67FuEaj7dbLvniV18mNjccYJADb4loS2G65qS1uyXIe9a89GnLInFnhOmZYdjzhjYVcA48QO rqpWRyOf8rH61i6B40o513FDUHn10SURzAcuEu270mAO+erkgmZZZ78Q/adKqOksPM8fgaZAuIhn WrN89gFZAJa0I619+a7kGSAd+lTh5cjmizjEm7b2H2N93FhJc6K31j2dR3gmPdd7fD0En1S34sF2 g0Nzl6KvTH9cyhKsZcSMC/Mp5PZrR9TtEgC4BYdRVDeS4QqOQz5mVR+ECeU0IgPMZ7jEyHERGA9H RSVPvp2zkw9zN+ZyvBXlF3URrZsDoWk2nMKuAiY9iwpeAUgynOdhqXjkJr1P0Gqfy+jiIS/MSRij nQ0QN5r4/CB4jXasrPDB0xTbpNECQ0GotE7YxDi39AAJ0222SuPKAuktdYukSklnwnmb14YEItEK zAA9fCv6fFbVHGxOljyI+hJWbqvgIhfJXwiOHq2JujDqatWZUVk9f7XEA7whm98CEmXgAequqzVT 2C/wJeIHYXRQ8uhRklLj50N7QwVxkf2NrXdzhpFHMFKvonxmgwwQft6sFE/jXtPAq6gjiabaQxeb g0KzKH18C7wdE6qBZQrhQSq60wTmxKqQru0rPl1pyBzpgbZJJ5/91zIKuaG0fOGzgGTYEmiqRAwY 6zd2gmHKkZ9vi3pq8PP5jzLdAiwc17/lnlPQplJHrt3KM2fntGKM8+1peX0+ccbUlgkHxp15Ermf lhO/qoqbmq6VWWFqUciV3hx0dFYpBTPEfFNkZI/y+pZcM/trQjnhlHw4/xRh6VqjbS5Iep9dqtM5 gx1bsc89FBvNKFV/bJOnHjaofFFw4SeMbGB1lHfUsHyYHebe5DDUlrU/bPJumyEPFqrlIH9EsVDO NDYimaKh4mijZf7a2nvfvqURWkggzcBpD+9b/dbGxLH7QKWaJgc2vkAN94YbVGXFoHhLTI71UkmO NH0mEF/HpyLFr5plD5kkBf+VAszoM5yHpMEE+p+bxBlpI8FNeFth9Dokjf33x1bGzo1I4K9ZZEUr dL/0DByDn8S78sfeqxwZ4Vd4C4Haui9wlQ71B4vPFjgrh6QhSzsFi7g+gXQrEu8Msvd1LoaGsjeO B1/F5DnyVKeZ3tBgLkkK7I/j4fXciJ5h3oCqghwOQ/oeXx07CkfrSTAx5VDIwigjdPzGdHM3gYV8 F2mdDso5RdRwlHfjtJ/Yw/ja7yaMfKohCN1OwzVr0vxECGjYYADfHBBYPDhBuPECj7STeKujf+rl hW9lXZ8AMHRPeveC2XGH9QLnGOe0HEMXHC8hh9iJj2YEsqnE914ZawJZ/l4JVYV5Db5ulrEgwqdY VdlMbvPBterg2rRcQtZTQ02J5c5Fg/5YpWPh2lh3Bd7HO1NgwFbNaXMmv1bo9pxPyvAiAeE2IoV2 uQiJi2B+rTbGdBZr3gfBF3sY9YreOBigPmIMhv6sMT5R2r4PYZiB92yl213fvyHfW8D4WKZFxXsE Im18IZ3DZo2vpI4SgDQn6Klpk4vS8aslkrlUNSEaTNzom5wB3FAf0ezr2aeDvSLrjcIrEFINCY7w 6tjmWfaFC3A15/glW5QK/Il/aHXQGj1TVzgN/Jw5Cz1BRwA8W8Bw+99e4vR7R0pex/nW4271WdSx PGGPaTVAhGfPmjwbejY61AX9mlA91jKkJmpggVteFOg0UDzxzMcKdPJ0K0qlfh6v0qcWZiBCrEz2 rDiIbtIiwW8lmkypASTtLMFwJpVyNqcTmFS2Tjw+UABov06MT2ePeuEyEF/iBBtxKg1GDNs4njbc z0Y5s14hpex0y0rcwSnoHrEzDL8xx6yOcjOV1rCkxmMd8/Q9PUaxOdC+88pWODj2l1WolQE0+0YJ FeJKQwr8oUI2MvfaG22n6dprEuWjrlKSfso8oB/Bx0m7zbOuqYEncX03wHhQDk8wrzAVwmfmtAKB 9EghEzz28ykSUXs8dw5tQHXIQp18OHbTvtMh67YjvpP2Dkxn6e5RKnHDkgPu4goUa/jMKmyA2gkf ot0mZFRe5dcG4VgQSlikCajZHuwSeudB6JAuW9/feycVYZt3vmL62/vqcKGBh6zrVejxRHWH1jVS F5nSzscQjH9IbSgk3/upB9ngP41NzCgOfavJKdQQM/VYp0nvfdhWS2ebsgt+hDcIGZZs96E5iQim Jm6Ail3ODoRV5w9WSE/BCWoQi4zZY4lchjEJMlq7ZNq6YOSMjllt57UM2pPEs1yMFgl4MXxAKTC4 bFUipY2BtZo2TzVWIUZ3000u21rO57bAt2pvXOxB56+b2pH3s2D3+qVIM2JcX+l8RkZt5TsZBho1 hnIpNFRk+OyiGDLrL65w2EX7PSKli91L6qiRtjvlzUfDzCsM5Z5yOQ/l849LJhcndr3ZKNgeyVQB X9qmbZ8NNfjwZzmjOeMqsGrqSdfTWdeSDVi9rKiSp/wsba4P44PjuklF7LCtDPEpcQUfVnnVDsD4 jLSoUQc3AZj89uxqoxb48Q8voNrlEpxB3p3Bh2w8xLyaLbg6/uII59TGqgC3bWYqGB2YT48jYs0s LsjCcjPOuchWrffnhEaCsiH7Q0FLkgVxre13TVs5MhMmfji/aeGUde1H7Qg8Rea5BCjeoLaasZqE 725XOPG2kgJpckeX6G+GzTCRfChB+oNDu4epLET1sPlLpnZ2UORz7s7+iM4jCTCKbTNejGxi42ZO yI9gvgMgvMzZSpGGMJ9G4gVJzK7WAK1LUxy231ySXV77Kzar2a3Y31K4mvREZEqdw6kII+s96B0o 7ovPPzIT57OA//kTDDqbitnzxv1OYtjtdJyJnsTSZHEp+tPyBQbTGGPMl6OXphK4FVN1KcAzJiW9 prY22DdnLpiBN96BHBrrdHL4FCJyS+rGci+8eN5cq83ya1WvXQP1TlsutdnQTzTgfyRM3C5ejFT7 I/7Ikk6w8M/VtxnKLaUVLXAdD+9j+wU/T2OFV4KVnsm9cs9LgB4N9YZpoul+KZBs+zVPFJKpZ4vn BamHz2TEcDVoWNzvayStkosWkuqrvKZ+eJAvI98AAMoSrJcu10WypCMOivzcSG7PAXMPvPFs2R6V 3BCFWwpPVjpVrrkBLFbxX0dgwlSDrimj7DvzCQnuHuB4u5uTXtG1fDVmp7NgPLLQ1/mZ09ZZEgU8 xDLM2fFjYds8BPV0VBdvfwS4nJoyah2fEQ+mDaRiOtHkYE4PtXj3qBeLE7BHrVBPQn+5THVQKaO1 B9F59U84E/orhIKJTro0FV7OUqCaxDU5ia/093EVmBTTtP6iZmOHA3AXj8GmHmBwmv/JtAs3wDff X5PyeqNpX/24QhMB6qV456sbfRb20NNuoFLzwZRkR6Kidwy3D23Kem79I66Tkedrlqxpf39z8TVS 6ULcp+VElZGcNv7XSXGI6hHgZU2Xwg0hLaPKzVPKB3J2pVdW7edngt3xPXhWdtzojS4TcI/cNIjC qZWv3oU7cXWLbLIhPnDqs3LQP2WRBX81MxwpHN0ulKiyET/i78uR87SDuR8q6NSJQoBK+RoamTGp rzGLabWmXpTAZTP15qd2PhyC+ngpVGONiRZpYhSq+oetx/9QBEw+jFDrJGsj3yCh5BQKV1INihZG J6g009imtfWjZ+2fcjmPCgrUQ61D8Zyq8j/KeCKgVBBitAmkTvXC5tnwNRMDP1MwmaVRNx+aLQLh M0Y0Uq7qVqjZQEDw4rZYgNMjab6+AO8nZYwZfHShzhWAFS6+yzbMTD2LB6RtyvZjCVO7j8HW2v8r 8P4NDV1RbSGNERdwvjCMQHH8kw6NPMZ8zq3dDMAU2ReOMpP4QL1W1KQDynkF4P0CPX1ptLQvMUrJ g2rJial29hm3ZumUD0siCphNQmPgyUX2Z9i1GUCHtLf8N7FPicvLBrHHsIwhYAmNyKgnneTN3r4b rn+bBKQk9V4G85C4zgvkFpEbN7YytmjNwL7ty5LA3ZKP+eI8jS519236TCEwbSaFleqRl9Zx4mXG 5Zhosycb1g4fPD/ZvsM5tBOCZm8j3mHbVrW52ekRvap7OD3rFPubqPf6U30ugjDnCQBkWrCypBEn gkpDff9H2ZyY9XkPPeqZcrpjdZUX5o0F2sJeRbLpAyAI8byEsQJ0gjsZz+g19TaSvwKumLIFarjW Ia1uRUxjYL6whXzQiIu1zVtxJT5bopLbp3ugXrrmfi+m1+pB0WAQxIxFXgT2rM18WIyQShgpPIRc 8XgtFQoWuE5r5yHt1UdK1E2JrO3egEw7SuKhNZq2T8kqo6RMpiOOauUgmx1XUsxZW6ln389O7u6V avaW6YUduIlW94452CdeWzrhwezL/l7Cmz52GqTw5XdE+vwcRtw709LyW1pI7HU5KroV+Ek6gQav /AAbq6LS+syYvSDt1WZ+OH98TZewCQnIWMHRTWW5i9Vj4VKTddCi2xcqrCAXPOklpuHnPIEHknHM q/6dG4ZkfJRqn1ehEaSgEJCDNPJBdScnaR6PrkluferFcPjMOxHZDHdZBk8dWOzqzfc43v1m5Vko 9XbIxiXXjZ/yq3/WJqEn9V88SYekf21I1rmv7VWfZ4F1zegCzprqbjx9IM4mT22cjlSy+pq5Yu7I WAU8yeHo2dELNHGGF7NBpVywy4Jcks1hV8gXl58KaZegO0TYSxbf/+1ZJIoyGV2CTlH39Vd7dBOk WBroJUrecMz4F+gnjTccgcFoikFHTa7KNpucWd2zUibknbg+luq4sFjPVvIQ/u3FMa5Ospz+7X+G FXu94x0C5rbNW7IOaZq9RFm2GlOg0I0FRsF2R8Xwnz4r1NtiodxpiGZ4W1YhTWRlSXZzAPvVI1Tu 6l45s5/JFYNubUDZLEFiW4zPVPKw1pM6eEQPdaKvjvNQbUOoyvcIiTh+5TZZcy5yzh+mFQso+LJL Up4SDZFFQJo1C8QSyIUcQo4/coDX+Ivb0+HCVdKGfU5txEq3i1SLjYgT1+bm2WQKIAZGrZ7YlUZb t6NvXyofsTC6WZ7S1JtKA/VqT0izMElBF0+mi+TS5bv7tp1E+5hUNHK12uVd6YNSuL5xRVE6LzM0 DOMsioK1R7IBI5Te7NoLRKDhN2RN9Ihwp3kXb0ZxCzGUUPCOAR9Pa4R6w4+ZUzUhBInu1IZWsW/t TIwxzx8pqFz9G0Mk0Wu1LFyODL6UEKNTqzb2w4QlgVIdXEQ5KolhY58VcXb6JXd596VJfOzxj7Ex +8hIcSEuqdbxiHZc3AkiAql0g+rc/surA5Bog6SipMVWms4Z1C8vSpvO4EH3/MymaskhS7UIvlte ypr/a8oVNUa4/xYMDsC7wYKKmWkla0+kc3BYo7u64k7VtpgH0C77jtBVrGs61CufsYR66KcJx3zK 92bxzlSVIEmHSzsCohUIN4Q7r4V+kkLvy3U8AJLwQlTB6cnxYWmqRnGPm49nIC9EmCoxCH7qSapj lyHkMO18hbPX1nkSd187SWyOZnkQE8/vzO7H6HB+C0v3bBMgAwn0nTf0p/XbdnkkEA4MENCJ4Iyw BAoKe/iImX/TGvqt7n4U5oNL5D2yhGKjJBouQNR+SCiqsXR39kb+NzBRLe1g9mMZH7FPwu48rB+f U+2qNahHjola+FuVggZjwQWEJxGZSKM9BXWvYMWm9GGftU7h/oFfBI+2GQhGIScsHrVxDFTaeCE0 UyZ4PaSf5Ay2DiZpOSeGXUXR31hez371/JdP8uKW0Ht4s1miz4q8+RwgdLU/2O0GVwF9/ogda7VH dUwVIccWFGBvZBVfxf/aLZmWZDCRmhmXzq/zeBXtS0yqtFdXm7Tp/zSKDmamE/qPJvB8/EPyB5/Q hlkXq7hwF52I80sM1kG2N1q0iAzVK7HTzG1a480cwo1Klnk2Wi2/cdfPuZPERhMzSgUHJhvnlNT4 q8YnaZeRIdVSryI7eoQ3dqN5tYAQSmvMfyBZqew4OjWMlpzByjiVHZvIx8fg2O/hL6rpS92RqbNA Hps+Aisks7LDybjLq4YWmmJrpKbEMptWEEcUB/U+PO9Kds9zDC16VEaQ5JwfxzU6oWB1TJC0gBr4 egb5knfIYG8nBL2MoCg5Ur+Tx5xmXnJMcZaA8cuiId8MknIyR99GPuKSvudmfMFisGu8NSFXkfpi 3a4baFbkZ2u1MAKSZ0zfLY6b/rXJztNjB9eotFi1Wr0PNpv0zV2PHFxl4d/Hvr1RlndNnrzxm4I4 AiCTfc2iv1mRkFKXur2YHi8C3hbXJrWn5h01uTzqvuCP19atE0wu7ZoKtG/fvmDhyJwLnPaAV0SI hI3CGhFgEmeWKn/1zD6oslxJNIlz6BClFZeZussjKqsxUIhu+JL0Je42mkxP/Q9H5t9UAtHmsMOq hyxOPPIiONUA8T7GHUO61rMz+aSdov4/WHf78ZxWoeAx0raExo4uWxC+GJzzI8RRBPoWps3u0r6R pqJVb0aIVtivi43dea0sMao5PdwNrIFIGJOcNtO36ndlOF+PuFbJf9eXDlSMpRVUxHNMbykHqj4R bIvmc3TXFS2QtTKo5Uz679cZbDFz1HSndrskExpOxku5fUwjcdP5NFaOvIkCfFiqcmHzdHBbAXA2 ha4zWefMtUuCukFQ+HYzTKOg/d13U8sr4pHzPH7Z3NhXuuAfxaTuNQEi9XrJe5oUyl0q9cDzEzWm 6/OFMjgEi2l9XrBYl4XTMgBJEeoYIDuMOx1NPNleplHLLPQ/s3tdqz+dIpfwNrlq15HhuLvNbyvb f94uN0vTX11gL4JiJWsCs/KNIaRcF+ZmsM6qEoH0ZwW6nsf8QTo47o8zWL70tNv+E9tnxeZO+wsf I4LboDLQrvWg9Wd00RmlNv00EreOMd03zXRTyi6xl6AtP/RVs0poKF8wn7Ds+LVYQX0GkoLKLDcq `protect end_protected
gpl-2.0
88f69a5639129342c3497857f19515b3
0.950267
1.828916
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/floating_point_v7_0/hdl/shared/twos_comp.vhd
2
9,914
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block LxdrTFYysgkZUvMomJ6bRs/oZEe1/xsBDsLVZwtFF+etRx4e8lx/OYaws1uhw07C7NZB1pVmj0YW 7T73CMnW2g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kjz2NjOcNm9SlJQiFgpBA65dXlqgjmXyPJPqSnot6xl6WmX58I4OJ9M/Ajkg9M8aTw2bln9MhAxj LxjVq0+YmCCITiUZXi7UDHv+jAYlQaDAgdd35MQlVo3O5WwxPPiK2I0cSkfH6zZXBrLqEePuM3U+ 76ipEpddRKU8Kba4T8s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jSyrJ/yYIivN2QjDb6A6jJh24RuTJhmv4D6d+GaFf92qxt+O5PV0sgFPTgVUDu+1Ii8+5VOLId/D gAWhULwSjgf4xYax5fOrmU2eqwP+vCDlPTXIpRJIBhXIKphBtirwTseJN9DJ7yTjnwSgYEoFee/I 7mxmLWoWdqlijU4gUqq+Fwlvnc4rA2brfkaRtspniBCBMoxiCLl8X85wfnFOEMe76UUfexF4w1sa +7RPjpggk1CSI+V0rknFqV3BnSyY+BVUoZlGiNobGl+4993kw5/kmkmgI/ZEr5Sw8sX5/Hei99km nr+lDd2Q6XM5JUrbbbrSdMNLZ0aMgzy655eYSw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uztDcYRyVugtU3mVtKYkZypduDIswb8fZrMyKZaQXtYfICq5yfRbOJSSz/1aNS8RhJ9du5l8fomI 1WlLT7CYTwC42RcU+C0/MM6ELKQZmrQCS2z1Nevl10E45pM9IrNGOadkEuyXbO4BI+bKF4xPwDuX FTS5HpRGLSp5wqfe1cI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jf81CWG5LxPam9VpUEbHtckDvVjurP2DAi+V/lDUoYgOspd0O/Dc5KtpknT1upExWiDJ6E0qmq8O Q9UPKvyEkIim1KCUkYNdeql2k7jeAY9wplcEPKf9BC+3YI1Nt5bpYbfuBSXYrc8QcOCTn87FK/pA FhsCysB0hrlDx2WnSfJIGqkAYS5lRZtqxvlNRGoqbqM6+okEtvXSRl1F24E9P5+iv3sEwMXz0HZE eac4cCJtwrNIGFQA63hrQcBj+qHHzSijBpH08oJ38pFCyS/rSlJQhJR2hIre+HtwNXK/BavBkXDU ISxLLzIbLPJMGhXuHZeiQcKc1UytpAGO6YoEdQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600) `protect data_block eDDUlv08fwoZ/xCL/kq3akXJQoJ/a+yPJ36rS2LkaBzjkuKxoUFLN4R06fT7j7hHumFBVNQPSXgv cd35JErii4fo0c1BWUkdL7ATdRuYQj4FPc939JDl7PG19H+OaZ/f2T9AQK1wi9xyJEuOuGieqQw1 H91diGwCokxL9gNgqYAN30zw11BCLvk1KivdR3J39UpmcwZE4Q5NGSHUG8fPAitx1cZXWj0V+VNi wO3TlGrFpeTJIkg0CFyUHsOTV2NL20B/rxUA8DpKDvT5E2QBcx3JY2tfOEmCt7DY1bnL8sK9vEZH 7zrbGBee+NLIKBgrtHjsQA4zvndzH3gQCo6fcqm85YkLpih5xoUsXJ/ZrYfIDp4nSSM0b18g32MY BpmNskg5vivL4tdc0NFKbAeNSwEgdEXljDh6Nm4eWYsX2mWnIEI5WfZJbDGhomwGiHgtaNIFIVoS ilnXVscnwN+8e350XnmcCWkUnTCC5nh6WDrV/UgS00XMmg5Z5fGUKN0ibHBdrgqbid3P+16wrvIz jQcaw0vEsa83D+OPWXqC8VdMKsZ7YFvzPwJqkVgUga/B5XTGUckpmcGNbktCcrqpmRRCcsoLY26i 4jUpKc+VUcAhhKMzhiLshhjSYVoKM5FyqVigvB5nCjuEcCuoDYyfast5xeOUe8NQ4rYGoileiJqZ UjLIC9WVQqG8tbOAqvaT7esZQD3jvJ/Iac1VA7wo62ROVeAHXrNwCZg17QUEP6vrUidQDoOkNNtd 0PJf2WJoSQsTgGrOQVe07IQ4kP3gtXAM/scP86efyBAwehm0iK+HIdTNDBpXXQxj8ssbJNydSC4k rn0QMnBU/cO3EO3VdBboChAUN/FYaW9Jo1xZ7a6OTAo3aa8X4xU0PIzD+grA2wSL7CO1QQHwDqpC 2kTOdIJ9wmOWc+bQGXD9ERoYbXzt2qgCi5WnYhO9KIfrlLFfi08EuEfsNbD94sj7l/BDqxtqH48y Y0Xk/1N6dXky+Aow8hXSKarTX5iUOFSycjJwMbhs/LwgGMZ/mD/bXAJO6jFz7wnwLQjM+0yBUmQ3 FOOnwE+qfPnZ/uoeEqaNxQX1kSfDbnVD1nm/zcu0AapNl9RpDU5RIZSBEDNAlApf87PHpb7TqIPq VG+kxCAifjGj4VlhD8O1kqCMxYowJ5UrKKZeQ8QOM9Ky8FiE03qxsZ73aHYeMMwcMziBT6w+yqFp 9/j7DsxTOkeQZM6QZKLbGtdDtmW1swsKeKkqfOL6FzhAyhdXDvk4U6nICM3h+33NIpgWrg20NY6A bAY5kk100P1x0YanpR2nWVsL5E9jWF880FP1Tthj+rmVH+TTm+eNz6vpo/ZMxhErfBgCqLApjhR2 ZYBqHVOfbT6fGkew/hSK495W8d1SQe1nMUSvbxQLotFrU6Qqr6+5MCbk5uZdqSpVuGL52ttIrget dmb785KhHNqBhqOiGTWCsM3f+nHpLLFIxb4Gqottu/BzNG+gMX4LhcCfoARgRwAorqKPzVJT0Pku zpTOUguE1gN9yjWaHpbCLfUifDF52SdqjsS0UoAj6CH/CZWL0kakBfWE5RLdrA6MPGXANtU6PiYP CZfw9GSUDhRqL9aokacbHRJjDV3SoKUjod88xoG/YNLKqPgrQJ8yeF1+NRBcauY+kBCqtoyzjT3o dNlgJ/frSk0GNPSP0bKH32M6WaZkeY/IdGOVZwVYkRuUwxJ4SqJwA5sQlWD90iv9aQ/ZcNXgNlWM fb5CfStiQT9QIUY9JO0vBMDcoq0pwpXny11/wgjEy+drEQ5gnZn3hgD2z7raRqxyE5GgdZrmIqjn oUpvgn52gwOkc5BdLZGbrLOC4plwsy0zg7uk4ZHvx+F92Bg8YoxIaKsstQHjVjqk/2wLPGYhLQUV JzoBmil7MpjcfpCijnFThJirnAk9D7b/zh+uHDeDjuNK7AClykc9ORzjoQ410DfdbZUdteHKz+fM Z9msR8Au7m9Og5Hz3a4dpug3jG0IgA39YMG3Ibu5OwvRsYmhHz5IunxPikgWLajArCjf5G7q+968 jRh4c10yyeovL2cA9R8Mn//auAcORfcEiRphSMDirEQ9jK4+Kfk1oI6n0+FpiMxdd7Nkw0cMqaYp 9dLZBft8akxc/lHZpopC0tejxD7Qq+IuJRHL+4sgQtLhx7PzR6u66+JpTK0AROUP9nJNpo8LbWk1 Np5CeK3m6GaQFr0eZo1ej9lm82jVymaQgicOwnAGr36ZyRYWSX4h7uFC2rC5P4wbNk8StNpfG1iQ YIXUIHtBOcZelXu6HM8POD7AQnwi8xDSr7aBjl0XBD1+6KiQGQW4wc1CQZL0xP6nSIhKIHwP5nYP crtpvbqDQ9nPAxfS1oiQcwbBCSGJl2dU3kS1z8SgdK982gGbtyfry9rzbDGCocTKwniGB0lrK2Yg 7pVeeGFhpxrpfrzCp0i5egSmTPqpuf8SPe/z0jeKU/yXzPDD5YYOnw+3BrijQYgarkrC1Ux/9tfo NrkgJk0R3opnU1KsfcWMRpjWa2nT2KI3gQzKrCKyA0Yn/5Z7m6oFEdzahGAZlpOU2rU0avqGJbAq JCo9VgxybNZc7tZDEn/aCvxHdJWg4i31UsxJL4/lugg4OAvaxM2PS72LwEZL+Puh1hsHozaABTgE QLVtA7q9XgIWf1k14Xxo+KN90imvKqjQP6yI2SdueBouQDtXSvALJLFTfGWUcxezdnSK7DYCU9UW 68RLY8tnTZgf9oLNS3r3REBuRvJJRSRZ93vf+U4SchVeRv9gGAoJITB45crAzUL92IFBn0uZvlQG ULzMnwV3K6beEYDrIOhM+PM0lHmsAAmMlseTWWUpBLfBN4uHmQ4Me2hYWB5Bp0nSDSJjB/IJxgkQ i7w1reyjC4a/BZKOSSMv7aPnAunv4Ci8JjcD/tbZ5kmFaooIXit0fP6BUfva0FOiM1O8EUv9aK7P eGsMi+D0fZBL9BP9gsw9QEDWfzZOyztt6YUrnbk2q5HZUG/jwOzVw6Ivawzm/QZMWSnsmihC226z E9rWW3UAnD2Palxt/oDpnOjxKSDWtqw6jyr9WKom9lsksIX9w9pDBWjyYy/RUn+L/0CCJek9sc+5 VfDJgiB3gsuDDUUHNWnva8AR43A0EK8R9NECD2nr80waR0VOmzS4jn+5CYZJ44GUZESmHOl+M2bH bcZYJP9K0Nr0OLSHWml8loWd8GTQSML2nMqD39dhwm+kufYLjszwt3duLsKRyX51sx63z66CKBh5 hzdtBW+iZT//nJy0lIeHHC03sRKOgv3BlfeD1uuK9TT+3oiJ/3/jUf1NOMBe8RyR3/xPVMofn1wI /VPn4uAKhBlNB1Hfim/sGXI6gIJgmDSX0CBUw0n2XhRgVnfyZrFWTx0aVRpvmdwjjAkjLo6j9YNt b4StkGqUOzoPJy4zhkMCZPklqTfkUi7vyxUxJJQgtFmvCYKhXo9nlAUG3BOAyKCjfFLUwOHO6TTI w8Busu3YvBc5JWtAR/6//qRGCdjneD5QNNSTBnwKk7W2ahsKT+nmVzyW/y/WwxAwO1yD6rSVbQJu 6s9CO/C9ZSSmthoiyULqmyhIS6HOXUYGgXf6B2WaYR4EFzXOr5OoJT8okADMfQ3xfjNC/C+r9xSc F7bJwOuXRhJO6bHEBS4DI3tNo0VtpJiwhgqfvqHWYhywqhVgtBLCLz3y6+V1p8BrzY2uMLLFbWaP RmtFIOVhtQ5lH0ZmctrEu5g/ASP0bYivhNWYdjgxEPltkGCyXGKD+s0Wfx0bizkxXY9ix7rXByNB WYD2utmgvJDG/EklNDRegCT9xzTYOHxsDETXYbACZAGDNeTzMDwO/3lCqRbdLQKzG07YGXlN+VR6 JNxXnun73lKmfNRloeRtrYQLqP8Fvuybb+R1Fu5qeNEuq3WwfC4Pdylvok4z5WZuggOP9kfwCfFM d8lJhVr7n2PATb2knhtRNGxY5Ah8n94CHcS3HkFkji0TdD+vE6M3wBdV5OgnzqbJ6B2ih7vBHADu jXktniht/H8FwHdaGVUSpkhHwCF9e921XVipF9bR5UpW79ZXglWxWj4Fjpud9wml7HXu7w2VWuJj UuhX3SgU13TLkXG5xVf33ZX8La3gahGeOt60rRuTx4rwaLainQt1wEqnuo1jPmRZn2zyW6uYCOxR rMf8orP1N1ViZtMRRqBDJoCR6B09mDYZNlKq4WSs1J8Ad25N4p+w/ZmKuzb4SSP7ajchdk9MctaS pDXnDElkBIP9bxGitKTbLVnZm4IkCnTgDW8B/UogGakhh518aI7mRetYIjs+A8MUdLta52VXYF6Q yVkb96IN/CrQxyp1AH0Si/8Db65y7obtprPhonFGDLZRVlaq++Vm0ZjF79mLfqGFtM9erX9VKDyO IsLCfomTMkyFp2i6NONTghiWXwO8cOyXfde0Nxr5c0KSV38+NKfIL6T+9OtVGG/hKNn8MvM86QGp QJfxGgplzPoQthtY6OQJp19xWIGJ8Zu9PyWG3gdASBq06Nnj6Pm9uH+wntbGWAFwO5Lksdx5GyWw 2bxv0AT1BfaX0+bX42I5EKAhdazzJoAlIVpdzAzV3UNTJnL5bKom/QMqlGWZFFwpSLdhGMczO+Ne RU6wZ1uE1QV5SNZzePo9Yj+5q2qoTPyrLZfiSMuOSW+90CM5sM9f99BxSmKxN7LEeO2BmCHqn2m6 5uUS4UabX35HoPr56IjLopyaiZXTgPRGD3D47QVu38Xq8gMGjpq3aDas+K+Naw7Sijov0wSZu+hk UMADFZxuZ1pcSEkDKTeee9L81FVU6IJV03eTZlA6LsMyLWHDjth3yxRX/kMwivbheAJZBtbWUMft rRT5tzFhoLzL8GmLtDfBi+z04zXgCxm+eCgkHKI+Dayt3MAWQi6Lx5sYS3+2GIgzp5fr5cbEG7CN cn9s8/VB+RGco5eIwSAftL4m0RbrEh4JXtmwfhuuEMAF0SkM4SWDe+ixJJpH3ww1cb3t64uXynOh 7Zi7sk4Y4h8bBz5g+kVC5K5LtyawfFnEaxKJbeYN9q6uejaSCQaS5VxC+SEmIwPCN8ZBzrhLCGqf 0NpPdifsv33kdSsT/uQnP21mnLqO7IpCB92tHFAWAuH+ImKXDTKG6K6ky1jtptBQRxfCiMVJ5tYQ LkRQx1lpmtZRpJV4nmbEQU7CDDSGpkRDuMwjkaBZ3KfrlfuFsA12uryXJr27bihv9BzDbzTTCvci 3EpoQWC+eWbiWvOwpGayIOo0WbKZAreZwcsyBllND/7IayEpyNZmOxMK8tK3p2H+/PfbY9hJ5x69 C3oqWwPuDstefw2MileEqfbHsiSubyLTAkkqQoLF7uskCOGgHUlu4b0XZJXuHAv3U0tskvgITbMR j8aPE6ZjyK+COSPJeigwUQ1R9M5svN4xAiwv9DMB8rPLC5SkAH9TJgTtdiuQk0nZunirzBqL3T9t xFse/a58VBqqPNbtHg32znUGa4/vdqCOxNV80BRH/LV5SO0STj0LfNtYrOb7RZPhcyGG0gb8lstm 7C2zBFGgXzl/WwNffBCcjimVOAyilwJlgfJ0bHGxOhUp5uWsVv6xnBkP1yC+/CBKLWIWquwL8zBY zoVaJ6Ls43lc0rqoym0R96P3TWAkAV9eEVJtQTzHxxeJt/krKO97x+RRPs6w9BpX2dMuWmqT2npD PugLoBEt++pV0NfB8lVLqxmX+pTFNmxp4RAPBKVfXCvJiX3I8/ZOUSpMdDZEk3M6qBVMPSSpqyA0 Pr8FR+CYI/dvnnntxRrco9ujKuF0YX0YzVJkRobDGj9sEEVbSw5Z+p/n/3n/xWPE3AKJv9SEDS3V fFD35Gz5X46HWaQAi9DSaO1+EnRRK+2RkgZegyvsGoWBNjHNW1w9SLiN0JoAXz+kAPeYhuHperSw V7kg0oCMvgE74N4VmGs+XmtK0/TmD0FIwdKlemSTdzQmv6fjvoEn7mlgAoVxpcDQl2hOo595G13U rakc13VGAyjiiYgGPm5YynSOkC1Zy/d/T7kPl38uz6xvyQ5B3Hc3Z7ghaAqditFW3plZUIP0PIHT Dlyh5Np+u9PfAJxn7fChEXQJASMOSVUVn2tQrYumG9kIUT3W9+eyBWqblknMQzauVOyaGRqI6SZr yfXsGC46nYWaj/ra9sGGnhFcM/jd0lvL37nNAgeu1yXxT6SHbLJievrsZo1YmRxFyV24LLOXj0mB j/Ph2QaLUDQSwJR/BynzJ4z7bt3lvOXbbday9hMkT+7+gRQZC0bKAWjSn2KLMdBOCPJrmK8VAxxD welG7OJRkOSWPwHealPF7XUueqmO2ZZtJS/hPALilly0wCd/P4+57DTmRuW5HlaEiyvx4veC3Enr 4tUvmCBoyoYV9jNuanP5gNnzwWH6BfuCVUFLYIuuWPHthR9eVRhBXOTcJCobdgClkQFX5BSWZ1vK QB5yd9xk/BzoZ2Hl3zZpkg/aHLPH8hJSr1oZEUDgRotOBSJxBNDB3re9IamBF0iaCX72wvhRN35p M2piWgix1+QzVV0xHw94fpygXA5TOFK744DjZzFXB9TuSCLs7er5eXpK9ABFz2PLRyVoODyNz0SJ xqKJWJ0yEO+DaeEQ5coXQiSMIVvVomudxL5rFjmqm+28BIHX7L4VxRwh3yHpHFf3V8ret3tMRaVh XhYGr6K3yfQjm7sMcttBlYwLBjR2GlNRLPanfr9DJKrS2bzbrjBA7ca9sgAwGOl3LING1//og9Tt hA+jQdRIeK1TuvYyiJ5aUwV8Iu6J+88UIKBvRIYW7IMwVX9/sOmhIQYgEI4eCfWKO2el3MHDkAL4 CfEnqP83WAt8SMPTOH7arg7eegjLZos7FHCcMkkwGGXz9Atm2rkylFqHTo7nZKwM3bUIPmKXyPnU ofSg74wtifD0wM6jYoZgW1lhLvOtze3qkhI0xkq+B0XXxXCmRXPP07f5iteVh5TdZYSqz45r2C34 sZPxyNxyPquLogZLCAXOX+mH4VBCkEYYPf7APZWq0inYoUlZ5oSNhktcuceiHjR1kniDSpplz5io xBpxKomjH/nbZdZDWCp2fqvOG3wwik1yNFB0C0Bnh5qcS6A+VDjPZB89MMzJjORP2RR//XeB+W3d uYA+6tO8fjenOojX2Fd7SSeujNEaTcIO3VV5GrHCMhBMJTGoqaRHxj9I5nIa90v6VzGh4v3lDWKW G9H5CEjqaWSCJ9OdLnu+3TS+paovxUwJpyYBcaHqaQ1jIv3GwNRbpx/AEBT2mq/JaaVLZjCWWGIr NMadpZVsVnr/P0GEbSkZhux90qaVEfZQFF9v0HhA32QOZjds7fABe1mIIYs2Avh/t6kEaEtlxOHq nPwhnnWan4ZKuxYICZ2oTyYdnG6dKZsz/Aae/PgyKnKE1bo6o5xCXdl6+zSPvyDs3IRN/tbTvJD/ 3PhukRt3ZHXNtrckR/w= `protect end_protected
gpl-2.0
08c28eb32c363c02a3e7dacb86c83bfe
0.924652
1.905805
false
false
false
false
skordal/potato
soc/pp_soc_uart.vhd
1
10,895
-- The Potato Processor - A simple processor for FPGAs -- (c) Kristian Klomsten Skordal 2014 - 2016 <[email protected]> -- Report bugs and issues on <https://github.com/skordal/potato/issues> library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! @brief Simple UART module. --! The following registers are defined: --! |--------------------|--------------------------------------------| --! | Address | Description | --! |--------------------|--------------------------------------------| --! | 0x00 | Transmit register (write-only) | --! | 0x04 | Receive register (read-only) | --! | 0x08 | Status register (read-only) | --! | 0x0c | Sample clock divisor register (read/write) | --! | 0x10 | Interrupt enable register (read/write) | --! |--------------------|--------------------------------------------| --! --! The status register contains the following bits: --! - Bit 0: receive buffer empty --! - Bit 1: transmit buffer empty --! - Bit 2: receive buffer full --! - Bit 3: transmit buffer full --! --! The sample clock divisor should be set according to the formula: --! sample_clk = (f_clk / (baudrate * 16)) - 1 --! --! If the sample clock divisor register is set to 0, the sample clock --! is stopped. --! --! Interrupts are enabled by setting the corresponding bit in the interrupt --! enable register. The following bits are available: --! - Bit 0: data received (receive buffer not empty) --! - Bit 1: ready to send data (transmit buffer empty) entity pp_soc_uart is generic( FIFO_DEPTH : natural := 64 --! Depth of the input and output FIFOs. ); port( clk : in std_logic; reset : in std_logic; -- UART ports: txd : out std_logic; rxd : in std_logic; -- Interrupt signal: irq : out std_logic; -- Wishbone ports: wb_adr_in : in std_logic_vector(11 downto 0); wb_dat_in : in std_logic_vector( 7 downto 0); wb_dat_out : out std_logic_vector( 7 downto 0); wb_we_in : in std_logic; wb_cyc_in : in std_logic; wb_stb_in : in std_logic; wb_ack_out : out std_logic ); end entity pp_soc_uart; architecture behaviour of pp_soc_uart is subtype bitnumber is natural range 0 to 7; --! Type representing the index of a bit. -- UART sample clock signals: signal sample_clk : std_logic; signal sample_clk_divisor : std_logic_vector(7 downto 0); signal sample_clk_counter : std_logic_vector(sample_clk_divisor'range); -- UART receive process signals: type rx_state_type is (IDLE, RECEIVE, STARTBIT, STOPBIT); signal rx_state : rx_state_type; signal rx_byte : std_logic_vector(7 downto 0); signal rx_current_bit : bitnumber; subtype rx_sample_counter_type is natural range 0 to 15; signal rx_sample_counter : rx_sample_counter_type; signal rx_sample_value : rx_sample_counter_type; subtype rx_sample_delay_type is natural range 0 to 7; signal rx_sample_delay : rx_sample_delay_type; -- UART transmit process signals: type tx_state_type is (IDLE, TRANSMIT, STOPBIT); signal tx_state : tx_state_type; signal tx_byte : std_logic_vector(7 downto 0); signal tx_current_bit : bitnumber; -- UART transmit clock: subtype uart_tx_counter_type is natural range 0 to 15; signal uart_tx_counter : uart_tx_counter_type := 0; signal uart_tx_clk : std_logic; -- Buffer signals: signal send_buffer_full, send_buffer_empty : std_logic; signal recv_buffer_full, recv_buffer_empty : std_logic; signal send_buffer_input, send_buffer_output : std_logic_vector(7 downto 0); signal recv_buffer_input, recv_buffer_output : std_logic_vector(7 downto 0); signal send_buffer_push, send_buffer_pop : std_logic := '0'; signal recv_buffer_push, recv_buffer_pop : std_logic := '0'; -- IRQ enable signals: signal irq_recv_enable, irq_tx_ready_enable : std_logic := '0'; -- Wishbone signals: type wb_state_type is (IDLE, WRITE_ACK, READ_ACK); signal wb_state : wb_state_type; signal wb_ack : std_logic; --! Wishbone acknowledge signal begin irq <= (irq_recv_enable and (not recv_buffer_empty)) or (irq_tx_ready_enable and send_buffer_empty); ---------- UART receive ---------- recv_buffer_input <= rx_byte; uart_receive: process(clk) begin if rising_edge(clk) then if reset = '1' then rx_state <= IDLE; recv_buffer_push <= '0'; else case rx_state is when IDLE => if recv_buffer_push = '1' then recv_buffer_push <= '0'; end if; if sample_clk = '1' and rxd = '0' then rx_sample_value <= rx_sample_counter; rx_sample_delay <= 0; rx_current_bit <= 0; rx_state <= STARTBIT; end if; when STARTBIT => if sample_clk = '1' then if rx_sample_delay = 7 then rx_state <= RECEIVE; rx_sample_value <= rx_sample_counter; rx_sample_delay <= 0; else rx_sample_delay <= rx_sample_delay + 1; end if; end if; when RECEIVE => if sample_clk = '1' and rx_sample_counter = rx_sample_value then if rx_current_bit /= 7 then rx_byte(rx_current_bit) <= rxd; rx_current_bit <= rx_current_bit + 1; else rx_byte(rx_current_bit) <= rxd; rx_state <= STOPBIT; end if; end if; when STOPBIT => if sample_clk = '1' and rx_sample_counter = rx_sample_value then rx_state <= IDLE; if recv_buffer_full = '0' then recv_buffer_push <= '1'; end if; end if; end case; end if; end if; end process uart_receive; sample_counter: process(clk) begin if rising_edge(clk) then if reset = '1' then rx_sample_counter <= 0; elsif sample_clk = '1' then if rx_sample_counter = 15 then rx_sample_counter <= 0; else rx_sample_counter <= rx_sample_counter + 1; end if; end if; end if; end process sample_counter; ---------- UART transmit ---------- tx_byte <= send_buffer_output; uart_transmit: process(clk) begin if rising_edge(clk) then if reset = '1' then txd <= '1'; tx_state <= IDLE; send_buffer_pop <= '0'; tx_current_bit <= 0; else case tx_state is when IDLE => if send_buffer_empty = '0' and uart_tx_clk = '1' then txd <= '0'; send_buffer_pop <= '1'; tx_current_bit <= 0; tx_state <= TRANSMIT; elsif uart_tx_clk = '1' then txd <= '1'; end if; when TRANSMIT => if send_buffer_pop = '1' then send_buffer_pop <= '0'; elsif uart_tx_clk = '1' and tx_current_bit = 7 then txd <= tx_byte(tx_current_bit); tx_state <= STOPBIT; elsif uart_tx_clk = '1' then txd <= tx_byte(tx_current_bit); tx_current_bit <= tx_current_bit + 1; end if; when STOPBIT => if uart_tx_clk = '1' then txd <= '1'; tx_state <= IDLE; end if; end case; end if; end if; end process uart_transmit; uart_tx_clock_generator: process(clk) begin if rising_edge(clk) then if reset = '1' then uart_tx_counter <= 0; uart_tx_clk <= '0'; else if sample_clk = '1' then if uart_tx_counter = 15 then uart_tx_counter <= 0; uart_tx_clk <= '1'; else uart_tx_counter <= uart_tx_counter + 1; uart_tx_clk <= '0'; end if; else uart_tx_clk <= '0'; end if; end if; end if; end process uart_tx_clock_generator; ---------- Sample clock generator ---------- sample_clock_generator: process(clk) begin if rising_edge(clk) then if reset = '1' then sample_clk_counter <= (others => '0'); sample_clk <= '0'; else if sample_clk_divisor /= x"00" then if sample_clk_counter = sample_clk_divisor then sample_clk_counter <= (others => '0'); sample_clk <= '1'; else sample_clk_counter <= std_logic_vector(unsigned(sample_clk_counter) + 1); sample_clk <= '0'; end if; end if; end if; end if; end process sample_clock_generator; ---------- Data Buffers ---------- send_buffer: entity work.pp_fifo generic map( DEPTH => FIFO_DEPTH, WIDTH => 8 ) port map( clk => clk, reset => reset, full => send_buffer_full, empty => send_buffer_empty, data_in => send_buffer_input, data_out => send_buffer_output, push => send_buffer_push, pop => send_buffer_pop ); recv_buffer: entity work.pp_fifo generic map( DEPTH => FIFO_DEPTH, WIDTH => 8 ) port map( clk => clk, reset => reset, full => recv_buffer_full, empty => recv_buffer_empty, data_in => recv_buffer_input, data_out => recv_buffer_output, push => recv_buffer_push, pop => recv_buffer_pop ); ---------- Wishbone Interface ---------- wb_ack_out <= wb_ack and wb_cyc_in and wb_stb_in; wishbone: process(clk) begin if rising_edge(clk) then if reset = '1' then wb_ack <= '0'; wb_state <= IDLE; send_buffer_push <= '0'; recv_buffer_pop <= '0'; sample_clk_divisor <= (others => '0'); irq_recv_enable <= '0'; irq_tx_ready_enable <= '0'; else case wb_state is when IDLE => if wb_cyc_in = '1' and wb_stb_in = '1' then if wb_we_in = '1' then -- Write to register if wb_adr_in = x"000" then send_buffer_input <= wb_dat_in; send_buffer_push <= '1'; elsif wb_adr_in = x"00c" then sample_clk_divisor <= wb_dat_in; elsif wb_adr_in = x"010" then irq_recv_enable <= wb_dat_in(0); irq_tx_ready_enable <= wb_dat_in(1); end if; -- Invalid writes are acked and ignored. wb_ack <= '1'; wb_state <= WRITE_ACK; else -- Read from register if wb_adr_in = x"004" then recv_buffer_pop <= '1'; elsif wb_adr_in = x"008" then wb_dat_out <= x"0" & send_buffer_full & recv_buffer_full & send_buffer_empty & recv_buffer_empty; wb_ack <= '1'; elsif wb_adr_in = x"00c" then wb_dat_out <= sample_clk_divisor; wb_ack <= '1'; elsif wb_adr_in = x"010" then wb_dat_out <= (0 => irq_recv_enable, 1 => irq_tx_ready_enable, others => '0'); wb_ack <= '1'; else wb_dat_out <= (others => '0'); wb_ack <= '1'; end if; wb_state <= READ_ACK; end if; end if; when WRITE_ACK => send_buffer_push <= '0'; if wb_stb_in = '0' then wb_ack <= '0'; wb_state <= IDLE; end if; when READ_ACK => if recv_buffer_pop = '1' then recv_buffer_pop <= '0'; else wb_dat_out <= recv_buffer_output; wb_ack <= '1'; end if; if wb_stb_in = '0' then wb_ack <= '0'; wb_state <= IDLE; end if; end case; end if; end if; end process wishbone; end architecture behaviour;
bsd-3-clause
12487c955a753fc6acdfcb2747b25fe7
0.571638
3.062114
false
false
false
false
freecores/light8080
vhdl/test/light8080_tb1.vhdl
1
20,395
-------------------------------------------------------------------------------- -- Generated from template tb_template.vhdl by hexconv.pl -------------------------------------------------------------------------------- -- Light8080 simulation test bench. -------------------------------------------------------------------------------- -- Source for the 8080 program is in asm\tb1.asm -------------------------------------------------------------------------------- -- -- This test bench provides a simulated CPU system to test programs. This test -- bench does not do any assertions or checks, all assertions are left to the -- software. -- -- The simulated environment has 2KB of RAM, mirror-mapped to all the memory -- map of the 8080, initialized with the test program object code. See the perl -- script 'util\hexconv.pl' and BAT files in the asm directory. -- -- Besides, it provides some means to trigger hardware irq from software, -- including the specification of the instructions fed to the CPU as interrupt -- vectors during inta cycles. -- -- We will simulate 8 possible irq sources. The software can trigger any one of -- them by writing at registers 0x010 and 0x011. Register 0x010 holds the irq -- source to be triggered (0 to 7) and register 0x011 holds the number of clock -- cycles that will elapse from the end of the instruction that writes to the -- register to the assertion of intr. -- -- When the interrupt is acknowledged and inta is asserted, the test bench reads -- the value at register 0x010 as the irq source, and feeds an instruction to -- the CPU starting from the RAM address 0040h+source*4. -- That is, address range 0040h-005fh is reserved for the simulated 'interrupt -- vectors', a total of 4 bytes for each of the 8 sources. This allows the -- software to easily test different interrupt vectors without any hand -- assembly. All of this is strictly simulation-only stuff. -- -- -- Upon completion, the software must write a value to register 0x020. Writing -- a 0x055 means 'success', writing a 0x0aa means 'failure'. Success and -- failure conditions are defined by the software. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.numeric_std.ALL; entity light8080_tb1 is end entity light8080_tb1; architecture behavior of light8080_tb1 is -------------------------------------------------------------------------------- -- Simulation parameters -- T: simulated clock period constant T : time := 100 ns; -- MAX_SIM_LENGTH: maximum simulation time constant MAX_SIM_LENGTH : time := T*5000; -------------------------------------------------------------------------------- -- Component Declaration for the Unit Under Test (UUT) component light8080 port ( addr_out : out std_logic_vector(15 downto 0); inta : out std_logic; inte : out std_logic; halt : out std_logic; intr : in std_logic; vma : out std_logic; io : out std_logic; rd : out std_logic; wr : out std_logic; fetch : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); clk : in std_logic; reset : in std_logic ); end component; signal data_i : std_logic_vector(7 downto 0) := (others=>'0'); signal vma_o : std_logic; signal rd_o : std_logic; signal wr_o : std_logic; signal io_o : std_logic; signal data_o : std_logic_vector(7 downto 0); signal data_mem : std_logic_vector(7 downto 0); signal addr_o : std_logic_vector(15 downto 0); signal fetch_o : std_logic; signal inta_o : std_logic; signal inte_o : std_logic; signal intr_i : std_logic := '0'; signal halt_o : std_logic; signal reset : std_logic := '0'; signal clk : std_logic := '1'; signal done : std_logic := '0'; type t_rom is array(0 to 2047) of std_logic_vector(7 downto 0); signal rom : t_rom := ( X"c3",X"60",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"c6",X"07",X"fb",X"c9",X"00",X"00",X"00",X"00", X"47",X"c9",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"3c",X"00",X"00",X"00",X"cf",X"00",X"00",X"00", X"23",X"00",X"00",X"00",X"3e",X"42",X"00",X"00", X"21",X"34",X"12",X"00",X"c3",X"10",X"01",X"00", X"cd",X"15",X"01",X"00",X"cd",X"18",X"01",X"00", X"31",X"5b",X"01",X"3e",X"13",X"e7",X"fe",X"1a", X"c2",X"0b",X"01",X"3e",X"00",X"d3",X"10",X"fb", X"3e",X"14",X"d3",X"11",X"3e",X"27",X"00",X"00", X"00",X"00",X"fe",X"28",X"c2",X"0b",X"01",X"3e", X"01",X"d3",X"10",X"fb",X"3e",X"14",X"d3",X"11", X"3e",X"20",X"00",X"00",X"00",X"00",X"fe",X"27", X"c2",X"0b",X"01",X"21",X"ff",X"13",X"3e",X"02", X"d3",X"10",X"fb",X"3e",X"04",X"d3",X"11",X"00", X"00",X"7d",X"fe",X"00",X"c2",X"0b",X"01",X"7c", X"fe",X"14",X"c2",X"0b",X"01",X"3e",X"03",X"d3", X"10",X"fb",X"3e",X"04",X"d3",X"11",X"00",X"00", X"fe",X"42",X"c2",X"0b",X"01",X"3e",X"04",X"d3", X"10",X"fb",X"3e",X"04",X"d3",X"11",X"00",X"00", X"7c",X"fe",X"12",X"c2",X"0b",X"01",X"7d",X"fe", X"34",X"c2",X"0b",X"01",X"3e",X"05",X"d3",X"10", X"fb",X"3e",X"04",X"d3",X"11",X"00",X"00",X"fe", X"79",X"c2",X"0b",X"01",X"3e",X"06",X"d3",X"10", X"fb",X"3e",X"04",X"d3",X"11",X"3c",X"00",X"fe", X"05",X"c2",X"0b",X"01",X"78",X"fe",X"19",X"c2", X"0b",X"01",X"f3",X"3e",X"07",X"d3",X"10",X"3e", X"04",X"d3",X"11",X"00",X"00",X"00",X"3e",X"55", X"d3",X"20",X"76",X"3e",X"aa",X"d3",X"20",X"76", X"3e",X"79",X"c3",X"df",X"00",X"06",X"19",X"c9", X"c3",X"0b",X"01",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00" ); signal irq_vector_byte: std_logic_vector(7 downto 0); signal irq_source : integer range 0 to 7; signal cycles_to_intr : integer range -10 to 255; signal int_vector_index : integer range 0 to 3; signal addr_vector_table: integer range 0 to 65535; begin -- Instantiate the Unit Under Test (UUT) uut: light8080 PORT MAP( clk => clk, reset => reset, vma => vma_o, rd => rd_o, wr => wr_o, io => io_o, fetch => fetch_o, addr_out => addr_o, data_in => data_i, data_out => data_o, intr => intr_i, inte => inte_o, inta => inta_o, halt => halt_o ); -- clock: run clock until test is done clock: process(done, clk) begin if done = '0' then clk <= not clk after T/2; end if; end process clock; -- Drive reset and done main_test: process begin -- Assert reset for at least one full clk period reset <= '1'; wait until clk = '1'; wait for T/2; reset <= '0'; -- Remember to 'cut away' the preceding 3 clk semiperiods from -- the wait statement... wait for (MAX_SIM_LENGTH - T*1.5); -- Maximum sim time elapsed, assume the program ran away and -- stop the clk process asserting 'done' (which will stop the simulation) done <= '1'; assert (done = '1') report "Test timed out." severity failure; wait; end process main_test; -- Synchronous RAM; 2KB mirrored everywhere synchronous_ram: process(clk) begin if (clk'event and clk='1') then data_mem <= rom(conv_integer(addr_o(10 downto 0))); if wr_o = '1' and addr_o(15 downto 11)="00000" then rom(conv_integer(addr_o(10 downto 0))) <= data_o; end if; end if; end process synchronous_ram; irq_trigger_register: process(clk) begin if (clk'event and clk='1') then if reset='1' then cycles_to_intr <= -10; -- meaning no interrupt pending intr_i <= '0'; else if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"11" then cycles_to_intr <= conv_integer(data_o) + 1; else if cycles_to_intr >= 0 then cycles_to_intr <= cycles_to_intr - 1; end if; if cycles_to_intr = 0 then intr_i <= '1'; else intr_i <= '0'; end if; end if; end if; end if; end process irq_trigger_register; irq_source_register: process(clk) begin if (clk'event and clk='1') then if reset='1' then irq_source <= 0; else if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"10" then irq_source <= conv_integer(data_o(2 downto 0)); end if; end if; end if; end process irq_source_register; -- 'interrupt vector' logic. irq_vector_table: process(clk) begin if (clk'event and clk='1') then if vma_o = '1' and rd_o='1' then if inta_o = '1' then int_vector_index <= int_vector_index + 1; else int_vector_index <= 0; end if; end if; -- this is the address of the byte we'll feed to the CPU addr_vector_table <= 64+irq_source*4+int_vector_index; end if; end process irq_vector_table; irq_vector_byte <= rom(addr_vector_table); data_i <= data_mem when inta_o='0' else irq_vector_byte; test_outcome_register: process(clk) variable outcome : std_logic_vector(7 downto 0); begin if (clk'event and clk='1') then if io_o='1' and wr_o='1' and addr_o(7 downto 0)=X"20" then assert (data_o /= X"55") report "Software reports SUCCESS" severity failure; assert (data_o /= X"aa") report "Software reports FAILURE" severity failure; assert ((data_o = X"aa") or (data_o = X"55")) report "Software reports unexpected outcome value." severity failure; end if; end if; end process test_outcome_register; end;
gpl-3.0
964f8ceb036af0c080ff7e28facef604
0.529443
1.898799
false
false
false
false
keith-epidev/VHDL-lib
top/lab_7/part_3/ip/clk_182/clk_182.vhd
1
4,552
-- file: clk_182.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___182.500______0.000______50.0______121.506____104.359 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_________100.000____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_182 is port (-- Clock in ports clk_200MHz : in std_logic; -- Clock out ports clk_182MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_182; architecture xilinx of clk_182 is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_182,clk_wiz_v5_1,{component_name=clk_182,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_182_clk_wiz port (-- Clock in ports clk_200MHz : in std_logic; -- Clock out ports clk_182MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_182_clk_wiz port map ( -- Clock in ports clk_200MHz => clk_200MHz, -- Clock out ports clk_182MHz => clk_182MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
15e070d7166214520f2f802de3dcbe7e
0.633128
4.199262
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/xfft/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_rtl.vhd
7
22,928
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NFdTejwDVm49L8EGflSeb7XcHI2XkRoEEd32aVmkoceBbRvVvwreGBunFIb4DZwkSDmXt1PHYAVc zKD9afBYjA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FaFSREoc55iiEyiMvcmk1NJWu/bLAmVq0TxEMJlh54PRwPHvX4zQGgRzjzbjCiVjHsy7cwgk1KBi iORR+13ZdDdg3XKc80OmKEZgXtjEUYhGQEvY774ZWSJHzpu/NbUGsvadq/pz0fTedbvpT2tHsQ94 YFM9yn97zYx4Vt4MQNY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OsOaE8n3U5hWu/vKuACZXx7sY+XepaGG5kL0KCdYk1yPhqWe6PgrFEXohPmimrTXmLbLSpo6HQpi GQn5r/Nn8lIHvrFO/JAf6xawCPM/djc9fCKjbDfGdA9vISFs36mLiWBzvheYsZ1DErQaiuQJztEz Dm7/C6GTivt6k371TBj+KsTUt0svqvlBwPaCNE/sre2Zl7AXns39ubV0PeVb6G6BbvWrKb4X5g4o 5sFHg3sD6Ztxd82MJscAy+8TFS2So4pUph6253zMDEY5fcuBRGupjX5oKppfuhhkWi1yNcWUX71J rx9H1fXW9Fc06G4FEnHWBJYSBnB/qW94dz0CKA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 09MfAZkDeKzhs6lrm51ICk1D2w0hyZ32gp3fYfj07JmZoKRUW7Vc5j8dF5YTAVGf3MQGKvU0YOba Wurg4L4EYAOoGejThIScude92VeIVWLCB5s0OiSh8h4nzjcKy0ASSzlvPF+HC/8TltQ0odXgdKd/ c+114bX1HNR/zEp94Fg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZsyB/LQoOe5hU/jgz1FbOfv8pehAozorYmeds0WxVJoPmhhKjRJ9rn3cpDwqLylBxMqUzqWLUY2h UQtRO1zZbnOjnBHiNx8AyiJjiHL5yufmOL9IqrNrS8q2TkuxAg3aJ5YguUspeyUcRaDhrA+QxygU v0Xb5y4KWz351xJH8ssQ1vJb/a4wGJo+XQadUVipfW+jRJ7I7vnJs8c/gVKj9gEbDMIiD9KG5ss9 RbAuFcgxcUCXg9k+RDebUAc/kr8XRoCHG2XqUJSFxJNpTxFBwwZOjSb4tB4d37UDjxEsw3cEQgLD gela+Sw7JBwvE4jH79NRIpzlLZ9AtVElQ8rz5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15232) `protect data_block mh6DzwMpDTZ468WxeaxdVbgYGrVHw9OXHkX+U6wgjI36PvJaYKZk9DfNq6cqsnMUnO7d7VUbC2R5 nTPY8LKThXSXjC/dZ8M9sTDvWzk9UH2vYkRpWsJk+ZjJVFYpm+zRYlvRvAkrUvqlhIK5oNzMSxJP r5z1Fo4KGLRw9NDhvc1sa+YTTTWfPBHOHEkMwFNNBXZ/K+i3Gx5bpuFq2G75mBdHlnL8IHjssn4C TamDnat1yO3fRQMmZia+A66bbNjvgC/uOk0qvKqHW/FmrGokVM23/276Obv1tnepAHGUOk1tjVbQ QT8v3y3Ah0QxZScoQ8e34DCuqaH1b+mOTxgI0tyOtFLkUN0NDIgI3tnQOwXml1v1oLl3/nf6Jt6v fiujfxN0kNX2CkBg4AEqveXetmzQ/Puotw37R0AqfdH1dtJ/g0alOhXKyA8RSYddMRXOHMro9vHR D23zRVdgrdBCNrEjetwjRywb7mhDWSz2ahrVLNGvBzGCTmMfNxUgfjGdk0rt5uXZCJDG676V7eWf EdPqo45+fpbBwBerHN2PNafAaaNtx6dzKVjCkHYeQu3wDzu8XtjYC+cICEMicUB+GGaf27268pXX GuBqZE/aX06OKF3nrQwHodi3kQpQYwa37EDEF2R4WvOTWBeAvXt8QB8GRkGUZvKHRjkbH+uyT95Q cxcvCp0RZ6CtCqhruQhv0UxXgjXhxlqTXoZzkrU6Syruh7RKGuPDwuBNJTCab1FrGxAXEtqB/4TP bO+5APYYdRTLSJd/RYNZOhU4zcEubuMc8t/gyuc91g8LxridFQqMF5d8O4H78yCMyNc833dTydPX 2QCYuNiuvVQ3Zen/8UcVewd2Z7ST9kdfhYvyZjOGNs/nLxL1ezhZEXxEcOnL2Bg13U3iHgLjMveP pS1wnXIQRu0i5SMZC3j/pYCqE8x+wjf7C40XVjb+lu/9/3IJFfUEgqpk2Ka0n8pI5mN5COqvqfFC b6yaJQP+MeZlBteAepkb8azwt3V7AdDTVoGsk2jl3s5eZ/hIETFNgp4PCQIzOSj8OaGlLQYYe0Yg TdR5dFe9VK01Zn9wiHP3t0IIL4X3ht4VaMEML82XJwrG5smrxBTiZqQtWuS6O3f4x3zPFoDmSCyz ip1Z5vn+SJ4rS+08+Po74XyIgq6liG6vKERxMdYCGVTGeIhtu8hI6YgsO75s60OIiXTV2Qw8MxBK XIvSZ4pxPxGPmZ9LqHlv7BxQVSI0QqJZGXZylxdmtdCuv/x0TCnS9p05MJGWCD/YPCUQWiAIBmVS UVQblWJ+W/7WG/svDaVdkWEaPOuMtvzvmJLHet1tGrLef39uhv9+INpVMmWCAWJcYLk0HJjuywbe Yvb6vqsRzRoiWtlRpBeUGz7iV1Ufvi/N/l658qJvUkTXARx7SaLkvLPgVMh4R4ipF/P2fWZtu7hb JmlmisDY1wTZLB6JgfKPNOiXzQydzbOvn2YluQtMra1+eFM29C9hJLfaFWq5jj/Vz3M9DtwdZgFD obX5VUy1Aq1oPmIK3HIdsXSnyk+k8cbFAKfzlqprZCgbdlZQLqepklnwDfsW+UwiWoO7FVLGUkFB S3wyEMM/9TlYIIiuld443N6RIP/x0D3uBL/iEW95Y9Yks7aji+U0L0ZYxgO1j3FlpEJ8q9Ey/mF/ 4qPYfwPk4aTQZbTduAKPeTbIaTrJinzfiOuFiznCNQTFp2rFjzheuIXs25Nzj8IhpnWtpP7vIy19 FNWowFzdAG4yR6xw0HZP+1nv0MsiU4nhKFRwf+H3ijy5AH2CfiJZGhzybkGyldOza2FTJg6hdojy jeBO20RVImNwFefIpvnAjpKBePic20OHQlzdDH407ZELS7CQhhN0ErlH4OlCsfav2Q3yCbqwLF8j ogOdFW8O7NMxtG+gBXO6JQ7lanKeokLAREhNUW+lkHq1QtmrUmYBp788C3k/op8f5xPztMXGsjjE nEvwgh6Ztlrd1rmOLp5Y72MaeE/nqCOkdAB69J/QOirNB2OsMQLgdAu3vOuko9VIhpYxAdYF5kvb zeZxT3nnxbDFc15T+VyOTunXWyU1egVgAN4E5JOxf77bSdUCKcWXfScGnKjSpzqo7iACnOrOryvT qIJi/BqdWFuwzrQnZdMsJ+wgcO1euJilONXXr7BVB7j7m9gFSWdaE1UjBN4MQmDjXlNZSRLp4sk8 luUh3dC8A7ow1frPto9d6EPHdogDOJ1wZYwB8ns5frekjoMi9qf1g0T1xc7Zx7kcOCzGkwd5b/FK nkMzLZcKk8jb0i4E0BAMJD/u/Q3XQMkIWn7hNnRAh87xKQpaCpHiB8S5VG97TKxA6uiOJV/2KtCY rMMLs7uy3jm04d5sBUO4FQKa8TuwDG98KoAXgO5QI/rwMTaX4Y3NV68hdSMeKp410I02QMzxwCex JfTH9k+O2diDbN3QlcS07J7bH2tiv6NX7MAvXmS3os2VSRisA9xXfnCE4l3YXOxLwWn+0xt46Jxa NRZYkRTWFJ9WCdIkJKIR6/i2bngaKqDjDnyuCFkhoBrydxAmS6aeKXD4kqW8B1j6AneFPfAzNtOu yl7Z6zo/u6hj3o/m5oGFek9COw1gs8zWNg9vmmxc2z7BE2wGxOJfdH3V4FMMrDvu/T4kk2Cm4PG4 s2pS+IXppTfNJglP+9NO0hXtfdy8VFEwZM+UFoC8bNo68U/FOQsmtHCJYGlf3JTx0x+LugLy9Ams f6MG5RU8y23pKyWBoUrTPTk5eRnije/kLrIu13RsWwck+tE1w/zYOm8ztpSjKRtViNG8kHLU/tVE Nr6tCsSUgJyS5bLXWAhL7NmY3fCkAzE7Y6a9JtTh/6Ew+ngYevXTxFgguqMYVRzc4hiHDxQG6Zw5 Eau2zyd4BVZCt0AoYythxV2hF/n6vZpeigtiA2TBDAq7D//htMD6lmgd1w3T16M0VYYTA2b68X1N XBY5THmC0it6dmuLoLS8RoisEcXiyR4ZWBBirg0/LDt4nv6Qn8Nzjd/QtrSZ9Y8uXLpMncJk2Hua cADke4iDz5Y/xKOPeeRprLXXYZDbz7Iit4P2ZjQbwwr1ARckL/zup3K7Op64YWnaPOSm/JiNOML3 RWtZKm8jSYyjZv6kBNvwMzpFS7h0gzFxiMptcbEbJdW6EtlZSHzpKkBmGlh48E+qogpTUxmCx9eu lgyjQ0c504IpMtqAOBcYkK/7sZ0ERuxk6cTbM8JrQFMaMLrfXWH5m8B8sxkDLsSeDCx/uMWaNO03 xQBsSuWfqz+KIKdzReIYQb6svpJu8x6PhErPceVOen34vzLV95aROxQk+Q2XeWsnuTowRZkEboCO cqbS1+/GeAcUIIUe1760paQhH7JYB5970nlIw1Z20t7gQzb1fAVJyHb+AE1IwyHqojn0mSbF4zcc z3heZvGLI7gNM2LPaRWRPKLypTqBKuHW2l4EH2aCIHs0LKtZJLiG8NoVtnZDGrlQgonYO+mumrdd 7fWdmidUcXHLcIcY1U/lI7m8dPhwMFSy42M7zizqeD7lxaThsdiajgQBZBq0XoUeDLeQoGmYygCy eCcpOgj54L5HGqmiBzkW0CDnGiuCqr4iRD/m5t5O+BFFxYd2Rt4lJ0R/m3hUv1LWa61kfkwR6SJ9 vPSxZ6EoMLhunq8cBCfpUWCc/CYOB7/B274uEEw1kiVe6Iov9RhS2Hk/5SC7MeiFtF0ea9CKDG9E QxnHxWMmcx9qJ4Rt2TMrIFPr82bsfADmzs8+fnBAxpQHQMag5CcF5IYKkgFkeG8h9kSciIPg45KG byCpLC4FnNcarJj2/wh9eSS8XZC23zIOmek1j5+wKET0VCj+gBOHFh5p9n5Q0b3cELS98e98hdFO tHqIimJIK1lrXFZEs0kd2oSNd0G3uAD5w4dVyRGNZkOo9addNgehtnPjFnHtyiILZK9YYtam5cM6 9fQBC9WEcufCAkerZZ7ewNWpOHLgdPHVjW1EWBMU3LK1LA6xDEJgp/JsC/+NyTRv63j/l5tVg6tl UcdvifaFNL7Ov38sEeA3rNjDE2knpjs/SzZE/4GTxaUv4CceZvrAuBkugGz0i4mZxj+8et6UyIfE gyqrI6nKl4cUR44c8UrVkQcT4HmeRfD9KeGWeQ9ESaXEherxkpqqUG2JDHTWLvYeHm/TZFOKIXaj 4uA0TMnXKqxuKR0BGAuv7VmxJR4XgXawMBPi0361YSqzgapki0Ye3mxUCb2BTESxbMrE3DAYTNcB jVdWa3DvsRwA/dZ+X3NJrnJ2l1vZhlEVHQD1UvL46A0xH4YCZvJNGWC3RRkVXFKR9QLLswybp8tU LB4Dzfr+2s7SfuorH2h/IMY1bHZUGitsyRc+g3TLkSYjTXz6kIJpSbXQrS1+ZupiEEL2lfwMlBPR KJLFc5NZU+oLv2emUt/7orutstm0tQqRNZiCDoQQLDlVjwoYPHydw/tXuUNTVoFzRu5SFNFErPKq 8cGFwEBhvTW6x1bTcv58UHMlHyg35s3+QhlN0tyToESp+4wzhZ+qPXuiOID8nm2zxIw/50sYyhLi TYPCft99NsVUoHCcJI1Gsf/I1ilAppAxc4HO2sCJ2Rrpr4cZHs8mIkqiPz4ciY5jdND0SakR5NK/ lrNlDeZbU1s8TdreJySH1eBiNw+BIGlKNCVl8Fat/Q6LXdCnn9Ua3WvaH9wKaLesa7QoUNf7/Tzr DFpIQVokQ3wVdUHcHF+JINK3rQgYzo2GyTJqdjNujCEefyU/CTI1ra/tDiTVWRNgU1c5srkww0SX ojJLn7lMjDYZ+G8CoLyVuV9Of07YdZBdg2+6iSmuWN+Q90HSDBXdTSBUcJCSI0/6FefpDGEbG6ZQ kEFUpG5RITFz8aCU0WwCFGLysAnGjFaNCPcX4kDstcsbT0R9x+ViwvICgS92LUn9MeBL0LFFGRJv sOV8oukZ6VmLztRnbUAmaBy5gXUF04OaJzW7uIvyQAGTNcoHG5ASN/fB2wL4Z3G5Ssy5kVuXX/mT ZZ3GzfQS5ZIbFvqkhJTUhR353C/1WlKwRIUrWVMYeThN9x8s1TJcYb5FETbnw+j2BAslQXJezuQo fKFIgeYKcYVZ2Tji6A74mOSHh90l5CXBSuTtDFdB0fgvsR4uIkF5QO1miyZx3W8XkhhmAj9/UXJZ vEanoAybH+vUU8dyfi3+P6R827fnkrTbSOcjA1Q1af5uFHTGfyxJaR1qMMwuBX/xcrjS8HJG0yIo NQHFUfuN7BoKREdnaBTjGzFO7j9WgyIRO7psMlJq7hOqQwESHZL9fEVIowf9ufcm3ddY0QhtDw/k tDGfFgWOhafWypFfz8KQ5fq5z2iR6vtPt6lcVRmtGkv9NR90dKBxxc55WKuxGRFxhArLOMbaNOcX 6uYcv89kXurROdUY2wat25dwcNgXIaPggw9gGgPgVqG8NVPq2f2UuRX1Z2bf8xhSd/M72EzyzsE2 R0+3ER9fG/0L9jHTMcJDs9sSzJA4LbRow+GK2D0r81mnlvBMWNMM2vnDfQdlbKwutVTs4PWm8ddA 7utqjsacWFVrDo7n0raB6TRNWbUXaIpEXmDY6u4pcbY43fLqBkFbDAA9f2rvekVwGTHzGTQwIaRg t/VnUtdPaVphidBAfFmPIIfshKDbKymJrZywycoVVARtKHe95uJx70gGDXJaDdLfnUPegX0w7gBi BL1PAiS9QQnJnVIEma964PoEBn3CPvEc6h88zWyifOSBW7zwt63lbAaXdjHsKd7jJAgCO/YiZ9J0 gMyoNsTtxRrV2Pn00DY8OEQYdWXTx4C2JYYzudSm2AUssYC3E+jg6mmq+ZP/5atzoXpIcK3ttpj2 S8F5n3xcxZvdsqsFDHU0GWKFPvRKFATDbziuUIeGXhEwwM2oZx4dtgm3IR/Gzbvivu07lr/tXPps 1DpakImNg/vNBFll3WG2yWomb11x8h3v5uiFLika/L8F1KrObv1dDHJas6pKqmtH9lN+8/VvA9gq HV+zjhWuWW0n9GgxZIeHlhNEjsIf1MMUEo/K6AkgsIjHsiBu5flajcNwXcC9pbSB65i1Sd7JfH4z aUopzNZQePA+ItiFYqPIAVI1k90Hk+LFtIPSkZu35P1Z+SiHHPhb8bmpbWK/VDrq2QuFoNY1bEjJ JD5vmhWtDjNMzfjNVoxtzRM6seeUxbNHKxGHF2shCib95TtrTp0R+Ux1EhmGAfrHa3riiuz+M7cr NprxujdkryGUXK140Tr8Rzjd/FG0lygBbkyYNQ1n/MObgE0jYrO1VPmfM2iDA9le2ejFQYQWlFVS JSq5kBYYFrGzIKop9hXRuGdNiclacc9NIOAWaS1ThAolUfUOpt3nLVZrx7TSm2akfYIVl01MZp27 5xbKwDSOCb1NHYEtSBSyyNkeO3spJvw4bQP4vGirvwa+KR+9ntTL5fUApcznJQpTD1w3OIxG3JXj VLTZYb0hB//dI0HxeAqjKJA5XXJ4Lt5CNfn5CZESpptCOeusrhlMwbplEvjgYKiF8X7oY6V7YUfa dCrI3dtpDwXYw5PpRHWZJvD6xDUvJAC4s+X2agTn/R/wuZXNEu0g3wQQg0+1QJWMJN1W4ccyER/s /yoDudxPUMva6zj/QwwPX80CziDgY63w9ogWiLeADlZUALEkTbY2PrCwWS+djYdo96nzF3i/84X5 yOCt2RKI22EiSzAS8mdEUoeVmXlb16ihN/ptSfwbfVb0SNah9yYXEUa8b9X2fHsCSSMtZx/V8JZQ SuiYWGPkSTxUqgnx2U9HjuAhuqqja07v1O3kCO3wgfPNcf5A1Yxy+4kmz/aRWJtGeBfPWQSjJ8Df GiQjkeUTe9MMe8rInrfctaEIRN0OmA4J02omeonGprsosBnlXkQ7tKQ9/oIF11/pkPu89FxdatBk 8o44eJtiQLm3sTaZ0/GnbhCvvkdYB1TBGimnOtQKqMijpJuw520sbWd/h4KRCLOiLBWj/+nja/mA ofsH2Z1PTL/2CqDyTfcMkeEOJes4gX/2xD6Y52RMcVPVkF8YTTjkcCcsQpJKtW+R5UnIKZN1pZoy zQNyDSmI0QN7pAwRx4Y05VYP1+LiHUt4np2KKrWq9p0xAEemtTv4MNBXtqKPbf5AaZuX+GzcdMA7 +bjY7PLQci8k036dlrIFcETy5GTZ4i9z7e0iaEzioOe0AlrANPygHQe6utD9ezJJSktUA90xCtD8 ly96cBkjmwo+9+a83qFM+xhGXlSEFroR7m8kY1seTj+OuaU5CuKu0Ud95rgZDDuAif3U+kjzVRXp XO9ODtGDzNnRun6mGsd2lfFVXhtVZuWp0kt0T6FJtfjY+JD9F+acc+dYad3mri8NpaG56ctaMOGY wGd6l05jJyIDv7v1YnbNDrwbUPGfSRymcNfrhqJb8vLxcAgY2N2/QdInru9BZKlJzIqayeg5S20g CGQI2bd8TfifK/ww92hgTDZlxFHPYPdI/bsGotNBzUeTXhr35MnoPJDT0NbylBmK4Z1pUAq5LQ6r 4shNe34/F9IDFLAwsZGaoRYo2/MUWs6eEObLVw8CW882nRCOQRlXcivCisRV+bYPklwzwsPgDKnk 5epAmpsy9UdnvMM24nN9tkvgBRdScvO6VXdC0dobP5rKyW4emocFme0BBDdppOKlPs5k+jfnJ0HG C/XZVBYKkHUzDwXXgQvUfQ7cCUyH/e6ql2B4WA5RN+g3gQDvIjO2vmy7UgWVpWU8xglZVrTuHD25 9THCc/GMhje1h+qJJ6y4O0JyylCS2F40Mrnp6j9nADMQoIKMKrDo1I+KHlwE+1nGgt7AZ15NUWK/ ie/QiJP4vgNSSNrOuYOjOYnZvvv80BqAdKselHcngSaC5U6Oeb3FaALh9r+NVNstZvH+ljU4DM1q xI6jyUKxs3lC2oXxwVShUjyy8nk5gfoe3st+u/ZJ9mzgBsJoGlMxXpShcQ/TtRXvHHxNggS5s6AN fZQKVTrtR84kKKMceOCUHOR/9QZCGZdoEhCuZ0Mk93vyRshXc1o5sWsoYxFzGfH6ICukqD/vCof1 qn8Gxp/kdn5kxd0K2pCDAwG9N+STgJrTBPgfIYRMzPgfTGaHTddibXtp8cB9/eitiyrkdw8daJob 78HM6f28D5OanlyUgFaxMLqy9YnKiXmMIWORtHmqef1HkTZRC4ssLeo2bX+Y5UAY8X7sUOxfk23T 2lVUh36iD4+XFjYouAxZfD8+jABNleGJQZCwCTMZIpS1zIA+cZzbYYXCurmBIvfb58T3mMQlvM9N RRK7z1egLzT0k//nJ8jWydg9TpRmtm5+s1U3crsQSkbp6JPW8K/l/IbyvfCbtggQ4ABJ1psmoLct uX/DFnTBE7Uea8f58vTGK010tgrSH3pRCA6nH++mpaUH/BUwWefjDev8nNANFDOwhAI9XstzdFIp skT0S0qmYHawK2bVwytwnlKMEY+/Bwb1+j0sPxzK2g2ckRAfFi+NHGPpYvC2vcYseVwLutmRvwXj RO7N16z7glJrWbNBDtu4pFxbhGa7UTsJwfS0yq3PQ49QjrgrgRuxBz2xN4TWFc+AskuUmlwNVOMJ +jEdH6Z2JEKjhz0Cy7Q38w11IWKY1LI3O3msV//llEgksgYRSlUaE3AXdlDugFi8RF3PrP3LGRWX ZcxQn34b8X5bMYbpOCJqjzRi0EB6SR9hp+2GrffgKR4+QLJw7Ag6pZ/83qHE7U+T/BNC/jz6OmPX KvS9/+E+WXZoq8C4EemWzQmfb8Q/ryhvcFDNSBFEMyL1hsD6vKBsOpFqSHHVUqUH/ODbuirnunYm GjAP0u/WAGxzELHC+eUtRAzQaNr+9gG++W0nfDZQwBSL2BWR1NVPAEObklQUyc2CdHIkcykHJz/C b3cg8CwKVY1YblZYGtIa3yu3ynfx8UjR4oUgwe7IOZZ39yK8DtArl5Ek+WxYGXduOVvwIYfIkhmJ nvCgm54G+A/S62sJya1ZzXvCYPT6gKbSgYSfbn0k9f08vNGIlBy1+Zannh6Y6xv7C9J1LsO70asc 7lNieDzdhfFzsSrVKVQDQDSQido+s60ajZcD03PLkIOs9UMHA1kLTJyKyyM1udfUu7L6Dy8tej/0 rbZuRROHrCq3E80NIihLIiS5ZMWh13zJqyQeYhGwssDB6GqDud/R2Al5/odmKzZpQ9hrm5euD5rn 1d+uCo79UpxUSsWC8PndGzbDv+h8mfGVYysRTZB03q1/to4pL/qYFERMJySozw5/UGnUmE6jxmTO gwdj+d/HDZ7BhiIOHWch4z+2u4P7MNQsXHS8M8Nh9HY56EMCTunqInv2KUm+ORYVJer9oINV4OYY smXn4RSuDHMrqbO84g3b1H1cn/JiZPyOKH5nme6xzyrATFlM5GZ5W5RUXhWsHhvbNsm+a+DwM0zY gNRp+EGnQZUBuKEx9b4N4KxfN5p8+6VotCaB4nLIotTpY3QO+UwJqklTKT2XGgmpPdtcdYhbabwd X+I3N/6Nu3JvzaiIR0OAKzEH6lhTLbf+CJWfbgwI/stJfh9YXCn91dOBqJLrHkTuO0A0rwYJrAIs RW33BdP+Uq/LigMQitocf/UdQtMdlOprzMamCyYn/BvGP4j4h7n2X8KJUFtrN2+pOlM8KvdDbwLt PV0EO6pFMHouTYRQjmop1ol71wTdYygyCV4fDzgubxZ8Yu5x4lDtKTgaDKUI+FGU5atiJbGCJP6X cSpw2uCXnVaXpNbi+3cM0OiXbtuy3Jfs5p1JfMw2EzqwYhbQb9IeGuD8I6njP71pxhH5WWHdMK0e Pvr9N8u4gXMI6LLivaI2iesIUC4755aGEVG+4z2JcRdaKRYT8/Zr7uwrOt2HzbkjNlstiMKnCNxk /is8kYXMxEbm4qdm3+SCpUKRPWISnaSP/pQbCzZOF9SQHLcVLk2KELFnZQLpkmDD5ivVuIaR7iDE S8SDxDIqnuGrx8iP3BrL8MHoE/9WB/ZcIJpM9Jf0OosV50xOvctqN94P98YYW8WG0OUYPksTSa/D ZMw2EJDgs2gL60IJhEteSVrvIm835ufZoonBU7OIZcTH1i7GTASjilKflDZWKlg4H7nPsNjpJoBS mfBi1fbLa64jWfKzziOMJHl4arMJA4cyTHN5Wga3dZbTb15P9p9v7bd9+h4pIRdnsMKnu0lGMjgR xLIgt818vdcdBNirx0FOTsoo+fROxI0S6YlLlKZp5ll4aTI/zkzB0VqWsSW5InPVeRT46QlTw0Fi h90KL4x4Kh0/gLm/wk2brz6wZ15uEq51/ygTSxRHI00LU3UYwvo3oBAnfiPJvc6s48868gyAuXnz RuDoRzQYTxq5r2jSYneAxocBQPeP3DHACtZymlMIYyAEDgbBdXwpuDhTiSm+n/qqJL7VLX7k0tUp kUI8Cc5EPndGtWdS9YpTD+NwDIdGY3Rgdgg5v3MjkRMSwh2pitDVbBQ0/mSfCZ5rUZRZ5bYGJrie PjmMTW8osdNZcBogqHqLmzY9t9rpn9RAR+ao9vrNmbm3g5+9AJZTOaCG3pRJYOQvjBY8KV9DU2SA MGmW6qN0Q/hnhFvfeOjs99FMnfzmN6GUwt9Qy4oPjy5PsyeX6pAy5shBCPmRgAzgKdn4hDI0KZLv gGBGdiEvuoNIkGOsgDk5iIMbtdTCXwd/Pi7oUwhrSsqOoZao+eZPEKJKZAKwjRMoYTeYZmRwop2K hZvjiGsUsER+YrRxQlswFiUKBrHi5LjO+MwlKdJtSvFkZEhM/upSy4NaLeYAwO8bjbz6Vx279MEw D2CH3RXgD9wG4mCRa6SlbUgTOW8BYIod1Nk9L40laZl3B2G1fVv3Tj4WNUtSi6Ak4Q6IVsidj5Pu SFRlqtklyLi5hD2n606SlM7OdAd1UgCQ+SpKSR6Q1yTBv9X+FI44qZYef99HZheBZA8hrcOJq8xd GniBo9iYNv20C2JJmIUWC9M6IWOGx1BNF5fiyBJmVqrYrfsnhNCP6tMGojQ+Nvg4Niq+Vi1KwHhJ WxBb8Ewplhv4+EW4H4NTVGOBjt1tNnmHMaUuTPy59zMO75hBGcaL8m5tgZo4dI/9T0SLMfRtOJdk zeq2hcbjWZiZdrXQamdbAxQMJlDVndOS+90b06TAiQ/TFTJgW/7upFhAJ1gP1Mjz3kiIp4jHkd1N ZR8rLY5xONxcj+Ej7ARVnsppJRXf6mJY02mU01CbAwaDKaHwcU+nlWHXzYrweGZDSN4YTKyxmDU2 XBysraJ9mpXL2MctWq42Rrd242DIjpvdNIf3CFVdRnSuusw1t7A8OO2gllXEwgWxDIFyJP7WDJyn 6qDlrCNJIa80rtZQ3FwAKiJYFSQp2HjwaMqz9V3iaK2y8qSK9UtXuTz0kUILemSIKstI610ranTL WDu+oU6For7Khvrb7OGNINbZQ5MkOgtiAtmMD/b54P+7aPftFia4v/KMmb2HRwWBMB3OI2GxvUcv AjQFaROKbFfmoT0X4d9yuxRZShVWQjmfCHU4TgI5xRPo8cMvBx5pree41JR8bTzamvJ6kW2GDQ/e JSyySTXJTNWZsZkUEbL75PTTWQKNjl7lnKjwb/fDFMGbeI7X1hgehf6U68C5znEoMgp8+gX1E578 IJYu2MzcN9fZrh1IbuN1AEDZcILVForyJBtGyD9D/IsQMzWJygJYVZmW5eBEDWprTV6pbrk1Hy+B HENNH3mF9cCFe249j512zCxF8jJheziydw/xKleoGORJV0X+FCd+1VYXXP1iwkprwP+EsbilWLJ3 EkPEmUAgKkm9a0NvGy4wYCWv2Lru9Rj8lV2pploP59ZhhSm4K82oL9d2fsdoqhiFlPGe6G4mewPH SGwzkyZXqZ9xhIz+RXqVDQhHNW0DFnvTqBB4j35M7kSMTgfN3/jHpqZzGQDFAgyFPxCw2dt6AE7I EGvJzLmMo+y4htUGh89oTnu8BdbFE+CG+nj+Rivra7tVzvRaqYfGrAhmCJij7BAG47vdrvJmfi7S T/AVDoBJJ847E+1Y3pnG+AMZPfccq8Sq/9wd+E1BMrSEF7s40/VMiohbBZseQlBYjr5PGy+8nBym JmD+D56uCqDTsiO+UGRE8vsGPVtfAJvaGse0e8wNGoK1IY6Ojb0StY5++kpommG3HPYO7lU4uL2V IoGdZUemiS8OKMjhlMtjerxLKNaYotpDL0ZFXpJVnRaEa8tyqKuuh7gCJkoKx6f5ywgK+t+dIqOo Hh54/bNEh10zlrzBZnl/shlsixEQlfh/eZVLciM5GCYfBjYGldNc7FRPiQBlck0EiA3UxZFlIgbo 6jjpQGXC6ur6SbwMNBmQ/JrOiRypwAbdiVTcr51j3QEJNeadNoVt0f37HmiaiLUe8ycPzoCjMcq0 E+mNmnC/AkE0QRc4d5epOlTLEmcEhtZVFUz3uPDmccFIEpMmW5q4mifl0rMEzzpvhFyQI7QWX1Zr tmC3M3102orUBVBbtCB99NLIlLL9xMwFta9Tbt9K76UQ9DeGp/6MhN7hxAj9wdyEH/I7/bJu5Xvc DEFVPakzzxI0DfTUH8h+LZr9LiQHWz6nAd8akgzhnnD4UoiDaeoUGdD/OIYLa5KMaf0QKtTjg+Zn 6FZQPhaXST/7gD7hJ01kSCY5DVlMOr5U6SxS2X1TvDgOSBMwVsATwcx2445f4BUeicg9R1pKW3+4 NflcznvZxYGeCFTNGtyscOPjjYU3rxznBqJ1D4ZN+DlWftvMhjFp68dzlHtBhbuhUEDbhN9PrGpJ PwlcnRSFPEhzTapWsTq42XYhdfQdgHdEGGC/PRgDOzdcK4Zwtz4wFlkU94gsQ6PSEEne+6oh5h6X o/bUjbrt1jfvjxJCmxQI0qVN+9cXwEwEVRiV0cIWCHpXmMELQvPw52IQj7MqD0VG64u9Z3zRgASi ta0yCqnJPa6A5bnxkSP2TFjoOKJDdXNwqgW/pKEm7yY6xenYsws1Oqm9Qoqur4DB89yTE6tVqhev 0YkXxvYD066YhAGRbbN7kTsvkrpoJFNdRUq9fHOnTYu7RdF1xVbMWyo1O/DnrroGyOQ4g8smo5MQ djP5QrThPTGIfNCFxqIQLZmBwByhkyh/efaSaEHU9Ql857c5E47GbOwIrC5Fggj3hDFFtT3vH/sK GaSifTGS78nund+PMsuXlAd1+XrrgXVtlsEoYUGPcWHiFVLMnimR7GwC10x1muH7vHDtwXIQAUCo DKukl2/URP8sOXyp1eZ8s/T8dPpEcvTT6QpIFZ7l2EgevhLB4+9tr2swqFS+vyJZmaRwQf7rVsw8 jOTxNDEbVfgpzM1nPf8sOp6+XWZ4WE7OPaUBjHhzHhkt1SwTCEf5oUr/2zkSSL7kGqKpMUkayspr eJYaCC/UzF9koUfxw650s2EYBwLodmjMjGpHOiDyZ+8b5xGqcdd8Pzd/gz9kmlPXeS2QQVxuTJs0 v2Gr6nMyqKSJy6Esw8PV4SK6UvMsxY/I3ijgZFNgcmrFcgA1lkaN7OfZ15ReBoEZiZsRtv/3g0YT LoqdivikFWkDXCQUDey1baOcHgT5X2eWA/ijVdKvuI+XXV9S7IqyOMOksyX7HagWiGpoRiuNqy4v Pc5fnz0yGO9+17A00TeMeV3Si/XjBWh4Rlu/0bZ87Nv8bGDaTi30uB4SkgnOWroEO5Q6XH1xYMHU Ifehp+Eq/dtA/OpaIo0PhHjiqZHGVb7txRaC0i6pHfefyRTAiaFMefXED8UycYkL4Gmpq10oBwPt T4Nc3y87X7Ei19zh5U3J9ANjWDeBtvWD/tOG8akQQTZ7Y4UnhwefNQlXcKm4ql66nXdqxl43ce1n 49W3N27hCtMM2IU6bSVwLtpHas81+hoXM+czx58aKlSmtfKvrbQenfAK8afP/+HPaTQGQAO6yYSv vfvl3I2EJdqZMD4fCY3Ns8fMcKvqQ98m9H/P9kR4YlOFSYyKUCEb5pyKh0T368adETTFpRRTGgll V4CC05tVnAuAL1zke1YmXRhemTFCcyiAvNaXZ6QERc0mAkS4vD1J+bS7aq3yFjj3jBAain8SrLT+ ug6WlkkKk+myNQc+z8UhAfqPpFfvCK0D0ALThaAVpXHK5+HTlTwjbF/mUC1Othv1Pg8ZTgI3bqvh ifX/lyP8bhvYUI+pmRs1GPdgWt8IKfZoSDzqrKeHx8Nmfoax8SsmswH8oTeL01tvpL9f1eLC44/5 XGqDEGV6o2fC6vy0yqUpSu4nO1KM+6BON0fezrwFpo0E3hH/UWPTvmuvTIJswunWd8mGWyYe0PuU 213Nx4Vzh5QH1UF045OtdAAWS45BQg/G4tX0m+ZKAF98eNs6ehEU8F4KO+wOFDRgrgfD7bDsdGOw Im7N272s1kLE6RhjdVWloS1MeTQarnXifFe2Wz4sUijJYmRcp6j43dxOuu3yXw3yRjYKXXm+APHL iFBTV6Hf7K2m3l8zYWQSezQjDeqyPmnuubGlAznoLNYUiMV3cY0UUw5WmmBF241F6CnBBEyleoQw zNcWvV1sp/1y7wjioKfmy2LJ4txOasdEsr8f7IFHAXxR1Z+8sVvNzXdeUSizYGXUyLGZAkoAxQLZ yR8Krz1pj0TL8BA4AWW39zIOgaRNxkfdNXOGX67m/Uwzv+tdIyHwN5xDKHsfdGVPIFa5BzVJgD7o 4rfuCNaO4Yx2/bsOVB3t2JqoTVb5qxdQHlRSiq0zAC67/dZtNYRaoT2sIOCsD1DNP2u83SBbj/vA ++1ibLe0X0nR+bh2ZkpYOIFul7FaidnxKImGoVIrW89Gv2o537ySoKAQWWPX5HGHMU3mdksZwaCs mIzMuxqnSIwnMp8R+P1VcHkrWmC6UX/B20OzGO3xILBQaK8PkoDJFdsxO7HZ8VuAAV87ilHnuf9l 1lQxcqVw3JOdmBunrJr05IBdxTYAoIA+Orf650u+1GtPS2OErUe/f0+TXh2apkMFDRIJdr2QZ4uA /0F0ozH2IfQRMh9fPfEM0OBy9pcoLUscvRF8IyhKHPHm2n6fEAgZnuovz+msDoUYdHzxRoWgxZWL PtKtyTVB8nyPsRiSw7fH3q0kxZ6rtO5B4PVYmlP0iJ2PFbKrdFYFED/lJPtSaVa/sfLJ2q0E97O3 h/wCBxbalXZrzUhmjyguGLS5Gf9GLJQzeW6g9gqvZEgluKXmI8oLPVCTk465/doFwZoHEH8qdLt2 wsvBe208G4cgIzqFh8xELg6klz56IcHEF7YfExdc8vEiLGvAKHnpjc5y7Ywk3PcBiF2vKpNRMzxW RvhzClTsGQLvUY6OaG6x9fxWxVYMOTYKfTGivR9GTSenqsnTf2NzKyb8oFw4xVcvQOaQufQZPTQk H9dzx1lYUaoNFrSJpXGJcQ0bfInyezHdzlMnk1EOFcP8p/y1zt+xYhTFNKdkySAFdxh7aP/xcf5q QyYWTigUf/1e2DlRkrH6tZSfN4kp0i+25IPk+MCE2TKUpN1DawxtBN+hQMXbElt1wOHTXzc0q6qb KufRZoGA8P+Wfmi+/IPQvraNZEcxzFSU+Qlh3ErWx0yYAMhslUqQIS6BbJySs4SbXIi8AOpFVGgz BaVhfXLzOgxzJzMvWv605OecmFNGcHRuhyQCSVfVm21IrD2Eeye89Jy5k/mLE3MwFOJANy/ynuS7 a8nt9KVbR2z6cZSKyEJa36yNCvzr59/uX6ZlSHYiZHj9dtP0khfXkNpdjhQTih4vzZa1FdYkHlPH U9L/xWrRAFz6ghjy4Oao2nIw75zfPHNh/+YVHTHgX/j5xbQukcUrpecECnKcZLKlqrDE5OI9nBs+ oRVYjOnRg9nRkH5+6lIyYApX0Efle7XrqTxKV4iMwdYFbYOaHaaF1DYmtYyvrMrCBPT83e5yJG5b EM/S+l90P4ZqhdIbbJ4TbqMCQ1Z5qe4OohvFn1toSxzxDTISHNGihH7QX/LDIfa3lQjvyZaZOuZk 1oA2egNpEoW1hQkaBTGkWMS2aRtPgLSK0iy4iRDQX9WNruulgUyORKJMUlxkWFffmFsujSOCNHma ZBadHxTt9/IehOYrs/MiqVGFBwiLGbwBkdGV12PxggBV6t6qW2MkMNsVoruYIxubmxD1cnUAPh8w VSgUyw+PA6B3eWcp6rQLgXR0lShnE41MRAJIZvvUXRTDAQBHu56xkkd2jvblQ2Nc0WqkHYLyUX5w 0eZuD8OIn5MQweM+vZBjRKtFW3ylP1ZvqQn4vPgq5AFqW1spnATxyIMv04mb7dzCOzzFV1W7KIch IUWabaPOl1yUxLWRCgMqil1yN9dq5K16BHUYOP72scAhEF/UO3ccy+d+9bg+XYDXLgQC+iVljSkT iuF5uEK8uzVIUWsQvGkRT/dpg5xyf6H9JF/braxEMsvsW9HB6Df9OZ0eRNLAFxPOIzp0WUUJdDzX yICdtsu8vqK17PB8kyU7NL1NV/ssulVGhoqg3xpOnvDsB/Yrr+XgoauQmssyt7lvrTQvWuihDq+l 507XXUi0XRx/MSMtiiTx8ugbh6x+qCmaRM5+DK6uiseJiRvpcktaEzOHqh2UZrRvVDwiCHCC0BWO fnYoRaaer8wuGJyfQaME7Iy3gbGzqfmVlnDIBsDoPQveUVUKrLirqJZ3RW+bgCURx6Z/InKM+0Y0 ZKrQzy2m0h/cQmSdvIqNcrULz3CeFWXV5Ir4OzQElDTy5Xe8DRs7BXRynHRBybgQmAg2Fqxo7rrO Ph4BjvYZAkTh0GCphGrk5ZRWQS3iKNl1Svtt4LG6GgpSzhjYvLAs/IEE/0pzdA/obPGVn6Fy+9aJ bwsVKlmD+LeMazctS7rV0xSv4OM8Gpl5KvYbHzURFt+I9DGoqposGJmo4C/tsvEhdt4svO+FoenF 8OQB1gdYYjvKiflSVUyM32SWHofTD+3if1HtTVvj3aOA8TMRaSvq7cjiTlHTBsDRIEuD0z7SeUyb 5+F1SxraJsFluQn4/dBVPy0QmYmHTbhQeyAAzxD/ab2EbKazp2aQ4a4ht/Z1Kub1dYI1CEaNltnW h807rA6h3Xgg/pM2Kw5Amr5iStLioAdRoIXlTsHIkSxmCUExjPE1VpAl0B8Fw+0tyvpCG/55Ic5G Gpi6+JJxclZaaxXKzhYXI0q/g/mOXbZ/2loF5OIieeJwtlARCWN+O267ME/i3mfxHPt/R6SYSmmG AztkDEnwPgqoOW6Po2LMiBhZxmyOtZgwxBkdvxBGJtYypMyIlEP6gTHh34rxo9CvEliRxH9bcXAf 4/FULTIrI9fMKg+ZILzdqHrcLF1llgqdxewHcbCzepjSFXEW1a7PriJAScRt03gNlBU4VBKRAqtg E1jmPqiq0MeTk5ezva0bgLxHoUkWvmZfTUbJVSEMN6ycVQyMZ/p5BjQ26iCZ4Av05Rkbozq6GW2z 6c5ysZ74bC61d3Ed3xnjbMEQCAvvlfBJ/v2MDNIqKkxc4AN9f+OMyUeOy/WUJ/4Dh0UbtZAo+/QO 1lei+F2jHQNUpPwq4EPEEa8lyn9a5UMB/EL0TunOloQm+IPrywKT+b7naXjr4xQk88iSKvZtxU8v 1BddYF9yTIw/Gf5Jq9jUV2DkWniVUZjTkqBIQrg0Y30l4Yxu1iT/shIaw0tK6NSZBHICjk2+4ai6 dmK0huHP6txoN/F5XjlLsVbcvI/l8j9qXGN7ulaa0JcgCHN8zx8bY1JEPOQaQKLvgv2k2eyeFycX U9vT6m3ROvMXWz1czBiM+3qeCPKPt13ZM3DrzRycbP/nmxd9eTIxoAxZBdAbrkNC8eWdvcy9W2RR I2Gb9xKrWnHu6EPzSkw0YbhDcYaIKLYLd53wmt6EzzwNsjcgFBPI2d0akB7ekbjfNoKQRc8NattC 4To1tHXQMnFcfGoCvpsMb+jts2qL7MK3G2SyGI4ZOiBkl8n67aHtQUFw0t2c5GcJuVGuvgd8XcWg 4LXxdPPKi9asn3vOt4mHpUg7pci1e5QLrMIMQZnj3tTBb6lQjRb0upf+PuTqgbHm+gG7DiFKOTCw 1biYatYd9bS+p5PWnDjy1YJryuQmarAqzWxacLUKCB4FZRYy2UzWHKGQ0e6PoScDgEpogM9W3g5F d2pTtAcfA+Ch96niHGwUr772605u2HcrUOjp+YXo24fGOqa0MYRFiJyVkF4gPc/diQV1DmF7Zz0H bBH3o64XJbrfZObAMv5yJfAcZLPK0EJ2rVMZMLAC/SqD8L2DQ9kKCu8QZ+W7B+Z6uXik0/GLltVB 4gCS3NSvE/YrWRhl8RKdOJeDjX9wofJh/cCU1uJZv2lFtxMPUrhIVrz7hvIznGZQvthuXmtg6iO7 wfzq0D9oP6FCMMpp9sXkEEUDY0Kuy3EMQ8MxMUre3HwZQ2OzrpxdPtGk3oTnagu6qb7wJJRkZYsN XUWyMMsbJ7CJ5LIEBW2uyHlPdz2HwFCpn6tLEpoBWScHwfaCDCyUmsTNvmZbRW12XsuqiuYYAAjf /TzirZsmmJXCp/mtO9H8iEEKSm8hVlJPvNWom1KKgexnOFsikdqRgmMILyzMfSw93ulihjj1Upjm EiW6XfC6LQZznbu/0xYDZntpVM8JS3uKSo/weiN3JNZV5bRk7BCYHKccQ+0C/O+GYNgk/gQw16I/ +kXlpKoqoW+4kU4EdYH3uvQXA2N/ikvu3pSQwh272BEZkzWCD/4TWg/kPknA28GOT/Xq+dvqOCze CfG0Ksce1/Ua5zeZK7b0fwPcWp5/dyXOFmeKxzgPZbC760Y/dU8tKoboqy8Qx6uK8bt8YQ+WRHEP b+YJI/F4VCrnZWWpkDtcvB8EuK6yAYqBFQNSu9LJCRVk7edTdOHRzHNL4QRmLyJXjMRnSUG3Cudp JCP7r68/yDu9Jafr+wi/prXT83gVFVwlla56vMSKzkrVaXe9YhSyL/9IKkEkI6uYxpQJ+BZlr2DB K2szOkm7yCb47Azj8Ds42KuIznpn+rs336/fkH0Vgyzzf6drbhRU3DohnV8eO7BjMYyu80VMMcZt SOQkUCPDNGfGqFAFBy2lQx5qsFk4i9L1In5tmxV7pHXkzcz5Y26RJH8YktIyE68W2ykDjM/kx1KJ 37+A6jt8xEMU2JkH6k+MEXEQC4CgoG0Ookc5T9VTLeXYbpozKURCCi15sMBH6X4WN8FmH/fkHBMy HJc7RuaUGAa/cfqLXRylog6tXZ1wUmF0uaQTsoZCqNjhhZFtosqCu2utHTFdkFZuswUhDko/Dyxf rn1O5YzaDG8q43adf/M1SUPPWzaKJNBmP67ESG4soomLZefYXSHk/PP8aG0Ri2LRmCYWNz8qoVXx 2nizhrWgAP/ZzjpHW3SFYuz8vNyYXuIFzkgSlil552emaqlOXPOYD2vUiNWhggrInbkdk6L7Fia/ eixnd9zQhciY83PkuhN9C6mEoWbFtIeJw8Hg2GC1S5G9NtgIZIrrp1nDwo5ZYhoWrxjmpK/IiytK UXpenEpdW/zD8JqkdsDY6YiIw2Mv3VA6mk2ycIZ3Z+wYHeRh1kKSXfQEAFhqokuxd0cKq5vk/hyT +isGwaTH0xDNVblcctkOrnMVgJrjKvjSja3809amPnnNRra25a1IqQYVojoO0UA0iF0gAFqHdi+Q hSJjEQd3/ISP5BThLeYLM43GHV+NWwiOBQkltGdT0J1e7nGqzoZNbaw2+/qQuMr+mz4KLq8yVOVM jzRihQMVvO+q6fcAeB7WVbPnOM2tcEtZV/iPiE/21Xo3lxZ4bZYgq/x8iAT5Zax5Bq77JFGdDNJ5 gZn9si8s4tiYw85WCxg2Cs25Rxy+M3wgao0xgpJPcACATUxATT9ihTOdZvVV16QYHJSj4xWdY0xv 5ciOHPt03GEIbBv6EAdOpEIATE5GsTUfhN3NfKRouooPFEz5mSpTIuvnPCUCUsguka6Jz7geIu1U kvCH4IUZAW6cPPG5CB4t3BZle/cNIimMztfSDML8ZThjXKIb7lBVkVKwThVyxqd7FRN6rl9fv8+G B87DDLQqnjfqEjkowRtYxXmwL5S08YWamtP7KukKVuqyGqeIatZ/cPJ+ZYvAG5KJb05bGjLPtWLl e4IWzroo5PoF0ARbWA5Ugfg+8GMyS5IgONRaWspg7AORdA1XPmn66uovTbdXpT4V9rPInQhqZ+G9 U4J6rVaHQ8akxA5JdhO9b2TBwPcMlQOVnuqjnHU5alJER+9QhWxBF9MwP3zrHvHHIn3DoVp383fN mJ/HfH+P4qSOHScsg2FFsQSRN7zrA4/AAN0SNeTRd8oIwSUy9szjiI+Ln3wJ7TOi2qbzKkVGu4/L PyJopQC00qwAf7RLQA== `protect end_protected
gpl-2.0
09de764b1e2d16858cd92491a61c6ed3
0.942254
1.845015
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/alu_toplevel.vhd
5
3,690
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:18:02 03/28/2016 -- Design Name: -- Module Name: ALU_Toplevel - Dataflow -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU_Toplevel is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (3 downto 0); CLK : IN STD_LOGIC; ALU_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG : out STD_LOGIC_VECTOR (3 downto 0); LDST_DAT : out STD_LOGIC_VECTOR (15 downto 0); LDST_ADR : out STD_LOGIC_VECTOR (15 downto 0)); end ALU_Toplevel; architecture Structural of ALU_Toplevel is signal ARITH : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_AR : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LOGIC : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_LG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal SHIFT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal SREG_SH : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal LD_MEM : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal WORD_OUT : STD_LOGIC_VECTOR (15 downto 0) := (OTHERS => '0'); signal LDST_ADR_8 : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); begin LDST_ADR <= X"00" & LDST_ADR_8; arith_unit: entity work.arith_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), AR_OUT => ARITH, SREG_OUT => SREG_AR); logical_unit: entity work.logical_unit port map( RA => RA, RB => RB, OP => OP(2 downto 0), LOG_OUT => LOGIC, SREG_OUT => SREG_LG); shift_unit: entity work.shift_unit port map( RA => RA, SHIFT => RB(7 downto 0), OP => OP(3), SHIFT_OUT => SHIFT, SREG_OUT => SREG_SH); word_unit: entity work.word_unit port map( DATAIN => RA, IMMAddr => RB(7 downto 0), CLK => CLK, OP => OP, RESULT => WORD_OUT, DST_ADR => LDST_ADR_8, STORE_DATA => LDST_DAT); with OP select ALU_OUT <= ARITH when "0000", -- ADD (ARITHMETIC) ARITH when "0001", -- SUB (ARITHMETIC) LOGIC when "0010", -- AND (LOGICAL) LOGIC when "0011", -- OR (LOGICAL) LOGIC when "0100", -- MOV (LOGICAL) ARITH when "0101", -- ADDI (ARITHMETIC) LOGIC when "0110",--, -- ANDI (LOGICAL) SHIFT when "0111", -- SL (SHIFT) SHIFT when "1000",--, -- SR (SHIFT) WORD_OUT when "1001", -- LW (WORD) RA when "1010", -- SW (WORD) X"0000" when OTHERS; with OP select SREG <= SREG_AR when "0000", -- ADD (ARITHMETIC) SREG_AR when "0001", -- SUB (ARITHMETIC) SREG_LG when "0010", -- AND (LOGICAL) SREG_LG when "0011", -- OR (LOGICAL) SREG_LG when "0100", -- MOV (LOGICAL) SREG_AR when "0101", -- ADDI (ARITHMETIC) SREG_LG when "0110",--, -- ANDI (LOGICAL) SREG_SH when "0111", -- SL (SHIFT) SREG_SH when "1000",--, -- SR (SHIFT) X"0" when OTHERS; end Structural;
gpl-3.0
54f0b145fcdfd3939ad14c474d30856a
0.568022
2.944932
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Part1/FetchModule.vhd
1
1,611
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:50:57 03/11/2016 -- Design Name: -- Module Name: FetchModule - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity FetchModule is generic(PCWIDTH:integer:=16); generic(ADDRWIDTH:integer:=16); generic(DATAWIDTH:integer:=16); Port ( PRGMADR : in STD_LOGIC_VECTOR (PCWIDTH-1 downto 0); EN : in STD_LOGIC; CLK : in STD_LOGIC; RST : in STD_LOGIC; ADDR : out STD_LOGIC_VECTOR (ADDRWIDTH-1 downto 0); DATA : in STD_LOGIC_VECTOR (DATAWIDTH-1 downto 0); RAADR : out STD_LOGIC_VECTOR (DATAWIDTH-1 downto 0); RBADR : out STD_LOGIC_VECTOR ( downto 0); OPCODE : out STD_LOGIC_VECTOR (3 downto 0); IMMDATA : out STD_LOGIC_VECTOR (0 downto 0)); end FetchModule; architecture Behavioral of FetchModule is begin process(CLK,RST) begin if(RST = '1')then ADDR <= (OTHERS => '0'); elsif ( end Behavioral;
gpl-3.0
f66a342fc276361f89cb484db514c3e9
0.584109
3.817536
false
false
false
false
keith-epidev/VHDL-lib
src/components/adc/adc.vhd
1
3,358
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.03.2014 15:08:57 -- Design Name: -- Module Name: cro - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; use work.VHDL_lib.all; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. library UNISIM; use UNISIM.VComponents.all; entity adc is port ( clk_250MHz : in std_logic; adc_clk_in_p: in std_logic; adc_clk_in_n: in std_logic; adc_data_in_p: in std_logic_vector(7 downto 0); adc_data_in_n: in std_logic_vector(7 downto 0); adc_data: out std_logic_vector(15 downto 0) ); end adc; architecture Behavioral of adc is signal adc_data_ddr,od,ev: std_logic_vector(7 downto 0) := (others=>'0'); signal adc_clk_lock: std_logic := '0'; signal adc_datab,adc_data_buf0, adc_data_buf1, adc_data_buf2, adc_data_buf3: std_logic_vector(15 downto 0) := (others=>'0'); signal dc_value: signed(15 downto 0) := (others=>'0'); signal accumulator: signed(28 downto 0) := (others=>'0'); signal n: std_logic_vector(12 downto 0) := (others=>'0'); begin adc_data <= adc_datab; Bufgen: for i in 0 to 7 generate begin ibuf_data_in : IBUFDS generic map ( DIFF_TERM => TRUE, IBUF_LOW_PWR => FALSE, IOSTANDARD => "LVDS_25" ) port map ( O => adc_data_ddr(i), I => adc_data_in_p(i), IB => adc_data_in_n(i) ); IDDR_inst : IDDR generic map ( DDR_CLK_EDGE => "OPPOSITE_EDGE", -- "OPPOSITE_EDGE", "SAME_EDGE" -- or "SAME_EDGE_PIPELINED" INIT_Q1 => '0', -- Initial value of Q1: '0' or '1' INIT_Q2 => '0', -- Initial value of Q2: '0' or '1' SRTYPE => "ASYNC") -- Set/Reset type: "SYNC" or "ASYNC" port map ( Q1 => od(i), -- 1-bit output for positive edge of clock Q2 => ev(i), -- 1-bit output for negative edge of clock C => clk_250MHz, -- 1-bit clock input CE => '1', -- 1-bit clock enable input D => adc_data_ddr(i), -- 1-bit DDR data input R => '0', -- 1-bit reset S => '0' -- 1-bit set ); end generate; process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz='1')then adc_data_buf0(15 downto 8) <= od(7) & ev(7) & od(6) & ev(6) & od(5) & ev(5) & od(4) & ev(4); adc_data_buf0(7 downto 0) <= od(3) & ev(3) & od(2) & ev(2) & od(1) & ev(1) & od(0) & ev(0); adc_data_buf1 <= adc_data_buf0; adc_data_buf2 <= std_logic_vector(signed(adc_data_buf1- 32768)); end if; end process; process(clk_250MHz) begin if(clk_250MHz'event and clk_250MHz='1')then adc_data_buf3 <= std_logic_vector(signed(adc_data_buf2) - dc_value); if(n < 2048)then accumulator <= accumulator + signed(adc_data_buf2); n <= n + 1; else n <= (others=>'0'); accumulator <= (others=>'0'); dc_value <= resize(shift_right(accumulator,11),16); --divide by 2048 end if; adc_datab <= adc_data_buf3; end if; end process; end Behavioral;
gpl-2.0
7164cdf03567323bf8dc6b6c1bad4cb2
0.567898
2.870085
false
false
false
false
keith-epidev/VHDL-lib
top/stereo_radio/ip/clk_108MHz/clk_108MHz.vhd
3
4,576
-- file: clk_108MHz.vhd -- -- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- Output Output Phase Duty Cycle Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------ -- CLK_OUT1___108.000______0.000______50.0______127.691_____97.646 -- ------------------------------------------------------------------------------ -- Input Clock Freq (MHz) Input Jitter (UI) ------------------------------------------------------------------------------ -- __primary_____________100____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clk_108MHz is port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_108MHz : out std_logic; -- Status and control signals locked : out std_logic ); end clk_108MHz; architecture xilinx of clk_108MHz is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clk_108MHz,clk_wiz_v5_1,{component_name=clk_108MHz,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=1,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}"; component clk_108MHz_clk_wiz port (-- Clock in ports clk_100MHz : in std_logic; -- Clock out ports clk_108MHz : out std_logic; -- Status and control signals locked : out std_logic ); end component; begin U0: clk_108MHz_clk_wiz port map ( -- Clock in ports clk_100MHz => clk_100MHz, -- Clock out ports clk_108MHz => clk_108MHz, -- Status and control signals locked => locked ); end xilinx;
gpl-2.0
62c02018922bb402bac6911cdec58f05
0.634178
4.198165
false
false
false
false
fafaldo/ethernet
ethernet4b/Ethertype.vhd
1
1,225
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Ethertype is port( data_in : in std_logic_vector(7 downto 0); enable : in std_logic; reset : in std_logic; clk : in std_logic; ethertype : out std_logic_vector(15 downto 0) ); end Ethertype; architecture Behavioral of Ethertype is signal address_counter : std_logic_vector(10 downto 0) := (others=>'0'); begin process (clk) begin if rising_edge(clk) then if reset = '1' then address_counter <= (others=>'0'); elsif enable = '1' then address_counter <= address_counter+1; end if; end if; end process; process (clk) begin if rising_edge(clk) then if reset = '1' then ethertype <= (others=>'0'); elsif address_counter = 13 then ethertype(15 downto 8) <= data_in; elsif address_counter = 14 then ethertype(7 downto 0) <= data_in; end if; end if; end process; end Behavioral;
apache-2.0
d95a64febb6be1e222025af24ec5a8b7
0.689796
3.23219
false
false
false
false
keith-epidev/VHDL-lib
top/lab_5/part_1/ip/fft/cmpy_v6_0/hdl/cmpy_v6_0.vhd
2
16,743
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VudTi0E47xsjLsifh/4s4osrP2o76TRMiI25ZT+8lI+8vz5fNZYvjI6EP4aR+TUGluvHrQSgQ4Cc eraypIE+mA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dYVJwlEWuNg3/62w7WiyHKu7iBOmnvINFdqj4ajwW5k1qYpzivAK/EswABBcZCFHdHclt80IG1J/ D4W7mTLmNDfdpn6LarqQIwlMkACgMt/NG/NzL8qvZtRmTlthhs15FUwz5wJyZC5Z3M5sbv47HJ90 8WkPexDOkyQNdHXHga4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block 2xH/1QoMmW0Z1n/j41a+rySliHFkiK5f0DzzoFHr6TO9fNw5FgxJIwNvgZwEXFeiurlsfqxEuI1R clQ0OP8I9GqxDlJ4ULcI8obUr6AX2SV1gRD7RkcDYUASQwc47L1I3m0bteMdoQoP/z/dIcBaPSh1 yfeVydSsJmc9ucLFvlR22QaCjeo7ppEqL1YZTmfPICMnrq9+zEaHof2navnm8Qox1kUUeAxMivCV YR7ADW3Bt4/XnTDMJNk7tyttZlVbCsQdfUlN7GHpu4e7ErITcmGmPubba2JIauXCRCFOGW2NskqU LzvatjqrS3VnZOoRa0OYPwb3i5cisZDBfE+SsQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wnyylfLDZZXlL4cVf2JVyQbvdL6tyUvgSwYmHbT1N01bsyvzMyxN3xMPA2i8YVjHh2OFjMfBJUTj J4hgzgtDcCHSnHmulxBYF/4E2NjXKQg46ajVMHfdD31C/yJyqZHppt4JMDjs8CAWw2gCQrSJT5nZ jDN/LMJgvs4oryt+ICk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hrfp2kLZMAhClHpfL5ue8DoPqtRtrXV87ZvPGYuPz+7g4QGs5W9RV4Ru+zygAs9sRnIL7mCGQAb5 QvKNn/X7p30depjvlEIt2qjJeKi1UU8r7MEJ2AMIx8Ia/I0yRK4dv83FMalpaid75C91Y4Swv0Y6 2f1wl6t9EK/TJe9vUdLBbuWGdhNxtO1yxD2PMrAKE8yArXU+9AMUgvaD9DnO+oZDkc+niTvaEMuP isoflJ7GZwfsP2AccidjDvzYp+aH66R+MWP/UDQeXk7dqLazyGWD2xPux2o79tiMgCYrvdkDQNva ZfIsOXMzWS5ywBjYv0O5REineaa6XcBT2gQgRQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10656) `protect data_block CQenm+4Awv9shfp2z5RjQ5msp/FbNBz2Rq6qi6FU3/qO9WUxfqU9G36DB2tJWWlfNKEcfbCJrIpz WwcClzFV0pvrO0BOozf2aCpz+jlArk1RzBckEszjX+YtKAadV8oTTnxRIhDPT6rZIYqI4L6obRqh +xxRZ0TqrPds3Pb1vMurFRhaf5/BOlr4AJwjDnrc6e2KxfsA/mOytheTOY1FyLyfQ1qDp8hxiMb7 aA8gqoJzlOF5lY7dyPdttG8WZRakVH6nRyZxJkeFQahz7qG76MUjmn2OAOT9Ocr5EdGkyaGb3xT7 DLNTCKG+3ld4PR4vLrrjLbzmeUUK6KAL2ypatvTJixjEuffhNfgcZpT3jhRKpnDmw2nD/vAi6vJS fuQsrCw7rmYuHgWoNfg3d9EBJRFzrMAiYbL/+3HIJ92EwCXOL0v1kk7qrWj1tUmC2N5db1cScpL+ Ng/MBkwEr3Rj/jXJr20GvLpU5L1+WdnoxarrY9yyGZ5wRGPsOXqBNiK5y0H/DTv4WceevOXajuqi wGDGeEjjUHMDwvRTE6vOqbRjtd+0YS4/VgyladOqbB4py3P3MZG4UllOrG61qmMWp2ONCdIG/XC8 /Oehz8oNv25UbCBXQGP9zTnR+fawb/3aRkCvLfQBs4vGwjGZBg5RKXy/rvY2c1ja4HsfUC4QaDJU h3b1/3A3YE4ZsTWaOZG5W0cwRNQL3gX5Wxt64ms81jtFAnNG/yBySRazEruI8k4Y/27dvvyUR8V2 0MM/x9KJyLy64yUYKFh0K23NauntOimWB34b3FLKqoBp5sfFsE88UyxICgmJt48jzqkVZTOlHdlj 9cMmk6z+7YNd7HipNW2EfRs/sridbqbwyMXh7EJx/hSdM7EL1iLZ6A/P6qf3H4bdPkiCHIFi4ihe bbIkJ7YHVTJjl1LpgGB3eyCf3JWLR+lUy2uW666IGVouWhIsDsEA1aGbQU/r1FoQQM5xLYxz0FbY nLHf9Pg3bJfZ+zq4cSr7z+C4aCIlk8VL+AQxpctr0hdapXQ58IGQBot6T4mOC2AYcFM6+jfDaPM3 sgsB9wVWu677S7U7BcVcBQTxJE04gtYpWejaRpeRqyUiVP2tASg7nybe3f9e4iVc1jPEWgj2NEqr beTD2MGjxwah+2q/Hn45l6PkQghfcR6/SLZgKL3y4iynFYq6TkvGvJw8iodT4cyun9tXNveFrH8+ smBWZtYDuY6bIb1efJ9wUezs0jvxiyxgNnMR/qAHyzoEfv43E5XOrUxqUuEeMPVxLDptQdZix3CZ GxUTc26xg7zoCRXARMVrxZ7ziR/O55rytuELj10gX6MSRlabMwv6cP8+0v2fDtUgYvcOwHxlE10C PcIpxOZDaY/dFuB1thzqv2IFhjg1eS84IkJ810ss8pDFUhUUAkR8MZmsLl2302+n6+FUzLvmu94D dHQGP5Zw+pn6yLf+ZGMs+WIFLUfBjYWKhjwsYfgv1xBhMl8110bard9PP9WeFMfVfJVeEZcOhOPj XszK/cZ+sEGaHOScN4EHqeLBB1qB+ytHaVDa0F78BLc3/imPga/0nB1v8OXZ+hvEfXePXc85b5Qw Uxzm1L9mYiO4uvWu/BA1Fy8tDT8CXHAfcJ79r7DHu3PdnNnKtk5GkOjpXIW5nN26Ql9Y5/83Yfqw 6dK++m88j+2RouJ+UxVmlOPAPR1KAqR5D3nxccITQj2kifjG94X2fKvfG8kMDNdU670ccTQNCrxD w9qDKC5GHQAo4dnwPxL/RIeQLjU1M1d1hWc4C2ZvhDHwFAGUwYYkl5960fRIh5Rql6mGNv7Myx1x om1gkQNhEh8jY8LmcVbL3H4hGZmkJTfLQT5RJFPMc8IbJ+8H8dxCLJ+bY/qI6mOYsbx90baPez0o EMYjtR7wG1m0F0D6Kq3aNeIfw+8rmu7DbcKnkML73LvPRAE1YlEPea/LaPPESpq1DGVZbDxWi3ik u9/zj4JuD7ii7BDa2NO6SwvOEGPI44XSA7n6HL9Gg3WyPPFpqwUxqu48Jun1AqiMxpz1iPIOip86 OqOKZ7sBZwwPVFUg7OQu24jHWWJ4JFzNHo/hx+4+HUZ83df8i5MUZZoVIS1QPXjULFle0qnIXliV GNxUGOpF/o7aZPdJabTOfWXD1MK939kC56uVldytQPMH/8ydWY1ycszznJzJA4elsWOpOeFSOM1A e+TWJRBe9mG/lP+c+n0OVFmMAiE8v3y/uTXD0X8jNvJ7najTIqQY2mNHHjkyJw0yowjYAnLy9PjR v5XSjeezXcGNN7Xb149F9fCxZUQnf5CzBUWc81l5Snrh2GLiNEBz0QppV11SwQyOEi+lXBWWtpdU jj48WoU8NXAOeQXsI97wX4iJ9UZ4YBGRcYp6vFFKLRrtt9pJ5oFa33vnNmCBNTffY6WEV01XVJGB GAtKWJTErI5KDCK2Gx/oIsdtHuAfYYOEeiATWD5vIGAlSCg9MYFixRYQmL//pdKpqLX+MUXjjpce Kz94mk1UBROJ6T1eoJn/dPNko3d/EvHO0h0jR7vkcE71Cuyy/acey/mt9fdEsuE5QMTVAusOzOr6 DIYR2yT/Tfy8xdCD+xDlDNWH3PuaQIrmvzshivQGcW/w6J4WRjIR8lE/jjEXN2w0cB1QvIubPP10 gcAEr6J6p95Awvf61NR9XdgGJ3kmPA0DtrMJkGCt0BP0RZya5uxItM0zbOoNd5kTCZrskSzWTJQg w96fkrwtb/plDekUogOlLcp3Kn8cQpHj5vYIRwHBuc5jkrLOwiFKpKW3EEx/g+Ou7PrJ+BoPJx4q EjTMxCatsgk6eOFmNUOgTnzlkjUIwi5wHtMRRZWb7bDwtkyIbA5JqfPH3G8mQbxOXpxh6tKGbw1J 6E/MKveOnP+H5yzVfLVOnFLKWWfSXHrngEfqKP2h9uxSzZkOZavHv+DXm3B3426CRopzZjJTd67J oGPUyg3o/Ode2zzn0/KU9qGWyxp12zGar1QGV7vZHiYVxwbzP7Hz8Iy0F784oGkJqMlEpU8XkjTg /tCXmsdTmptDwMz1RzGM2aT7U2u8MiGQ8IBdFHR2tzhjyYInnyT7QoAZ+tFiD2WkKLFuvwX2Pxn7 XA4ZgCyyj7QUjBHN251pP2xjGThecURmsytJoyB/XbKK+SELJSdlhdKtUqH2Ia0+T/laUY98cS7G L4cQ9OE22cy3/FMfNV6ITfk0/7BrR/SdJNm0VmDIgy9GtwleyJ9AvWKq+Zy+XEF1z9L0jU9C0pd7 zeJVDIQ0vTEBB2JqRV7LqpHhVWgg0R0mKM/cqHKS7C8PEAL2jAHc6GAcQ1OiowFkeBl3NtrkqzEM VoRIf1EB8m6nlJuJ6zGCipv5KMQvJflKPOjj8lSvX64/jpqodVRyADwc+aZwy1vWUgDxQtoT67eN nR7zCBe4zSPTspyHL8v0MDzCO7ZZaBoWiceTVACPPPORfKSaZBkwo7USOV+ozhzsZCG+h3fqpD77 flJfDkFtpksjbRS8/aNCdnd0x/7S4ltlsUg3ieydLkD3vPIcuNJ9WP72LSHHq17qIE7h5AmmhVTF mLxQXVH5Nt7owfkitd3GmU29h4aibrPFcdmRcYEhcKu76pXbwmEtkA/MnK6vH/jaRAs+A1SDQmOm 4P66pFiFxbt5NU6RLmj5B6TwAe7szUGxIXkU4qKKEPOiFA/gbkVvXbOaNwypk+A0skfccl5sffsL m9I1OE0J6ClHP37EfatbWIvJhPUppiKpq5d24v+n9GsOuBkq7wWwJzyi+M1JmbWLzvomijTYuUYB 2n/Qeh3FBGAOn7zodj/rpbAsVrlxX2BPTLsTrNrnAoTWx8ilCMfxjCRHrE/6kGQrhdRIelPD2eDC MC9cOPwWYZqSea3XfuWWduDgjEzcU05mj3va1Jhj6XbmtjEUh3YC4rTJxh5Qv2J5ju6iOCQWfKW4 nv0keZ6i4XC4t6NO1nFGOfzNVhLbDZd7/d4CcDWGWlChXZLj3CKvftzNkKcRPLoKJmhlJLRkvaXZ /9fpykfdDL+6tLTnf2M4tO7qi6F7WmaurcgfTSy9io0olfDyZ46eUWafSTcuvUla0j1b4GZZa7lw Sq7Fxd8UysRftFV+Dju351n8d83C8YkPCHvImweej1HP5G+teM+4JHJpCn58/LjTsDgeRlJmgFVH Bfxu7LXo2dNUPOOFnCYcTy+z4t4Ql74oFAlPiiNVQaESy8F4ZV3bTyPAsk8TKxC/rqwjKw5hOnMM heGeIEIJZsaFY0ghNuUrsLry/FhlpZw+QBGzcnIJWEVgnZvYEzfdY/Tk0lBQvKdbXdsLdr0bbhUD lp7w8Bg06KUBeGW97rNA+Vjw7Eia8nVBq/8QAdJwscuA2Ig0DhAITl2R0+Y75PlOqE5ukiAJXJS+ UMzn2/Yyz7yQtFuO9iWL/H/VOielgeLN8qfahOUdbuRASnrynoHeNDNOMUI2XR8lMUjjPCKfB/vO XgT0jmb2/+xVsLPTKnZV1jupIO2fVSjEqKeDVYpOOROIMNJtu0JIx1+v9wAYpOmmTYLIHd6qd/Eg 6hNKt/MN3R6Bl/Nj47WRQayF3juxNSYVy+7RT1Nmaqi1mQSrPWVY/g/KFzDQTyPFU+dscb3zSWkb Dt9fa/oWC08HQJtEyZMNZyFqIpt9OBi+kMOO+l8s45R1O+5e25/b8RZ7Ex6B8XctgEMAJ8ztDyRp iAeQ8jjZsweZaQnrn85x4XxpZYaU//tQxuUumd7RYFYUaY2f0RCIj79Ax4UQysYOIE8Kw5RzYxDv 8y5Gmmmkty5Z0q10MIL72U1LLqa4LZVEM6WldLv99fJkHlTwneik58EXomJkIjpl+7jOGnFi9vvF ty5iuDqKvLuE7mFYBux8vp3X9x14YvaK4zOuP88Nz432bIX2hpFQhIW6L0UJqulCRW2Po3Rwaak5 yNb33CmRIp72/U1r3wJrD365XcZpNiI8yvynu1ojGOUAxUmeR4ZUOt5BXnutQEkpQC4rXCFGD/uY FcyFZ10BQ4vFvkpjs/SWQoggZqrVBbLgSiV+H3i2Xne25kC4k17jNht+fA8dpDcEuJxdOaZbtxSI NGExmt5YnEKRVMCOuok6/5sRFbQZQ20wshRg6q3csLt73+MYYtbsP/e7Z+zWLZ0yflmE1aguD5xK a03JKpz0MQBJwhXaj6tFO5wkFGft0TubUdpcyvUoPSd8cq4jJxPvtIoi/wm/wJz1zvj/WRNoV4UV afPW84NPzSGghW/0xuUjj/wqDtYM/sue7gpceGXKs/Z0G7BGz+ID/fdAFLknt0J5tOgaCeGSW/n6 bWxLBtn1+wJK70pc357hbbNT4pa6yIxzEnEQQYV3EStBf2CQWnZQCDFdscL1scBHeT/ilFENoRpQ syIQsowwVHX4AEWfVdIioL3sgqlwC5yBShYH7C6mtoERQ17dFO/lQ7sVzxXYdeHVI7w/ruOsi1RJ bNU61R5d8iaKDOK1LrbaVZatlnMke2TzUrvVUFCTiRtnNi2wVb4IyxeZqy5sdMZy4DfawKB7gi7C ZkuOUacaUJUpIORyL9Dek4N4NF7eXfMlCUyLlA4XroH8m4h5WZqUKXF/BGguum/C01fCcXj0QFSJ xi5ro0PjTCTyNIRSgPEia2fRf9pGMHOYc3ZJF/Oz4QowcK8JOu++r/yGvXMZdpqdxPhCiCnBAGiS f6hQbfLZLFVd9eKg4LfUTFo2GIo/K8eoTUqk/3roPijp4dOh1nRoZ6RZXM6NYv8J07YP7/nmGtKn SZSdxERIMe2wq66eSA0H7mgpQ0Rlbt/UkImst/4+0g2ooQ75uWDr8l2vZZck834ls/J4zEi7qhrs aWxpDJ6mc5W6ddgE2Wap8SAvnb2txZevDQeCYNZrPC/05meAJ1oK3VghrTgBC2+Mzcy6XKksKmXS ijrF2Oxt3LH63EiNFH+nbuRyHbO50X63EnR+as2Z+4ZzpLCQYHpuO6ZBY5sHCaERyWKn2DYztSW4 m0pZjCt9H3KDPG4qrXn8tjk+naHGSFn+2C4C2dIhu6xBc4mu/6ne4Pd+HH6yNoWJ3Pw8aMj53aNw G3ZJnoPqOIKgY74YGplCmfQTLZhId0A7M3OTG8zRfRffMQT7fqkrO7RLr+5i18Zfrvddx+0s70Q6 zfsnAHK9yknfCRAq7LcOGcudFjZa7dFTA0sZdienzUwazZUEOhsTAE5PiScD9ClJ7EMNFFN5qQyC EwtgjS2yfhOFcgjADkkNLQHjxRik6yupyOHq2qjslkUNVoM/Qdu3cV57KrWj2FNE7BWV3faxYLc5 r64rsvNuInpE+PfgWXlKn4PraOWFXLQY8Vh3dt0egA2kGojUgk5eLvzXN5/kPF8Lof7gJauU39wv bWFnzO7eE8kyMGEO7RrGBgT7l1ojNlSmQlpcIK/BZbOGvI7J3EsZWtmiKlj6WVf7VVcgj4e0O+Ju vSmDRkjWoHvaF4w3ww+uTjAx4TbnRknI5sgPGu9BuOfqQ9pjtg+uY7svD0ZUmbV2bBs1+f97Q1Cd 99tvdbvSQ5BarSA05PHfdTQlySFkm9qMf05OIW6PLA831Agb7wtlVcG6yOQDHwi4S2swMjWiWyXE oo6ly+tEKeBecSLegpgaNy3Opl8tqhPB2bJEGT+muw7YM4CLgyJvxlwUJJCQwyvtRcl349z0Wu7k pdk7sYt6uMMDFQ4hdiNEKYZ6nv+fRehl7iK+1c/UwdX2+/qhFBQAgxYrB4iV60+58wjW1YeAGFCm arYAORJZIuGKzFxCwMkTvb9Y3WC3ks3hq2AWyHeMUdMGe6f7FnnSbFU26rDqYMCmYqF/N7JlRRBI 9dvofp2RK3mnTHiUMynDZn5YTyxMejsUExa9jlOLscOzA8Y/WVgnjAw/iS6t0eXUOyA9TBzZYtfG Nw09DtySJQbEZeuYS44xdumgl9moET00StRnI4IWmmsg6DGWIBwhSiRAb3g7lYBTEeHFRV4prvU9 xMBS4zvM+C3SLRVydjGVyhdHmhz18IsCS8pJ8FAeGxDnQesPUlo3tvxgcJLaJr5cxXX4npvcJAZ+ qffnjaCknkjexSxIn8ZhwYLsVE2uqWsTzSWgKJtdNFwjEQWp8oZCPpwjInzDR+Vw9rrFDVxoP+7B 3TmbP3uYfulxMejEvtlz6JFGjuHx1TTGuK8vhA3I6urczZH93UBf7fcX560jNwsISQv02FSJyqd0 fp/8svsaaiIyTomBj1FB18vYGbc0W5tPzoPlK/t8mx3zrlXKn1z/2kAbmLmaZwKAH5hYjX4/9dAj JtmDz+5Mc0CVBjQd7BizitqCi9wpjpZhBMSSaMFP8SAZzGpqrLBkMAmWpxloZBlhtYaU13MT17ug t2OcOkYjKuwhE9gSS3wq0JfkEFXVjbf5l7eX11INW6YYsmBiTos5WlgMa9/KrqTYFhttC1+uWH27 1OYHnRe9BzsigCmmysyq38wkeDuC+VVNGrwvZE6bS1TDAh29Kn0mcMRv1ekRcIxaQV8SKnvsQPnN 2Nb2TJ+o2WPZXLwI4WONCedwQ3zsCK6dYubEbFcN6k5IWzpiZKWANfHXKTmn/OQG+VYXjTem4wjU hnrAjbe97n6Bf46XtaaBn5o6exwIB9U9VCFRf057jrEOZfGWKV1wE9i+d4KyrK3yy9h/AlRJdI0q 4gQP4dQHtlRfcTLKYcRrXIj7+gvZVrMwD4V7uqN3/0k4OHe2VAIBrriCknstuyX72USFpVFwwG91 eWrnWIVDN+T4kxiVXYFtaG0uelKDtAYF4c/4rylbbf8GWK0+zgu35A9JDGUn8frAxdo5EvOKlIin AZr3gNOAux4f+zG6T4mNXk3r0Wc4b4CNRVVrjYyl9TuhyxSK3SWM0uFtgKFnfNYw/r5BK3r+a01v IkNmFFwkMsyP2YRnBDtzLz4WCU0gebT4NjVQMW5a0Gpq8lNQr4/qhDy0ZzwLI5lithts7lC70KXG D3DNLOOtR+0qs78zGSjmf/L8gT2572NrkcyPeR6dMP2/hL7eDEuY7T60lDVYBWP/gDLp7g+HyYkC QUd2RjAC7XlfTQLPLGA6tKnSMR7ulhRugipMZVi7DcBHiyOvvRvgFbYc1oDL8h+xVDjXk0YfXajR qGWpo5AaXx/rZ+QWHTv5Y0oE7ng2A9dlK56gj77GSHUPDCP+UZvHB45K0GgoLZnZHeLTAM975lUo E1bZRt7OJGgcQPeBC4u1UVopRzU0iNdQAjKylj+FnUheiwIebZZnv8tqIQQO0qAB3r3IBAbG9xs7 FD5zgnGayCzDIC9A1T5GItWJJo7v9npfk/MkbowKlmVaS4KTipdysYiOtiDS7fBUMSct54owx4Iz ZiKLrGIXVpkKIOod1eOFNE0nmkkiFEm4t9zPub4buw6aipOz2iZEXjKR7Lii8yUpLAE2ctCJu3On +1NrdtIm7gbyzjTD/GyfM5XSNmyr8rqN7vpqIW3LqhvWYmgwD9z/QJXq6tlG58ZPhlZwMFT5Cm4g QphJ/t09DRW17zrFNfB2fLERizNbLQ1Hh8imUdFi/fErwQoiUXCothd7wTKWElTYzLj7XjpcZ40B NWVNMN6t6zQJD1hTTkcb62uYDGyBsAvc1/LixkiM6tL5/NFhZXZQUOep5rH9D2iPpjgf25Ylh0dL fovlZpo21Tyngc+lPzhJs4tcO3Rx0H7RJqKZZJGMDWgDj1Vc30kiXbdd0hTkaUdedObDPzsZtiso XbOStHVSHEQb1NF29rbK47hyL5fXoFl3xvCNoEXXRDpDV9dSWbP+bVYxULBETs3ZmNPjVjLfKJEz dsMA3kixVlbDnBqsG2z6+Gk/Qt//SWRAx+hc0/d6VJqB0Ycuu362M4qqZ52pOKwM+7n4g2mtT/u/ 0LJyI/HDUKxPvMTT3AEuT1n6xAnbEmvHGrmnFaU4HOA08OerBFRW6MQSyq6AadEbDCDIzcf0TadG c0Z6wp5RVtax5r9r/luS8HLo1c7vkMLa7YOOE8n3XnOf5StTtCmUWuMCSSJwlNP4LfJqNFCd3TN+ FGSzbq5unoGKiJ/UvNiYDPw/PHazrmpni9L/u2zlRhu3MEX0rLFYLkOtGcPFc1kkiz7SDoaH94Aq gpP1WHmdKPgZ4gecftFEMSsIkZXleDQRaR3wwZOZiEYog77d0OISgK0c2nmA1BDcTCRER9yG7LsE hAnmqlBdS/UGp0yeuFERcLRAFEZOM2q7RuujHThgkQtzmtNRLKzKDERCPA7Cup8wNmYbm46h+Mmq RLKXniBOSoBl1kQP/heOw7KxataLj8ce5TjVJiKNIHYMz4BKf62AlXPOVHmZbyS2bIGue6LEKf6M c9LkSdo3nTJwmi+AMATwO1TdvEBMBFutdyvV6Hex3BADFvkkASPYeOzM+J1vyjXwIDrHRng0Frxn isjYa5nmu4Nifx3raLUpbwEDjPVWNKyFhaOR7+38gD2NeM2ltYCU/tn+esZey5jhHzma/H1s2nNR 1Br+jI2OI+FruRJY+WA2nrXrbvsg4tT2GMg+MHrsEoZf/mVnvPb6pYnP03kLCha69XIOzu88VUc+ 99jRLW873wyRVzOHuBr6K6uHngCTxQw4Z68K4xFl90e+5H5wUHowAG7Y+unYxBOmvg+ByY2wphVy iAGBzHU20m8uQ8u9RtUUoQtQZd1RwNceXg1Mz13z/5Uf5opLKKb9bxibR+nrzFkXQXLyuHgqRnk4 LoMHbeCGLnOzxGd63XM1/ndbXxO8vAr1s6TlLVsilLxGXZzBOG/VFeVUTM9XZh6YrG66ei6FA0nM xvBVNdLip8pOUsvGFYvbQJmxsXf2xTpJGp2mQas4B9rNag9FLDRsqEo9RgukHLkzaNkAGah6eov3 eq6H1sBgeHDTohvUFZrl0d5LezCXMIX2uznAoPu4lfHReBjf4CfXhuFWfjRhIM8lcUXDxPWXynf5 KZAecY8Vx0YckDxlDE8Kn/9qD8mZG1xU4g6sx+u+shwOhQL43/1Hmsq2ZwPIdOZx05EpDr4/N3yh DXYKPp/alQgI+c9J5pgRspdxS46AvLmDXf8zBq139AsRvURh/sJe8nAzQPZRq0YEuV2YMQmKFUKk qcfDNW5UIWet0WsgFpNLGB0/6AJGswbnZTeaHZ3BZu9rP8ybrr/qperCo3TVn+KBcfm3XL0hPNOU 6eS/ubRw7w+bZ8/ghQoDWPFksp0V9G4nAkl50+vtxld4NVKRaMgfS3cncpa22EFm307Lv8lUAcow 0BeU+PR6RIcW8P/x4azAG6DAkBo1oiZzRFp3KLU/dWLIeEdlrn3OuYu9284xni5Lzjr2H6QRStWe oXGlHAVC0HCKu1Csw7Js7X25RCkujwzTH9gjlHYBjX0PfESgimYpuBv2ce6ixgvLPCsGHZJEQCsC 1/EW96MIc1QxhzqkTCK/90ujzMaYjFYraKJ2+qYPpOaJxBuWhp0QMaDKJV43hZhj2m6497CYUon6 8bneUzF2WnD4bU8f1+r+/nO2D23xDmZ+hNVC8VPxYpV8MEI7Hnshjji9x7io6499oaSZsfmZptGR EKcDMWLx8SOaVUw6nX2Gj8GSWOrvLZi6lbgh+fUnk8ODxMY5yR8nmC9X4OuwiIoQpm57UPkNqnHc iIOK2dHbEfRXl2esPhCjcYEg1Jld6srcnVTZh8msjx45QqT6XXwDtOc0utsIbqMto8jlRzJWpDV+ pwfksKPIrLhRwCesXX9SJv8wYZ+pBWVQm1mHOetUJL5mCxc07UK7nS76gTdkpol4aFERcPX+r7sW uB2PiWM7yXPH9zb6XBIRcwNPL8ZlTxCzONA++Dr3Lyd63UnVEm3uBXzT/66dNnQijBshnnumbMVL RUgcMdNnZeYgGZY6bVwihgd7MBXW5ymMD/r9L7HkbzM51zV24aMWBRNpOwrF8LP7k9kRDmU9u7On GDnFbO4yd5Y0zFrOKBSXvcTgouMenKuYf4hEfSJdfODD/AEowbCPbIXn9l73QszirSJW6GUwb5Yt qM9JkXjLTwBkt6tgYH3lmprV/NYzkLaSjZ3ZNXsIEXIljm5O/A5OhkYdW/ttD8C+VDG41C4kteSp dD24UcgDdDmWux4gcklbCkTSiG99ktlrcvaExXBvoRL1CbvV5IfSudlC2eq6YVrKuSZQN3CW0gCB OBn5Fhlv3UqZosGu3bgGTljxWXCvRXukymXPCqhNbN95jXj4ASr1pPqVAeZIaZw2GkJeG3xnRJbj s4NAS78Nj8C06gvPzX7GHWmOwK8q76mDXzG2raI+zFyrahiE0PcYfyifuxBZr4LtoEEAcnEmEbSL cg5A/M4SmaJ2H3cjhj980kjXDCoCIJAtdBWdj98CYuulnQxdfCO9wx/NjBMr9j/VfpPHc8NHPklS tV52OgPcsKC9kCrm8rkJC4CeKMdMfYG9dSZU6LIocoK4fXgjd1jrUT93gALojAqHsUTnQshOVXqf Gei+11HZdXrV6AdQWDO6gV4HpALGTO9STmkVsYbC+galbYbDDUoGVOs0EjZq+DQ3Ygrj3QGPOldi 3Vu920kgk9RlxmcWZ+0MfaY1f0srAVaJeEJTXrTshwZ3D8mwWL60z7W67jsY6rt/Eo+P9ITybXt9 aDBBR0MXAYuP4MlfRL4grk0fw7VCeNluDaVmk7h5G4rHDRhsVqI9FysE9NPhcq9SDFfqNEQetwOx OQv8s6yIIyGj9BQ76Ra2KOTfwCG1EHtQrT4yU4+moHgZ+z3bU4/uRHaMadd065N1KMnWIHBdw2R1 8WVogzrfp2gSiRwoNOWXO6b/gotiFMnMKUuMarSDSpWNwy7SqTi5fYam5vk+7PNDbctws6xlVFoS 9F4+lEQlxyAnWDe1gk4M9Gv4zWI32KCC8n13S0R1taM3sXOIQPgMxdjbW0963Hy1gfW9XolYq0Vy M2gAQfyS5SRg9rYvz+Eb95XM5C2KeY1u/EdRVOLPH/YfrgSF4ujDBb80RQsOmJ2ENwZsFW0mGG9e ZvLi6Hi767MIP8PFR92TlTpHeUWC1WqPW6U+eVQdGuWJtRlnYXn9jw0mNlFrPVaThVmoa02yccnF 5vDrZ5gu9kLezYkKCkUcN2vZn4iG+/Ykpx6YHilNrvWphztqrT3raDy0MMyX6riFpTdJfctj7PUy jhX+F5PJcKZq4zEMuoirUK12Y0HPjS3XAxsVj5Zjdk4RIuEJoGFT6sU89mLN/nsnhGcGxAM24/Vf 9APfZAO/1LFNyNwbUiAosMkMUkJ7Kz81Y1PubdzJgjH2ITelXgVwTbgkKpZcpdBJxt+8hMCer8CG SsZy+Fa4LW2JW+BwLrPySzDxFNNebKHzVLJBdPhw83UtA9IBDOKuSNxEPMqVSoeakStoEm/zHZ6z R8AGb4gdEeN0elAls7MnyWw5epyMN5ZkPPGdECY68jFbNksU/uInqsZdysv/dR4RIuBn0yHdSh1l WnwTb9uAuBVtSugc78TZAC5N/TYP9KT3g8ZgftQ+EFV/nzRmrBMs2jSoqPk4BmP8dUaVK4xfoXpd +nmZJjswnikAki8ZAyFFtge3cbRECOZvTvqmouFIVV5AJXuC9EnCZkxA7IgGZUqGyRW4Kvc92RlS 7XSUe7tGYOPUHTfcjpH52mkW7FYN5RCLefkmQcJQBJb2zKjN2Vqt2D/z0e0JSvXQRCxsdgA6llWt c8IuYFVmMWmQH1mwiDdgG+7CzC1WAS7UeHNFEbnXYI8GrUI4+bqBlnwLEYV+dFiEspZvgSPo3Sx0 pQDgeALgO6mT8Vc3V08+7erJEB+62DCEWV3fnE2EohCjbUc2RYX0CZUu0uFONG/ay48nKCTvmmuu 9K1edIu4nbacm0DvwzhKujGBrW2S5fapZuBJI5kpwZOmtXL1FqGEOzpjIkrSlQlbNODw5+eHrXDD MZaDJPFhBhUxi67r2W9wzD82jWPevoT9hbLrC0uQHm6XPgMOOfeJRFf4d+WxxOPE4ao7+puv2M9i VYVYS67TfANVC1ePwQMa97yv9Hse/2z0cchxF/b3Oz1qDTCRRyKyAg+P9q/iE1idRVcOu3G5sosj uwF7vgW+WD79WqB3GRyUW1WoTrpiAd3C82G38rnfqGCsuPFVj98kcWDnKQcaRZtGIv0XW/0NsKCc rSxCqKYGwhy/c1twNWHxh6DKRSY4RQj8/JLpoDln4NnFjHbNgi2O8JbhTufHQjgitLPSK1c/apRs 1yht4vYFOEpaIJJSJbLY5VXp2Ya6d9Kk1veYgbzWmSYNKTeNI+Xx5iLSCAXTDXXPCiGDtwOo5OMl E07L91WC8R6mUP9OB65RPHePGrYj5LSB4XgO3X9UDdyqisnGB8MPwlJSVv2z2cARbN9q5mfEVzbS GWC+61hXZZW29PMLMVkONCUVqaL5/l/TyTYRHWDViTPdjQI5Pmb6BnKUy7h/RhZc/Pg2cEs/R/mE mWZEiB1NhJtE9S0k4BNdqYIeHgd0Ge2bsZa2tZ9Gdupy4ZeWFz7ua5fEH0G9BZa57ZpNR4lDyyqp wDjU6x+cczmyhz69HW1l3+iAbTy/2pyqcA6tepsi8PMPloH6Q7pSTL1YGVlS9bsP5T+BdDNzffDM 1mcDZedq3rkrXUnBgQN+6ItodJmwcpd0wtgvmuaD8uyLwie8ZybrgguanhKMQDMdBYzcaiur5r9M 1IsHoPPxLSSFw1wFXJe4MmprqmLaQg7EuA/+Mdg8O4g1ud2qGeqzI8f2aZmkLs/JQyeNwE/hltje xlyMYnLS9+AoEvhpRVo9tAVkKpm6xQz5Do8iDpZdashsKXky5oerQ4V1pDmkkAGynb+BayOAX0DE MKqklGCHJAn5kB/V/h1C0Irpmb1EW2atkaRQYfKpAy3ZGxmAOsaqz/oTmTYSqqU8qf/Iz5c8PM6x 8EFdwOMX2BnQJtHmjl1BFSPfC9HG1/D02Cc64V4sBXPl5CmWyvqlYoKhDbtwdhFBkhPbVvw3UXnc CB/UCW7R7Zrvpb27DtnwUJB4o8ogE7wg3h38+dfcAmIQZtj10isNoJmtghwZ3nEK/oZPMfsSneTU qSBssxzMemTkdYC2g/yUUvaH0EUcUVV1d9LFfoKqsl7Quk2i/tpeLSuIewYvhpYvYf2jvDqgkhR4 qdOFSMaHy3eaOtis4cG1o8CBNp902QN7qJhb6EdWMU6Exoqu6uFaUA/DgXdQS4R/AZyp5o2o `protect end_protected
gpl-2.0
c9c1ec72f5cbdf33fac3669a74b41e2c
0.937705
1.860127
false
false
false
false
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/Instr_Mem1/example_design/Instr_Mem1_prod.vhd
2
10,090
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: Instr_Mem1_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 0 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : Instr_Mem1.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 16 -- C_READ_WIDTH_A : 16 -- C_WRITE_DEPTH_A : 32 -- C_READ_DEPTH_A : 32 -- C_ADDRA_WIDTH : 5 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 16 -- C_READ_WIDTH_B : 16 -- C_WRITE_DEPTH_B : 32 -- C_READ_DEPTH_B : 32 -- C_ADDRB_WIDTH : 5 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY Instr_Mem1_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END Instr_Mem1_prod; ARCHITECTURE xilinx OF Instr_Mem1_prod IS COMPONENT Instr_Mem1_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : Instr_Mem1_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
gpl-3.0
123df39bd03ea07c39cff81845f538b7
0.49336
3.819076
false
false
false
false